2024/01/18 更新

写真a

ホンダ ヨシオ
本田 善央
HONDA, Yoshio
所属
未来材料・システム研究所 附属未来エレクトロニクス集積研究センター 未来デバイス部 准教授
大学院担当
大学院工学研究科
学部担当
工学部 電気電子・情報工学科
職名
准教授
連絡先
メールアドレス

学位 1

  1. 博士(工学) ( 2003年3月   名古屋大学 ) 

研究キーワード 2

  1. 電子材料

  2. 電子材料

研究分野 1

  1. その他 / その他  / 工学@電気電子工学@電子・電気材料工学

現在の研究課題とSDGs 3

  1. Si基板上半極性GaNの積層欠陥、点欠陥抑制による光学的特性の改善

  2. 加工Si基板を用いた半極性GaN上InGaN結晶に関する研究

  3. ハライド気相成長法によるシリコン基板上への窒化物半導体の厚膜結晶成長に関する研究

経歴 22

  1. 青山学院大学   非常勤講師

    2016年4月 - 現在

      詳細を見る

    国名:日本国

  2. 青山学院大学   非常勤講師

    2016年4月 - 現在

  3. 名古屋大学   未来材料システム研究所   准教授

    2015年10月 - 現在

      詳細を見る

    国名:日本国

  4. 名古屋大学   未来材料システム研究所   准教授

    2015年10月 - 現在

  5. 名古屋大学   未来材料・システム研究所 附属未来エレクトロニクス集積研究センター 未来デバイス部   准教授

    2015年10月 - 現在

  6. 名古屋大学   未来材料システム研究所   准教授

    2015年10月 - 現在

  7. 名古屋大学   大学院工学研究科 電子情報システム専攻   高等研究院 准教授

    2014年12月 - 現在

  8. 名古屋大学   電子情報システム専攻   高等研究院 准教授

    2014年12月 - 現在

  9. 名古屋大学大学院   工学研究科電子情報システム専攻   准教授

    2014年4月 - 2015年9月

      詳細を見る

    国名:日本国

  10. 名古屋大学   工学研究科電子情報システム専攻   准教授

    2014年4月 - 2015年9月

  11. 名古屋大学大学院   工学研究科電子情報システム専攻   准教授

    2014年4月 - 2015年9月

  12. 中部大学   非常勤講師

    2013年9月 - 2017年3月

      詳細を見る

    国名:日本国

  13. 中部大学   非常勤講師

    2013年9月 - 2017年3月

  14. スウェーデン王国・リンショピン大学   客員研究員

    2007年8月 - 2008年3月

  15. スウェーデン王国・リンショピン大学   客員研究員

    2007年8月 - 2008年3月

  16. 名古屋大学大学院   工学研究科電子情報システム専攻   助教授

    2007年4月 - 2014年3月

      詳細を見る

    国名:日本国

  17. 名古屋大学   工学研究科電子情報システム専攻   助教

    2007年4月 - 2014年3月

  18. 名古屋大学大学院   工学研究科電子情報システム専攻   助教

    2007年4月 - 2014年3月

  19. 名古屋大学   大学院工学研究科 電子情報システム専攻   助手

    2007年3月

  20. 名古屋大学大学院   工学研究科電子情報システム専攻   助教授

    2003年4月 - 2007年3月

      詳細を見る

    国名:日本国

  21. 名古屋大学大学院   工学研究科電子情報システム専攻   助手

    2003年4月 - 2007年3月

  22. 名古屋大学大学院   工学研究科電子情報システム専攻   助手

    2003年4月 - 2007年3月

▼全件表示

学歴 4

  1. 名古屋大学   工学研究科   電子工学専攻

    - 2003年

      詳細を見る

    国名: 日本国

  2. 名古屋大学   工学研究科   電子工学専攻

    - 2003年

      詳細を見る

    国名: 日本国

  3. 名古屋大学   工学研究科   電子工学専攻

    - 2003年

      詳細を見る

    国名: 日本国

  4. 名古屋大学   工学部   電子工学科

    - 1998年

      詳細を見る

    国名: 日本国

所属学協会 4

  1. 日本結晶成長学会ナノ構造・エピタキシャル成長分科会

  2. 応用物理学会

  3. 日本結晶成長学会ナノ構造・エピタキシャル成長分科会

  4. 応用物理学会

委員歴 47

  1. ICNS2023   実行委員会副委員長  

    2020年4月 - 現在   

  2. IWUMD2023   プログラム委員  

    2022年4月 - 2023年12月   

  3. LEDIA19   プログラム委員長  

    2019年4月 - 2020年3月   

  4. ICNS14   実行副委員長  

    2019年3月 - 2021年10月   

  5. APWS2019   展示委員  

    2018年11月 - 2019年10月   

  6. LEDIA18   庶務委員  

    2018年4月 - 2019年3月   

      詳細を見る

    団体区分:学協会

  7. ICMOVPE2018   財務委員  

    2017年4月 - 2018年12月   

      詳細を見る

    団体区分:学協会

  8. ICMOVPE2018   財務委員  

    2017年4月 - 2018年12月   

      詳細を見る

    団体区分:学協会

  9. ISPLASMA2018   プログラム委員  

    2017年4月 - 2018年3月   

      詳細を見る

    団体区分:学協会

  10. LEDIA18   プログラム委員  

    2017年4月 - 2018年3月   

  11. ISPLASMA2018   プログラム委員  

    2017年4月 - 2018年3月   

      詳細を見る

    団体区分:学協会

  12. 庶務委員  

    2016年8月 - 2019年3月   

  13. IWN2018   庶務委員  

    2016年8月 - 2019年3月   

  14. 庶務委員  

    2016年6月 - 2017年5月   

  15. LEDIA17   庶務委員  

    2016年6月 - 2017年5月   

  16. プログラム委員  

    2016年4月 - 2017年3月   

  17. IWUMD2017   副実行委員長  

    2016年4月 - 2017年3月   

  18. ISPLASMA2016   プログラム委員  

    2016年4月 - 2017年3月   

  19. ISPLASMA2015   実行委員  

    2015年4月 - 2016年3月   

  20. ISPLASMA2015   実行委員  

    2015年4月 - 2016年3月   

  21. ISGN-6   総務幹事  

    2014年7月 - 2016年3月   

  22. ISGN-6   総務幹事  

    2014年7月 - 2016年3月   

  23. LEDIA15   総務委員  

    2014年4月 - 2015年3月   

  24. LEDIA15   総務委員  

    2014年4月 - 2015年3月   

  25. 学振162委員会   研究会企画幹事  

    2013年4月 - 現在   

  26. 学振162委員会   研究会企画幹事  

    2013年4月 - 2021年3月   

  27. ICCGE2016   現地実行委員  

    2013年4月 - 2016年3月   

  28. ICCGE2016   現地実行委員  

    2013年4月 - 2016年3月   

  29. ISPLASMA2014   広報委員  

    2013年4月 - 2014年3月   

  30. LEDIA14   庶務・プログラム・現地委員  

    2013年4月 - 2014年3月   

  31. ISPLASMA2014   広報委員  

    2013年4月 - 2014年3月   

  32. LEDIA13   プログラム・現地委員  

    2012年11月 - 2013年3月   

  33. LEDIA13   プログラム・現地委員  

    2012年11月 - 2013年3月   

  34. ISPLASMA2013   現地実行委員  

    2012年4月 - 2013年3月   

  35. ISPLASMA2013   現地実行委員  

    2012年4月 - 2013年3月   

  36. IWN2012   庶務委員  

    2011年2月 - 2012年10月   

  37. IWN2012   庶務委員  

    2011年2月 - 2012年10月   

  38. EMS30-31   会場委員  

    2010年10月 - 2012年7月   

  39. EMS30-31   会場委員  

    2010年10月 - 2012年7月   

  40. APWS2011   現地実行委員  

    2010年6月 - 2011年6月   

  41. APWS2011   現地実行委員  

    2010年6月 - 2011年6月   

  42. IWBNS-7   現地実行委員  

    2010年4月 - 2011年3月   

  43. IWBNS-7   現地実行委員  

    2010年4月 - 2011年3月   

  44. ISGN-2   現地実行委員  

    2007年8月 - 2008年8月   

  45. ISGN-2   現地実行委員  

    2007年8月 - 2008年8月   

  46. IWN2006   現地実行委員  

    2005年10月 - 2006年10月   

  47. IWN2006   現地実行委員  

    2005年10月 - 2006年10月   

▼全件表示

受賞 2

  1. 日本結晶成長学会ナノ構造・エピタキシャル成長分科会研究奨励賞

    2009年5月   日本結晶成長学会  

     詳細を見る

    受賞国:日本国

  2. 日本結晶成長学会ナノ構造・エピタキシャル成長分科会研究奨励賞

    2009年5月   日本結晶成長学会  

     詳細を見る

    受賞国:日本国

 

論文 551

  1. Optical activation of praseodymium ions implanted in gallium nitride after ultra-high pressure annealing

    Ito, S; Sato, S; Bockowski, M; Deki, M; Watanabe, H; Nitta, S; Honda, Y; Amano, H; Yoshida, K; Minagawa, H; Hagura, N

    NUCLEAR INSTRUMENTS & METHODS IN PHYSICS RESEARCH SECTION B-BEAM INTERACTIONS WITH MATERIALS AND ATOMS   547 巻   2024年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.nimb.2023.165181

    Web of Science

  2. Structural characterization of epitaxial ScAlN films grown on GaN by low-temperature sputtering

    Kobayashi, A; Honda, Y; Maeda, T; Okuda, T; Ueno, K; Fujioka, H

    APPLIED PHYSICS EXPRESS   17 巻 ( 1 )   2024年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1882-0786/ad120b

    Web of Science

  3. Investigation of Electrical Properties of N-Polar AlGaN/AlN Heterostructure Field-Effect Transistors

    Inahara, D; Matsuda, S; Matsumura, W; Okuno, R; Hanasaku, K; Kowaki, T; Miyamoto, M; Yao, YZ; Ishikawa, Y; Tanaka, A; Honda, Y; Nitta, S; Amano, H; Kurai, S; Okada, N; Yamada, Y

    PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE   220 巻 ( 16 )   2023年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssa.202200871

    Web of Science

  4. Junction Diameter Dependence of Oscillation Frequency of GaN IMPATT Diode Up to 21 GHz

    Kawasaki, S; Kumabe, T; Ando, Y; Deki, M; Watanabe, H; Tanaka, A; Honda, Y; Arai, M; Amano, H

    IEEE ELECTRON DEVICE LETTERS   44 巻 ( 8 ) 頁: 1328 - 1331   2023年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/LED.2023.3285938

    Web of Science

  5. Reverse Leakage Mechanism of Dislocation-Free GaN Vertical p-n Diodes

    Kwon, W; Kawasaki, S; Watanabe, H; Tanaka, A; Honda, Y; Ikeda, H; Iso, K; Amano, H

    IEEE ELECTRON DEVICE LETTERS   44 巻 ( 7 ) 頁: 1172 - 1175   2023年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/LED.2023.3274306

    Web of Science

  6. Hole mobility limiting factors in dopant-free p-type distributed polarization-doped AlGaN

    Kumabe, T; Kawasaki, S; Watanabe, H; Honda, Y; Amano, H

    APPLIED PHYSICS LETTERS   122 巻 ( 25 )   2023年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/5.0155363

    Web of Science

  7. Stress relaxation of AlGaN on nonpolar m-plane GaN substrate

    Lin, YY; Sena, H; Frentrup, M; Pristovsek, M; Honda, Y; Amano, H

    JOURNAL OF APPLIED PHYSICS   133 巻 ( 22 )   2023年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/5.0149838

    Web of Science

  8. Lateral p-type GaN Schottky barrier diode with annealed Mg ohmic contact layer demonstrating ideal current-voltage characteristic

    Lu, S; Deki, M; Kumabe, T; Wang, J; Ohnishi, K; Watanabe, H; Nitta, S; Honda, Y; Amano, H

    APPLIED PHYSICS LETTERS   122 巻 ( 14 )   2023年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/5.0146080

    Web of Science

  9. Temperature Field, Flow Field, and Temporal Fluctuations Thereof in Ammonothermal Growth of Bulk GaN-Transition from Dissolution Stage to Growth Stage Conditions

    Schimmel, S; Tomida, D; Ishiguro, T; Honda, Y; Chichibu, SE; Amano, H

    MATERIALS   16 巻 ( 5 )   2023年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/ma16052016

    Web of Science

    PubMed

  10. A Review on the Progress of AlGaN Tunnel Homojunction Deep-Ultraviolet Light-Emitting Diodes

    Nagata, K; Matsubara, T; Saito, Y; Kataoka, K; Narita, T; Horibuchi, K; Kushimoto, M; Tomai, S; Katsumata, S; Honda, Y; Takeuchi, T; Amano, H

    CRYSTALS   13 巻 ( 3 )   2023年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/cryst13030524

    Web of Science

  11. Red emission from InGaN active layer grown on nanoscale InGaN pseudosubstrates

    Cai, WT; Wang, J; Park, JH; Furusawa, Y; Cheong, HJ; Nitta, S; Honda, Y; Pristovsek, M; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   62 巻 ( 2 )   2023年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/acb74c

    Web of Science

  12. Investigation on Applying an InGaN Photocathode with Negative Electron Affinity for Electric Propulsion

    Inoue, Y; Nishitani, T; Honda, A; Sato, D; Shikano, H; Koizumi, A; Honda, Y; Ichihara, D; Sasoh, A

    TRANSACTIONS OF THE JAPAN SOCIETY FOR AERONAUTICAL AND SPACE SCIENCES   66 巻 ( 1 ) 頁: 10 - 13   2023年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人 日本航空宇宙学会  

    DOI: 10.2322/tjsass.66.10

    Web of Science

    CiNii Research

  13. Novel Electron Beam Technology using InGaN Photocathode for High-Throughput Scanning Electron Microscope Imaging

    Sato, D; Koizumi, A; Shikano, H; Noda, S; Otsuka, Y; Yasufuku, D; Mori, K; Iijima, H; Nishitani, T; Honda, Y; Amano, H

    METROLOGY, INSPECTION, AND PROCESS CONTROL XXXVII   12496 巻   2023年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1117/12.2657032

    Web of Science

  14. Photon extraction enhancement of praseodymium ions in gallium nitride nanopillars

    Sato, SI; Li, S; Greentree, AD; Deki, M; Nishimura, T; Watanabe, H; Nitta, S; Honda, Y; Amano, H; Gibson, BC; Ohshima, T

    SCIENTIFIC REPORTS   12 巻 ( 1 ) 頁: 21208   2022年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1038/s41598-022-25522-6

    Web of Science

    PubMed

  15. Scanning electron microscope imaging by selective e-beaming using photoelectron beams from semiconductor photocathodes

    Nishitani, T; Arakawa, Y; Noda, S; Koizumi, A; Sato, D; Shikano, H; Iijima, H; Honda, Y; Amano, H

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B   40 巻 ( 6 )   2022年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1116/6.0002111

    Web of Science

  16. Local stress control to suppress dislocation generation for pseudomorphically grown AlGaN UV-C laser diodes

    Kushimoto, M; Zhang, ZY; Yoshikawa, A; Aoto, K; Honda, Y; Sasaoka, C; Schowalter, LJ; Amano, H

    APPLIED PHYSICS LETTERS   121 巻 ( 22 )   2022年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/5.0124512

    Web of Science

  17. High In content nitride sub-micrometer platelet arrays for long wavelength optical applications

    Cai, WT; Furusawa, Y; Wang, J; Park, JH; Liao, YQ; Cheong, HJ; Nitta, S; Honda, Y; Pristovsek, M; Amano, H

    APPLIED PHYSICS LETTERS   121 巻 ( 21 )   2022年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/5.0120723

    Web of Science

  18. Substitutional diffusion of Mg into GaN from GaN/Mg mixture

    Itoh, Y; Lu, S; Watanabe, H; Deki, M; Nitta, S; Honda, Y; Tanaka, A; Amano, H

    APPLIED PHYSICS EXPRESS   15 巻 ( 11 )   2022年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1882-0786/ac9c83

    Web of Science

  19. The photoemission characteristics of a NEA InGaN photocathode by simultaneously supplying Cs and O2

    Kashima, M; Itokawa, Y; Kanai, T; Sato, D; Koizumi, A; Iijima, H; Nishitani, T; Honda, Y; Amano, H; Meguro, T

    APPLIED SURFACE SCIENCE   599 巻   2022年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.apsusc.2022.153882

    Web of Science

  20. Tuning the p-type doping of GaN over three orders of magnitude via efficient Mg doping during halide vapor phase epitaxy

    Ohnishi, K; Fujimoto, N; Nitta, S; Watanabe, H; Lu, S; Deki, M; Honda, Y; Amano, H

    JOURNAL OF APPLIED PHYSICS   132 巻 ( 14 )   2022年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/5.0122292

    Web of Science

  21. High-Energy Computed Tomography as a Prospective Tool for In Situ Monitoring of Mass Transfer Processes inside High-Pressure Reactors-A Case Study on Ammonothermal Bulk Crystal Growth of Nitrides including GaN

    Schimmel, S; Salamon, M; Tomida, D; Neumeier, S; Ishiguro, T; Honda, Y; Chichibu, SF; Amano, H

    MATERIALS   15 巻 ( 17 )   2022年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/ma15176165

    Web of Science

    PubMed

  22. Understanding indium incorporation of InGaN grown on polar, semi-polar, and non-polar orientation by metal-organic vapor phase epitaxy

    Hu, N; Avit, G; Pristovsek, M; Honda, Y; Amano, H

    APPLIED PHYSICS LETTERS   121 巻 ( 8 )   2022年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/5.0088908

    Web of Science

  23. Surface kinetics in halide vapor phase epitaxial growth of GaN layers on GaN (0001) freestanding substrates

    Ohnishi, K; Fujimoto, N; Nitta, S; Watanabe, H; Honda, Y; Amano, H

    JOURNAL OF CRYSTAL GROWTH   592 巻   2022年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2022.126749

    Web of Science

  24. Weak metastability of Al<i> <sub>x</sub> </i>Ga<sub>1-<i>x</i> </sub>N (<i>x</i>=13/24, 15/24, 17/24) shown by analyzing AlGaN grown on AlN with dense macrosteps

    Hirano, A; Nagasawa, Y; Ippommatsu, M; Sako, H; Hashimoto, A; Sugie, R; Honda, Y; Amano, H; Kojima, K; Chichibu, SF

    APPLIED PHYSICS EXPRESS   15 巻 ( 7 )   2022年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1882-0786/ac79a1

    Web of Science

  25. Space-Charge Profiles and Carrier Transport Properties in Dopant-Free GaN-Based p-n Junction Formed by Distributed Polarization Doping

    Kumabe, T; Kawasaki, S; Watanabe, H; Nitta, S; Honda, Y; Amano, H

    PHYSICA STATUS SOLIDI-RAPID RESEARCH LETTERS   16 巻 ( 7 )   2022年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssr.202200127

    Web of Science

  26. Dual-peak electroluminescence spectra generated from Al <i><sub>n</sub></i> <sub>/12</sub>Ga<sub>1-<i>n</i>/12</sub>N (<i>n</i>=2, 3, 4) for AlGaN-based LEDs with nonflat quantum wells

    Nagasawa, Y; Kojima, K; Hirano, A; Ippommatsu, M; Honda, Y; Amano, H; Chichibu, SF

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   55 巻 ( 25 )   2022年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6463/ac5d03

    Web of Science

  27. Author Correction: Laser slice thinning of GaN-on-GaN high electron mobility transistors.

    Tanaka A, Sugiura R, Kawaguchi D, Wani Y, Watanabe H, Sena H, Ando Y, Honda Y, Igasaki Y, Wakejima A, Ando Y, Amano H

    Scientific reports   12 巻 ( 1 ) 頁: 8175   2022年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1038/s41598-022-12628-0

    PubMed

  28. Laser slice thinning of GaN-on-GaN high electron mobility transistors

    Tanaka, A; Sugiura, R; Kawaguchi, D; Wani, Y; Watanabe, H; Sena, H; Ando, Y; Honda, Y; Igasaki, Y; Wakejima, A; Ando, Y; Amano, H

    SCIENTIFIC REPORTS   12 巻 ( 1 ) 頁: 7363   2022年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1038/s41598-022-10610-4

    Web of Science

    PubMed

  29. The effect of dry etching condition on the performance of blue micro light-emitting diodes with reduced quantum confined Stark effect epitaxial layer

    Park, JH; Cai, W; Cheong, H; Ushida, Y; Lee, DH; Ando, Y; Furusawa, Y; Honda, Y; Lee, DS; Seong, TY; Amano, H

    JOURNAL OF APPLIED PHYSICS   131 巻 ( 15 )   2022年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/5.0085384

    Web of Science

  30. "Regrowth-free" fabrication of high-current-gain AlGaN/GaN heterojunction bipolar transistor with N-p-n configuration

    Kumabe, T; Watanabe, H; Ando, Y; Tanaka, A; Nitta, S; Honda, Y; Amano, H

    APPLIED PHYSICS EXPRESS   15 巻 ( 4 )   2022年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1882-0786/ac6197

    Web of Science

  31. Sputtered polycrystalline MgZnO/Al reflective electrodes for enhanced light emission in AlGaN-based homojunction tunnel junction DUV-LED

    Matsubara, T; Nagatat, K; Kushimoto, M; Tomai, S; Katsumata, S; Honda, Y; Amano, H

    APPLIED PHYSICS EXPRESS   15 巻 ( 4 )   2022年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1882-0786/ac5acf

    Web of Science

  32. Structural design optimization of 279 nm wavelength AlGaN homojunction tunnel junction deep-UV light-emitting diode

    Nagata, K; Anada, S; Miwa, H; Matsui, S; Boyama, S; Saito, Y; Kushimoto, M; Honda, Y; Takeuchi, T; Amano, H

    APPLIED PHYSICS EXPRESS   15 巻 ( 4 )   2022年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1882-0786/ac60c7

    Web of Science

  33. Improved device performance of vertical GaN-on-GaN nanorod Schottky barrier diodes with wet-etching process

    Liao, YQ; Chen, T; Wang, J; Cai, WT; Ando, YT; Yang, X; Watanabe, H; Tanaka, A; Nitta, S; Honda, Y; Chen, KJ; Amano, H

    APPLIED PHYSICS LETTERS   120 巻 ( 12 )   2022年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/5.0083194

    Web of Science

  34. Visualization of depletion layer in AlGaN homojunction p-n junction

    Nagata, K; Anada, S; Saito, Y; Kushimoto, M; Honda, Y; Takeuchi, T; Yamamoto, K; Hirayama, T; Amano, H

    APPLIED PHYSICS EXPRESS   15 巻 ( 3 )   2022年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1882-0786/ac53e2

    Web of Science

  35. Effect of beam current on defect formation by high-temperature implantation of Mg ions into GaN

    Itoh, Y; Watanabe, H; Ando, Y; Kano, E; Deki, M; Nitta, S; Honda, Y; Tanaka, A; Ikarashi, N; Amano, H

    APPLIED PHYSICS EXPRESS   15 巻 ( 2 )   2022年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1882-0786/ac481b

    Web of Science

  36. Threshold increase and lasing inhibition due to hexagonal-pyramid-shaped hillocks in AlGaN-based DUV laser diodes on single-crystal AlN substrate

    Kushimoto, M; Zhang, ZY; Honda, Y; Schowalter, LJ; Sasaoka, C; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   61 巻 ( 1 )   2022年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/ac3a1d

    Web of Science

  37. Ohmic Contact to <i>p</i>-Type GaN Enabled by Post-Growth Diffusion of Magnesium

    Wang, J; Lu, S; Cai, WT; Kumabe, T; Ando, Y; Liao, YQ; Honda, Y; Xie, YH; Amano, H

    IEEE ELECTRON DEVICE LETTERS   43 巻 ( 1 ) 頁: 150 - 153   2022年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/LED.2021.3131057

    Web of Science

  38. An Accurate Approach to Develop Small Signal Circuit Models for AlGaN/GaN HEMTs Using Rational Functions and Dependent Current Sources

    Jadhav, A; Ozawa, T; Baratov, A; Asubar, JT; Kuzuhara, M; Wakejima, A; Yamashita, S; Deki, M; Nitta, S; Honda, Y; Amano, H; Roy, S; Sarkar, B

    IEEE JOURNAL OF THE ELECTRON DEVICES SOCIETY   10 巻   頁: 797 - 807   2022年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/JEDS.2022.3208028

    Web of Science

  39. Ohmic contact on low-doping-density p-type GaN with nitrogen-annealed Mg

    Lu, S; Deki, M; Wang, J; Ohnishi, K; Ando, Y; Kumabe, T; Watanabe, H; Nitta, S; Honda, Y; Amano, H

    APPLIED PHYSICS LETTERS   119 巻 ( 24 )   2021年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/5.0076764

    Web of Science

  40. Discrete wavelengths observed in electroluminescence originating from Al<sub>1/2</sub>Ga<sub>1/2</sub>N and Al<sub>1/3</sub>Ga<sub>2/3</sub>N created in nonflat AlGaN quantum wells

    Nagasawa, Y; Kojima, K; Hirano, A; Sako, H; Hashimoto, A; Sugie, R; Ippommatsu, M; Honda, Y; Amano, H; Chichibu, SF

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   54 巻 ( 48 )   2021年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6463/ac2065

    Web of Science

  41. Modified Small Signal Circuit of AlGaN/GaN MOS-HEMTs Using Rational Functions

    Jadhav, A; Ozawa, T; Baratov, A; Asubar, JT; Kuzuhara, M; Wakejima, A; Yamashita, S; Deki, M; Nitta, S; Honda, Y; Amano, H; Roy, S; Sarkar, B

    IEEE TRANSACTIONS ON ELECTRON DEVICES   68 巻 ( 12 ) 頁: 6059 - 6064   2021年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/TED.2021.3119528

    Web of Science

  42. Multiple electron beam generation from InGaN photocathode

    Sato, D; Shikano, H; Koizumi, A; Nishitani, T; Honda, Y; Amano, H

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B   39 巻 ( 6 )   2021年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1116/6.0001272

    Web of Science

  43. Cyclotron production of <sup>225</sup>Ac from an electroplated <sup>226</sup>Ra target. 査読有り

    Nagatsu K, Suzuki H, Fukada M, Ito T, Ichinose J, Honda Y, Minegishi K, Higashi T, Zhang MR

    European journal of nuclear medicine and molecular imaging   49 巻 ( 1 ) 頁: 279 - 289   2021年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1007/s00259-021-05460-7

    PubMed

  44. Vertical GaN p<SUP>+</SUP>-n junction diode with ideal avalanche capability grown by halide vapor phase epitaxy (vol 119, 152102, 2021)

    Ohnishi, K; Kawasaki, S; Fujimoto, N; Nitta, S; Watanabe, H; Honda, Y; Amano, H

    APPLIED PHYSICS LETTERS   119 巻 ( 20 )   2021年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/5.0077364

    Web of Science

  45. Vertical GaN p<SUP>+</SUP>-n junction diode with ideal avalanche capability grown by halide vapor phase epitaxy

    Ohnishi, K; Kawasaki, S; Fujimoto, N; Nitta, S; Watanabe, H; Honda, Y; Amano, H

    APPLIED PHYSICS LETTERS   119 巻 ( 15 )   2021年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/5.0066139

    Web of Science

  46. Effective neutron detection using vertical-type BGaN diodes

    Nakano, T; Mochizuki, K; Arikawa, T; Nakagawa, H; Usami, S; Honda, Y; Amano, H; Vogt, A; Schuett, S; Fiederle, M; Kojima, K; Chichibu, SF; Inoue, Y; Aoki, T

    JOURNAL OF APPLIED PHYSICS   130 巻 ( 12 )   2021年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/5.0051053

    Web of Science

  47. Smart-cut-like laser slicing of GaN substrate using its own nitrogen

    Tanaka, A; Sugiura, R; Kawaguchi, D; Yui, T; Wani, Y; Aratani, T; Watanabe, H; Sena, H; Honda, Y; Igasaki, Y; Amano, H

    SCIENTIFIC REPORTS   11 巻 ( 1 ) 頁: 17949   2021年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1038/s41598-021-97159-w

    Web of Science

    PubMed

  48. Gallium nitride wafer slicing by a sub-nanosecond laser: effect of pulse energy and laser shot spacing

    Sena, H; Tanaka, A; Wani, Y; Aratani, T; Yui, T; Kawaguchi, D; Sugiura, R; Honda, Y; Igasaki, Y; Amano, H

    APPLIED PHYSICS A-MATERIALS SCIENCE & PROCESSING   127 巻 ( 9 )   2021年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1007/s00339-021-04808-y

    Web of Science

  49. Reduction in operating voltage of AlGaN homojunction tunnel junction deep-UV light-emitting diodes by controlling impurity concentrations

    Nagata, K; Makino, H; Miwa, H; Matsui, S; Boyama, S; Saito, Y; Kushimoto, M; Honda, Y; Takeuchi, T; Amano, H

    APPLIED PHYSICS EXPRESS   14 巻 ( 8 )   2021年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1882-0786/ac0fb6

    Web of Science

  50. Impact of gate electrode formation process on Al<sub>2</sub>O<sub>3</sub>/GaN interface properties and channel mobility

    Ando, Y; Deki, M; Watanabe, H; Taoka, N; Tanaka, A; Nitta, S; Honda, Y; Yamada, H; Shimizu, M; Nakamura, T; Amano, H

    APPLIED PHYSICS EXPRESS   14 巻 ( 8 )   2021年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1882-0786/ac0ffa

    Web of Science

  51. Improving light output power of AlGaN-based deep-ultraviolet light-emitting diodes by optimizing the optical thickness of p-layers

    Matsukura, Y; Inazu, T; Pernot, C; Shibata, N; Kushimoto, M; Deki, M; Honda, Y; Amano, H

    APPLIED PHYSICS EXPRESS   14 巻 ( 8 )   2021年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1882-0786/ac154c

    Web of Science

  52. Electrical properties and structural defects of p-type GaN layers grown by halide vapor phase epitaxy

    Ohnishi, K; Amano, Y; Fujimoto, N; Nitta, S; Watanabe, H; Honda, Y; Amano, H

    JOURNAL OF CRYSTAL GROWTH   566 巻   2021年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2021.126173

    Web of Science

  53. Vertical GaN-on-GaN nanowire Schottky barrier diodes by top-down fabrication approach

    Liao, YQ; Chen, T; Wang, J; Ando, Y; Cai, WT; Yang, X; Watanabe, H; Hirotani, J; Tanaka, A; Nitta, S; Honda, Y; Chen, KJ; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   60 巻 ( 7 )   2021年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/ac06b5

    Web of Science

  54. Etching-induced damage in heavily Mg-doped p-type GaN and its suppression by low-bias-power inductively coupled plasma-reactive ion etching

    Kumabe, T; Ando, Y; Watanabe, H; Deki, M; Tanaka, A; Nitta, S; Honda, Y; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   60 巻 ( SB )   2021年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/abd538

    Web of Science

  55. Impact of heat treatment process on threshold current density in AlGaN-based deep-ultraviolet laser diodes on AlN substrate

    Kushimoto, M; Zhang, ZY; Sugiyama, N; Honda, Y; Schowalter, LJ; Sasaoka, C; Amano, H

    APPLIED PHYSICS EXPRESS   14 巻 ( 5 )   2021年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1882-0786/abf443

    Web of Science

  56. Discrete AlN mole fraction of <i>n</i>/12 (<i>n</i>=4-8) in Ga-rich zones functioning as electron pathways created in nonflat AlGaN layers grown on high-miscut sapphire substrates

    Nagasawa, Y; Hirano, A; Ippommatsu, M; Sako, H; Hashimoto, A; Sugie, R; Honda, Y; Amano, H; Akasaki, I; Kojima, K; Chichibu, SF

    JOURNAL OF APPLIED PHYSICS   129 巻 ( 16 )   2021年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/5.0042036

    Web of Science

  57. Experimental demonstration of GaN IMPATT diode at X-band

    Kawasaki, S; Ando, Y; Deki, M; Watanabe, H; Tanaka, A; Nitta, S; Honda, Y; Arai, M; Amano, H

    APPLIED PHYSICS EXPRESS   14 巻 ( 4 )   2021年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1882-0786/abe3dc

    Web of Science

  58. Numerical Simulation of Ammonothermal Crystal Growth of GaN-Current State, Challenges, and Prospects

    Schimmel, S; Tomida, D; Ishiguro, T; Honda, Y; Chichibu, S; Amano, H

    CRYSTALS   11 巻 ( 4 )   2021年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/cryst11040356

    Web of Science

  59. Fabrication of GaN cantilever on GaN substrate by photo-electrochemical etching

    Yamada, T; Ando, Y; Watanabe, H; Furusawa, Y; Tanaka, A; Deki, M; Nitta, S; Honda, Y; Suda, J; Amano, H

    APPLIED PHYSICS EXPRESS   14 巻 ( 3 )   2021年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1882-0786/abe657

    Web of Science

  60. Boundary Conditions for Simulations of Fluid Flow and Temperature Field during Ammonothermal Crystal Growth-A Machine-Learning Assisted Study of Autoclave Wall Temperature Distribution

    Schimmel, S; Tomida, D; Saito, M; Bao, QX; Ishiguro, T; Honda, Y; Chichibu, S; Amano, H

    CRYSTALS   11 巻 ( 3 )   2021年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/cryst11030254

    Web of Science

  61. Development of Pulsed TEM Equipped with Nitride Semiconductor Photocathode for High-Speed Observation and Material Nanofabrication

    Yasuda, H; Nishitani, T; Ichikawa, S; Hatanaka, S; Honda, Y; Amano, H

    QUANTUM BEAM SCIENCE   5 巻 ( 1 )   2021年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/qubs5010005

    Web of Science

  62. NEA活性化方法におけるInGaNフォトカソードの電子放出特性の違い

    鹿島 将央, 佐藤 大樹, 小泉 淳, 飯島 北斗, 西谷 智博, 本田 善央, 天野 浩, 目黒 多加志

    応用物理学会学術講演会講演予稿集   2021.1 巻 ( 0 ) 頁: 1347 - 1347   2021年2月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2021.1.0_1347

    CiNii Research

  63. Optical properties of neodymium ions in nanoscale regions of gallium nitride (vol 10, pg 2614, 2020)

    Sato, SI; Deki, M; Watanabe, H; Nitta, S; Honda, Y; Nishimura, T; Gibson, BC; Greentree, AD; Amano, H; Ohshima, T

    OPTICAL MATERIALS EXPRESS   11 巻 ( 2 ) 頁: 524 - 524   2021年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1364/OME.420328

    Web of Science

  64. Generalized Frequency Dependent Small Signal Model for High Frequency Analysis of AlGaN/GaN MOS-HEMTs

    Jadhav, A; Ozawa, T; Baratov, A; Asubar, JT; Kuzuhara, M; Wakejima, A; Yamashita, S; Deki, M; Honda, Y; Roy, S; Amano, H; Sarkar, B

    IEEE JOURNAL OF THE ELECTRON DEVICES SOCIETY   9 巻   頁: 570 - 581   2021年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/JEDS.2021.3081463

    Web of Science

  65. Electrical properties of GaN metal-insulator-semiconductor field-effect transistors with Al<sub>2</sub>O<sub>3</sub>/GaN interfaces formed on vicinal Ga-polar and nonpolar surfaces

    Ando, Y; Nagamatsu, K; Deki, M; Taoka, N; Tanaka, A; Nitta, S; Honda, Y; Nakamura, T; Amano, H

    APPLIED PHYSICS LETTERS   117 巻 ( 24 )   2020年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/5.0028516

    Web of Science

  66. Detailed analysis of Ga-rich current pathways created in an n-Al<sub>0.7</sub>Ga<sub>0.3</sub>N layer grown on an AlN template with dense macrosteps

    Nagasawa, Y; Hirano, A; Ippommatsu, M; Sako, H; Hashimoto, A; Sugie, R; Honda, Y; Amano, H; Akasaki, I; Kojima, K; Chichibu, SF

    APPLIED PHYSICS EXPRESS   13 巻 ( 12 )   2020年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1882-0786/abcb49

    Web of Science

  67. Epitaxial Combination of Two-Dimensional Hexagonal Boron Nitride with Single-Crystalline Diamond Substrate

    Yang, X; Pristovsek, M; Nitta, S; Liu, YH; Honda, Y; Koide, Y; Kawarada, H; Amano, H

    ACS APPLIED MATERIALS & INTERFACES   12 巻 ( 41 ) 頁: 46466 - 46475   2020年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1021/acsami.0c11883

    Web of Science

    PubMed

  68. Optical properties of neodymium ions in nanoscale regions of gallium nitride

    Sato, SI; Deki, M; Watanabe, H; Nitta, S; Honda, Y; Nishimura, T; Gibson, BC; Greentree, AD; Amano, H; Ohshima, T

    OPTICAL MATERIALS EXPRESS   10 巻 ( 10 ) 頁: 2614 - 2623   2020年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1364/OME.401765

    Web of Science

  69. Photoluminescence properties of implanted Praseodymium into Gallium Nitride at elevated temperatures

    Sato, S; Deki, M; Nishimura, T; Okada, H; Watanabe, H; Nitta, S; Honda, Y; Amano, H; Ohshima, T

    NUCLEAR INSTRUMENTS & METHODS IN PHYSICS RESEARCH SECTION B-BEAM INTERACTIONS WITH MATERIALS AND ATOMS   479 巻   頁: 7 - 12   2020年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.nimb.2020.06.007

    Web of Science

  70. Low interface state densities at Al<sub>2</sub>O<sub>3</sub>/GaN interfaces formed on vicinal polar and non-polar surfaces

    Ando, Y; Nagamatsu, K; Deki, M; Taoka, N; Tanaka, A; Nitta, S; Honda, Y; Nakamura, T; Amano, H

    APPLIED PHYSICS LETTERS   117 巻 ( 10 )   2020年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/5.0010774

    Web of Science

  71. Thermodynamic analysis of the gas phase reaction of Mg-doped GaN growth by HVPE using MgO

    Kimura, T; Ohnishi, K; Amano, Y; Fujimoto, N; Araidai, M; Nitta, S; Honda, Y; Amano, H; Kangawa, Y; Shiraishi, K

    JAPANESE JOURNAL OF APPLIED PHYSICS   59 巻 ( 8 )   2020年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/aba0d5

    Web of Science

  72. Change of high-voltage conduction mechanism in vertical GaN-on-GaN Schottky diodes at elevated temperatures 国際誌

    Sandupatla, A; Arulkumaran, S; Ng, GI; Ranjan, K; Deki, M; Nitta, S; Honda, Y; Amano, H

    APPLIED PHYSICS EXPRESS   13 巻 ( 7 ) 頁: .   2020年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1882-0786/ab93a0

    Web of Science

  73. Halide vapor phase epitaxy of p-type Mg-doped GaN utilizing MgO 国際誌

    Ohnishi, K; Amano, Y; Fujimoto, N; Nitta, S; Honda, Y; Amano, H

    APPLIED PHYSICS EXPRESS   13 巻 ( 6 ) 頁: .   2020年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1882-0786/ab9166

    Web of Science

  74. Lattice bow in thick, homoepitaxial GaN layers for vertical power devices

    Liu, Q; Fujimoto, N; Shen, J; Nitta, S; Tanaka, A; Honda, Y; Sitar, Z; Bockowski, M; Kumagai, Y; Amano, H

    JOURNAL OF CRYSTAL GROWTH   539 巻   頁: .   2020年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  75. Impact of high-temperature implantation of Mg ions into GaN 国際誌

    Takahashi, M; Tanaka, A; Ando, Y; Watanabe, H; Deki, M; Kushimoto, M; Nitta, S; Honda, Y; Shima, K; Kojima, K; Chichibu, SF; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   59 巻 ( 5 ) 頁: .   2020年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  76. Suppression of Green Luminescence of Mg-Ion-Implanted GaN by Subsequent Implantation of Fluorine Ions at High Temperature

    Takahashi, M; Tanaka, A; Ando, Y; Watanabe, H; Deki, M; Kushimoto, M; Nitta, S; Honda, Y; Shima, K; Kojima, K; Chichibu, SF; Chen, KJ; Amano, H

    PHYSICA STATUS SOLIDI B-BASIC SOLID STATE PHYSICS   257 巻 ( 4 )   2020年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssb.201900554

    Web of Science

  77. Simultaneous Growth of Multi-Color Micro LEDs Based on Super Thin Micro-Platelets with Various Surface Areas

    Cai Wentao, Kushimoto Maki, Manato Deki, Tanaka Atsushi, Nitta Shugo, Honda Yoshio, Amano Hiroshi

    JSAP Annual Meetings Extended Abstracts   2020.1 巻 ( 0 ) 頁: 3111 - 3111   2020年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:The Japan Society of Applied Physics  

    DOI: 10.11470/jsapmeeting.2020.1.0_3111

    CiNii Research

  78. Visualization of different carrier concentrations in <i>n</i>-type-GaN semiconductors by phase-shifting electron holography with multiple electron biprisms

    Yamamoto, K; Nakano, K; Tanaka, A; Honda, Y; Ando, Y; Ogura, M; Matsumoto, M; Anada, S; Ishikawa, Y; Amano, H; Hirayama, T

    MICROSCOPY   69 巻 ( 1 ) 頁: 1 - 10   2020年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1093/jmicro/dfz037

    Web of Science

    PubMed

  79. Recovery of quantum efficiency on Cs/O-activated GaN and GaAs photocathodes by thermal annealing in vacuum

    Sato, D; Nishitani, T; Honda, Y; Amano, H

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B   38 巻 ( 1 )   2020年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1116/1.5120417

    Web of Science

  80. マクロステップを持つ<i>c</i>面AlN/サファイアテンプレート上に成長させたAlGaN量子井戸の物性評価

    小島 一信, 長澤 陽祐, 平野 光, 一本松 正道, 杉江 隆一, 本田 善央, 天野 浩, 赤﨑 勇, 秩父 重英

    日本結晶成長学会誌   47 巻 ( 3 ) 頁: n/a   2020年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:日本結晶成長学会  

    <p>  The microscopic structural and optical characteristics of AlGaN light-emitting diodes (LEDs) fabricated on the AlN templates with dense macrosteps are shown to clarify the origin of their high internal quantum efficiency of radiation (IQE). The cross-sectional transmission electron microscopy observations under the high-angle annular dark field scanning mode and microscopic energy dispersive X-ray spectroscopy revealed that the AlGaN cladding layer under the AlGaN quantum well (QW) layer had a microscopic compositional modulation, which originates from the macrosteps at the AlN template surface. Moreover, Ga-rich portions in the cladding layer behaved as current micropaths, and the micropaths are connected with the carrier localization structure formed in QWs. The in-plane cathodoluminescence (CL) spectroscopy showed a significant inhomogeneity of the CL characteristics. The gentle slopes at the sample surface showed brighter emissions with a lower peak photon energy, confirming the carrier localization. This carrier localization structure in the QWs combined with the current micropaths in the cladding layer can increase the IQE as well as external quantum efficiency of the AlGaN LEDs.</p>

    DOI: 10.19009/jjacg.47-3-04

    CiNii Research

  81. Dielectric Ruduced Surface Field Effect on Vertical GaN-on-GaN Nanowire Schottky Barrier Diodes

    Liao, YQ; Chen, T; Wang, J; Ando, Y; Yang, X; Watanabe, H; Hirotani, J; Kushimoto, M; Deki, M; Tanaka, A; Nitta, S; Honda, Y; Chen, KJ; Amano, H

    PROCEEDINGS OF THE 2020 32ND INTERNATIONAL SYMPOSIUM ON POWER SEMICONDUCTOR DEVICES AND ICS (ISPSD 2020)     頁: 349 - 352   2020年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/ispsd46842.2020.9170101

    Web of Science

  82. Two-dimensional analysis of the nonuniform quantum yields of multiple quantum wells for AlGaN-based deep-ultraviolet LEDs grown on AlN templates with dense macrosteps using cathodoluminescence spectroscopy

    Nagasawa, Y; Sugie, R; Kojima, K; Hirano, A; Ippommatsu, M; Honda, Y; Amano, H; Akasaki, I; Chichibu, SF

    JOURNAL OF APPLIED PHYSICS   126 巻 ( 21 )   2019年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.5125623

    Web of Science

  83. Direct evidence of Mg diffusion through threading mixed dislocations in GaN p-n diodes and its effect on reverse leakage current 国際誌

    Usami, S; Mayama, N; Toda, K; Tanaka, A; Deki, M; Nitta, S; Honda, Y; Amano, H

    APPLIED PHYSICS LETTERS   114 巻 ( 23 )   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.5097767

    Web of Science

  84. Correlation between nanopipes formed from screw dislocations during homoepitaxial growth by metal-organic vapor-phase epitaxy and reverse leakage current in vertical p-n diodes on a free-standing GaN substrates 国際誌

    Usami, S; Tanaka, A; Fukushima, H; Ando, Y; Deki, M; Nitta, S; Honda, Y; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/ab1250

    Web of Science

  85. Effect of photoelectrochemical etching and post-metallization annealing on gate controllability of AlGaN/GaN high electron mobility transistors 国際誌

    Uemura, K; Deki, M; Honda, Y; Amano, H; Sato, T

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/ab06b9

    Web of Science

  86. Deeply and vertically etched butte structure of vertical GaN p-n diode with avalanche capability 国際誌

    Fukushima, H; Usami, S; Ogura, M; Ando, Y; Tanaka, A; Deki, M; Kushimoto, M; Nitta, S; Honda, Y; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/ab106c

    Web of Science

  87. GaN薄膜における貫通転位およびナノパイプm壁面の第一原理計算に基づく電子状態解析

    中野 崇志, 長川 健太, 洗平 昌晃, 白石 賢二, 押山 淳, 宇佐美 茂佳, 草場 彰, 寒川 義裕, 田中 敦之, 本田 善央, 天野 浩

    応用物理学会学術講演会講演予稿集   2019.1 巻 ( 0 ) 頁: 3122 - 3122   2019年2月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2019.1.0_3122

    CiNii Research

  88. Electronic structure analysis of core structures of threading dislocations in GaN

    Nakano, T; Chokawa, K; Araidai, M; Shiraishi, K; Oshiyama, A; Kusaba, A; Kangawa, Y; Tanaka, A; Honda, Y; Amano, H

    2019 COMPOUND SEMICONDUCTOR WEEK (CSW)     頁: .   2019年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/iciprm.2019.8819270

    Web of Science

  89. 昇温脱離法によるInGaN表面上のCs層の解析

    鹿島 将央, 佐藤 大樹, 小泉 淳, 西谷 智博, 本田 善央, 天野 浩, 飯島 北斗, 目黒 多加志

    応用物理学会学術講演会講演予稿集   2018.1 巻 ( 0 ) 頁: 1670 - 1670   2018年3月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2018.1.0_1670

    CiNii Research

  90. Electrical and Thermal Analysis of Vertical GaN-on-GaN PN Diodes

    Yates, L; Pavlidis, G; Graham, S; Usami, S; Nagamatsu, K; Honda, Y; Amano, H

    PROCEEDINGS OF THE 17TH IEEE INTERSOCIETY CONFERENCE ON THERMAL AND THERMOMECHANICAL PHENOMENA IN ELECTRONIC SYSTEMS (ITHERM 2018)     頁: 831 - 837   2018年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  91. NEA-InGaNフォトカソードの量子効率に対する熱処理の効果

    鹿島 将央, 飯島 北斗, 西谷 智博, 佐藤 大樹, 本田 善央, 天野 浩, 目黒 多加志

    応用物理学会学術講演会講演予稿集   2017.1 巻 ( 0 ) 頁: 1554 - 1554   2017年3月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2017.1.0_1554

    CiNii Research

  92. フッ素系樹脂の深紫外発光ダイオード用封止樹脂としての耐久性とその劣化機構

    長澤 陽祐, 山田 貴穂, 永井 祥子, 平野 光, 一本松 正道, 青崎 耕, 本田 善央, 天野 浩, 赤﨑 勇

    応用物理学会学術講演会講演予稿集   2017.1 巻 ( 0 ) 頁: 1136 - 1136   2017年3月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2017.1.0_1136

    CiNii Research

  93. 窒化ホウ素を用いたGaN-MISキャパシタの作製と電気特性評価

    松下 淳矢, 永松 謙太郎, Yang Xu, 田中 敦之, 久志本 真希, 出来 真斗, 新田 州吾, 本田 善央, 天野 浩

    応用物理学会学術講演会講演予稿集   2016.2 巻 ( 0 ) 頁: 2888 - 2888   2016年9月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2016.2.0_2888

    CiNii Research

  94. GaN自立基板上PINダイオードにおける順方向発光パターン解析

    宇佐美 茂佳, 安藤 悠人, 田中 敦之, 永松 謙太郎, 久志本 真希, 出来 真斗, 新田 州吾, 本田 善央, 天野 浩

    応用物理学会学術講演会講演予稿集   2016.2 巻 ( 0 ) 頁: 2867 - 2867   2016年9月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2016.2.0_2867

    CiNii Research

  95. Evaluation of excess In during metal organic vapor-phase epitaxy growth of InGaN by monitoring via in situ laser scattering 査読有り

    Honda Yoshio

    Japanese Journal of Applied Physics   55 巻   2016年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    CiNii Research

  96. Growth of semipolar (1-101) high-indium-content InGaN quantum wells using InGaN tilting layer on Si(001) 査読有り

    Honda Yoshio

    Japanese Journal of Applied Physics   55 巻   2016年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    CiNii Research

  97. HVPE and VLS-HVPE synthesis of vertical and horizontal GaN nanowires

    Lekhal Kaddour, Mitsunari Tadashi, Honda Yoshio, Amano Hiroshi

    JSAP Annual Meetings Extended Abstracts   2014.2 巻 ( 0 ) 頁: 1745 - 1745   2014年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:The Japan Society of Applied Physics  

    DOI: 10.11470/jsapmeeting.2014.2.0_1745

    CiNii Research

  98. Characteristics of <i>a</i>-plane GaN films grown on optimized silicon-dioxide-patterned <i>r</i>-plane sapphire substrates

    Son, JS; Honda, Y; Yamaguchi, M; Amano, H; Baik, KH; Seo, YG; Hwang, SM

    THIN SOLID FILMS   546 巻   頁: 108 - 113   2013年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.tsf.2013.02.048

    Web of Science

  99. Effects of exciton localization on internal quantum efficiency of InGaN nanowires

    Murotani, H; Yamada, Y; Tabata, T; Honda, Y; Yamaguchi, M; Amano, H

    JOURNAL OF APPLIED PHYSICS   114 巻 ( 15 )   2013年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4825124

    Web of Science

  100. Fabrication of InGaN/GaN Multiple Quantum Wells on (1(1)over-bar01) GaN

    Tanikawa, T; Sano, T; Kushimoto, M; Honda, Y; Yamaguchi, M; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   52 巻 ( 8 )   2013年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.52.08JC05

    Web of Science

  101. Thick InGaN Growth by Metal Organic Vapor Phase Epitaxy with Sputtered InGaN Buffer Layer

    Ohata, T; Honda, Y; Yamaguchi, M; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   52 巻 ( 8 )   2013年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.52.08JB11

    Web of Science

  102. Strain-Compensated Effect on the Growth of InGaN/AlGaN Multi-Quantum Well by Metalorganic Vapor Phase Epitaxy

    Doi, T; Honda, Y; Yamaguchi, M; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   52 巻 ( 8 )   2013年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.52.08JB14

    Web of Science

  103. Stacking Faults and Luminescence Property of InGaN Nanowires

    Tabata, T; Paek, J; Honda, Y; Yamaguchi, M; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   52 巻 ( 8 )   2013年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.52.08JE06

    Web of Science

  104. High Internal Quantum Efficiency Blue-Green Light-Emitting Diode with Small Efficiency Droop Fabricated on Low Dislocation Density GaN Substrate

    Sano, T; Doi, T; Inada, SA; Sugiyama, T; Honda, Y; Amano, H; Yoshino, T

    JAPANESE JOURNAL OF APPLIED PHYSICS   52 巻 ( 8 )   2013年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.52.08JK09

    Web of Science

  105. Growth of GaN on Si(111) Substrates via a Reactive-Sputter-Deposited AlN Intermediate Layer

    Yamada, T; Tanikawa, T; Honda, Y; Yamaguchi, M; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   52 巻 ( 8 )   2013年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.52.08JB16

    Web of Science

  106. GaN Nanowires Grown on a Graphite Substrate by Radio Frequency Molecular Beam Epitaxy

    Nakagawa, S; Tabata, T; Honda, Y; Yamaguchi, M; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   52 巻 ( 8 )   2013年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.52.08JE07

    Web of Science

  107. Effects of Nano- and Microscale SiO<sub>2</sub> Masks on the Growth of <i>a</i>-Plane GaN Layers on <i>r</i>-Plane Sapphire

    Son, JS; Miao, C; Honda, Y; Yamaguchi, M; Amano, H; Seo, YG; Hwang, SM; Baik, KH

    JAPANESE JOURNAL OF APPLIED PHYSICS   52 巻 ( 8 )   2013年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.52.08JC04

    Web of Science

  108. Growth Mode and Threading Dislocation Behavior of GaN Films Grown on Patterned Sapphire Substrate with Radial Stripe Pattern

    Okuno, K; Oshio, T; Shibata, N; Honda, Y; Yamaguchi, M; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   52 巻 ( 8 )   2013年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.52.08JB09

    Web of Science

  109. Emission Wavelength Dependence of Internal Quantum Efficiency in InGaN Nanowires

    Murotani, H; Andoh, H; Tsukamoto, T; Sugiura, T; Yamada, Y; Tabata, T; Honda, Y; Yamaguchi, M; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   52 巻 ( 8 )   2013年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.52.08JE10

    Web of Science

  110. I-1-2 高密度ラジカル源を用いた分子線エピタキシー法によるIII族窒化物エピタキシャル成長(窒化物半導体デバイスの精密加工プロセス-窒化物LEDに関わる先端デバイスプロセシング-,口頭発表)

    河合 洋次郎, 本田 善央, 山口 雅史, 天野 浩, 近藤 博基, 平松 美根男, 加納 浩之, 山川 晃司, 田 昭治, 堀 勝

    IIP情報・知能・精密機器部門講演会講演論文集   2013 巻 ( 0 ) 頁: 5 - 7   2013年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人 日本機械学会  

    Although plasma-assisted molecular beam epitaxy(PA-MBE) is a promising technique for GaN growth,the growth rate obtained by this technique is lower than that obtained by metal organic vapor phase epitaxy(MOVPE).In order to improve the growth rate of the technique,high density radical source(HDRS) was developed.By vacuum ultraviolet absorption spectroscopy(VUVAS) measurement,two orders of magnitude higher radical density was confirmed in a comparison of the HDRS and a conventional radical source(CRS).While faster growth rate of 1.4μm/h in GaN homoepitaxy was achieved,better crystalline quality of InxGa_<(1-x)>N(x=0.03〜0.16) epilayers with approximately 1.4μm thickness were also achieved by introducing the HDRS in PA-MBE.

    DOI: 10.1299/jsmeiip.2013.5

    CiNii Research

  111. Si基板上半極性面(1-101)GdNストライプ上InGaN/GaN多重量子井戸構造の偏光特性(Siウェハ上結晶成長・評価・デバイス応用,結晶成長,評価及びデバイス(化合物,Si,SiGe,電子・光材料))

    久志本 真希, 谷川 智之, 本田 善央, 山口 雅史, 天野 浩

    電子情報通信学会技術研究報告. CPM, 電子部品・材料   112 巻 ( 33 ) 頁: 15 - 18   2012年5月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    MOVPE法により作製した加工Si基板上半極性面(1-101)GaNストライプ上に室温発光波長435nm〜590nm、井戸幅2nm〜9nmのInGaN/GaN多重量子井戸構造(MQW)を作製し、偏光特性を測定した。表面からの発光の偏光特性を測定したところ、発光波長が短波長で量子井戸幅が薄い場合、発光の偏光方向はc軸と垂直であった。一方発光波長が長くなる、あるいは井戸幅が厚くなるほど、偏光が平行方向へシフトしていくことが明らかとなった。また、発光波長が短波長である試料の端面(11-22)からの発光特性を測定したところ、ナローイングが観測されるとともに、井戸幅が広くなるにつれてc軸と平行な偏光成分の増加が観測された。このことから、井戸幅とIn組成の制御により偏光の制御が可能であり、任意のLD構造設計への応用が期待される。

    CiNii Research

  112. Strain relaxation in thick ($1{\bar {1}}01$) InGaN grown on GaN/Si substrate

    Tanikawa, T; Honda, Y; Yamaguchi, M; Amano, H; Sawaki, N

    PHYSICA STATUS SOLIDI B-BASIC SOLID STATE PHYSICS   249 巻 ( 3 ) 頁: 468 - 471   2012年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssb.201100445

    Web of Science

  113. Enhancement of two-dimensional electron gases in AlGaN-channel high-electron-mobility transistors with AlN barrier layers

    Hashimoto, S; Akita, K; Yamamoto, Y; Ueno, M; Nakamura, T; Takeda, K; Iwaya, M; Honda, Y; Amano, H

    PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE   209 巻 ( 3 ) 頁: 501 - 504   2012年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssa.201100379

    Web of Science

  114. Impurity incorporation in semipolar (1-1 0 1) GaN grown on an Si substrate

    Sawaki, N; Hagiwara, K; Hikosaka, T; Honda, Y

    SEMICONDUCTOR SCIENCE AND TECHNOLOGY   27 巻 ( 2 )   2012年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/0268-1242/27/2/024006

    Web of Science

  115. Si基板上半極性窒化物半導体の結晶成長(<特集>次世代素子のための窒化物結晶成長新機軸)

    本田 善央

    日本結晶成長学会誌   38 巻 ( 4 ) 頁: 241 - 248   2012年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:日本結晶成長学会  

    加工Si基板へ有機金属気相成長法選択成長を試み半極性(1-101)GaN,(11-22)GaNを作製した.半極性面における光学的特性,電気的特性及び不純物濃度等の特性について各結晶面での評価を行った.さらに,半極性GaN上へヘテロ成長したInGaNのIn取り込み及び転位導入過程を,(1-101)GaN面を中心に評価を行ったので本報にて報告する.

    DOI: 10.19009/jjacg.38.4_241

    CiNii Research

  116. Improvement of Light Extraction Efficiency for AlGaN-Based Deep Ultraviolet Light-Emitting Diodes

    Inazu, T; Fukahori, S; Pernot, C; Kim, MH; Fujita, T; Nagasawa, Y; Hirano, A; Ippommatsu, M; Iwaya, M; Takeuchi, T; Kamiyama, S; Yamaguchi, M; Honda, Y; Amano, H; Akasaki, I

    JAPANESE JOURNAL OF APPLIED PHYSICS   50 巻 ( 12 )   2011年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.50.122101

    Web of Science

  117. AlGaN-Based Deep Ultraviolet Light-Emitting Diodes Fabricated on Patterned Sapphire Substrates

    Kim, M; Fujita, T; Fukahori, S; Inazu, T; Pernot, C; Nagasawa, Y; Hirano, A; Ippommatsu, M; Iwaya, M; Takeuchi, T; Kamiyama, S; Yamaguchi, M; Honda, Y; Amano, H; Akasaki, I

    APPLIED PHYSICS EXPRESS   4 巻 ( 9 )   2011年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/APEX.4.092102

    Web of Science

  118. Effect of lateral vapor phase diffusion during the selective growth of InGaN/GaN MQW on semipolar and nonpolar GaN stripes

    Tanikawa, T; Honda, Y; Yamaguchi, M; Amano, H

    PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE   208 巻 ( 5 ) 頁: 1175 - 1178   2011年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssa.201000907

    Web of Science

  119. Optical properties of (1 (1)over-bar 0 1) semi-polar InGaN/GaN multiple quantum wells grown on patterned silicon substrates

    Chiu, CH; Lin, DW; Lin, CC; Li, ZY; Chen, YC; Ling, SC; Kuo, HC; Lu, TC; Wang, SC; Liao, WT; Tanikawa, T; Honda, Y; Yamaguchi, M; Sawaki, N

    JOURNAL OF CRYSTAL GROWTH   318 巻 ( 1 ) 頁: 500 - 504   2011年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2010.10.054

    Web of Science

  120. Reduction of Efficiency Droop in Semipolar (1(1)over-bar01) InGaN/GaN Light Emitting Diodes Grown on Patterned Silicon Substrates (vol 4, 012105, 2011)

    Chiu, CH; Lin, DW; Lin, CC; Li, ZY; Chang, WT; Hsu, HW; Kuo, HC; Lu, TC; Wang, SC; Liao, WT; Tanikawa, T; Honda, Y; Yamaguchi, M; Sawaki, N

    APPLIED PHYSICS EXPRESS   4 巻 ( 3 )   2011年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/APEX.4.039201

    Web of Science

  121. Drastic Reduction of Dislocation Density in Semipolar (11(2)over-bar2) GaN Stripe Crystal on Si Substrate by Dual Selective Metal-Organic Vapor Phase Epitaxy

    Murase, T; Tanikawa, T; Honda, Y; Yamaguchi, M; Amano, H; Sawaki, N

    JAPANESE JOURNAL OF APPLIED PHYSICS   50 巻 ( 1 )   2011年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.50.01AD04

    Web of Science

  122. Semi-polar GaN LEDs on Si substrate

    Sawaki, N; Honda, Y

    SCIENCE CHINA-TECHNOLOGICAL SCIENCES   54 巻 ( 1 ) 頁: 38 - 41   2011年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1007/s11431-010-4182-2

    Web of Science

  123. Reduction of Efficiency Droop in Semipolar (1(1)over-bar01) InGaN/GaN Light Emitting Diodes Grown on Patterned Silicon Substrates

    Chiu, CH; Lin, DW; Lin, CC; Li, ZY; Chang, WT; Hsu, HW; Kuo, HC; Lu, TC; Wang, SC; Liao, WT; Tanikawa, T; Honda, Y; Yamaguchi, M; Sawaki, N

    APPLIED PHYSICS EXPRESS   4 巻 ( 1 )   2011年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/APEX.4.012105

    Web of Science

  124. Raman Spectroscopic Study Of Residual Strain In (1-101) GaN And (0001) GaN Layers Grown On Si Substrate

    Sugiura, T; Kim, EH; Honda, Y; Takagi, H; Tsukamoto, T; Andoh, H; Yamaguchi, M; Sawaki, N

    PHYSICS OF SEMICONDUCTORS: 30TH INTERNATIONAL CONFERENCE ON THE PHYSICS OF SEMICONDUCTORS   1399 巻   2011年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.3666474

    Web of Science

  125. Achieving high-growth-rate in GaN homoepitaxy using high-density nitrogen radical source 査読有り

    Kawai Yohjiro, Chen Shang, Honda Yoshio, Yamaguchi Masahito, Amano Hiroshi, Kondo Hiroki, Hiramatsu Mineo, Kano Hiroyuki, Yamakawa Koji, Den Shoji, Hori Masaru

    PHYSICA STATUS SOLIDI C: CURRENT TOPICS IN SOLID STATE PHYSICS, VOL 8, NO 7-8   8 巻 ( 7-8 )   2011年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssc.201000969

    Web of Science

  126. ラマン分光法を用いたCaN/Siの残留歪みの評価に関する研究

    杉浦 藤虎, 本田 善央, 岡本 明大, 高木 宏幸, 塚本 武彦, 安藤 浩哉

    豊田工業高等専門学校研究紀要   42 巻 ( 0 ) 頁: 19 - 22   2010年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:独立行政法人 国立高等専門学校機構豊田工業高等専門学校  

    We have studied the residual strain and stress in semi-polar (1-101)GaN and conventional (0001)GaN grown on Si by means of Raman spectroscopy. By adopting the stress coefficients reported in the references, the residual strain of samples were deduced from the frequency shifts of the phonon modes in Raman spectra. As the results, it was found that the strain tensor of (1-101) GaN/Si was smaller than that of the (0001)GaN/Si in good agreement with the results obtained from the X-ray diffraction measurements.

    DOI: 10.20692/toyotakosenkiyo.kj00005889042

    CiNii Research

  127. Influence of a SiO<sub>2</sub> Mask on the Growth of Semi-Polar (11-22) GaN on Patterned Si (311) Substrates

    Yang, M; Ahn, HS; Tanikawa, T; Honda, Y; Yamaguchi, M; Sawaki, N

    JOURNAL OF THE KOREAN PHYSICAL SOCIETY   54 巻 ( 6 ) 頁: 2363 - 2366   2009年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3938/jkps.54.2363

    Web of Science

  128. Growth and properties of semi-polar GaN on a patterned silicon substrate

    Sawaki, N; Hikosaka, T; Koide, N; Tanaka, S; Honda, Y; Yamaguchi, M

    JOURNAL OF CRYSTAL GROWTH   311 巻 ( 10 ) 頁: 2867 - 2874   2009年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2009.01.032

    Web of Science

  129. Maskless selective growth of semi-polar (11(2)over-bar2) GaN on Si (311) substrate by metal organic vapor phase epitaxy

    Yang, M; Ahn, HS; Tanikawa, T; Honda, Y; Yamaguchi, M; Sawaki, N

    JOURNAL OF CRYSTAL GROWTH   311 巻 ( 10 ) 頁: 2914 - 2918   2009年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2009.01.064

    Web of Science

  130. Scattering times in the two-dimensional electron gas of Al<i><sub>x</sub></i>Ga<sub>1-<i>x</i></sub>N/AlN/GaN heterostructures

    Han, XX; Honda, Y; Narita, T; Yamaguchi, M; Sawaki, N; Tanaka, T; Guo, QX; Nishio, M

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   42 巻 ( 4 )   2009年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/0022-3727/42/4/045112

    Web of Science

  131. Electron-beam-induced-current investigation of GaN/AlGaN/Si heterostructures using scanning transmission electron microscopy

    TANAKA Shigeyasu, AOYAMA Kentaro, ICHIHASHI Mikio, ARAI Shigeo, HONDA Yoshio, SAWAKI Nobuhiko

    Journal of electron microscopy   56 巻 ( 4 ) 頁: 141 - 144   2007年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    CiNii Research

  132. Electron-beam-induced-current investigation of GaN/AlGaN/Si heterostructures using scanning transmission electron microscopy

    Tanaka, S; Aoyama, K; Ichihashi, M; Arai, S; Honda, Y; Sawaki, N

    JOURNAL OF ELECTRON MICROSCOPY   56 巻 ( 4 ) 頁: 141 - 144   2007年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1093/jmicro/dfm016

    Web of Science

    PubMed

  133. Application of electron holography to the determination of contact potential difference in an AlGaN/AlN/Si heterostructure

    TANAKA Shigeyasu, NAITO Akiyuki, HONDA Yoshio, SAWAKI Nobuhiko, ICHIHASHI Mikio

    Journal of electron microscopy   56 巻 ( 2 ) 頁: 37 - 42   2007年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    CiNii Research

  134. Application of electron holography to the determination of contact potential difference in an AIGaN/AIN/Si heterostructure

    Tanaka, S; Naito, A; Honda, Y; Sawaki, N; Ichihashi, M

    JOURNAL OF ELECTRON MICROSCOPY   56 巻 ( 2 ) 頁: 37 - 42   2007年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1093/jmicro/dfm013

    Web of Science

    PubMed

  135. Transport properties of the two-dimensional electron gas in Al<i><sub>x</sub></i>Ga<sub>1-<i>x</i></sub>N/GaN heterostructures

    Han, XX; Honda, Y; Narita, T; Yamaguchi, M; Sawaki, N

    JOURNAL OF PHYSICS-CONDENSED MATTER   19 巻 ( 4 )   2007年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/0953-8984/19/4/046204

    Web of Science

  136. Mg doping in (1(1)over-bar01)GaN grown on a 7° off-axis (001)Si substrate by selective MOVPE

    Hikosaka, T; Koide, N; Honda, Y; Yamaguchi, M; Sawaki, N

    JOURNAL OF CRYSTAL GROWTH   298 巻   頁: 207 - 210   2007年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2006.10.229

    Web of Science

  137. Carbon incorporation on (1101) facet of AlGaN in metal organic vapor phase epitaxy

    Koide, N; Hikosaka, T; Honda, Y; Yamaguchi, M; Sawaki, N

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   45 巻 ( 10A ) 頁: 7655 - 7660   2006年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.45.7655

    Web of Science

  138. The surface diffusion of Ga on an AlGaN/GaN stripe structure in the selective MOVPE

    Narita, T; Honda, Y; Yamaguchi, M; Sawaki, N

    PHYSICA STATUS SOLIDI B-BASIC SOLID STATE PHYSICS   243 巻 ( 7 ) 頁: 1665 - 1668   2006年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssb.200565115

    Web of Science

  139. Series resistance in n-GaN/AIN/n-Si heterojunction structure

    Kondo, H; Koide, N; Honda, Y; Yamaguchi, M; Sawaki, N

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   45 巻 ( 5A ) 頁: 4015 - 4017   2006年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.45.4015

    Web of Science

  140. (001)Si傾斜基板上(1-101)GaNへのSiおよびCドーピング(結晶成長, 評価技術及びデバイス(化合物, Si, SiGe, その他電子材料))

    彦坂 年輝, 小出 典克, 本田 善央, 山口 雅史, 澤木 宣彦

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス   105 巻 ( 94 ) 頁: 69 - 74   2005年5月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    (001)Si傾斜基板上への常圧選択MOVPE成長法により、(1-101)面を表面とするGaNが作製できる。この方法で得られるGaN(1-101)面は窒素面となり、ガリウムを最表面とする(0001)面とは、成長モードや不純物の取り込みが異なると予想される。実際、この(1-101)GaNはノンドーピングでp型伝導性を示した。この試料にSiドーピングを行った結果、p型伝導からn型伝導へと変化した。一方、Cドーピングでは試料はすべてp型伝導を示し、ドーピングによる正孔濃度の増加が確認された。これは(1-101)面においてSiはドナー不純物、Cはアクセプター不純物として働くことを示唆しており、p型伝導はCの窒素原子との置換によるものであると考えられる。

    CiNii Research

  141. [Congenital middle ear cholesteatoma: experience in 48 cases]. 査読有り

    Kojima H, Miyazaki H, Tanaka Y, Shiwa M, Honda Y, Moriyama H

    Nihon Jibiinkoka Gakkai kaiho   106 巻 ( 9 ) 頁: 856 - 65   2003年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3950/jibiinkoka.106.856

    PubMed

  142. Selective Growth of GaN/AlGaN Microstructures by Metalorganic Vapor Phase Epitaxy.

    Kato Tomonobu, Honda Yoshio, Kawaguchi Yasutoshi, Yamaguchi Masahito, Sawaki Nobuhiko

    Japanese Journal of Applied Physics   40 巻 ( 3B ) 頁: 1896 - 1898   2001年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:The Japan Society of Applied Physics  

    A GaN/AlGaN double heterostructure was fabricated on the (1101) facets of a GaN triangular structure prepared by selective area growth by metalorganic vapor phase epitaxy. The photoluminescence (PL) and cathode luminescence (CL) spectra were investigated to characterize the structure. It was found that the PL peak wavelength due to the GaN quantum well is dependent on the well thickness. However, the Al composition of the AlGaN layer is dependent on the position on the (1101) facet. The anomalous gradient of the composition is attributed to the difference in the diffusion coefficients of Al and Ga on the surface.

    DOI: 10.1143/jjap.40.1896

    CiNii Research

  143. Selective Area Growth of GaN on Si Substrate Using SiO<sub> 2</sub> Mask by Metalorganic Vapor Phase Epitaxy

      37 巻 ( 8B ) 頁: L966 - L969   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:社団法人応用物理学会  

    Selective area growth (SAG) of GaN on (111) Si substrate was studied using AlGaN as an intermediate layer. A hexagonal dot with a (0001) plane on the top and of a 5 µm or a submicron size was obtained using a patterned dot structure of silicon dioxide (SiO<SUB>2</SUB>) mask. The facet structure revealed that the <11\=20> axis of hexagonal GaN is parallel to the <110> axis of the Si substrate. The cathodoluminescence (CL) spectrum at 133 K exhibited a strong near-band-edge emission band for the submicron dots, which suggests excellent crystallinity. Epitaxial lateral overgrowth (ELO) of GaN on the Si substrate is demonstrated.

    DOI: 10.1143/jjap.37.l966

    CiNii Research

  144. Pulsed-flow growth of polar, semipolar and nonpolar AlGaN

    Dinh, DV; Hu, N; Honda, Y; Amano, H; Pristovsek, M

    JOURNAL OF MATERIALS CHEMISTRY C   8 巻 ( 25 ) 頁: 8668 - 8675   2020年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1039/d0tc01369b

    Web of Science

  145. Change of high-voltage conduction mechanism in vertical GaN-on-GaN Schottky diodes at elevated temperatures 査読有り

    Sandupatla Abhinay, Arulkumaran Subramaniam, Ng Geok Ing, Ranjan Kumud, Deki Manato, Nitta Shugo, Honda Yoshio, Amano Hiroshi

    APPLIED PHYSICS EXPRESS   13 巻 ( 7 ) 頁: .   2020年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  146. Effect of Annealing on the Electrical and Optical Properties of MgZnO Films Deposited by Radio Frequency Magnetron Sputtering

    Kushimoto, M; Sakai, T; Ueoka, Y; Tomai, S; Katsumata, S; Deki, M; Honda, Y; Amano, H

    PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE   217 巻 ( 14 )   2020年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssa.201900955

    Web of Science

  147. Halide vapor phase epitaxy of p-type Mg-doped GaN utilizing MgO 査読有り

    Ohnishi Kazuki, Amano Yuki, Fujimoto Naoki, Nitta Shugo, Honda Yoshio, Amano Hiroshi

    APPLIED PHYSICS EXPRESS   13 巻 ( 6 ) 頁: .   2020年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  148. Lattice bow in thick, homoepitaxial GaN layers for vertical power devices 査読有り

    Liu Qiang, Fujimoto Naoki, Shen Jian, Nitta Shugo, Tanaka Atsushi, Honda Yoshio, Sitar Zlatko, Bockowski Michal, Kumagai Yoshinao, Amano Hiroshi

    JOURNAL OF CRYSTAL GROWTH   539 巻   頁: .   2020年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  149. Demonstration of Observation of Dislocations in GaN by Novel Birefringence Method

    Tanaka, A; Inotsume, S; Harada, S; Hanada, K; Honda, Y; Ujihara, T; Amano, H

    PHYSICA STATUS SOLIDI B-BASIC SOLID STATE PHYSICS   257 巻 ( 4 )   2020年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssb.201900553

    Web of Science

  150. On-wafer fabrication of etched-mirror UV-C laser diodes with the ALD-deposited DBR

    Sakai, T; Kushimoto, M; Zhang, ZY; Sugiyama, N; Schowalter, LJ; Honda, Y; Sasaoka, C; Amano, H

    APPLIED PHYSICS LETTERS   116 巻 ( 12 )   2020年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.5145017

    Web of Science

  151. Indium incorporation and optical properties of polar, semipolar and nonpolar InAlN

    Dinh, DV; Hu, N; Honda, Y; Amano, H; Pristovsek, M

    SEMICONDUCTOR SCIENCE AND TECHNOLOGY   35 巻 ( 3 )   2020年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6641/ab63f1

    Web of Science

  152. Optimization of InGaN thickness for high-quantum-efficiency Cs/O-activated InGaN photocathode

    Sato, D; Honda, A; Koizumi, A; Nishitani, T; Honda, Y; Amano, H

    MICROELECTRONIC ENGINEERING   223 巻   2020年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.mee.2020.111229

    Web of Science

  153. Analysis of trimethylgallium decomposition by high-resolution mass spectrometry

    Ye, Z; Nitta, S; Honda, Y; Pristovsek, M; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   59 巻 ( 2 )   2020年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/ab6fb0

    Web of Science

  154. Scalable synthesis of multilayer h-BN on AlN by metalorganic vapor phase epitaxy: nucleation and growth mechanism

    Yang, X; Nitta, S; Pristovsek, M; Liu, YH; Liao, YQ; Kushimoto, M; Honda, Y; Amano, H

    2D MATERIALS   7 巻 ( 1 )   2020年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/2053-1583/ab46e6

    Web of Science

  155. Untwinned semipolar (10(1)over-bar3) Al<i><sub>x</sub></i>Ga<sub>1-<i>x</i></sub>N layers grown on m-plane sapphire

    Dinh, DV; Hu, N; Amano, H; Honda, Y; Pristovsek, M

    SEMICONDUCTOR SCIENCE AND TECHNOLOGY   34 巻 ( 12 )   2019年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6641/ab4d2c

    Web of Science

  156. Low Voltage High-Energy α-Particle Detectors by GaN-on-GaN Schottky Diodes with Record-High Charge Collection Efficiency. 査読有り

    Sandupatla A, Arulkumaran S, Ranjan K, Ng GI, Murmu PP, Kennedy J, Nitta S, Honda Y, Deki M, Amano H

    Sensors (Basel, Switzerland)   19 巻 ( 23 )   2019年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/s19235107

    PubMed

  157. Aluminium incorporation in polar, semi- and non-polar AlGaN layers: a comparative study of x-ray diffraction and optical properties

    Dinh, DV; Hu, N; Honda, Y; Amano, H; Pristovsek, M

    SCIENTIFIC REPORTS   9 巻 ( 1 ) 頁: 15802   2019年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1038/s41598-019-52067-y

    Web of Science

    PubMed

  158. V-shaped dislocations in a GaN epitaxial layer on GaN substrate

    Tanaka, A; Nagamatsu, K; Usami, S; Kushimoto, M; Deki, M; Nitta, S; Honda, Y; Bockowski, M; Amano, H

    AIP ADVANCES   9 巻 ( 9 )   2019年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.5114866

    Web of Science

    Scopus

  159. Origin of acceptor diffusion into silicon substrate during GaN growth by metal organic chemical vapor deposition

    Matsumoto, K; Ono, T; Honda, Y; Torigoe, K; Kushimoto, M; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻 ( 7 )   2019年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/ab2657

    Web of Science

  160. Ammonia decomposition and reaction by high-resolution mass spectrometry for group III - Nitride epitaxial growth

    Ye, Z; Nitta, S; Nagamatsu, K; Fujimoto, N; Kushimoto, M; Deki, M; Tanaka, A; Honda, Y; Pristovsek, M; Amano, H

    JOURNAL OF CRYSTAL GROWTH   516 巻   頁: 63 - 66   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2019.03.025

    Web of Science

  161. Computational fluid dynamics simulation study of the gas flow balance in a vertical HVPE reactor with a showerhead for low cost bulk GaN crystal growth

    Liu, Q; Fujimoto, N; Nitta, S; Honda, Y; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/ab124e

    Web of Science

  162. Frontiers of Nitride Semiconductor Research FOREWORD

    Chichibu, SF; Kumagai, Y; Kojima, K; Deura, M; Akiyama, T; Arita, M; Fujioka, H; Fujiwara, Y; Hara, N; Hashizume, T; Hirayama, H; Holmes, M; Honda, Y; Imura, M; Ishii, R; Ishitani, Y; Iwaya, M; Kamiyama, S; Kangawa, Y; Katayama, R; Kawakami, Y; Kawamura, T; Kobayashi, A; Kuzuhara, M; Matsumoto, K; Mori, Y; Mukai, T; Murakami, H; Murotani, H; Nakazawa, S; Okada, N; Saito, Y; Sakai, A; Sekiguchi, H; Shiozaki, K; Shojiki, K; Suda, J; Takeuchi, T; Tanikawa, T; Tatebayashi, J; Tomiya, S; Yamada, Y

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/ab1411

    Web of Science

  163. Controlling the orientations of directional sputtered non- and semi-polar GaN/AlN layers

    Nan, H; Dinh, DV; Pristovsek, M; Honda, Y; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/ab1252

    Web of Science

  164. Comparison of Al<i><sub>x</sub></i>Ga<sub>1-<i>x</i></sub>N multiple quantum wells designed for 265 and 285nm deep-ultraviolet LEDs grown on AlN templates having macrosteps

    Nagasawa, Y; Kojima, K; Hirano, A; Ipponmatsu, M; Honda, Y; Amano, H; Akasaki, I; Chichibu, SF

    APPLIED PHYSICS EXPRESS   12 巻 ( 6 )   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1882-0786/ab21a9

    Web of Science

  165. Morphological study of InGaN on GaN substrate by supersaturation (vol 508, pg 58, 2019)

    Liu, ZB; Nitta, S; Robin, Y; Kushimoto, M; Deki, M; Honda, Y; Pristovsek, M; Sitar, Z; Amano, H

    JOURNAL OF CRYSTAL GROWTH   514 巻   頁: 13 - 13   2019年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2019.02.058

    Web of Science

  166. Effect of substrate misorientation on the concentration of impurities and surface morphology of an epitaxial GaN layer on N-polar GaN substrate by MOVPE

    Nagamatsu, K; Ando, Y; Kono, T; Cheong, H; Nitta, S; Honda, Y; Pristovsek, M; Amano, H

    JOURNAL OF CRYSTAL GROWTH   512 巻   頁: 78 - 83   2019年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2019.02.013

    Web of Science

    Scopus

  167. Transfer-free fabrication of a graphene transparent electrode on a GaN-based light-emitting diode using the direct precipitation method 査読有り

    Yamada Jumpei, Usami Shigeyoshi, Ueda Yuki, Honda Yoshio, Amano Hiroshi, Maruyama Takahiro, Naritsuka Shigeya

    Jpn. J. Appl. Phys.   58 巻 ( 4 )   2019年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/aafe70

  168. Effect of gas phase temperature on InGaN grown by metalorganic vapor phase epitaxy

    Liu, ZB; Nitta, S; Usami, S; Robin, Y; Kushimoto, M; Deki, M; Honda, Y; Pristovsek, M; Amano, H

    JOURNAL OF CRYSTAL GROWTH   509 巻   頁: 50 - 53   2019年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2018.12.007

    Web of Science

  169. Fully Ion Implanted Normally-Off GaN DMOSFETs with ALD-Al<sub>2</sub>O<sub>3</sub> Gate Dielectrics

    Yoshino, M; Ando, Y; Deki, M; Toyabe, T; Kuriyama, K; Honda, Y; Nishimura, T; Amano, H; Kachi, T; Nakamura, T

    MATERIALS   12 巻 ( 5 )   2019年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/ma12050689

    Web of Science

    PubMed

  170. Morphological study of InGaN on GaN substrate by supersaturation

    Liu, ZB; Nitta, S; Robin, Y; Kushimoto, M; Deki, M; Honda, Y; Pristovsek, M; Amano, H

    JOURNAL OF CRYSTAL GROWTH   508 巻   頁: 58 - 65   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2018.12.028

    Web of Science

  171. How to obtain metal-polar untwinned high-quality (10-13) GaN on m-plane sapphire

    Hu, N; Dinh, DV; Pristovsek, M; Honda, Y; Amano, H

    JOURNAL OF CRYSTAL GROWTH   507 巻   頁: 205 - 208   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2018.11.013

    Web of Science

  172. Vertical GaN p-n diode with deeply etched mesa and the capability of avalanche breakdown

    Fukushima, H; Usami, S; Ogura, M; Ando, Y; Tanaka, A; Deki, M; Kushimoto, M; Nitta, S; Honda, Y; Amano, H

    APPLIED PHYSICS EXPRESS   12 巻 ( 2 )   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    A simple structure with high breakdown voltage and a low leakage current of a vertical GaN p–n diode on a GaN free-standing substrate is demonstrated. We describe a vertical p–n diode with a simple edge termination that has a drift layer etched deeply and vertically. A device simulation revealed that the electric field was more relaxed at the device edge and applied uniformly in the entire device with increasing etching depth. We fabricated the simulated structure and succeeded in reducing the leakage current and improving the breakdown voltage. With this structure, a stable avalanche breakdown can be observed.

    DOI: 10.7567/1882-0786/aafdb9

    Web of Science

  173. Carrier localization structure combined with current micropaths in AlGaN quantum wells grown on an AIN template with macrosteps

    Kojima K, Nagasawa Y, Hirano A, Ippommatsu M, Honda Y, Amano H, Akasaki I, Chichibu S. F

    APPLIED PHYSICS LETTERS   114 巻 ( 1 )   2019年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.5063735

    Web of Science

  174. 高感度電子線ホログラフィーによるGaN系半導体のドーパント濃度分布の観察

    仲野 靖孝, 本田 善央, 天野 浩, 松本 実子, 穴田 智史, 山本 和生, 石川 由加里, 平山 司, 安藤 悠人, 小倉 昌也, 田中 敦之

    まてりあ   58 巻 ( 2 ) 頁: 103-103 - 103   2019年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 日本金属学会  

    DOI: 10.2320/materia.58.103

    CiNii Research

  175. Analysis of negative electron affinity InGaN photocathode by temperature-programed desorption method

    Kashima, M; Sato, D; Koizumi, A; Nishitani, T; Honda, Y; Amano, H; Iijima, H; Meguro, T

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B   36 巻 ( 6 )   2018年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1116/1.5048061

    Web of Science

  176. Comparing high-purity c- and m-plane GaN layers for Schottky barrier diodes grown homoepitaxially by metalorganic vapor phase epitaxy 査読有り

      57 巻 ( 10 )   2018年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.105501

    Web of Science

  177. High-temperature thermal annealing of nonpolar (10(1)over-bar0) AlN layers sputtered on (1 0(1)over-bar0) sapphire

    Dinh, DV; Hu, N; Honda, Y; Amano, H; Pristovsek, M

    JOURNAL OF CRYSTAL GROWTH   498 巻   頁: 377 - 380   2018年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2018.07.015

    Web of Science

  178. Detailed study of effects of duration of pre-AIN-growth trimethylaluminum step on morphologies of GaN layers grown on silicon substrate by metal organic chemical vapor deposition 査読有り

      57 巻 ( 9 )   2018年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.091001

    Web of Science

  179. Reduction of Residual Impurities in Homoepitaxial m-Plane (10(1)over-bar0) GaN by Using N<sub>2</sub> Carrier Gas in Metalorganic Vapor Phase Epitaxy

    Barry, OI; Lekhal, K; Bae, SY; Lee, HJ; Pristovsek, M; Honda, Y; Amano, H

    PHYSICA STATUS SOLIDI-RAPID RESEARCH LETTERS   12 巻 ( 8 )   2018年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssr.201800124

    Web of Science

  180. Improvement of breakdown voltage of vertical GaN p-n junction diode with Ga<sub>2</sub>O<sub>3</sub> passivated by sputtering

    Ueoka, Y; Deki, M; Honda, Y; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 7 )   2018年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.070302

    Web of Science

  181. Study on the Main-Chain Structure of Amorphous Fluorine Resins for Encapsulating AlGaN-Based DUV-LEDs

    Yamada, K; Nagasawa, Y; Nagai, S; Hirano, A; Ippommatsu, M; Aosaki, K; Honda, Y; Amano, H; Akasaki, I

    PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE   215 巻 ( 10 )   2018年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssa.201700525

    Web of Science

    Scopus

  182. <i>m</i>-Plane GaN Schottky Barrier Diodes Fabricated With MOVPE Layer on Several Off-Angle <i>m</i>-Plane GaN Substrates

    Tanaka, A; Ando, Y; Nagamatsu, K; Deki, M; Cheong, H; Ousmane, B; Kushimoto, M; Nitta, S; Honda, Y; Amano, H

    PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE   215 巻 ( 9 )   2018年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssa.201700645

    Web of Science

    Scopus

  183. Interface amorphization in hexagonal boron nitride films on sapphire substrate grown by metalorganic vapor phase epitaxy 査読有り

      11 巻 ( 5 )   2018年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/APEX.11.051002

    Web of Science

  184. Reduction of Dislocations in GaN on Silicon Substrate Using In Situ Etching

    Matsumoto, K; Ono, T; Honda, Y; Yamamoto, T; Usami, S; Kushimoto, M; Murakami, S; Amano, H

    PHYSICA STATUS SOLIDI B-BASIC SOLID STATE PHYSICS   255 巻 ( 5 )   2018年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssb.201700387

    Web of Science

  185. Correlation between dislocations and leakage current of p-n diodes on a free-standing GaN substrate

    Usami, S; Ando, Y; Tanaka, A; Nagamatsu, K; Deki, M; Kushimoto, M; Nitta, S; Honda, Y; Amano, H; Sugawara, Y; Yao, YZ; Ishikawa, Y

    APPLIED PHYSICS LETTERS   112 巻 ( 18 )   2018年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.5024704

    Web of Science

  186. Growth of hexagonal boron nitride on sapphire substrate by pulsed-mode metalorganic vapor phase epitaxy 国際誌

    Yang, X; Nitta, S; Nagamatsu, K; Bae, SY; Lee, HJ; Liu, YH; Pristovsek, M; Honda, Y; Amano, H

    JOURNAL OF CRYSTAL GROWTH   482 巻   頁: 1 - 8   2018年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2017.10.036

    Web of Science

  187. Theoretical Study of the Electronic Structure of Threading Edge Dislocations in GaN

    Nakano, T; Araidai, M; Shiraishi, K; Tanaka, A; Honda, Y; Amano, H

    GALLIUM NITRIDE AND SILICON CARBIDE POWER TECHNOLOGIES 8   86 巻 ( 12 ) 頁: 41 - 49   2018年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/08612.0041ecst

    Web of Science

  188. Electrical and Thermal Analysis of Vertical GaN-on-GaN PN Diodes

    Yates Luke, Pavlidis Georges, Graham Samuel, Usami Shigeyoshi, Nagamatsu Kentaro, Honda Yoshio, Amano Hiroshi

    PROCEEDINGS OF THE 17TH IEEE INTERSOCIETY CONFERENCE ON THERMAL AND THERMOMECHANICAL PHENOMENA IN ELECTRONIC SYSTEMS (ITHERM 2018)     頁: 831-837   2018年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  189. Charge-to-time converting leading-edge discriminator for plastic-scintillator signals 国際誌

      875 巻   頁: 193 - 200   2017年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.nima.2017.09.040

    Web of Science

  190. Initial leakage current paths in the vertical-type GaN-on-GaN Schottky barrier diodes 国際誌

    Sang, LW; Ren, B; Sumiya, M; Liao, MY; Koide, Y; Tanaka, A; Cho, YJ; Harada, Y; Nabatame, T; Sekiguchi, T; Usami, S; Honda, Y; Amano, H

    APPLIED PHYSICS LETTERS   111 巻 ( 12 )   2017年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4994627

    Web of Science

  191. Absolute technique for measuring internal electric fields in InGaN/GaN light-emitting diodes by electroreflectance applicable to all crystal orientations 国際誌

    Tanikawa, T; Shojiki, K; Katayama, R; Kuboya, S; Matsuoka, T; Honda, Y; Amano, H

    APPLIED PHYSICS EXPRESS   10 巻 ( 8 )   2017年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/APEX.10.082101

    Web of Science

  192. Selective-area growth of doped GaN nanorods by pulsed-mode MOCVD: Effect of Si and Mg dopants

    Bae, SY; Lekhal, K; Lee, HJ; Min, JW; Lee, DS; Honda, Y; Amano, H

    PHYSICA STATUS SOLIDI B-BASIC SOLID STATE PHYSICS   254 巻 ( 8 )   2017年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssb.201600722

    Web of Science

  193. Facet dependence of leakage current and carrier concentration in m-plane GaN Schottky barrier diode fabricated with MOVPE 国際誌

    Tanaka, A; Barry, O; Nagamatsu, K; Matsushita, J; Deki, M; Ando, Y; Kushimoto, M; Nitta, S; Honda, Y; Amano, H

    PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE   214 巻 ( 8 )   2017年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssa.201600829

    Web of Science

  194. Effect of dislocations on the growth of p-type GaN and on the characteristics of p-n diodes 国際誌

    Usami, S; Miyagoshi, R; Tanaka, A; Nagamatsu, K; Kushimoto, M; Deki, M; Nitta, S; Honda, Y; Amano, H

    PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE   214 巻 ( 8 )   2017年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssa.201600837

    Web of Science

  195. Decomposition of trimethylgallium and adduct formation in a metalorganic vapor phase epitaxy reactor analyzed by high-resolution gas monitoring system 国際誌

    Nagamatsu, K; Nitta, S; Ye, Z; Nagao, H; Miki, S; Honda, Y; Amano, H

    PHYSICA STATUS SOLIDI B-BASIC SOLID STATE PHYSICS   254 巻 ( 8 )   2017年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssb.201600737

    Web of Science

  196. A-plane GaN growth on (11-20) 4H-SiC substrate with an ultrathin interlayer 国際誌

    Sun, Z; Song, PF; Nitta, S; Honda, Y; Amano, H

    JOURNAL OF CRYSTAL GROWTH   468 巻   頁: 866 - 869   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2017.01.031

    Web of Science

  197. Orientation-controlled epitaxial lateral overgrowth of semipolar GaN on Si(001) with a directionally sputtered AlN buffer layer 国際誌

    Lee, HJ; Bae, SY; Lekhal, K; Tamura, A; Suzuki, T; Kushimoto, M; Honda, Y; Amano, H

    JOURNAL OF CRYSTAL GROWTH   468 巻   頁: 547 - 551   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2016.11.116

    Web of Science

  198. Effect of V/III ratio on the surface morphology and electrical properties of <i>m</i>-plane (10(1)over-bar0) GaN homoepitaxial layers 国際誌

    Barry, OI; Tanaka, A; Nagamatsu, K; Bae, SY; Lekhal, K; Matsushita, J; Deki, M; Nitta, S; Honda, Y; Amano, H

    JOURNAL OF CRYSTAL GROWTH   468 巻   頁: 552 - 556   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2016.12.012

    Web of Science

  199. Uneven AlGaN multiple quantum well for deep-ultraviolet LEDs grown on macrosteps and impact on electroluminescence spectral output 査読有り

      56 巻 ( 6 )   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.56.061002

    Web of Science

  200. Selective-area growth of vertically oriented GaN nanostructures with a hafnium pre-orienting layer 国際誌

      468 巻   頁: 110 - 113   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2016.10.032

    Web of Science

  201. Annealing effect on threading dislocations in a GaN grown on Si substrate 国際誌

      468 巻   頁: 835 - 838   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2017.01.001

    Web of Science

  202. III-nitride core-shell nanorod array on quartz substrates 査読有り

      7 巻   2017年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1038/srep45345

    Web of Science

  203. AlN and AlGaN layers grown on Si(111) substrate by mixed-source hydride vapor phase epitaxy method 国際誌

    Jeon, H; Jeon, I; Lee, GS; Bae, SG; Ahn, HS; Yang, M; Yi, SN; Yu, YM; Honda, Y; Sawaki, N; Kim, SW

    JAPANESE JOURNAL OF APPLIED PHYSICS   56 巻 ( 1 )   2017年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.56.01AD07

    Web of Science

  204. Mechanism of light emission and manufacturing process of vertical-type light-emitting diode grown by hydride vapor phase epitaxy 国際誌

    Lee, GS; Jeon, H; Ahn, HS; Yang, M; Yi, SN; Yu, YM; Lee, SC; Honda, Y; Sawaki, N; Kim, SW

    JAPANESE JOURNAL OF APPLIED PHYSICS   56 巻 ( 1 )   2017年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.56.01AD03

    Web of Science

  205. Development of Sustainable Smart Society based on Transformative Electronics 査読有り

        頁: .   2017年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  206. Development of Sustainable Smart Society based on Transformative Electronics

    Ogura M, Ando Y, Usami S, Nagamatsu K, Kushimoto M, Deki M, Tanaka A, Nitta S, Honda Y, Pristovsek M, Kawai H, Yagi S, Amano H

    2017 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM)     頁: .   2017年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  207. Improved crystal quality of semipolar (10(1)over-bar3) GaN on Si(001) substrates using AlN/GaN superlattice interlayer 国際誌

    Lee, HJ; Bae, SY; Lekhal, K; Mitsunari, T; Tamura, A; Honda, Y; Amano, H

    JOURNAL OF CRYSTAL GROWTH   454 巻   頁: 114 - 120   2016年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2016.09.004

    Web of Science

  208. Controlled morphology of regular GaN microrod arrays by a selective area growth with HVPE 国際誌

    Lekhal, K; Bae, SY; Lee, HJ; Mitsunari, T; Tamura, A; Deki, M; Honda, Y; Amano, H

    JOURNAL OF CRYSTAL GROWTH   447 巻   頁: 55 - 61   2016年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2016.05.008

    Web of Science

  209. Development of highly durable deep-ultraviolet AlGaN-based LED multichip array with hemispherical encapsulated structures using a selected resin through a detailed feasibility study 国際誌

    Nagai, S; Yamada, K; Hirano, A; Ippommatsu, M; Ito, M; Morishima, N; Aosaki, K; Honda, Y; Amano, H; Akasaki, I

    JAPANESE JOURNAL OF APPLIED PHYSICS   55 巻 ( 8 )   2016年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    To replace mercury lamps with AlGaN-based deep-ultraviolet (DUV) LEDs, a simple and low-cost package with increased light extraction efficiency (LEE) is indispensable. Therefore, resin encapsulation is considered to be a key technology. However, the photochemical reactions induced by DUV light cause serious problems, and conventional resins cannot be used. In the former part of this study, a comparison of a silicone resin and fluorine polymers was carried out in terms of their suitability for encapsulation, and we concluded that only one of the fluorine polymers can be used for encapsulation. In the latter part, the endurance of encapsulation using the selected fluorine polymer was investigated, and we confirmed that the selected fluorine polymer can guarantee a lifetime of over 6,000 h at a wavelength of 265 nm. Furthermore, a 3 × 4 array module of encapsulated dies on a simple AlN submount was fabricated, demonstrating the possibility of W/cm<sup>2</sup>-class lighting.

    DOI: 10.7567/JJAP.55.082101

    Web of Science

  210. Evaluation of excess In during metal organic vapor-phase epitaxy growth of InGaN by monitoring via in situ laser scattering 国際誌

    Yamamoto, T; Tamura, A; Usami, S; Mitsunari, T; Nagamatsu, K; Nitta, S; Honda, Y; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   55 巻 ( 5 )   2016年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Using an in situ laser absorption and scattering method, the surface roughness and incorporation of In in InGaN layers grown by metal organic vapor-phase epitaxy (MOVPE) were monitored. We observed that the laser light with energy higher than the GaN bandgap was fully absorbed in a GaN layer with a smooth film surface. On the other hand, we observed that the scattering laser light from the surface when the roughness of the InGaN surface increased owing to the formation of In droplets. Laser light with energy lower than the GaN bandgap was weakly absorbed by the GaN layer and was scattered at the back surface of the wafer. Furthermore, laser light intensity decreased during InGaN growth because of In incorporation. The threshold of trimethyl-In (TMIn) for the formation of In droplets as a function of growth temperature was determined using our in situ system. Moreover, we observed that the In droplets were removed by thermal or H<inf>2</inf>treatment. The results indicate that multiwavelength laser absorption and scattering enable the optimization of the growth conditions for In-rich InGaN.

    DOI: 10.7567/JJAP.55.05FD03

    Web of Science

  211. Theoretical approach to surface reconstruction of InN(0001) during raised-pressure metalorganic vapor-phase epitaxy

    Kusaba, A; Kangawa, Y; Honda, Y; Amano, H; Kakimoto, K

    JAPANESE JOURNAL OF APPLIED PHYSICS   55 巻 ( 5 )   2016年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.55.05FM01

    Web of Science

  212. Study of radiation detection properties of GaN pn diode

    Sugiura, M; Kushimoto, M; Mitsunari, T; Yamashita, K; Honda, Y; Amano, H; Inoue, Y; Mimura, H; Aoki, T; Nakano, T

    JAPANESE JOURNAL OF APPLIED PHYSICS   55 巻 ( 5 )   2016年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.55.05FJ02

    Web of Science

  213. Structural and optical study of core-shell InGaN layers of nanorod arrays with multiple stacks of InGaN/GaN superlattices for absorption of longer solar spectrum 国際誌

    Bae, SY; Jung, BO; Lekhal, K; Lee, DS; Deki, M; Honda, Y; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   55 巻 ( 5 )   2016年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We report on the material and optical properties of core–shell InGaN layers grown on GaN nanorod arrays. The core–shell InGaN layers were well grown on polarization-reduced surfaces such as semipolar pyramids and nonpolar sidewalls. In addition, to compensate the biaxial strain between GaN and InGaN layers, we grew interlayers underneath a thick InGaN layer. Here, the interlayers were composed of multiple superlattice structures. We could observe that the indium composition of core–shell InGaN structures increased with the number of interlayers. This indicates that the absorption energy band of InGaN alloys can be better matched to the spectral irradiance of the solar spectrum in nature. We also implemented a simulation of Ga-polar and nonpolar InGaN-based solar cells based on the indium composition obtained from the experiments. The result showed that nonpolar InGaN solar cells had a much higher efficiency than Ga-polar InGaN solar cells with the same thickness of the absorption layer.

    DOI: 10.7567/JJAP.55.05FG03

    Web of Science

  214. Selective-area growth of GaN microrods on strain-induced templates by hydride vapor phase epitaxy 国際誌

    Lekhal, K; Bae, SY; Lee, HJ; Mitsunari, T; Tamura, A; Deki, M; Honda, Y; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   55 巻 ( 5 )   2016年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    In this paper, we discuss the influence of parameters such as type of carrier gas and NH<inf>3</inf>/HCl flow ratio on the growth of vertical GaN microstructures by selective-area growth (SAG) hydride vapor phase epitaxy (HVPE). On various strain-induced templates such as GaN/sapphire, GaN/Si, and AlN/Si, regular arrays of Ga-polar GaN microrods were properly achieved by adjusting the growth parameters. The photoluminescence and micro-Raman measurements reveal not only the crystal quality of the GaN microrods but also strain distribution. These results will give insight into the control of the morphology of GaN microrods in terms of the strain induced from templates in SAG-HVPE. The precisely controlled arrays of GaN microrods can be used for next-generation light-emitting diodes (LEDs) by realizing InGaN/GaN multi–quantum wells (MQWs) with a radial structure.

    DOI: 10.7567/JJAP.55.05FF03

    Web of Science

  215. Preflow trimethylaluminum treatment effect on GaN growth on SiC with an ultrathin interlayer 国際誌

    Sun, Z; Nagamatsu, K; Olsson, M; Song, PF; Deki, M; Nitta, S; Honda, Y; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   55 巻 ( 5 )   2016年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Previously, we reported a growth method for GaN on SiC by metalorganic vapor phase epitaxy. By using a preflow trimethylaluminum treatment, the poor wetting problem of gallium on the SiC surface was alleviated, resulting in a 1.2-µm-thick crack-free GaN grown on an on-axis 6H-SiC(0001) substrate via an ultrathin AlGaN interlayer. In this study, the impact of the preflow trimethylaluminum treatment time is investigated to understand why a crack-free epilayer was realized. To demonstrate the electrical performance of devices formed by our technique, GaN/SiC vertical Schottky barrier diodes were fabricated and compared with GaN/AlN/SiC and GaN/GaN vertical Schottky barrier diodes. Compared with diodes including a high-resistance AlN interlayer, the series resistance of GaN/SiC Schottky barrier diodes incorporating the ultrathin interlayer with 5 s of TMAl treatment showed a marked reduction from 4.0 × 10<sup>7</sup>to 2.0 × 10<sup>−1</sup>Ω·cm<sup>2</sup>. The ultrathin interlayer growth technique is expected to be applied in future GaN/SiC hybrid high-power and high-frequency devices.

    DOI: 10.7567/JJAP.55.05FB06

    Web of Science

  216. Observation of relaxation time of surface charge limit for InGaN photocathodes with negative electron affinity 国際誌

    Sato, D; Nishitani, T; Honda, Y; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   55 巻 ( 5 )   2016年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    A thin p-type InGaN with a negative electron affinity (NEA) surface was used to measure the relaxation time of a surface charge limit (SCL) by irradiating rectangular laser beam pulses at changing time interval. The p-type InGaN film was grown by metal organic vapor phase epitaxy and the NEA activation was performed after the sample was heat cleaned. 13 nC per pulse with 10 ms width was obtained from the InGaN photocathode. The current decreased exponentially from the beginning of the pulse. The initial current value after the laser irradiation decreased with the time interval. As a result, the SCL relaxation time was estimated through the InGaN photocathode measurements at 100 ms.

    DOI: 10.7567/JJAP.55.05FH05

    Web of Science

  217. Growth of semipolar (1(1)over-bar01) high-indium-content InGaN quantum wells using InGaN tilting layer on Si(001) 国際誌

    Kushimoto, M; Honda, Y; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   55 巻 ( 5 )   2016年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Low-toxity high-In-content InGaN is an attractive option for short-distance communications through plastic optical fibers because its performance is only slightly affected by temperature. However, its fabrication on the c-plane is impaired by In droplets and V pits, which form at low-growth temperature. On the other hand, unlike the c-plane, [Formula: see text] InGaN relaxes with tilting. Therefore, in this study, we first grew a high-In-content InGaN single layer, and then we fabricated an InGaN tilting layer between [Formula: see text] InGaN-based multiple quantum wells (MQWs) and GaN stripes/(001)Si. The emission wavelength increased with the InGaN tilting layer’s growth time because the strain was relaxed by misfit dislocations at the heterointerface. This layer also extended the emission peak of InGaN/GaN MQWs and increased the photoluminescence intensity with respect to that of a single-layered InGaN. Therefore, the InGaN tilting layer is effective for growing high-In-content [Formula: see text] InGaN MQWs.

    DOI: 10.7567/JJAP.55.05FA10

    Web of Science

  218. Growth of AlN layer on patterned sapphire substrate by hydride vapor phase epitaxy 国際誌

    Lee, GS; Lee, C; Jeon, H; Lee, C; Bae, SG; Ahn, HS; Yang, M; Yi, SN; Yu, YM; Lee, JH; Honda, Y; Sawaki, N; Kim, SW

    JAPANESE JOURNAL OF APPLIED PHYSICS   55 巻 ( 5 )   2016年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.55.05FC02

    Web of Science

  219. Emission Characteristics of InGaN/GaN Core-Shell Nanorods Embedded in a 3D Light-Emitting Diode 国際誌

    Jung, BO; Bae, SY; Lee, S; Kim, SY; Lee, JY; Honda, Y; Amano, H

    NANOSCALE RESEARCH LETTERS   11 巻 ( 1 ) 頁: 215   2016年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1186/s11671-016-1441-6

    Web of Science

    PubMed

  220. Study of enhanced photovoltaic behavior in InGaN-based solar cells by using SiN<i><sub>x</sub></i> insertion layer: Influence of dislocations

    Lee, S; Honda, Y; Amano, H; Jang, J; Nam, O

    JAPANESE JOURNAL OF APPLIED PHYSICS   55 巻 ( 3 )   2016年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Using a SiN<inf>x</inf>insertion layer to reduce dislocations, enhanced photovoltaic properties could be obtained in p–i–n InGaN/GaN heterojunction solar cell. To investigate the influence of the dislocations on the photovoltaic behaviors, a sample grown without SiN<inf>x</inf>insertion layer was identically prepared for comparison. From optical properties measurements, the reduction in the number of non-radiative centers and a stronger In localization effect was shown in the sample with SiN<inf>x</inf>insertion layer. However, the quantum confined stark effect was almost negligible in both the samples. Electrical properties measurement showed reduced saturation current and increased shunt resistance in the sample with SiN<inf>x</inf>insertion layer due to the reduced dislocation density. By comparing these results and using a numerical model, the influence of the dislocation density on the different photovoltaic properties such as open-circuit voltage and fill factor has been confirmed.

    DOI: 10.7567/JJAP.55.030306

    Web of Science

  221. Effect of piezoelectric field on carrier dynamics in InGaN-based solar cells 国際誌

    Lee, S; Honda, Y; Amano, H

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   49 巻 ( 2 )   2016年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/0022-3727/49/2/025103

    Web of Science

  222. The interface analysis of GaN grown on 0° off 6H-SiC with an ultra-thin buffer layer

    Sun, Z; Ohta, A; Miyazaki, S; Nagamatsu, K; Lee, H; Olsson, M; Ye, Z; Deki, M; Honda, Y; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   55 巻 ( 1 )   2016年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Previously, we reported a growth method by metalorganic vapor phase epitaxy using a single two-dimensional growth step, resulting in 1.2-µm crack-free GaN directly grown on 6H-SiC substrate. The introduction of Al-treatment prior to the standard GaN growth step resulted in improved surface wetting of gallium on the SiC substrate. Transmission electron microscope and energy dispersive spectrometer analysis of the epitaxial interface to the SiC determined that an ultra-thin AlGaN interlayer had formed measuring around 2–3 nm. We expect our growth technique can be applied to the fabrication of GaN/SiC high frequency and high power devices.

    DOI: 10.7567/JJAP.55.010303

    Web of Science

  223. Development of AlGaN-based deep-ultraviolet (DUV) LEDs focusing on the fluorine resin encapsulation and the prospect of the practical applications 国際誌

    Hirano, A; Nagasawa, Y; Iypommatsu, M; Aosaki, K; Honda, Y; Amano, H; Akasaki, I

    UV AND HIGHER ENERGY PHOTONICS: FROM MATERIALS TO APPLICATIONS   9926 巻   2016年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.1117/12.2235398

    Web of Science

  224. Highly elongated vertical GaN nanorod arrays on Si substrates with an AlN seed layer by pulsed-mode metal-organic vapor deposition 国際誌

    Bae, SY; Jung, BO; Lekhal, K; Kim, SY; Lee, JY; Lee, DS; Deki, M; Honda, Y; Amano, H

    CRYSTENGCOMM   18 巻 ( 9 ) 頁: 1505 - 1514   2016年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1039/c5ce02056e

    Web of Science

  225. Excitation density dependence of radiative and nonradiative recombination lifetimes in InGaN/GaN multiple quantum wells 国際誌

    Murotani, H; Yamada, Y; Honda, Y; Amano, H

    PHYSICA STATUS SOLIDI B-BASIC SOLID STATE PHYSICS   252 巻 ( 5 ) 頁: 940 - 945   2015年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssb.201451491

    Web of Science

  226. Optically pumped lasing properties of (1-101) InGaN/GaN stripe multiquantum wells with ridge cavity structure on patterned (001) Si substrates 査読有り

      8 巻 ( 2 ) 頁: 022702   2015年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  227. Optically pumped lasing properties of (1-101) InGaN/GaN stripe multiquantum wells with ridge cavity structure on patterned (001) Si substrates

    Maki Kushimoto, Tomoyuki Tanikawa, Yoshio Honda, Hiroshi Amano

    Applied Physics Express   8 巻 ( 2 ) 頁: 022702   2015年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  228. Optically pumped lasing properties of (1(1)over-bar01) InGaN/GaN stripe multiquantum wells with ridge cavity structure on patterned (001) Si substrates 国際誌

    Kushimoto, M; Tanikawa, T; Honda, Y; Amano, H

    APPLIED PHYSICS EXPRESS   8 巻 ( 2 )   2015年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We demonstrated lasing action and investigated the optical properties of [Formula: see text] multiquantum-well (MQW) stripe crystals on patterned (001) Si substrates. Longitudinal and higher order transverse modes were observed from a ridge waveguide structure. These results strongly suggest the possibility of fabricating [Formula: see text] InGaN MQW laser diodes on (001) Si.

    DOI: 10.7567/APEX.8.022702

    Web of Science

  229. Highly ordered catalyst-free InGaN/GaN core-shell architecture arrays with expanded active area region 国際誌

    Jung, BO; Bae, SY; Kim, SY; Lee, S; Lee, JY; Lee, DS; Kato, Y; Honda, Y; Amano, H

    NANO ENERGY   11 巻   頁: 294 - 303   2015年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.nanoen.2014.11.003

    Web of Science

  230. Nature of yellow luminescence band in GaN grown on Si substrate 国際誌

    Ito, S; Nakagita, T; Sawaki, N; Ahn, HS; Irie, M; Hikosaka, T; Honda, Y; Yamaguchi, M; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   53 巻 ( 11 )   2014年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.53.11RC02

    Web of Science

  231. NEA半導体フォトカソードへの応用を目指したGaN系半導体の量子効率および耐久性の研究 (電子部品・材料) 国際誌

    佐藤 大樹, 西谷 智博, 前川 拓也, 本田 善央, 天野 浩

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報   114 巻 ( 202 ) 頁: 49-54 - 54   2014年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    負の電子親和力(Negative Electron Affinity:NEA)状態の表面を持つ半導体(NEA半導体フォトカソード)は、高性能電子ビーム源として素粒子実験分野に貢献してきたが、産業利用上、NEA表面の寿命問題を抱える。我々は、半導体のバンドギャップに着目し、NEA表面が得られた際の表面の電子親和力をより小さくすることでこの寿命問題の改善が可能であると考えた。そこで、NEA半導体フォトカソードに用いる半導体として、従来技術のp-GaAsに比べてバンドギャップの大きいp-GaN及びp-InGaNを作製した。作製したp-GaN及びp-InGaNサンプルによるNEA表面活性化実験では、セシウムの供給のみで表面のNEA状態が得られる可能性が示唆され、量子効率の寿命測定では、従来技術のp-GaAsに比べ、p-GaNで17倍、p-InGaNで7倍の長寿命化に成功した。

    CiNii Books

  232. P-GaN by Mg Ion Implantation for Power Device Applications (シリコン材料・デバイス) 国際誌

    SUN Zheng, Olsson Marc, NAGAYAMA Tsutomu, HONDA Yoshio, AMANO Hiroshi

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報   114 巻 ( 58 ) 頁: 109-112 - 112   2014年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    We realized p-GaN by high temperature Mg ion implantation with pre-sputter(PS) technique. Due to the new PS technique and high temperature implantation, ion implantation damage was greatly reduced. This soft implantation made the post anneal more effectively to recover the implantation damage and activate the implanted Mg ions. We believe this p-GaN by Mg ion implantation will contribute to GaN to enter high output power device application field.

  233. P-GaN by Mg Ion Implantation for Power Device Applications (電子部品・材料)

    SUN Zheng, Olsson Marc, NAGAYAMA Tsutomu, HONDA Yoshio, AMANO Hiroshi

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報   114 巻 ( 57 ) 頁: 109-112 - 112   2014年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    We realized p-GaN by high temperature Mg ion implantation with pre-sputter(PS) technique. Due to the new PS technique and high temperature implantation, ion implantation damage was greatly reduced. This soft implantation made the post anneal more effectively to recover the implantation damage and activate the implanted Mg ions. We believe this p-GaN by Mg ion implantation will contribute to GaN to enter high output power device application field.

    CiNii Books

  234. P-GaN by Mg Ion Implantation for Power Device Applications (電子デバイス)

    SUN Zheng, Olsson Marc, NAGAYAMA Tsutomu, HONDA Yoshio, AMANO Hiroshi

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報   114 巻 ( 56 ) 頁: 109-112 - 112   2014年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    We realized p-GaN by high temperature Mg ion implantation with pre-sputter(PS) technique. Due to the new PS technique and high temperature implantation, ion implantation damage was greatly reduced. This soft implantation made the post anneal more effectively to recover the implantation damage and activate the implanted Mg ions. We believe this p-GaN by Mg ion implantation will contribute to GaN to enter high output power device application field.

    CiNii Books

  235. Characterization of nonpolar <i>a</i>-plane InGaN/GaN multiple quantum well using double nanopillar SiO<sub>2</sub> mask 国際誌

    Son, JS; Honda, Y; Yamaguchi, M; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   53 巻 ( 5 )   2014年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.53.05FL01

    Web of Science

  236. <i>In situ</i> X-ray investigation of changing barrier growth temperatures on InGaN single quantum wells in metal-organic vapor phase epitaxy 国際誌

    Ju, GX; Honda, Y; Tabuchi, M; Takeda, Y; Amano, H

    JOURNAL OF APPLIED PHYSICS   115 巻 ( 9 )   2014年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4867640

    Web of Science

  237. Growth of InGaN/GaN multiple quantum wells on size-controllable nanopyramid arrays 国際誌

    Miao, C; Honda, Y; Yamaguchi, M; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   53 巻 ( 3 )   2014年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.53.030306

    Web of Science

  238. Novel activation process for Mg-implanted GaN 国際誌

    Hashimoto, S; Nakamura, T; Honda, Y; Amano, H

    JOURNAL OF CRYSTAL GROWTH   388 巻   頁: 112 - 115   2014年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2013.07.011

    Web of Science

  239. Growth of low-defect-density nonpolar <i>a</i>-plane GaN on <i>r</i>-plane sapphire using pulse NH<sub>3</sub> interrupted etching 国際誌

    Son, JS; Honda, Y; Amano, H

    OPTICS EXPRESS   22 巻 ( 3 ) 頁: 3585 - 3592   2014年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1364/OE.22.003585

    Web of Science

    PubMed

  240. Reduction of efficiency droop in InGaN light-emitting diodes on low dislocation density GaN substrate 査読有り

        頁: 90030E-90030E-6   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  241. Characterization of nonpolar a-plane InGaN/GaN multiple quantum well using double nanopillar SiO2 mask 査読有り

      53 巻 ( 5S1 ) 頁: 05FL01   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  242. Growth of low-defect-density nonpolar a-plane GaN on r-plane sapphire using pulse NH< sub> 3</sub> interrupted etching 査読有り

      22 巻 ( 3 ) 頁: 3585-3592   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  243. Enhancement of light output power on GaN-based light-emitting diodes using two-direction stripe-patterned sapphire substrate 査読有り

      11 巻 ( 3‐4 ) 頁: 722-725   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  244. Growth of InGaN/GaN multiple quantum wells on size-controllable nanopyramid arrays 査読有り

      53 巻 ( 3 ) 頁: 30306   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  245. Morphology development of GaN nanowires using a pulsed-mode MOCVD growth technique 査読有り

      16 巻 ( 11 ) 頁: 2273-2282   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  246. X-ray investigations of GaInN single quantum wells grown by atomic layer epitaxy and metalorganic vapor phase epitaxy 査読有り

      11 巻 ( 3‐4 ) 頁: 393-396   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  247. In situ X-ray investigation of changing barrier growth temperatures on InGaN single quantum wells in metal-organic vapor phase epitaxy 査読有り

      115 巻 ( 9 ) 頁: 94906   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  248. Novel activation process for Mg-implanted GaN 査読有り

      388 巻   頁: 112-115   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  249. Recombination dynamics and internal quantum efficiency in InGaN nanowires 査読有り

      11 巻 ( 3-4 ) 頁: 652 - 655   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.1002/pssc.201300437

    Web of Science

  250. Reduction of efficiency droop in InGaN light-emitting diodes on low dislocation density GaN substrate 国際誌

    Yamashita, K; Sugiyama, T; Iwai, M; Honda, Y; Yoshino, T; Amano, H

    LIGHT-EMITTING DIODES: MATERIALS, DEVICES, AND APPLICATIONS FOR SOLID STATE LIGHTING XVIII   9003 巻   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.1117/12.2038764

    Web of Science

  251. Morphology development of GaN nanowires using a pulsed-mode MOCVD growth technique 国際誌

    Jung, BO; Bae, SY; Kato, Y; Imura, M; Lee, DS; Honda, Y; Amano, H

    CRYSTENGCOMM   16 巻 ( 11 ) 頁: 2273 - 2282   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1039/c3ce42266f

    Web of Science

  252. Enhancement of light output power on GaN-based light-emitting diodes using two-direction stripe-patterned sapphire substrate 査読有り 国際誌

      11 巻 ( 3-4 ) 頁: 722 - 725   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.1002/pssc.201300470

    Web of Science

  253. X-ray investigations of GaInN single quantum wells grown by atomic layer epitaxy and metalorganic vapor phase epitaxy 査読有り

      11 巻 ( 3-4 ) 頁: 393 - 396   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.1002/pssc.201300670

    Web of Science

  254. X-ray investigations of GaInN single quantum wells grown by atomic layer epitaxy and metalorganic vapor phase epitaxy

    Ju, Guangxu, Kato, Yoshihiro, Honda, Yoshio, Tabuchi, Masao, Takeda, Yoshikazu, Amano, Hiroshi

    physica status solidi (c)   11 巻 ( 3‐4 ) 頁: 393-396   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  255. Reduction of efficiency droop in InGaN light-emitting diodes on low dislocation density GaN substrate

    Yamashita, Kouhei, Sugiyama, Tomohiko, Iwai, Makoto, Honda, Yoshio, Yoshino, Takashi, Amano, Hiroshi

    SPIE OPTO     頁: 90030E-90030E-6   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  256. Characterization of nonpolar a-plane InGaN/GaN multiple quantum well using double nanopillar SiO2 mask

    Son, Ji-Su, Honda, Yoshio, Yamaguchi, Masahito, Amano, Hiroshi

    Japanese Journal of Applied Physics   53 巻 ( 5S1 ) 頁: 05FL01   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  257. Enhancement of light output power on GaN-based light-emitting diodes using two-direction stripe-patterned sapphire substrate

    Okuno, Koji, Oshio, Takahide, Shibata, Naoki, Honda, Yoshio, Yamaguchi, Masahito, Amano, Hiroshi

    physica status solidi (c)   11 巻 ( 3‐4 ) 頁: 722-725   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  258. Novel activation process for Mg-implanted GaN

    Hashimoto, Shin, Nakamura, Takao, Honda, Yoshio, Amano, Hiroshi

    Journal of Crystal Growth   388 巻   頁: 112-115   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  259. Morphology development of GaN nanowires using a pulsed-mode MOCVD growth technique

    Jung, Byung Oh, Bae, Si-Young, Kato, Yoshihiro, Imura, Masataka, Lee, Dong-Seon, Honda, Yoshio, Amano, Hiroshi

    CrystEngComm   16 巻 ( 11 ) 頁: 2273-2282   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  260. In situ X-ray investigation of changing barrier growth temperatures on InGaN single quantum wells in metal-organic vapor phase epitaxy

    Ju, Guangxu, Honda, Yoshio, Tabuchi, Masao, Takeda, Yoshikazu, Amano, Hiroshi

    Journal of Applied Physics   115 巻 ( 9 ) 頁: 94906   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  261. Growth of low-defect-density nonpolar a-plane GaN on r-plane sapphire using pulse NH< sub> 3</sub> interrupted etching

    Son, Ji-Su, Honda, Yoshio, Amano, Hiroshi

    Optics express   22 巻 ( 3 ) 頁: 3585-3592   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  262. Growth of InGaN/GaN multiple quantum wells on size-controllable nanopyramid arrays

    Miao, Cao, Honda, Yoshio, Yamaguchi, Masahito, Amano, Hiroshi

    Japanese Journal of Applied Physics   53 巻 ( 3 ) 頁: 30306   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  263. MOVPE法によるGaN及びAlGaNへのCドーピングに関する研究 (レーザ・量子エレクトロニクス) 査読有り

    若杉 侑矢, 本田 善央, 天野 浩

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報   113 巻 ( 331 ) 頁: 47 - 50   2013年11月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    MOVPE法を用いてGaN及びAlGaNへのMgとCの同時ドーピングを行い、電気的、光学的特性を評価した。GaNへの同時ドーピングでは比較的低濃度のCドーピングにより正孔密度の増加が確認できたが、AlGaNにおいてはC_2H_2流量増加に伴い正孔密度は減少した。cathodeluminescence(CL)測定により、全ての試料で440nm付近の発光を確認した。GaNへのMgとCのδドーピングを行った試料に対して同様の測定を行った。MgとCの同時δドーピングは通常のMgドーピングよりも高い正孔密度を示した。

  264. MOVPE法によるGaN及びAlGaNへのCドーピングに関する研究 (電子部品・材料) 査読有り

    若杉 侑矢, 本田 善央, 天野 浩

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報   113 巻 ( 330 ) 頁: 47 - 50   2013年11月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    MOVPE法を用いてGaN及びAlGaNへのMgとCの同時ドーピングを行い、電気的、光学的特性を評価した。GaNへの同時ドーピングでは比較的低濃度のCドーピングにより正孔密度の増加が確認できたが、AlGaNにおいてはC_2H_2流量増加に伴い正孔密度は減少した。cathodeluminescence(CL)測定により、全ての試料で440nm付近の発光を確認した。GaNへのMgとCのδドーピングを行った試料に対して同様の測定を行った。MgとCの同時δドーピングは通常のMgドーピングよりも高い正孔密度を示した。

  265. MOVPE法によるGaN及びAlGaNへのCドーピングに関する研究 (電子デバイス) 査読有り

    若杉 侑矢, 本田 善央, 天野 浩

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報   113 巻 ( 329 ) 頁: 47 - 50   2013年11月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    MOVPE法を用いてGaN及びAlGaNへのMgとCの同時ドーピングを行い、電気的、光学的特性を評価した。GaNへの同時ドーピングでは比較的低濃度のCドーピングにより正孔密度の増加が確認できたが、AlGaNにおいてはC_2H_2流量増加に伴い正孔密度は減少した。cathodeluminescence(CL)測定により、全ての試料で440nm付近の発光を確認した。GaNへのMgとCのδドーピングを行った試料に対して同様の測定を行った。MgとCの同時δドーピングは通常のMgドーピングよりも高い正孔密度を示した。

  266. 高輝度電子ビーム源を目指したNEA表面p-GaNの量子効率 (レーザ・量子エレクトロニクス) 査読有り

    前川 拓也, 本田 善央, 天野 浩, 西谷 智博

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報   113 巻 ( 331 ) 頁: 43 - 46   2013年11月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    我々は、負の電子親和力を持つ半導体表面を用いた電子源(NEA半導体フォトカソード電子源)の高輝度、高耐久化を目指して、p-GaN半導体を用いた機能性表面の寿命に対する電子源の高輝度条件を検討した。超高真空中でp-GaN基板に対してNEA表面活性化を行った後、その量子効率の波長依存性及びその寿命の測定実験を行った。その結果から、p-GaN基板は、従来技術のp-GaAs基板に比べて20倍以上寿命が長く、より高耐久性能を持つことが分かった。また、表面機能が低下するに連れて、バンドギャップエネルギー以下の励起エネルギーでの量子効率が優先的に減少することが分かった。これらの結果より、p-GaN基板を用いたNEA半導体フォトカソード電子源は、高耐久である一方で、表面機能が劣化した状態がより電子ビーム源として単色化に適していることを明らかにした。

  267. 高輝度電子ビーム源を目指したNEA表面p-GaNの量子効率 (電子部品・材料) 査読有り

    前川 拓也, 本田 善央, 天野 浩, 西谷 智博

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報   113 巻 ( 330 ) 頁: 43 - 46   2013年11月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    我々は、負の電子親和力を持つ半導体表面を用いた電子源(NEA半導体フォトカソード電子源)の高輝度、高耐久化を目指して、p-GaN半導体を用いた機能性表面の寿命に対する電子源の高輝度条件を検討した。超高真空中でp-GaN基板に対してNEA表面活性化を行った後、その量子効率の波長依存性及びその寿命の測定実験を行った。その結果から、p-GaN基板は、従来技術のp-GaAs基板に比べて20倍以上寿命が長く、より高耐久性能を持つことが分かった。また、表面機能が低下するに連れて、バンドギャップエネルギー以下の励起エネルギーでの量子効率が優先的に減少することが分かった。これらの結果より、p-GaN基板を用いたNEA半導体フォトカソード電子源は、高耐久である一方で、表面機能が劣化した状態がより電子ビーム源として単色化に適していることを明らかにした。

  268. 高輝度電子ビーム源を目指したNEA表面p-GaNの量子効率 (電子デバイス) 査読有り

    前川 拓也, 本田 善央, 天野 浩, 西谷 智博

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報   113 巻 ( 329 ) 頁: 43 - 46   2013年11月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    我々は、負の電子親和力を持つ半導体表面を用いた電子源(NEA半導体フォトカソード電子源)の高輝度、高耐久化を目指して、p-GaN半導体を用いた機能性表面の寿命に対する電子源の高輝度条件を検討した。超高真空中でp-GaN基板に対してNEA表面活性化を行った後、その量子効率の波長依存性及びその寿命の測定実験を行った。その結果から、p-GaN基板は、従来技術のp-GaAs基板に比べて20倍以上寿命が長く、より高耐久性能を持つことが分かった。また、表面機能が低下するに連れて、バンドギャップエネルギー以下の励起エネルギーでの量子効率が優先的に減少することが分かった。これらの結果より、p-GaN基板を用いたNEA半導体フォトカソード電子源は、高耐久である一方で、表面機能が劣化した状態がより電子ビーム源として単色化に適していることを明らかにした。

  269. Structural evolution of AlN buffer and crystal quality of GaN films on a- and c-sapphire grown by metalorganic vapor phase epitaxy 査読有り

    K. Okuno, T. Oshio, N. Shibata, Y. Honda, M. Yamaguchi, S. Tanaka, and H. Amano

    phys. stat. sol. (c)   10 巻 ( 3 ) 頁: 369-372   2013年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  270. Structural evolution of AlN buffer and crystal quality of GaN films on a- and c-sapphire grown by metalorganic vapor phase epitaxy 査読有り

    K. Okuno, T. Oshio, N. Shibata, Y. Honda, M. Yamaguchi, S. Tanaka, and H. Amano

    phys. stat. sol. (c)   10 巻 ( 3 ) 頁: 369-372   2013年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  271. Structural evolution of AlN buffer and crystal quality of GaN films on a- and c-sapphire grown by metalorganic vapor phase epitaxy 査読有り

    K. Okuno, T. Oshio, N. Shibata, Y. Honda, M. Yamaguchi, S. Tanaka, H. Amano

    phys. stat. sol. (c)   10 巻 ( 3 ) 頁: 369-372   2013年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  272. Structural evolution of AlN buffer and crystal quality of GaN films on a- and c-sapphire grown by metalorganic vapor phase epitaxy

    K. Okuno, T. Oshio, N. Shibata, Y. Honda, M. Yamaguchi, S. Tanaka, H. Amano

    phys. stat. sol. (c)   10 巻 ( 3 ) 頁: 369-372   2013年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  273. Growth of GaN on Si (111) Substrates via a Reactive-Sputter-Deposited AlN Intermediate Layer 査読有り

    Yamada, Takaya; Tanikawa, Tomoyuki; Honda, Yoshio; Yamaguchi, Masahito; Amano, Hiroshi;

    Japanese Journal of Applied Physics   52 巻 ( 8S ) 頁: 08JB16   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  274. Fabrication of InGaN/GaN Multiple Quantum Wells on (1bar 101) GaN 査読有り

    Tanikawa, Tomoyuki; Sano, Tomotaka; Kushimoto, Maki; Honda, Yoshio; Yamaguchi, Masahito; Amano, Hiroshi;

    Japanese Journal of Applied Physics   52 巻 ( 8S ) 頁: 08JC05   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  275. Stacking Faults and Luminescence Property of InGaN Nanowires 査読有り

    Tabata, Takuya; Paek, Jihyun; Honda, Yoshio; Yamaguchi, Masahito; Amano, Hiroshi;

    Japanese Journal of Applied Physics   52 巻 ( 8S ) 頁: 08JE06   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  276. Effects of nano-and microscale SiO2 masks on the growth of a-plane GaN layers on r-plane sapphire 査読有り

    Son, Ji-Su; Miao, Cao; Honda, Yoshio; Yamaguchi, Masahito; Amano, Hiroshi; Seo, Yong Gon; Hwang, Sung-Min; Baik, Kwang Hyeon;

    Japanese Journal of Applied Physics   52 巻 ( 8S ) 頁: 08JC04   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  277. Characteristics of< i> a</i>-plane GaN films grown on optimized silicon-dioxide-patterned< i> r</i>-plane sapphire substrates 査読有り

    Son, Ji-Su; Honda, Yoshio; Yamaguchi, Masahito; Amano, Hiroshi; Baik, Kwang Hyeon; Seo, Yong Gon; Hwang, Sung-Min;

    Thin Solid Films   546 巻   頁: 108-113   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  278. Defects generation and annihilation in GaN grown on patterned silicon substrate 査読有り

    Sawaki, N; Ito, S; Nakagita, T; Iwata, H; Tanikawa, T; Irie, M; Honda, Y; Yamaguchi, M; Amano, H;

    SPIE OPTO     頁: 86250K-86250K-6   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  279. High internal quantum efficiency blue-green light-emitting diode with small efficiency droop fabricated on low dislocation density GaN substrate 査読有り

    Sano, Tomotaka; Doi, Tomohiro; Inada, Shunko Albano; Sugiyama, Tomohiko; Honda, Yoshio; Amano, Hiroshi; Yoshino, Takashi;

    Japanese Journal of Applied Physics   52 巻 ( 8S ) 頁: 08JK09   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  280. Growth mode and threading dislocation behavior of GaN films grown on patterned sapphire substrate with radial stripe pattern 査読有り

    Okuno, Koji; Oshio, Takahide; Shibata, Naoki; Honda, Yoshio; Yamaguchi, Masahito; Amano, Hiroshi;

    Japanese Journal of Applied Physics   52 巻 ( 8S ) 頁: 08JB09   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  281. Thick InGaN Growth by Metal Organic Vapor Phase Epitaxy with Sputtered InGaN Buffer Layer 査読有り

    Ohata, Toshiya; Honda, Yoshio; Yamaguchi, Masahito; Amano, Hiroshi;

    Japanese Journal of Applied Physics   52 巻 ( 8S ) 頁: 08JB11   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  282. GaN Nanowires Grown on a Graphite Substrate by Radio Frequency Molecular Beam Epitaxy 査読有り

    Nakagawa, Shinta; Tabata, Takuya; Honda, Yoshio; Yamaguchi, Masahito; Amano, Hiroshi;

    Japanese Journal of Applied Physics   52 巻 ( 8S ) 頁: 08JE07   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  283. Effects of exciton localization on internal quantum efficiency of InGaN nanowires 査読有り

    Murotani, Hideaki; Yamada, Yoichi; Tabata, Takuya; Honda, Yoshio; Yamaguchi, Masahito; Amano, Hiroshi;

    Journal of Applied Physics   114 巻 ( 15 ) 頁: 153506   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  284. GaN Overgrowth on Thermally Etched Nanoporous GaN Template 査読有り

    Miao, Cao; Honda, Yoshio; Yamaguchi, Masahito; Amano, Hiroshi;

    Japanese Journal of Applied Physics   52 巻 ( 8S ) 頁: 08JB03   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  285. Strain-Compensated Effect on the Growth of InGaN/AlGaN Multi-Quantum Well by Metalorganic Vapor Phase Epitaxy 査読有り

    Doi, Tomohiro; Honda, Yoshio; Yamaguchi, Masahito; Amano, Hiroshi;

    Japanese Journal of Applied Physics   52 巻 ( 8S ) 頁: 08JB14   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  286. Progress and Prospect of the Growth of Wide-Band-Gap Group III Nitrides: Development of the Growth Method for Single-Crystal Bulk GaN 査読有り

    Amano, Hiroshi;

    Japanese Journal of Applied Physics   52 巻 ( 5R ) 頁: 50001   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  287. Structural evolution of AlN buffer and crystal quality of GaN films on a- and c-sapphire grown by metalorganic vapor phase epitaxy 査読有り

    Okuno Koji, Oshio Takahide, Shibata Naoki, Honda Yoshio, Yamaguchi Masahito, Tanaka Shigeyasu, Amano Hiroshi

    PHYSICA STATUS SOLIDI C: CURRENT TOPICS IN SOLID STATE PHYSICS, VOL 10, NO 3   10 巻 ( 3 ) 頁: 369 - 372   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssc.201200587

    Web of Science

  288. Stacking Faults and Luminescence Property of InGaN Nanowires

    Tabata, Takuya, Paek, Jihyun, Honda, Yoshio, Yamaguchi, Masahito, Amano, Hiroshi

    Japanese Journal of Applied Physics   52 巻 ( 8S ) 頁: 08JE06   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  289. Strain-Compensated Effect on the Growth of InGaN/AlGaN Multi-Quantum Well by Metalorganic Vapor Phase Epitaxy

    Doi, Tomohiro, Honda, Yoshio, Yamaguchi, Masahito, Amano, Hiroshi

    Japanese Journal of Applied Physics   52 巻 ( 8S ) 頁: 08JB14   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  290. Thick InGaN Growth by Metal Organic Vapor Phase Epitaxy with Sputtered InGaN Buffer Layer

    Ohata, Toshiya, Honda, Yoshio, Yamaguchi, Masahito, Amano, Hiroshi

    Japanese Journal of Applied Physics   52 巻 ( 8S ) 頁: 08JB11   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  291. Progress and Prospect of the Growth of Wide-Band-Gap Group III Nitrides: Development of the Growth Method for Single-Crystal Bulk GaN 査読有り

    Amano, Hiroshi

    Japanese Journal of Applied Physics   52 巻 ( 5R ) 頁: 50001   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  292. Effects of exciton localization on internal quantum efficiency of InGaN nanowires

    Murotani, Hideaki, Yamada, Yoichi, Tabata, Takuya, Honda, Yoshio, Yamaguchi, Masahito, Amano, Hiroshi

    Journal of Applied Physics   114 巻 ( 15 ) 頁: 153506   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  293. Defects generation and annihilation in GaN grown on patterned silicon substrate

    Sawaki, N, Ito, S, Nakagita, T, Iwata, H, Tanikawa, T, Irie, M, Honda, Y, Yamaguchi, M, Amano, H

    SPIE OPTO     頁: 86250K-86250K-6   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  294. Characteristics of< i> a</i>-plane GaN films grown on optimized silicon-dioxide-patterned< i> r</i>-plane sapphire substrates

    Son, Ji-Su, Honda, Yoshio, Yamaguchi, Masahito, Amano, Hiroshi, Baik, Kwang Hyeon, Seo, Yong Gon, Hwang, Sung-Min

    Thin Solid Films   546 巻   頁: 108-113   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  295. Effects of nano-and microscale SiO2 masks on the growth of a-plane GaN layers on r-plane sapphire

    Son, Ji-Su, Miao, Cao, Honda, Yoshio, Yamaguchi, Masahito, Amano, Hiroshi, Seo, Yong Gon, Hwang, Sung-Min, Baik, Kwang Hyeon

    Japanese Journal of Applied Physics   52 巻 ( 8S ) 頁: 08JC04   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  296. High internal quantum efficiency blue-green light-emitting diode with small efficiency droop fabricated on low dislocation density GaN substrate

    Sano, Tomotaka, Doi, Tomohiro, Inada, Shunko Albano, Sugiyama, Tomohiko, Honda, Yoshio, Amano, Hiroshi, Yoshino, Takashi

    Japanese Journal of Applied Physics   52 巻 ( 8S ) 頁: 08JK09   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  297. Growth of GaN on Si (111) Substrates via a Reactive-Sputter-Deposited AlN Intermediate Layer

    Yamada, Takaya, Tanikawa, Tomoyuki, Honda, Yoshio, Yamaguchi, Masahito, Amano, Hiroshi

    Japanese Journal of Applied Physics   52 巻 ( 8S ) 頁: 08JB16   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  298. Growth mode and threading dislocation behavior of GaN films grown on patterned sapphire substrate with radial stripe pattern

    Okuno, Koji, Oshio, Takahide, Shibata, Naoki, Honda, Yoshio, Yamaguchi, Masahito, Amano, Hiroshi

    Japanese Journal of Applied Physics   52 巻 ( 8S ) 頁: 08JB09   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  299. GaN Overgrowth on Thermally Etched Nanoporous GaN Template

    Miao, Cao, Honda, Yoshio, Yamaguchi, Masahito, Amano, Hiroshi

    Japanese Journal of Applied Physics   52 巻 ( 8S ) 頁: 08JB03   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  300. GaN Nanowires Grown on a Graphite Substrate by Radio Frequency Molecular Beam Epitaxy

    Nakagawa, Shinta, Tabata, Takuya, Honda, Yoshio, Yamaguchi, Masahito, Amano, Hiroshi

    Japanese Journal of Applied Physics   52 巻 ( 8S ) 頁: 08JE07   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  301. Fabrication of InGaN/GaN Multiple Quantum Wells on (1bar 101) GaN

    Tanikawa, Tomoyuki, Sano, Tomotaka, Kushimoto, Maki, Honda, Yoshio, Yamaguchi, Masahito, Amano, Hiroshi

    Japanese Journal of Applied Physics   52 巻 ( 8S ) 頁: 08JC05   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  302. Effects of low energy e-beam irradiation on cathodoluminescence from GaN 査読有り

    S. Suihkonen, H. Nykänen, T. Tanikawa, M. Yamaguchi, Y. Honda, and H. Amano

    phys. stat. sol. (a)   210 巻 ( 2 ) 頁: 383-385   2012年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  303. Effects of low energy e-beam irradiation on cathodoluminescence from GaN 査読有り

    S. Suihkonen, H. Nykänen, T. Tanikawa, M. Yamaguchi, Y. Honda, H. Amano

    phys. stat. sol. (a)   210 巻 ( 2 ) 頁: 383-385   2012年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  304. Si基板上半極性面(1-101)GaNストライプ上InGaN/GaN多重量子井戸構造の偏光特性 査読有り

    久志本 真希, 谷川 智之, 本田 善央, 山口 雅史, 天野 浩

    電子情報通信学会技術研究報告. ED, 電子デバイス   112 巻 ( 32 ) 頁: 15 - 18   2012年5月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    MOVPE法により作製した加工Si基板上半極性面(1-101)GaNストライプ上に室温発光波長435nm〜590nm、井戸幅2nm〜9nmのInGaN/GaN多重量子井戸構造(MQW)を作製し、偏光特性を測定した。表面からの発光の偏光特性を測定したところ、発光波長が短波長で量子井戸幅が薄い場合、発光の偏光方向はc軸と垂直であった。一方発光波長が長くなる、あるいは井戸幅が厚くなるほど、偏光が平行方向へシフトしていくことが明らかとなった。また、発光波長が短波長である試料の端面(11-22)からの発光特性を測定したところ、ナローイングが観測されるとともに、井戸幅が広くなるにつれてc軸と平行な偏光成分の増加が観測された。このことから、井戸幅とIn組成の制御により偏光の制御が可能であり、任意のLD構造設計への応用が期待される。

  305. Si基板上半極性面(1-101)GaNストライプ上InGaN/GaN多重量子井戸構造の偏光特性 査読有り

    久志本 真希, 谷川 智之, 本田 善央, 山口 雅史, 天野 浩

    電子情報通信学会技術研究報告. CPM, 電子部品・材料   112 巻 ( 33 ) 頁: 15 - 18   2012年5月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  306. Si基板上半極性面(1-101)GaNストライプ上InGaN/GaN多重量子井戸構造の偏光特性 査読有り

    久志本 真希, 谷川 智之, 本田 善央, 山口 雅史, 天野 浩

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス   112 巻 ( 34 ) 頁: 15 - 18   2012年5月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    MOVPE法により作製した加工Si基板上半極性面(1-101)GaNストライプ上に室温発光波長435nm〜590nm、井戸幅2nm〜9nmのInGaN/GaN多重量子井戸構造(MQW)を作製し、偏光特性を測定した。表面からの発光の偏光特性を測定したところ、発光波長が短波長で量子井戸幅が薄い場合、発光の偏光方向はc軸と垂直であった。一方発光波長が長くなる、あるいは井戸幅が厚くなるほど、偏光が平行方向へシフトしていくことが明らかとなった。また、発光波長が短波長である試料の端面(11-22)からの発光特性を測定したところ、ナローイングが観測されるとともに、井戸幅が広くなるにつれてc軸と平行な偏光成分の増加が観測された。このことから、井戸幅とIn組成の制御により偏光の制御が可能であり、任意のLD構造設計への応用が期待される。

  307. Strain relaxation in thick (1-101)InGaN grown on GaN/Si substrate 査読有り

    T. Tanikawa, Y. Honda, M. Yamaguchi, H. Amano, and N. Sawaki

    phys. stat. sol. (b)   249 巻 ( 3 ) 頁: 468–471   2012年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  308. Strain relaxation in thick (1-101)InGaN grown on GaN/Si substrate 査読有り

    T. Tanikawa, Y. Honda, M. Yamaguchi, H. Amano, N. Sawaki

    phys. stat. sol. (b)   249 巻 ( 3 ) 頁: 468–471   2012年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  309. Growth of InGaN nanowires on a (111)Si substrate by RF-MBE 査読有り

    T. Tabata, J.H. Paek, Y. Honda, M. Yamaguchi, and H. Amano

    phys. stat. sol. (c)   9 巻 ( 3-4 ) 頁: 646–649   2012年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  310. Growth of InGaN nanowires on a (111)Si substrate by RF-MBE 査読有り

    T. Tabata, J.H. Paek, Y. Honda, M. Yamaguchi, H. Amano

    phys. stat. sol. (c)   9 巻 ( 3-4 ) 頁: 646–649   2012年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  311. Small current collapse in AlGaN/GaN HFETs on a-plane GaN self-standing substrate 査読有り

    T. Sugiyama, Y. Honda, M. Yamaguchi, H. Amano, Y. Isobe, M. Iwaya, T. Takeuchi, S. Kamiyama, I. Akasaki, M. Imade, Y. Kitaoka, and Y. Mori

    phys. stat. sol. (c)   9 巻 ( 3-4 ) 頁: 875–878   2012年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  312. Small current collapse in AlGaN/GaN HFETs on a-plane GaN self-standing substrate 査読有り

    T. Sugiyama, Y. Honda, M. Yamaguchi, H. Amano, Y. Isobe, M. Iwaya, T. Takeuchi, S. Kamiyama, I. Akasaki, M. Imade, Y. Kitaoka, Y. Mori

    phys. stat. sol. (c)   9 巻 ( 3-4 ) 頁: 875–878   2012年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  313. In-situ void formation technique using an AlN shell structure grown on GaN stripes on Si(111) and c-plane sapphire substrates 査読有り

    T. Mitsunari, T. Tanikawa, Y. Honda, M. Yamaguchi, and H. Amano

    phys. stat. sol. (c)   9 巻 ( 3-4 ) 頁: 480–483   2012年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  314. A local vibration mode in a carbon doped (1-101)AlGaN 査読有り

    N. Sawaki, K. Hagiwara, K. Yamashita, N. Koide, Y. Honda, M. Yamaguchi, and H. Amano

    SPIE   8262 巻   頁: 82620D   2012年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  315. A local vibration mode in a carbon doped (1-101)AlGaN

    N. Sawaki, K. Hagiwara, K. Yamashita, N. Koide, Y. Honda, M. Yamaguchi, H. Amano

    SPIE   8262 巻   頁: 82620D   2012年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  316. In-situ void formation technique using an AlN shell structure grown on GaN stripes on Si(111) and c-plane sapphire substrates 査読有り

    T. Mitsunari, T. Tanikawa, Y. Honda, M. Yamaguchi, H. Amano

    phys. stat. sol. (c)   9 巻 ( 3-4 ) 頁: 480–483   2012年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  317. Growth of InGaN nanowires on a (111)Si substrate by RF-MBE 査読有り

    T. Tabata, J.H. Paek, Y. Honda, M. Yamaguchi, and H. Amano

    phys. stat. sol. (c)   9 巻 ( 3-4 ) 頁: 646–649   2012年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  318. Growth of InGaN nanowires on a (111)Si substrate by RF-MBE

    T. Tabata, J.H. Paek, Y. Honda, M. Yamaguchi, H. Amano

    phys. stat. sol. (c)   9 巻 ( 3-4 ) 頁: 646–649   2012年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  319. Impurity incorporation in semipolar (1-101) GaN grown on an Si substrate 査読有り

    N. Sawaki, K. Hagiwara, T. Hikosaka, and Y. Honda

    Semicond. Sci. Technol.   27 巻   頁: 024006_1-024006_5   2012年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  320. Impurity incorporation in semipolar (1-101) GaN grown on an Si substrate 査読有り

    N. Sawaki, K. Hagiwara, T. Hikosaka, Y. Honda

    Semicond. Sci. Technol.   27 巻   頁: 024006_1-024006_5   2012年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  321. Growth of InGaN nanowires on a (111)Si substrate by RF-MBE 査読有り

    Tabata Takuya, Paek Jihyun, Honda Yoshio, Yamaguchi Masahito, Amano Hiroshi

    PHYSICA STATUS SOLIDI C: CURRENT TOPICS IN SOLID STATE PHYSICS, VOL 9, NO 3-4   9 巻 ( 3-4 ) 頁: 646 - 649   2012年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssc.201100446

    Web of Science

  322. In-situ void formation technique using an AlN shell structure grown on GaN stripes on Si(111) and c-plane sapphire substrates 査読有り

    Mitsunari Tadashi, Tanikawa Tomoyuki, Honda Yoshio, Yamaguchi Masahito, Amano Hiroshi

    PHYSICA STATUS SOLIDI C: CURRENT TOPICS IN SOLID STATE PHYSICS, VOL 9, NO 3-4   9 巻 ( 3-4 ) 頁: 480 - 483   2012年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssc.201100502

    Web of Science

  323. Improvement of Light Extraction Efficiency for AlGaN-Based Deep Ultraviolet Light-Emitting Diodes 査読有り

    T. Inazu, S. Fukahori, C. Pernot, M. H. Kim, T. Fujita, Y. Nagasawa, A. Hirano, M. Ippommatsu, M. Iwaya, T. Takeuchi, S. Kamiyama, M. Yamaguchi, Y. Honda, H. Amano, and I. Akasaki

    Jpn. J. Appl. Phys.   50 巻 ( 12 ) 頁: 122101_1-122101_3   2011年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  324. Improvement of Light Extraction Efficiency for AlGaN-Based Deep Ultraviolet Light-Emitting Diodes 査読有り

    T. Inazu, S. Fukahori, C. Pernot, M. H. Kim, T. Fujita, Y. Nagasawa, A. Hirano, M. Ippommatsu, M. Iwaya, T. Takeuchi, S. Kamiyama, M. Yamaguchi, Y. Honda, H. Amano, I. Akasaki

    Jpn. J. Appl. Phys.   50 巻 ( 12 ) 頁: 122101_1-122101_3   2011年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  325. Raman Spectroscopic Study Of Residual Strain In (1-101) GaN And (0001) GaN Layers Grown On Si Substrate 査読有り

    T. Sugiura, E.H. Kim, Y. Honda, H. Takagi, T. Tsukamoto, H. Andoh, M. Yamaguchi, and N. Sawaki

    AIP Conf. Proc.   1399 巻   頁: 503-504   2011年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  326. Raman Spectroscopic Study Of Residual Strain In (1-101) GaN And (0001) GaN Layers Grown On Si Substrate 査読有り

    T. Sugiura, E.H. Kim, Y. Honda, H. Takagi, T. Tsukamoto, H. Andoh, M. Yamaguchi, and N. Sawaki

    AIP Conf. Proc.   1399 巻   頁: 503-504   2011年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  327. Raman Spectroscopic Study Of Residual Strain In (1-101) GaN And (0001) GaN Layers Grown On Si Substrate 査読有り

    T. Sugiura, E.H. Kim, Y. Honda, H. Takagi, T. Tsukamoto, H. Andoh, M. Yamaguchi, N. Sawaki

    AIP Conf. Proc.   1399 巻   頁: 503-504   2011年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  328. Raman Spectroscopic Study Of Residual Strain In (1-101) GaN And (0001) GaN Layers Grown On Si Substrate 査読有り

    T. Sugiura, E.H. Kim, Y. Honda, H. Takagi, T. Tsukamoto, H. Andoh, M. Yamaguchi, N. Sawaki

    AIP Conf. Proc.   1399 巻   頁: 503-504   2011年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  329. AlGaN-Based Deep Ultraviolet Light-Emitting Diodes Fabricated on Patterned Sapphire Substrates 査読有り

    Kim Myunghee, Fujita Takehiko, Fukahori Shinya, INAZU Tetsuhiko, PERNOT Cyril, NAGASAWA Yosuke, HIRANO Akira, IPPOMMATSU Masamichi, IWAYA Motoaki, TAKEUCHI Tetsuya, KAMIYAMA Satoshi, YAMAGUCHI Masahito, HONDA Yoshio, AMANO Hiroshi, AKASAKI Isamu

    Applied physics express   4 巻 ( 9 ) 頁: "092102 - 1"-"092102-3"   2011年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  330. AlGaN-Based Deep Ultraviolet Light-Emitting Diodes Fabricated on Patterned Sapphire Substrates 査読有り

    M.H. Kim, T. Fujita, S. Fukahori, T. Inazu, C. Pernot, Y. Nagasawa, A. Hirano, M. Ippommatsu, M. Iwaya, T. Takeuchi, S. Kamiyama, M. Yamaguchi, Y. Honda, H. Amano, and I. Akasaki

    Appl. Phys. Express   4 巻 ( 9 ) 頁: 092102_1-092102_3   2011年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  331. AlGaN-Based Deep Ultraviolet Light-Emitting Diodes Fabricated on Patterned Sapphire Substrates 査読有り

    M.H. Kim, T. Fujita, S. Fukahori, T. Inazu, C. Pernot, Y. Nagasawa, A. Hirano, M. Ippommatsu, M. Iwaya, T. Takeuchi, S. Kamiyama, M. Yamaguchi, Y. Honda, H. Amano, and I. Akasaki

    Appl. Phys. Express   4 巻 ( 9 ) 頁: 092102_1-092102_3   2011年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  332. AlGaN-Based Deep Ultraviolet Light-Emitting Diodes Fabricated on Patterned Sapphire Substrates 査読有り

    M.H. Kim, T. Fujita, S. Fukahori, T. Inazu, C. Pernot, Y. Nagasawa, A. Hirano, M. Ippommatsu, M. Iwaya, T. Takeuchi, S. Kamiyama, M. Yamaguchi, Y. Honda, H. Amano, I. Akasaki

    Appl. Phys. Express   4 巻 ( 9 ) 頁: 092102_1-092102_3   2011年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  333. AlGaN-Based Deep Ultraviolet Light-Emitting Diodes Fabricated on Patterned Sapphire Substrates 査読有り

    M.H. Kim, T. Fujita, S. Fukahori, T. Inazu, C. Pernot, Y. Nagasawa, A. Hirano, M. Ippommatsu, M. Iwaya, T. Takeuchi, S. Kamiyama, M. Yamaguchi, Y. Honda, H. Amano, I. Akasaki

    Appl. Phys. Express   4 巻 ( 9 ) 頁: 092102_1-092102_3   2011年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  334. (1-101)GaN/Si上InGaN厚膜のMOVPE成長

    TANIKAWA Tomoyuki, HONDA Yoshio, YAMAGUCHI Masahito, AMANO Hiroshi, SAWAKI Nobuhiko

    IEICE technical report   111 巻 ( 44 ) 頁: 63 - 66   2011年5月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:The Institute of Electronics, Information and Communication Engineers  

    We demonstrated thick InGaN growth on (1-101)GaN/Si. The grown samples had relative smooth surface with RMS value of less than 10nm. From X-ray reciprocal space mapping, InGaN layer showed incoherent growth. First, the lattice relaxation occurred by generation of misfit dislocations between InGaN/GaN interface and inclined along <0001> projection. At a high indium content, a high density of misfit dislocations was generated, resulted in a larger inclination. Along <11-20> direction, InGaN lattice relaxation only occurred along the in-plane direction.

  335. AlGaN系紫外発光素子の通電特性 : UV-LEDの劣化メカニズム

    朴 貴珍, 杉山 貴之, 谷川 智之, 本田 善央, 山口 雅史, 天野 浩, 稲津 哲彦, 藤田 武彦, ペルノー シリル, 平野 光

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス   111 巻 ( 46 ) 頁: 123 - 126   2011年5月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    長時間・大電流駆動によるAlGaN系紫外発光素子の通電特性の変化から発光効率とリーク電流成分の増大の関連性、また、発光層への注入効率とリーク成分の関係性を調べた.劣化初期、通電時間が経つとともにリーク電流は増大、IQEは一定であった.しかし、駆動時間400時間目の結果からIQEの低下が観測された.その原因はリーク電流によるジュール熱であると考えられる.

  336. AlGaN系紫外発光素子の通電特性 : UV-LEDの劣化メカニズム

    朴 貴珍, 杉山 貴之, 谷川 智之, 本田 善央, 山口 雅史, 天野 浩, 稲津 哲彦, 藤田 武彦, ペルノー シリル, 平野 光

    電子情報通信学会技術研究報告. CPM, 電子部品・材料   111 巻 ( 45 ) 頁: 123 - 126   2011年5月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    長時間・大電流駆動によるAlGaN系紫外発光素子の通電特性の変化から発光効率とリーク電流成分の増大の関連性、また、発光層への注入効率とリーク成分の関係性を調べた.劣化初期、通電時間が経つとともにリーク電流は増大、IQEは一定であった.しかし、駆動時間400時間目の結果からIQEの低下が観測された.その原因はリーク電流によるジュール熱であると考えられる.

  337. AlGaN系紫外発光素子の通電特性 : UV-LEDの劣化メカニズム

    朴 貴珍, 杉山 貴之, 谷川 智之, 本田 善央, 山口 雅史, 天野 浩, 稲津 哲彦, 藤田 武彦, ペルノー シリル, 平野 光

    電子情報通信学会技術研究報告. ED, 電子デバイス   111 巻 ( 44 ) 頁: 123 - 126   2011年5月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    長時間・大電流駆動によるAlGaN系紫外発光素子の通電特性の変化から発光効率とリーク電流成分の増大の関連性、また、発光層への注入効率とリーク成分の関係性を調べた.劣化初期、通電時間が経つとともにリーク電流は増大、IQEは一定であった.しかし、駆動時間400時間目の結果からIQEの低下が観測された.その原因はリーク電流によるジュール熱であると考えられる.

  338. (1-101)GaN/Si上InGaN厚膜のMOVPE成長

    谷川 智之, 本田 善央, 山口 雅史, 天野 浩, 澤木 宣彦

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス   111 巻 ( 46 ) 頁: 63 - 66   2011年5月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    MOVPE法により(1-101)GaN/Si上にInGaN厚膜の成長を試みた。InGaNを成長するとGaNにみられた原子ステップは消失し、三次元成長が促進されていることを確認したが、c面によくみられるV字ピットは現れず、10nm以下の平均粗さを有する平坦性の比較的優れた結晶であることが分かった。X線逆格子マッピング測定よりInGaN結晶の格子緩和過程を観察すると、2段階の緩和過程が観察された。低In組成ではコヒーレント成長していたのに対し、In組成が増大するにつれまずc軸方向へのチルトが見られた。これは格子不整合により界面にミスフィット転位が導入されることに起因すると思われる。In組成が高くなるについてミスフィット転位の間隔が狭くなりチルト角度が増大した。<11-20>方向においては面内の格子緩和のみ発生した。

  339. (1-101)GaN/Si上InGaN厚膜のMOVPE成長

    谷川 智之, 本田 善央, 山口 雅史, 天野 浩, 澤木 宣彦

    電子情報通信学会技術研究報告. CPM, 電子部品・材料   111 巻 ( 45 ) 頁: 63 - 66   2011年5月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    MOVPE法により(1-101)GaN/Si上にInGaN厚膜の成長を試みた。InGaNを成長するとGaNにみられた原子ステップは消失し、三次元成長が促進されていることを確認したが、c面によくみられるV字ピットは現れず、10nm以下の平均粗さを有する平坦性の比較的優れた結晶であることが分かった。X線逆格子マッピング測定よりInGaN結晶の格子緩和過程を観察すると、2段階の緩和過程が観察された。低In組成ではコヒーレント成長していたのに対し、In組成が増大するにつれまずc軸方向へのチルトが見られた。これは格子不整合により界面にミスフィット転位が導入されることに起因すると思われる。In組成が高くなるについてミスフィット転位の間隔が狭くなりチルト角度が増大した。<11-20>方向においては面内の格子緩和のみ発生した。

  340. GaN系HFETsの電流コラプスの測定 : 非極性a面GaN基板上HFET及びc-GaN基板上p-GaNゲートを用いたノーマリーオフ型JHFET

    杉山 貴之, 本田 善央, 山口 雅史, 天野 浩, 磯部 康裕, 押村 吉徳, 岩谷 素顕, 竹内 哲也, 上山 智, 赤崎 勇, 今出 完, 北岡 康夫, 森 勇介

    電子情報通信学会技術研究報告. ED, 電子デバイス   111 巻 ( 44 ) 頁: 175 - 178   2011年5月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    c面および非極性a面GaN基板上AlGaN/GaN HFETの電流コラプスを測定した。a-HFETはc面上のHFETsに比べ高い閾値電圧と電流コラプス耐性の両立に優れることを実証した。また、p-GaNゲートを用いたc面ノーマリーオフ型JHFETについても電流コラプスの測定を行った、ドライエッチングによって露出されたAlGaN表面のデバイスでは電流コラプスが極めて大きいが、SiNパッシベーションによって、as-grownで同じ組成・膜厚を有するAlGaNバリアのノーマリーオフ型HFETsと同程度まで電流コラプス耐性が向上した。

  341. RF-MBE法による(111)Si基板上へのInGaNナノワイヤ成長

    田畑 拓也, 白 知鉉, 本田 善央, 山口 雅史, 天野 浩

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス   111 巻 ( 46 ) 頁: 45 - 48   2011年5月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    従来のプレーナ型量子井戸構造と比較してInGaNナノワイヤは可視光長波長領域において、より優れた発光特性を示すと予想される。本研究では、RF-MBE法により(111)Si基板上にIn/(In+Ga)フラックス比および成長温度を変化させてInGaNナノワイヤの成長を行った。成長温度を固定した場合、Inフラックス比が大きくなるほどナノワイヤにおけるIn組成の増大とともにフォトルミネッセンス(PL)ピーク波長は長波長側へシフトした。また、成長温度が高くなるにつれて、PLピーク波長は短波長側へシフトし、PL強度は大きくなった。これは成長温度が高くなるにつれてInの脱離が多くなったこと、およびInGaNナノワイヤの結晶性が向上したことが原因として考えられる。しかし、PLスペクトルの温度依存性からInGaNナノワイヤの内部量子効率を見積もると18%程度であった。これはSTEM像において確認された積層欠陥が原因の1つに挙げられる。

  342. RF-MBE法による(111)Si基板上へのInGaNナノワイヤ成長

    田畑 拓也, 白 知鉉, 本田 善央, 山口 雅史, 天野 浩

    電子情報通信学会技術研究報告. ED, 電子デバイス   111 巻 ( 44 ) 頁: 45 - 48   2011年5月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    従来のプレーナ型量子井戸構造と比較してInGaNナノワイヤは可視光長波長領域において、より優れた発光特性を示すと予想される。本研究では、RF-MBE法により(111)Si基板上にIn/(In+Ga)フラックス比および成長温度を変化させてInGaNナノワイヤの成長を行った。成長温度を固定した場合、Inフラックス比が大きくなるほどナノワイヤにおけるIn組成の増大とともにフォトルミネッセンス(PL)ピーク波長は長波長側へシフトした。また、成長温度が高くなるにつれて、PLピーク波長は短波長側へシフトし、PL強度は大きくなった。これは成長温度が高くなるにつれてInの脱離が多くなったこと、およびInGaNナノワイヤの結晶性が向上したことが原因として考えられる。しかし、PLスペクトルの温度依存性からInGaNナノワイヤの内部量子効率を見積もると18%程度であった。これはSTEM像において確認された積層欠陥が原因の1つに挙げられる。

  343. RF-MBE法による(111)Si基板上へのInGaNナノワイヤ成長

    田畑 拓也, 白 知鉉, 本田 善央, 山口 雅史, 天野 浩

    電子情報通信学会技術研究報告. CPM, 電子部品・材料   111 巻 ( 45 ) 頁: 45 - 48   2011年5月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    従来のプレーナ型量子井戸構造と比較してInGaNナノワイヤは可視光長波長領域において、より優れた発光特性を示すと予想される。本研究では、RF-MBE法により(111)Si基板上にIn/(In+Ga)フラックス比および成長温度を変化させてInGaNナノワイヤの成長を行った。成長温度を固定した場合、Inフラックス比が大きくなるほどナノワイヤにおけるIn組成の増大とともにフォトルミネッセンス(PL)ピーク波長は長波長側へシフトした。また、成長温度が高くなるにつれて、PLピーク波長は短波長側へシフトし、PL強度は大きくなった。これは成長温度が高くなるにつれてInの脱離が多くなったこと、およびInGaNナノワイヤの結晶性が向上したことが原因として考えられる。しかし、PLスペクトルの温度依存性からInGaNナノワイヤの内部量子効率を見積もると18%程度であった。これはSTEM像において確認された積層欠陥が原因の1つに挙げられる。

  344. GaN系HFETsの電流コラプスの測定 : 非極性a面GaN基板上HFET及びc-GaN基板上p-GaNゲートを用いたノーマリーオフ型JHFET

    SUGIYAMA T., HONDA Y., YAMAGUCHI M., AMANO H., ISOBE Y., OSHIMURA Y., IWAYA M., TAKEUCHI T., KAMIYAMA S., AKASAKI I., IMADE M., KITAOKA Y., MORI Y.

    IEICE technical report   111 巻 ( 46 ) 頁: 175 - 178   2011年5月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:The Institute of Electronics, Information and Communication Engineers  

    We measured current collapse in AlGaN/GaN HFETs on an a-plane GaN substrate. Non polar HFETs are promising for realizing a high V_<th> and a small current collapse than c-plane HFETs. We also measured current collapse in normally off mode AlGaN/GaN JHFETs with a p-GaN gate. The large current collapse was observed in unpassivated sample, because AlGaN barrier of JHFETs was exposed to air by dry etching. On the other hand current collapse in the SiNx-passivated JHFETs is small and almost the same as that in as-grown HFETs.

  345. GaN系HFETsの電流コラプスの測定 : 非極性a面GaN基板上HFET及びc-GaN基板上p-GaNゲートを用いたノーマリーオフ型JHFET

    SUGIYAMA T., HONDA Y., YAMAGUCHI M., AMANO H., ISOBE Y., OSHIMURA Y., IWAYA M., TAKEUCHI T., KAMIYAMA S., AKASAKI I., IMADE M., KITAOKA Y., MORI Y.

    IEICE technical report   111 巻 ( 45 ) 頁: 175 - 178   2011年5月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:The Institute of Electronics, Information and Communication Engineers  

    We measured current collapse in AlGaN/GaN HFETs on an a-plane GaN substrate. Non polar HFETs are promising for realizing a high V_<th> and a small current collapse than c-plane HFETs. We also measured current collapse in normally off mode AlGaN/GaN JHFETs with a p-GaN gate. The large current collapse was observed in unpassivated sample, because AlGaN barrier of JHFETs was exposed to air by dry etching. On the other hand current collapse in the SiNx-passivated JHFETs is small and almost the same as that in as-grown HFETs.

  346. Effect of lateral vapor-phase diffusion during the selective growth of InGaN/GaN MQW on semi-polar and non-polar GaN stripes 査読有り

    T. Tanikawa, Y. Honda, M. Yamaguchi, and H. Amano

    phys. stat. sol. (a)   208 巻 ( 5 ) 頁: 1175-1178   2011年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  347. Optical properties of (1-101)semi-polar InGaN/GaN multiple quantum wells grown on patterned silicon substrates 査読有り

    C.H. Chiu, D.W. Lin, C.C. Lin, Z.Y. Li, Y.C. Chen, S.C. Ling, H.C. Kuo, T.C. Lu, S.C. Wang, W.T. Liao, T. Tanikawa, Y. Honda, M. Yamaguchi, and N. Sawaki

    J. Cryst. Growth   318 巻 ( 1 ) 頁: 500-504   2011年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  348. Effect of lateral vapor-phase diffusion during the selective growth of InGaN/GaN MQW on semi-polar and non-polar GaN stripes 査読有り

    T. Tanikawa, Y. Honda, M. Yamaguchi, and H. Amano

    phys. stat. sol. (a)   208 巻 ( 5 ) 頁: 1175-1178   2011年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  349. Optical properties of (1-101)semi-polar InGaN/GaN multiple quantum wells grown on patterned silicon substrates 査読有り

    C.H. Chiu, D.W. Lin, C.C. Lin, Z.Y. Li, Y.C. Chen, S.C. Ling, H.C. Kuo, T.C. Lu, S.C. Wang, W.T. Liao, T. Tanikawa, Y. Honda, M. Yamaguchi, N. Sawaki

    J. Cryst. Growth   318 巻 ( 1 ) 頁: 500-504   2011年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  350. Effect of lateral vapor-phase diffusion during the selective growth of InGaN/GaN MQW on semi-polar and non-polar GaN stripes 査読有り

    T. Tanikawa, Y. Honda, M. Yamaguchi, H. Amano

    phys. stat. sol. (a)   208 巻 ( 5 ) 頁: 1175-1178   2011年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  351. Effect of lateral vapor-phase diffusion during the selective growth of InGaN/GaN MQW on semi-polar and non-polar GaN stripes 査読有り

    T. Tanikawa, Y. Honda, M. Yamaguchi, H. Amano

    phys. stat. sol. (a)   208 巻 ( 5 ) 頁: 1175-1178   2011年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  352. Spontaneous formation of highly regular superlattice structure in InGaN epilayers grown by molecular beam epitaxy 査読有り

    Z. H. Wu, Y. Kawai, Y.-Y. Fang, C. Q. Chen, H. Kondo, M. Hori, Y. Honda, M. Yamaguchi, and H. Amano

    Appl. Phys. Lett.   98 巻 ( 14 ) 頁: 141905   2011年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  353. Semi-polar GaN LEDs on Si substrate 査読有り

    N. Sawaki and Y. Honda

    SCIENCE CHINA Technological Sciences   54 巻 ( 1 ) 頁: 38-41   2011年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  354. Spontaneous formation of highly regular superlattice structure in InGaN epilayers grown by molecular beam epitaxy 査読有り

    Z. H. Wu, Y. Kawai, Y.-Y. Fang, C. Q. Chen, H. Kondo, M. Hori, Y. Honda, M. Yamaguchi, and H. Amano

    Appl. Phys. Lett.   98 巻 ( 14 ) 頁: 141905   2011年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  355. Spontaneous formation of highly regular superlattice structure in InGaN epilayers grown by molecular beam epitaxy 査読有り

    Z. H. Wu, Y. Kawai, Y.-Y. Fang, C. Q. Chen, H. Kondo, M. Hori, Y. Honda, M. Yamaguchi, H. Amano

    Appl. Phys. Lett.   98 巻 ( 14 ) 頁: 141905   2011年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  356. Spontaneous formation of highly regular superlattice structure in InGaN epilayers grown by molecular beam epitaxy 査読有り

    Z. H. Wu, Y. Kawai, Y.-Y. Fang, C. Q. Chen, H. Kondo, M. Hori, Y. Honda, M. Yamaguchi, H. Amano

    Appl. Phys. Lett.   98 巻 ( 14 ) 頁: 141905   2011年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  357. Semi-polar GaN LEDs on Si substrate 査読有り

    N. Sawaki, Y. Honda

    SCIENCE CHINA Technological Sciences   54 巻 ( 1 ) 頁: 38-41   2011年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  358. Optical properties of (1-101)semi-polar InGaN/GaN multiple quantum wells grown on patterned silicon substrates 査読有り

    C.H. Chiu, D.W. Lin, C.C. Lin, Z.Y. Li, Y.C. Chen, S.C. Ling, H.C. Kuo, T.C. Lu, S.C. Wang, W.T. Liao, T. Tanikawa, Y. Honda, M. Yamaguchi, and N. Sawaki

    J. Cryst. Growth   318 巻 ( 1 ) 頁: 500-504   2011年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  359. Optical properties of (1-101)semi-polar InGaN/GaN multiple quantum wells grown on patterned silicon substrates 査読有り

    C.H. Chiu, D.W. Lin, C.C. Lin, Z.Y. Li, Y.C. Chen, S.C. Ling, H.C. Kuo, T.C. Lu, S.C. Wang, W.T. Liao, T. Tanikawa, Y. Honda, M. Yamaguchi, N. Sawaki

    J. Cryst. Growth   318 巻 ( 1 ) 頁: 500-504   2011年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  360. Growth of semi-polar GaN-based light-emitting diodes grown on an patterned Si substrate 査読有り

    C.H. Chiu, D.W. Lin, Z.Y. Li, S.C. Ling, H.C. Kuo, T.C. Lu, S.C. Wang, W.T. Liao, T. Tanikawa, Y. Honda, M. Yamaguchi, and N. Sawaki

    SPIE   7939 巻   頁: 79391X   2011年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  361. Growth of semi-polar GaN-based light-emitting diodes grown on an patterned Si substrate 査読有り

    C.H. Chiu, D.W. Lin, Z.Y. Li, S.C. Ling, H.C. Kuo, T.C. Lu, S.C. Wang, W.T. Liao, T. Tanikawa, Y. Honda, M. Yamaguchi, and N. Sawaki

    SPIE   7939 巻   頁: 79391X   2011年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  362. Growth of semi-polar GaN-based light-emitting diodes grown on an patterned Si substrate 査読有り

    C.H. Chiu, D.W. Lin, Z.Y. Li, S.C. Ling, H.C. Kuo, T.C. Lu, S.C. Wang, W.T. Liao, T. Tanikawa, Y. Honda, M. Yamaguchi, N. Sawaki

    SPIE   7939 巻   頁: 79391X   2011年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  363. Growth of semi-polar GaN-based light-emitting diodes grown on an patterned Si substrate 査読有り

    C.H. Chiu, D.W. Lin, Z.Y. Li, S.C. Ling, H.C. Kuo, T.C. Lu, S.C. Wang, W.T. Liao, T. Tanikawa, Y. Honda, M. Yamaguchi, N. Sawaki

    SPIE   7939 巻   頁: 79391X   2011年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  364. Reduction of Efficiency Droop in Semipolar (1101) InGaN/GaN Light Emitting Diodes Grown on Patterned Silicon Substrates

    Chiu Ching-Hsueh, Lin Da-Wei, Lin Chien-Chung, LI Zhen-Yu, CHANG Wei-Ting, HSU Hung-Wen, KUO Hao-Chung, LU Tien-Chang, WANG Shing-Chung, LIAO Wei-Tsai, TANIKAWA Tomoyuki, HONDA Yoshio, YAMAGUCHI Masahito, SAWAKI Nobuhiko

    Applied physics express   4 巻 ( 1 ) 頁: "012105 - 1"-"012105-3"   2011年1月

     詳細を見る

    掲載種別:研究論文(学術雑誌)  

  365. Semi-polar GaN LEDs on Si substrate 査読有り

    N. Sawaki and Y. Honda

    SCIENCE CHINA Technological Sciences   54 巻 ( 1 ) 頁: 38-41   2011年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  366. Semi-polar GaN LEDs on Si substrate 査読有り

    N. Sawaki, Y. Honda

    SCIENCE CHINA Technological Sciences   54 巻 ( 1 ) 頁: 38-41   2011年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  367. Reduction of Efficiency Droop in Semipolar (1-101) InGaN/GaN Light Emitting Diodes Grown on Patterned Silicon Substrates 査読有り

    C.-H. Chiu, D.-W. Lin, C.-C. Lin, Z.-Y. Li, W.-T. Chang, H.-W. Hsu, H.-C. Kuo, T.-C. Lu, S.-C. Wang, W.-T. Liao, T. Tanikawa, Y. Honda, M. Yamaguchi, and N. Sawaki

    Appl. Phys. Express   4 巻 ( 1 ) 頁: 01210_1-012105_3   2011年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  368. Reduction of Efficiency Droop in Semipolar (1-101) InGaN/GaN Light Emitting Diodes Grown on Patterned Silicon Substrates 査読有り

    C.-H. Chiu, D.-W. Lin, C.-C. Lin, Z.-Y. Li, W.-T. Chang, H.-W. Hsu, H.-C. Kuo, T.-C. Lu, S.-C. Wang, W.-T. Liao, T. Tanikawa, Y. Honda, M. Yamaguchi, N. Sawaki

    Appl. Phys. Express   4 巻 ( 1 ) 頁: 01210_1-012105_3   2011年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  369. Drastic Reduction of Dislocation Density in Semipolar (11-22) GaN Stripe Crystal on Si Substrate by Dual Selective Metal-Organic Vapor Phase Epitaxy 査読有り

    T. Murase, T. Tanikawa, Y. Honda, M. Yamaguchi, H. Amano, and N. Sawaki

    Jpn. J. Appl. Phys.   50 巻 ( 1 ) 頁: 01AD04_1-01AD04_3   2011年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  370. Drastic Reduction of Dislocation Density in Semipolar (11-22) GaN Stripe Crystal on Si Substrate by Dual Selective Metal-Organic Vapor Phase Epitaxy 査読有り

    T. Murase, T. Tanikawa, Y. Honda, M. Yamaguchi, H. Amano, N. Sawaki

    Jpn. J. Appl. Phys.   50 巻 ( 1 ) 頁: 01AD04_1-01AD04_3   2011年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  371. Reduction of Efficiency Droop in Semipolar (1-101) InGaN/GaN Light Emitting Diodes Grown on Patterned Silicon Substrates 査読有り

    C.-H. Chiu, D.-W. Lin, C.-C. Lin, Z.-Y. Li, W.-T. Chang, H.-W. Hsu, H.-C. Kuo, T.-C. Lu, S.-C. Wang, W.-T. Liao, T. Tanikawa, Y. Honda, M. Yamaguchi, and N. Sawaki

    Appl. Phys. Express   4 巻 ( 1 ) 頁: 01210_1-012105_3   2011年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  372. Partial strain relaxation by stacking fault generation in InGaN multiple quantum wells grown on (11-01) semipolar GaN 査読有り

    Z. H. Wu, T. Tanikawa, T. Murase, Y.-Y. Fang, C. Q. Chen, Y. Honda, M. Yamaguchi, H. Amano, and N. Sawaki

    Appl. Phys. Lett.   98 巻 ( 5 ) 頁: 051902_1-051902_3   2011年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  373. Drastic Reduction of Dislocation Density in Semipolar (11-22) GaN Stripe Crystal on Si Substrate by Dual Selective Metal-Organic Vapor Phase Epitaxy 査読有り

    T. Murase, T. Tanikawa, Y. Honda, M. Yamaguchi, H. Amano, and N. Sawaki

    Jpn. J. Appl. Phys.   50 巻 ( 1 ) 頁: 01AD04_1-01AD04_3   2011年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  374. Partial strain relaxation by stacking fault generation in InGaN multiple quantum wells grown on (11-01) semipolar GaN 査読有り

    Z. H. Wu, T. Tanikawa, T. Murase, Y.-Y. Fang, C. Q. Chen, Y. Honda, M. Yamaguchi, H. Amano, and N. Sawaki

    Appl. Phys. Lett.   98 巻 ( 5 ) 頁: 051902_1-051902_3   2011年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  375. Reduction of Efficiency Droop in Semipolar (1-101) InGaN/GaN Light Emitting Diodes Grown on Patterned Silicon Substrates 査読有り

    C.-H. Chiu, D.-W. Lin, C.-C. Lin, Z.-Y. Li, W.-T. Chang, H.-W. Hsu, H.-C. Kuo, T.-C. Lu, S.-C. Wang, W.-T. Liao, T. Tanikawa, Y. Honda, M. Yamaguchi, N. Sawaki

    Appl. Phys. Express   4 巻 ( 1 ) 頁: 01210_1-012105_3   2011年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  376. Drastic Reduction of Dislocation Density in Semipolar (11-22) GaN Stripe Crystal on Si Substrate by Dual Selective Metal-Organic Vapor Phase Epitaxy 査読有り

    T. Murase, T. Tanikawa, Y. Honda, M. Yamaguchi, H. Amano, N. Sawaki

    Jpn. J. Appl. Phys.   50 巻 ( 1 ) 頁: 01AD04_1-01AD04_3   2011年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  377. Partial strain relaxation by stacking fault generation in InGaN multiple quantum wells grown on (11-01) semipolar GaN 査読有り

    Z. H. Wu, T. Tanikawa, T. Murase, Y.-Y. Fang, C. Q. Chen, Y. Honda, M. Yamaguchi, H. Amano, N. Sawaki

    Appl. Phys. Lett.   98 巻 ( 5 ) 頁: 051902_1-051902_3   2011年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  378. Partial strain relaxation by stacking fault generation in InGaN multiple quantum wells grown on (11-01) semipolar GaN 査読有り

    Z. H. Wu, T. Tanikawa, T. Murase, Y.-Y. Fang, C. Q. Chen, Y. Honda, M. Yamaguchi, H. Amano, N. Sawaki

    Appl. Phys. Lett.   98 巻 ( 5 ) 頁: 051902_1-051902_3   2011年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  379. Drain bias stress and memory effects in AlGaN/GaN heterostructure field-effect transistors with p-GaN gate 査読有り

    Sugiyama Takayuki, Honda Yoshio, Yamaguchi Masahito, Amano Hiroshi, Oshimura Yoshinori, Iida Daisuke, Iwaya Motoaki, Akasaki Isamu

    PHYSICA STATUS SOLIDI C: CURRENT TOPICS IN SOLID STATE PHYSICS, VOL 8, NO 7-8   8 巻 ( 7-8 )   2011年

     詳細を見る

    掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssc.201001081

    Web of Science

  380. Selective MOVPE growth of InGaN/GaN MQW on microfacet GaN stripes 査読有り

    Tanikawa Tomoyuki, Murase Tasuku, Honda Yoshio, Yamaguchi Masahito, Amano Hiroshi

    PHYSICA STATUS SOLIDI C: CURRENT TOPICS IN SOLID STATE PHYSICS, VOL 8, NO 7-8   8 巻 ( 7-8 )   2011年

     詳細を見る

    掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssc.201000995

    Web of Science

  381. Optical properties of (1-101) InGaN/GaN MQW stripe laser structure on Si substrate 査読有り

    Murase Tasuku, Tanikawa Tomoyuki, Honda Yoshio, Yamaguchi Masahito, Amano Hiroshi

    PHYSICA STATUS SOLIDI C: CURRENT TOPICS IN SOLID STATE PHYSICS, VOL 8, NO 7-8   8 巻 ( 7-8 )   2011年

     詳細を見る

    掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssc.201000990

    Web of Science

  382. Fabrication of InGaN/GaN stripe structure on (111)Si and stimulated emission under photo-excitation 査読有り

    B.-J. Kim, T. Tanikawa, Y. Honda, M. Yamaguchi, and N. Sawaki

    PHYSICA STATUS SOLIDI C: CURRENT TOPICS IN SOLID STATE PHYSICS, VOL 8, NO 7-8   42 巻 ( 10 ) 頁: 2575-2578   2010年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  383. Fabrication of InGaN/GaN stripe structure on (111)Si and stimulated emission under photo-excitation 査読有り

    B.-J. Kim, T. Tanikawa, Y. Honda, M. Yamaguchi, and N. Sawaki

    Physica E   42 巻 ( 10 ) 頁: 2575-2578   2010年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  384. Fabrication of InGaN/GaN stripe structure on (111)Si and stimulated emission under photo-excitation 査読有り

    B.-J. Kim, T. Tanikawa, Y. Honda, M. Yamaguchi, N. Sawaki

    Physica E   42 巻 ( 10 ) 頁: 2575-2578   2010年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  385. Fabrication of InGaN/GaN stripe structure on (111)Si and stimulated emission under photo-excitation 査読有り

    B.-J. Kim, T. Tanikawa, Y. Honda, M. Yamaguchi, N. Sawaki

    Physica E   42 巻 ( 10 ) 頁: 2575-2578   2010年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  386. HVPE growth of a -plane GaN on a GaN template (110)Si substrate 査読有り

    T. Tanikawa, N. Suzuki, Y. Honda, M. Yamaguchi, and N. Sawaki

    phys. stat. sol. (c)   7 巻 ( 7-8 ) 頁: 1760–1763   2010年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  387. HVPE growth of a -plane GaN on a GaN template (110)Si substrate 査読有り

    T. Tanikawa, N. Suzuki, Y. Honda, M. Yamaguchi, N. Sawaki

    phys. stat. sol. (c)   7 巻 ( 7-8 ) 頁: 1760–1763   2010年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  388. 選択MOVPE法を用いた極性・非極性GaNストライプ上へのInGaN/GaN MQW構造の作製 査読有り

    TANIKAWA Tomoyuki, HONDA Yoshio, YAMAGUCHI Masahito

    IEICE technical report   109 巻 ( 422 ) 頁: 23 - 28   2010年2月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:The Institute of Electronics, Information and Communication Engineers  

    We investigated the InGaN/GaN multiple quantum well(MQW)thickness and luminescence distribution on non c-plane GaN stripes. In the case of non-polar crystal, InGaN MQW at the edge region was thicker than the center region. On the other hand, we got uniform thickness on semi-polar crystals. We simulated the diffusion process in gas phase and estimated the diffusion length of chemical species on each facets. The estimated results suggested that the non-uniformity of thickness was attributed to the chemical source diffusion from vapor phase. While CL spectra showed broad InGaN peak, there were no difference between the center and edge region. We concluded that non-uniformity of thickness and/or composition might be caused from the vapor diffusion in the selective growth on three dimensional structure.

  389. 選択MOVPE法を用いた極性・非極性GaNストライプ上へのInGaN/GaN MQW構造の作製 査読有り

    TANIKAWA Tomoyuki, HONDA Yoshio, YAMAGUCHI Masahito

    IEICE technical report   109 巻 ( 423 ) 頁: 23 - 28   2010年2月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:The Institute of Electronics, Information and Communication Engineers  

    We investigated the InGaN/GaN multiple quantum well (MQW) thickness and luminescence distribution on non c-plane GaN stripes. In the case of non-polar crystal, InGaN MQW at the edge region was thicker than the center region. On the other hand, we got uniform thickness on semi-polar crystals. We simulated the diffusion process in gas phase and estimated the diffusion length of chemical species on each facets. The estimated results suggested that the non-uniformity of thickness was attributed to the chemical source diffusion from vapor phase. While CL spectra showed broad InGaN peak, there were no difference between the center and edge region. We concluded that non-uniformity of thickness and/or composition might be caused from the vapor diffusion in the selective growth on three dimensional structure.

  390. HVPE growth of a-plane GaN on a GaN template (110)Si substrate 査読有り

    Tanikawa Tomoyuki, Suzuki Noriyuki, Honda Yoshio, Yamaguchi Masahito, Sawaki Nobuhiko

    PHYSICA STATUS SOLIDI C: CURRENT TOPICS IN SOLID STATE PHYSICS, VOL 7, NO 7-8   7 巻 ( 7-8 )   2010年

     詳細を見る

    掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssc.200983563

    Web of Science

  391. Percolation transport in an AlGaN/GaN heterostructure 招待有り 査読有り

    N. Sawaki, X. X. Han, Y. Honda, and M. Yamaguchi

    Journal of Physics: Conference Series   193 巻   頁: 012012_1-012012_4   2009年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  392. Percolation transport in an AlGaN/GaN heterostructure 招待有り 査読有り

    N. Sawaki, X. X. Han, Y. Honda, M. Yamaguchi

    Journal of Physics: Conference Series   193 巻   頁: 012012_1-012012_4   2009年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  393. Influence of a SiO2 Mask on the Growth of Semi-Polar (11-22) GaN on Patterned Si (311) Substrates 査読有り

    M. Yang, H. S. Ahn, T. Tanikawa, Y. Honda, M. Yamaguchi, and N. Sawaki

    J. Korean Phys. Soc.   54 巻 ( 6 ) 頁: 2363   2009年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  394. Influence of a SiO2 Mask on the Growth of Semi-Polar (11-22) GaN on Patterned Si (311) Substrates 査読有り

    M. Yang, H. S. Ahn, T. Tanikawa, Y. Honda, M. Yamaguchi, N. Sawaki

    J. Korean Phys. Soc.   54 巻 ( 6 ) 頁: 2363   2009年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  395. *DAP emission band in a carbon doped (1-101)GaN grown on (001)Si substrate 査読有り

    Y. Honda, T. Hikosaka, M. Yamaguchi, N. Sawaki, G. Pozina, F. Karlsson, V. Darakchieva, P. Paskov, and B. Monemar

    phys. stat. sol. (c)   6 巻 ( S2 ) 頁: S772   2009年5月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  396. *DAP emission band in a carbon doped (1-101)GaN grown on (001)Si substrate 査読有り

    Y. Honda, T. Hikosaka, M. Yamaguchi, N. Sawaki, G. Pozina, F. Karlsson, V. Darakchieva, P. Paskov, B. Monemar

    phys. stat. sol. (c)   6 巻 ( S2 ) 頁: S772   2009年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  397. *Growth and properties of semi-polar GaN on a patterned silicon substrate 査読有り

    N. Sawaki, T. Hikosaka, N. Koide, S. Tanaka, Y. Honda, and M. Yamaguchi

    J. Cryst. Growth   311 巻 ( 10 ) 頁: 2867   2009年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  398. Maskless selective growth of semi-polar (11-22) GaN on Si (311) substrate by metal organic vapor phase epitaxy 査読有り

    M. Yang, H. S. Ahn, T. Tanikawa, Y. Honda, M. Yamaguchi, and N. Sawaki

    J. Cryst. Growth   311 巻 ( 10 ) 頁: 2914   2009年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  399. MOVPE growth and properties of GaN on (111)Si using an AlInN intermediate layer 査読有り

    M. Irie, N. Koide, Y. Honda, M. Yamaguchi, and N. Sawaki

    J. Cryst. Growth   311 巻 ( 10 ) 頁: 2891   2009年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  400. *Reduction of dislocations in a (11-22)GaN grown by selective MOVPE on (113)Si 査読有り

    T. Tanikawa, Y. Kagohashi, Y. Honda, M. Yamaguchi, and N. Sawaki

    J. Cryst. Growth   311 巻 ( 10 ) 頁: 2879   2009年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  401. *HVPE growth of semi-polar (11-22)GaN on GaN template (113)Si substrate 査読有り

    N. Suzuki, T. Uchida, T. Tanikawa, T. Hikosaka, Y. Honda, M. Yamaguchi, and N. Sawaki

    J. Cryst. Growth   311 巻 ( 10 ) 頁: 2875   2009年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  402. *Growth and properties of semi-polar GaN on a patterned silicon substrate 査読有り

    N. Sawaki, T. Hikosaka, N. Koide, S. Tanaka, Y. Honda, M. Yamaguchi

    J. Cryst. Growth   311 巻 ( 10 ) 頁: 2867 - 2867   2009年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  403. *Reduction of dislocations in a (11-22)GaN grown by selective MOVPE on (113)Si 査読有り

    T. Tanikawa, Y. Kagohashi, Y. Honda, M. Yamaguchi, N. Sawaki

    J. Cryst. Growth   311 巻 ( 10 ) 頁: 2879   2009年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  404. *HVPE growth of semi-polar (11-22)GaN on GaN template (113)Si substrate 査読有り

    N. Suzuki, T. Uchida, T. Tanikawa, T. Hikosaka, Y. Honda, M. Yamaguchi, N. Sawaki

    J. Cryst. Growth   311 巻 ( 10 ) 頁: 2875   2009年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  405. Maskless selective growth of semi-polar (11-22) GaN on Si (311) substrate by metal organic vapor phase epitaxy 査読有り

    M. Yang, H. S. Ahn, T. Tanikawa, Y. Honda, M. Yamaguchi, N. Sawaki

    J. Cryst. Growth   311 巻 ( 10 ) 頁: 2914   2009年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  406. MOVPE growth and properties of GaN on (111)Si using an AlInN intermediate layer 査読有り

    M. Irie, N. Koide, Y. Honda, M. Yamaguchi, N. Sawaki

    J. Cryst. Growth   311 巻 ( 10 ) 頁: 2891   2009年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  407. Scattering times in the two-dimensional electron gas of AlxGa1-xN/AlN/GaN heterostructures 査読有り

    X.X. Han XX, T. Honda, T. Narita, M. Yamaguchi, N. Sawaki, T. Tanaka, Q.X. Guo, and M. Nishio

    J. Phys. D   42 巻 ( 4 ) 頁: 045112-1   2009年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  408. Scattering times in the two-dimensional electron gas of AlxGa1-xN/AlN/GaN heterostructures 査読有り

    X.X. Han XX, T. Honda, T. Narita, M. Yamaguchi, N. Sawaki, T. Tanaka, Q.X. Guo, M. Nishio

    J. Phys. D   42 巻 ( 4 ) 頁: 045112-1   2009年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  409. DAP emission band in a carbon doped (1-101)GaN grown on (001)Si substrate 査読有り

    Honda Yoshio, Hikosaka Toshiki, Yamaguchi Masahito, Sawaki Nobuhiko, Pozina Galia, Karlsson Fredrik, Darakchieva Vanya, Paskov Plamen, Monemar Bo

    PHYSICA STATUS SOLIDI C: CURRENT TOPICS IN SOLID STATE PHYSICS, VOL 6, SUPPL 2   6 巻   頁: S772 - S775   2009年

     詳細を見る

    掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssc.200880932

    Web of Science

  410. Time-resolved spectroscopy in an undoped GaN (1-101) 査読有り

    E.H. Kim, T. Hikosaka, Y. Honda, M. Yamaguchi, and N. Sawaki

    phys. stat. sol. (c)   5 巻 ( 1 ) 頁: 367-369   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  411. Growth of semi-polar (11-22)GaN on a (113)Si substrate by selective MOVPE 査読有り

    T. Tanikawa, T. Hikosaka, Y. Honda, M. Yamaguchi, and N. Sawaki

    phys. stat. sol. (c)   5 巻 ( 9 ) 頁: 2966-2968   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  412. *Fabrication and properties of semi-polar (1-101) and (11-22) InGaN/GaN light emitting diodes on patterned Si substrates 査読有り

    T. Hikosaka, T. Tanikawa, Y. Honda, M. Yamaguchi, N. Sawaki

    phys. stat. sol. (c)   5 巻 ( 6 ) 頁: 2234?2237   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  413. Energy relaxation processes of photo-generated carriers in Mg doped (0001)GaN and (1-101)GaN 査読有り

    J. Saida, E. H. Kim, T. Hikosaka, Y. Honda, M. Yamaguchi, N. Sawaki

    phys. stat. sol. (c)   5 巻 ( 6 ) 頁: 1746?1749   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  414. Time-resolved spectroscopy in an undoped GaN (1-101) 査読有り

    E.H. Kim, T. Hikosaka, Y. Honda, M. Yamaguchi, N. Sawaki

    phys. stat. sol. (c)   5 巻 ( 1 ) 頁: 367-369   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  415. *Fabrication and properties of semi-polar (1-101) and (11-22) InGaN/GaN light emitting diodes on patterned Si substrates 査読有り

    T. Hikosaka, T. Tanikawa, Y. Honda, M. Yamaguchi, N. Sawaki

    phys. stat. sol. (c)   5 巻 ( 6 ) 頁: 2234?2237   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  416. Energy relaxation processes of photo-generated carriers in Mg doped (0001)GaN and (1-101)GaN 査読有り

    J. Saida, E. H. Kim, T. Hikosaka, Y. Honda, M. Yamaguchi, N. Sawaki

    phys. stat. sol. (c)   5 巻 ( 6 ) 頁: 1746?1749   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  417. Growth of semi-polar (11-22)GaN on a (113)Si substrate by selective MOVPE 査読有り

    T. Tanikawa, T. Hikosaka, Y. Honda, M. Yamaguchi, N. Sawaki

    phys. stat. sol. (c)   5 巻 ( 9 ) 頁: 2966-2968   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  418. Si基板上でのGaNマイクロファセット上へのInGaN/GaN選択MOVPE成長 査読有り

    中島 由樹, 本田 善央, 山口 雅史, 澤木 宣彦

    電子情報通信学会技術研究報告. CPM, 電子部品・材料   107 巻 ( 252 ) 頁: 97 - 102   2007年10月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    選択MOVPE法により(111)Si基板上に作製された(0001)面と(1-101)面からなるGaN台形ストライプ構造上にInGaN/GaNヘテロ構造のMOVPE成長を試みた。InGaN混晶薄膜の膜厚は各々のファセット面上で一様でなく、顕著なリッジ成長が見られた。CLスペクトルから組成均一性を評価したところ、(0001)面上ではスペクトルの中央値(ピーク値)は面上で一様であったが、リッジ部でスペクトル半値幅が増加し組成揺らぎが増強されることが分かった。これらの結果は気相中あるいはファセット表面上での化学種の拡散現象だけでは説明できなかった。

  419. Si基板上でのGaNマイクロファセット上へのInGaN/GaN選択MOVPE成長 査読有り

    中島 由樹, 本田 善央, 山口 雅史, 澤木 宣彦

    電子情報通信学会技術研究報告. LQE, レーザ・量子エレクトロニクス   107 巻 ( 253 ) 頁: 97 - 102   2007年10月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    選択MOVPE法により(111)Si基板上に作製された(0001)面と(1-101)面からなるGaN台形ストライプ構造上にInGaN/GaNヘテロ構造のMOVPE成長を試みた。InGaN混晶薄膜の膜厚は各々のファセット面上で一様でなく、顕著なリッジ成長が見られた。CLスペクトルから組成均一性を評価したところ、(0001)面上ではスペクトルの中央値(ピーク値)は面上で一様であったが、リッジ部でスペクトル半値幅が増加し組成揺らぎが増強されることが分かった。これらの結果は気相中あるいはファセット表面上での化学種の拡散現象だけでは説明できなかった。

  420. Si基板上でのGaNマイクロファセット上へのInGaN/GaN選択MOVPE成長 査読有り

    中島 由樹, 本田 善央, 山口 雅史, 澤木 宣彦

    電子情報通信学会技術研究報告. ED, 電子デバイス   107 巻 ( 251 ) 頁: 97 - 102   2007年10月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    選択MOVPE法により(111)Si基板上に作製された(0001)面と(1-101)面からなるGaN台形ストライプ構造上にInGaN/GaNヘテロ構造のMOVPE成長を試みた。InGaN混晶薄膜の膜厚は各々のファセット面上で一様でなく、顕著なリッジ成長が見られた。CLスペクトルから組成均一性を評価したところ、(0001)面上ではスペクトルの中央値(ピーク値)は面上で一様であったが、リッジ部でスペクトル半値幅が増加し組成揺らぎが増強されることが分かった。これらの結果は気相中あるいはファセット表面上での化学種の拡散現象だけでは説明できなかった。

  421. Fabrication of SAG-AlGaN/InGaN/AlGaN LEDs by mixed-source HVPE with multi-sliding boat system 査読有り

    K. H. Kim, K. S. Jang, S. L. Hwang, H. S. Jeon, W. J. Choi, M. Yang, H. S. Ahn, S. W. Kim, Y. Honda, M. Yamaguchi, N. Sawaki, J. Yoo, S. M. Lee, and M. Koike

    phys. stat. sol. (c)   4 巻 ( 1 ) 頁: 29?32   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  422. Acceptor Level due to Carbon in a (1?101)AlGaN 査読有り

    N. Sawaki, N. Koide, T. Hikosaka, Y. Honda, and M. Yamaguchi

    AIP Conf. Proc.   893 巻   頁: 281-282   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  423. Al doping in (1?101) GaN films grown on patterned (001) Si substrate 査読有り

    T. Hikosaka, Y. Honda, M. Yamaguchi, and N. Sawaki

    J. Appl. Phys.   101 巻 ( 10 ) 頁: 103513-1-103513-5   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  424. MOVPE growth and cathodoluminescence properties of GAN microcrystal co-doped with Zn and Si 査読有り

    Y. Honda, Y. Yanase, M. Tsuji, M. Yamaguchi, and N. Sawaki

    J. Cryst. Growth   300 巻 ( 1 ) 頁: 110-113   2007年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  425. Mg doping in (1-101)GaN grown on a 7o off-axis (001)Si substrate by selective MOVPE 査読有り

    T. Hikosaka, N. Koide, Y. Honda, M. Yamaguchi, and N. Sawaki

    J. Cryst. Growth   298 巻   頁: 207-210   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  426. Transport properties of the two-dimensional electron gas in AlxGa1?xN/GaN heterostructures 査読有り

    X. Han, Y. Honda, T. Narita, M. Yamaguchi and N. Sawaki

    J. Phys.: Condens. Matter   19 巻 ( 4 ) 頁: 046204_1-046204_11   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  427. Characterization of AlGaN, Te-doped GaN and Mg-doped GaN grown by hydride vapor phase epitaxy 査読有り

    K. S. Jang, K. H. Kim, S. L. Hwang, H. S. Jeon, H. S. Ahn, M. Yang, W. J. Choi, S. W. Kim, Y. Honda, M. Yamaguchi, N. Sawaki, J. Yoo, S. M. Lee, and M. Koike

    phys. stat. sol. (c)   4 巻 ( 1 ) 頁: 133?136   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  428. Growth of InGaN layer on GaN templated Al2O3 (0001) and Si (111) substrates by mixed-source HVPE 査読有り

    S. L. Hwang, K. S. Jang, K. H. Kim, H. S. Jeon, H. S. Ahn, M. Yang, S. W. Kim, Y. Honda, M. Yamaguchi, N. Sawaki, J. Yoo, S. M. Lee, and M. Koike

    phys. stat. sol. (c)   4 巻 ( 1 ) 頁: 125?128   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  429. Time-resolved photoluminescence spectroscopy in a GaN/AlGaN SQW structure grown on a (111) Si substrate 査読有り

    E.H. Kim, T. Narita, Y. Honda, M. Yamaguchi, and N. Sawaki

    phys. stat. sol. (c)   4 巻 ( 7 ) 頁: 2838-2841   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  430. Electron-Beam-Induced-Current Investigation of GaN/AlGaN/Si Heterostructures Using Scanning Transmission Electron Microscopy 査読有り

    S. Tanaka, K. Aoyama, M. Ichihashi, S. Arai, Y. Honda, and N. Sawaki

    J. Electron Microsc.   56 巻 ( 4 ) 頁: 141-144   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  431. Application of electron holography to the determination of contact potential difference in an AIGaN/AIN/Si heterostructure 査読有り

    S. Tanaka, A. Naito, Y. Honda, N. Sawaki, and M. Ichihashi

    J. Electron Microsc.   56 巻 ( 2 ) 頁: 37-42   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  432. Characterization of AlGaN/InGaN/AlGaN heterostructure with selective area growth of Te-doped AlGaN cladding layer grown by mixed-source HVPE 査読有り

    K. S. Jang, K. H. Kim, S. L. Hwang, H. S. Jeon, M. Yang, H. S. Ahn, S. W. Kim, Y. Honda, M. Yamaguchi, N. Sawaki, S. H. Jang, S. M. Lee, and M. Koike

    phys. stat. sol. (c)   4 巻 ( 7 ) 頁: 2240-2243   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  433. Subband structure and transport properties of two-dimensional electron gas in AlxGa1-xN/GaN heterostructures 査読有り

    X. Han, Y. Honda, T. Narita, M. Yamaguchi and N. Sawaki

    phys. stat. sol. (c)   4 巻 ( 7 ) 頁: 2334-2337   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  434. The surface diffusion of Ga species on an AlGaN facet structure in low pressure MOVPE 査読有り

    T. Narita, Y. Honda, M. Yamaguchi, and N. Sawaki

    phys. stat. sol. (c)   4 巻 ( 7 ) 頁: 2506-2509   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  435. Series resistance in a GaN/AlGaN/n-Si structure grown by MOVPE 査読有り

    Y. Honda, S. Kato, M. Yamaguchi, and N. Sawaki

    phys. stat. sol. (c)   4 巻 ( 7 ) 頁: 2740-2743   2007年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  436. Subband structure and transport properties of two-dimensional electron gas in AlxGa1-xN/GaN heterostructures 査読有り

    X. Han, Y. Honda, T. Narita, M. Yamaguchi, N. Sawaki

    phys. stat. sol. (c)   4 巻 ( 7 ) 頁: 2334-2337   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  437. The surface diffusion of Ga species on an AlGaN facet structure in low pressure MOVPE 査読有り

    T. Narita, Y. Honda, M. Yamaguchi, N. Sawaki

    phys. stat. sol. (c)   4 巻 ( 7 ) 頁: 2506-2509   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  438. Time-resolved photoluminescence spectroscopy in a GaN/AlGaN SQW structure grown on a (111) Si substrate 査読有り

    E.H. Kim, T. Narita, Y. Honda, M. Yamaguchi, N. Sawaki

    phys. stat. sol. (c)   4 巻 ( 7 ) 頁: 2838-2841   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  439. Transport properties of the two-dimensional electron gas in AlxGa1?xN/GaN heterostructures 査読有り

    X. Han, Y. Honda, T. Narita, M. Yamaguchi, N. Sawaki

    J. Phys.: Condens. Matter   19 巻 ( 4 ) 頁: 046204_1-046204_11   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  440. Series resistance in a GaN/AlGaN/n-Si structure grown by MOVPE 査読有り

    Y. Honda, S. Kato, M. Yamaguchi, N. Sawaki

    phys. stat. sol. (c)   4 巻 ( 7 ) 頁: 2740-2743   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  441. Characterization of AlGaN/InGaN/AlGaN heterostructure with selective area growth of Te-doped AlGaN cladding layer grown by mixed-source HVPE 査読有り

    K. S. Jang, K. H. Kim, S. L. Hwang, H. S. Jeon, M. Yang, H. S. Ahn, S. W. Kim, Y. Honda, M. Yamaguchi, N. Sawaki, S. H. Jang, S. M. Lee, M. Koike

    phys. stat. sol. (c)   4 巻 ( 7 ) 頁: 2240-2243   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  442. Characterization of AlGaN, Te-doped GaN and Mg-doped GaN grown by hydride vapor phase epitaxy 査読有り

    K. S. Jang, K. H. Kim, S. L. Hwang, H. S. Jeon, H. S. Ahn, M. Yang, W. J. Choi, S. W. Kim, Y. Honda, M. Yamaguchi, N. Sawaki, J. Yoo, S. M. Lee, M. Koike

    phys. stat. sol. (c)   4 巻 ( 1 ) 頁: 133?136   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  443. Application of electron holography to the determination of contact potential difference in an AIGaN/AIN/Si heterostructure 査読有り

    S. Tanaka, A. Naito, Y. Honda, N. Sawaki, M. Ichihashi

    J. Electron Microsc.   56 巻 ( 2 ) 頁: 37-42   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  444. Al doping in (1?101) GaN films grown on patterned (001) Si substrate 査読有り

    T. Hikosaka, Y. Honda, M. Yamaguchi, N. Sawaki

    J. Appl. Phys.   101 巻 ( 10 ) 頁: 103513-1-103513-5   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  445. Acceptor Level due to Carbon in a (1?101)AlGaN 査読有り

    N. Sawaki, N. Koide, T. Hikosaka, Y. Honda, M. Yamaguchi

    AIP Conf. Proc.   893 巻   頁: 281-282   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  446. Electron-Beam-Induced-Current Investigation of GaN/AlGaN/Si Heterostructures Using Scanning Transmission Electron Microscopy 査読有り

    S. Tanaka, K. Aoyama, M. Ichihashi, S. Arai, Y. Honda, N. Sawaki

    J. Electron Microsc.   56 巻 ( 4 ) 頁: 141-144   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  447. MOVPE growth and cathodoluminescence properties of GAN microcrystal co-doped with Zn and Si 査読有り

    Y. Honda, Y. Yanase, M. Tsuji, M. Yamaguchi, N. Sawaki

    J. Cryst. Growth   300 巻 ( 1 ) 頁: 110-113   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  448. Mg doping in (1-101)GaN grown on a 7o off-axis (001)Si substrate by selective MOVPE 査読有り

    T. Hikosaka, N. Koide, Y. Honda, M. Yamaguchi, N. Sawaki

    J. Cryst. Growth   298 巻   頁: 207-210   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  449. Growth of InGaN layer on GaN templated Al2O3 (0001) and Si (111) substrates by mixed-source HVPE 査読有り

    S. L. Hwang, K. S. Jang, K. H. Kim, H. S. Jeon, H. S. Ahn, M. Yang, S. W. Kim, Y. Honda, M. Yamaguchi, N. Sawaki, J. Yoo, S. M. Lee, M. Koike

    phys. stat. sol. (c)   4 巻 ( 1 ) 頁: 125?128   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  450. Fabrication of SAG-AlGaN/InGaN/AlGaN LEDs by mixed-source HVPE with multi-sliding boat system 査読有り

    K. H. Kim, K. S. Jang, S. L. Hwang, H. S. Jeon, W. J. Choi, M. Yang, H. S. Ahn, S. W. Kim, Y. Honda, M. Yamaguchi, N. Sawaki, J. Yoo, S. M. Lee, M. Koike

    phys. stat. sol. (c)   4 巻 ( 1 ) 頁: 29?32   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  451. Growth and doping of AlGaN and electroluminescence of SAG-InGaN/AlGaN heterostructure by mixed-source HVPE 査読有り

    K. H. Kim, H. S. Ahn, M. Yang, K. S. Jang, S. L. Hwang, W. J. Choi, C. R. Cho, S. W. Kim, Y. Honda, M. Yamaguchi, N. Sawaki, J. Yoo, S. M. Lee, and M. Koike

    phys. stat. sol. (c)   3 巻 ( 6 ) 頁: 1461?1465   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  452. Carbon Incorporation on (1-101) Facet of AlGaN in Metal Organic Vapor Phase Epitaxy 査読有り

    N. Koide, T. Hikosaka, Y. Honda, M. Yamaguchi, and N. Sawaki

    Jpn. J. Appl. Phys.   45 巻 ( 10A ) 頁: 7655-7660   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  453. Series Resistance in n-GaN/AlN/n-Si Heterojunction Structure 査読有り

    H. Kondo, N. Koide, Y. Honda, M. Yamaguchi, and N. Sawaki

    Jpn. J. Appl. Phys.   45 巻 ( 5A ) 頁: 4015?4017   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  454. Optical spectra of (1-101) InGaN/GaN and GaN/AlGaN MQW structure grown on a 7 degree off axis (001) Si substrate 査読有り

    E. H. Kim, T. Hikosaka, T. Narita, Y. Honda, N. Sawaki

    phys. stat. sol. (c)   3 巻 ( 6 ) 頁: 1992?1996   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  455. p-type conduction in a C-doped (1-101)GaN grown on a 7-degree-off oriented (001)Si substrate by selective MOVPE 査読有り

    T. Hikosaka, N. Koide, Y. Honda, M. Yamaguchi, and N. Sawaki

    phys. stat. sol. (c)   3 巻 ( 6 ) 頁: 1425?1428   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  456. Cathodoluminescence properties of InGaN codoped with Zn and Si 査読有り

    Y. Honda, Y. Yanase, M. Yamaguchi, and N. Sawaki

    phys. stat. sol. (c)   3 巻 ( 6 ) 頁: 1915?1918   2006年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  457. The surface diffusion of Ga on an AlGaN/GaN stripe structure in the selective MOVPE 査読有り

    T. Narita, Y. Honda, M. Yamaguchi, and N. Sawaki

    phys. stat. sol. (b)   243 巻 ( 7 ) 頁: 1665?1668   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  458. The surface diffusion of Ga on an AlGaN/GaN stripe structure in the selective MOVPE 査読有り

    T. Narita, Y. Honda, M. Yamaguchi, N. Sawaki

    phys. stat. sol. (b)   243 巻 ( 7 ) 頁: 1665?1668 - 1668   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  459. Series Resistance in n-GaN/AlN/n-Si Heterojunction Structure 査読有り

    H. Kondo, N. Koide, Y. Honda, M. Yamaguchi, N. Sawaki

    Jpn. J. Appl. Phys.   45 巻 ( 5A ) 頁: 4015?4017   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  460. Cathodoluminescence properties of InGaN codoped with Zn and Si 査読有り

    Y. Honda, Y. Yanase, M. Yamaguchi, N. Sawaki

    phys. stat. sol. (c)   3 巻 ( 6 ) 頁: 1915?1918   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  461. Carbon Incorporation on (1-101) Facet of AlGaN in Metal Organic Vapor Phase Epitaxy 査読有り

    N. Koide, T. Hikosaka, Y. Honda, M. Yamaguchi, N. Sawaki

    Jpn. J. Appl. Phys.   45 巻 ( 10A ) 頁: 7655-7660   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  462. Optical spectra of (1-101) InGaN/GaN and GaN/AlGaN MQW structure grown on a 7 degree off axis (001) Si substrate 査読有り

    E. H. Kim, T. Hikosaka, T. Narita, Y. Honda, N. Sawaki

    phys. stat. sol. (c)   3 巻 ( 6 ) 頁: 1992?1996   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  463. p-type conduction in a C-doped (1-101)GaN grown on a 7-degree-off oriented (001)Si substrate by selective MOVPE 査読有り

    T. Hikosaka, N. Koide, Y. Honda, M. Yamaguchi, N. Sawaki

    phys. stat. sol. (c)   3 巻 ( 6 ) 頁: 1425?1428   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  464. Growth and doping of AlGaN and electroluminescence of SAG-InGaN/AlGaN heterostructure by mixed-source HVPE 査読有り

    K. H. Kim, H. S. Ahn, M. Yang, K. S. Jang, S. L. Hwang, W. J. Choi, C. R. Cho, S. W. Kim, Y. Honda, M. Yamaguchi, N. Sawaki, J. Yoo, S. M. Lee, M. Koike

    phys. stat. sol. (c)   3 巻 ( 6 ) 頁: 1461?1465   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  465. (001)Si傾斜基板上(1-101)GaNへのSiおよびCドーピング(結晶成長, 評価技術及びデバイス(化合物, Si, SiGe, その他電子材料))

    彦坂 年輝, 小出 典克, 本田 善央, 山口 雅史, 澤木 宣彦

    電子情報通信学会技術研究報告. ED, 電子デバイス   105 巻 ( 90 ) 頁: 69 - 74   2005年5月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    (001)Si傾斜基板上への常圧選択MOVPE成長法により、(1-101)面を表面とするGaNが作製できる。この方法で得られるGaN(1-101)面は窒素面となり、ガリウムを最表面とする(0001)面とは、成長モードや不純物の取り込みが異なると予想される。実際、この(1-101)GaNはノンドーピングでp型伝導性を示した。この試料にSiドーピングを行った結果、p型伝導からn型伝導へと変化した。一方、Cドーピングでは試料はすべてp型伝導を示し、ドーピングによる正孔濃度の増加が確認された。これは(1-101)面においてSiはドナー不純物、Cはアクセプター不純物として働くことを示唆しており、p型伝導はCの窒素原子との置換によるものであると考えられる。

  466. (001)Si傾斜基板上(1-101)GaNへのSiおよびCドーピング(結晶成長, 評価技術及びデバイス(化合物, Si, SiGe, その他電子材料))

    彦坂 年輝, 小出 典克, 本田 善央, 山口 雅史, 澤木 宣彦

    電子情報通信学会技術研究報告. CPM, 電子部品・材料   105 巻 ( 92 ) 頁: 69 - 74   2005年5月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    (001)Si傾斜基板上への常圧選択MOVPE成長法により、(1-101)面を表面とするGaNが作製できる。この方法で得られるGaN(1-101)面は窒素面となり、ガリウムを最表面とする(0001)面とは、成長モードや不純物の取り込みが異なると予想される。実際、この(1-101)GaNはノンドーピングでp型伝導性を示した。この試料にSiドーピングを行った結果、p型伝導からn型伝導へと変化した。一方、Cドーピングでは試料はすべてp型伝導を示し、ドーピングによる正孔濃度の増加が確認された。これは(1-101)面においてSiはドナー不純物、Cはアクセプター不純物として働くことを示唆しており、p型伝導はCの窒素原子との置換によるものであると考えられる。

  467. Uniform growth of GaN on AlN templated (111)Si substrate by HVPE 査読有り

    Y. Honda, M. Okano, M. Yamaguchi, and N. Sawaki

    phys. stat. sol. (c)   2 巻 ( 7 ) 頁: 2125? 2128   2005年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  468. Growth of thick AlGaN by mixed-source hydride vapor phase epitaxy 査読有り

    H.S. Ahn, K.H. Kim, M. Yang, J.Y. Yi, H.J. Lee, C.R. Cho, H.K. Cho, S.W. Kim, T. Narita, Y. Honda, M. Yamaguchi, and N. Sawaki

    Appl. Surf. Science   243 巻 ( 1-4 ) 頁: 178-182   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  469. Growth and optical properties of InGaN/GaN quantum well on a (1-101) facet 査読有り

    T. Narita, Y. Honda, M. Yamaguchi, and N. Sawaki

    phys. stat. sol. (c)   2 巻 ( 7 ) 頁: 2349?2352   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  470. Effect of Si doping to the (1-101)GaN grown on a 7 degree off oriented (001)Si by selective MOVPE 査読有り

    T. Hikosaka, Y. Honda, N. Koide, M. Yamaguchi, and N. Sawaki

    Inst. Phys. Conf. Ser.   184 巻   頁: 251-254   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  471. Incorporation of carbon on a (1-101) facet of GaN by MOVPE 査読有り

    N. Koide, T. Hikosaka, Y. Honda, M. Yamaguchi, and N. Sawaki

    J. Cryst. Growth   284 巻 ( 3-4 ) 頁: 341?346   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  472. Characterization of AlGaN layer with high Al content grown by mixed-source HVPE 査読有り

    H. S. Ahn, K. H. Kim, M. Yang, J. Y. Yi, H. J. Lee, J. H. Chang, H. S. Kim, S. W. Kim, S. C. Lee, Y Honda, M. Yamaguchi, and N. Sawaki

    phys. stat. sol. (a)   202 巻 ( 6 ) 頁: 1048?1052   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  473. Doping in GaN and AlGaN Grown by Mixed-Source Hydride Vapor Phase Epitaxy 査読有り

    J. Y. Yi, Kyoung H. Kim, H. J. Lee, M.?Yang, H. S. Ahn, C. R. Cho, S. C. Lee, S. W. Kim, Y. Honda, M. Yamaguchi, and N. Sawaki

    Inst. Phys. Conf. Ser.   184 巻   頁: 373-376   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  474. Growth of AlGaN on Al2O3 substrates by Mixed-Source HVPE 査読有り

    K. H. Kim, J. Y. Yi, H. J. Lee, M. Yang, H. S. Ahn, S. N. Yi, C. R. Cho, S. C. Lee, S. W. Kim, Y. Honda, M. Yamaguchi, and N. Sawaki

    Inst. Phys. Conf. Ser.   184 巻   頁: 361-364   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  475. Characterization of AlGaN layer with high Al content grown by mixed-source HVPE 査読有り

    H. S. Ahn, K. H. Kim, M. Yang, J. Y. Yi, H. J. Lee, J. H. Chang, H. S. Kim, S. W. Kim, S. C. Lee, Y Honda, M. Yamaguchi, N. Sawaki

    phys. stat. sol. (a)   202 巻 ( 6 ) 頁: 1048?1052   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  476. Effect of Si doping to the (1-101)GaN grown on a 7 degree off oriented (001)Si by selective MOVPE 査読有り

    T. Hikosaka, Y. Honda, N. Koide, M. Yamaguchi, N. Sawaki

    Inst. Phys. Conf. Ser.   184 巻   頁: 251-254   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  477. Doping in GaN and AlGaN Grown by Mixed-Source Hydride Vapor Phase Epitaxy 査読有り

    J. Y. Yi, Kyoung H. Kim, H. J. Lee, M.?Yang, H. S. Ahn, C. R. Cho, S. C. Lee, S. W. Kim, Y. Honda, M. Yamaguchi, N. Sawaki

    Inst. Phys. Conf. Ser.   184 巻   頁: 373-376   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  478. Growth of AlGaN on Al2O3 substrates by Mixed-Source HVPE 査読有り

    K. H. Kim, J. Y. Yi, H. J. Lee, M. Yang, H. S. Ahn, S. N. Yi, C. R. Cho, S. C. Lee, S. W. Kim, Y. Honda, M. Yamaguchi, N. Sawaki

    Inst. Phys. Conf. Ser.   184 巻   頁: 361-364   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  479. Incorporation of carbon on a (1-101) facet of GaN by MOVPE 査読有り

    N. Koide, T. Hikosaka, Y. Honda, M. Yamaguchi, N. Sawaki

    J. Cryst. Growth   284 巻 ( 3-4 ) 頁: 341?346   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  480. Growth of thick AlGaN by mixed-source hydride vapor phase epitaxy 査読有り

    H.S. Ahn, K.H. Kim, M. Yang, J.Y. Yi, H.J. Lee, C.R. Cho, H.K. Cho, S.W. Kim, T. Narita, Y. Honda, M. Yamaguchi, N. Sawaki

    Appl. Surf. Science   243 巻 ( 1-4 ) 頁: 178-182   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  481. Growth and optical properties of InGaN/GaN quantum well on a (1-101) facet 査読有り

    T. Narita, Y. Honda, M. Yamaguchi, N. Sawaki

    phys. stat. sol. (c)   2 巻 ( 7 ) 頁: 2349?2352   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  482. Uniform growth of GaN on AlN templated (111)Si substrate by HVPE 査読有り

    Y. Honda, M. Okano, M. Yamaguchi, N. Sawaki

    phys. stat. sol. (c)   2 巻 ( 7 ) 頁: 2125? 2128   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  483. MOVPE法によるSi基板上へのGaN/AlNピラミッド構造の作製

    本田 善央, 中村 剛, 山口 雅史, 澤木 宣彦

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス   104 巻 ( 43 ) 頁: 17 - 22   2004年5月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    我々はこれまでに、シリコン基板上へMOVPE選択成長によりGaN/AlN六角錐構造を作製してきた。しかしながら、形状の均一性について問題があり、これは中間層として用いたAlN成長核の不均一が原因であった。AlNの成長時間を変化させ、GaN/AlN六角錐構造の均一性を評価したところ、AlN中間層膜厚の薄いところでは比較的均一なGaN/AlN六角錐構造が得られた。中間層の薄膜化は同時に、Si-GaN界面での電流-電圧特性を改善させることが可能であり、フィールドエミッタデバイス等の応用に極めて有効であると考えられる。

  484. MOVPE法によるSi基板上へのGaN/AlNピラミッド構造の作製

    本田 善央, 中村 剛, 山口 雅史, 澤木 宣彦

    電子情報通信学会技術研究報告. CPM, 電子部品・材料   104 巻 ( 41 ) 頁: 17 - 22   2004年5月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    我々はこれまでに、シリコン基板上へMOVPE選択成長によりGaN/AlN六角錐構造を作製してきた。しかしながら、形状の均一性について問題があり、これは中間層として用いたAlN成長核の不均一が原因であった。AlNの成長時間を変化させ、GaN/AlN六角錐構造の均一性を評価したところ、AlN中間層膜厚の薄いところでは比較的均一なGaN/AlN六角錐構造が得られた。中間層の薄膜化は同時に、Si-GaN界面での電流-電圧特性を改善させることが可能であり、フィールドエミッタデバイス等の応用に極めて有効であると考えられる。

  485. MOVPE法によるSi基板上へのGaN/AlNピラミッド構造の作製

    本田 善央, 中村 剛, 山口 雅史, 澤木 宣彦

    電子情報通信学会技術研究報告. ED, 電子デバイス   104 巻 ( 39 ) 頁: 17 - 22   2004年5月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    我々はこれまでに、シリコン基板上へMOVPE選択成長によりGaN/AlN六角錐構造を作製してきた。しかしながら、形状の均一性について問題があり、これは中間層として用いたAlN成長核の不均一が原因であった。AlNの成長時間を変化させ、GaN/AlN六角錐構造の均一性を評価したところ、AlN中間層膜厚の薄いところでは比較的均一なGaN/AlN六角錐構造が得られた。中間層の薄膜化は同時に、Si-GaN界面での電流-電圧特性を改善させることが可能であり、フィールドエミッタデバイス等の応用に極めて有効であると考えられる。

  486. Fabrication and optical properties of GaN micro-prism array on an Si substrate 査読有り

    A. Nishioka, Y. Honda, and N. Sawaki

    Proc. of Int. Conf. on Electrical Engineering 2004   3-1 巻   頁: 297-300   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  487. Optical spectra of GaN/InGaN/GaN MQW structure grown on a (1?101) GaN facet 査読有り

    Eun-Hee Kim, Tetsuo Narita, Yoshio Honda, and Nobuhiko Sawaki

    phys. stat. sol. (c)   1 巻 ( 10 ) 頁: 2512?2515   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  488. Transmission electron microscopy study of an AlN nucleation layer for the growth of GaN on a 7-degree off-oriented (001)Si substrate by metalorganic vapor phase epitaxy 査読有り

    S. Tanaka, Y. Honda, N. Kameshiro, R. Iwasaki, N. Sawaki, T. Tanji, and M. Ichihashi

    J. Cryst. Growth   260 巻 ( 3-4 ) 頁: 360-365   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  489. Growth of thick AlGaN by metalorganic-hydride vapor phase epitaxy 査読有り

    K. H. Kim, J. Y. Yi, H. J. Lee, M. Yang, H. S. Ahn, C. R. Cho, S. W. Kim, S. C. Lee, Y. Honda, M. Yamaguchi, and N. Sawaki

    phys. stat. sol. (c)   1 巻 ( 10 ) 頁: 2474?2477   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  490. Optical and electrical properties of (1-101)GaN grown on a 7[degree] off-axis (001)Si substrate 査読有り

    T. Hikosaka, T. Narita, Y. Honda, M. Yamaguchi, and N. Sawaki

    Appl. Phys. Lett.   84 巻 ( 23 ) 頁: 4717-4719   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  491. Photocurrent spectroscopy of a (0001) GaN/AlGaN/(111)Si heterostructure 査読有り

    Y. Kuroiwa, Y. Honda, N. Sawaki

    Physica E   21 巻 ( 2-4 ) 頁: 782-792   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  492. Growth of thick AlGaN by metalorganic-hydride vapor phase epitaxy 査読有り

    K. H. Kim, J. Y. Yi, H. J. Lee, M. Yang, H. S. Ahn, C. R. Cho, S. W. Kim, S. C. Lee, Y. Honda, M. Yamaguchi, N. Sawaki

    phys. stat. sol. (c)   1 巻 ( 10 ) 頁: 2474?2477   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  493. Fabrication and optical properties of GaN micro-prism array on an Si substrate 査読有り

    A. Nishioka, Y. Honda, N. Sawaki

    Proc. of Int. Conf. on Electrical Engineering 2004   3-1 巻   頁: 297-300   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  494. Optical and electrical properties of (1-101)GaN grown on a 7[degree] off-axis (001)Si substrate 査読有り

    T. Hikosaka, T. Narita, Y. Honda, M. Yamaguchi, N. Sawaki

    Appl. Phys. Lett.   84 巻 ( 23 ) 頁: 4717-4719   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  495. Transmission electron microscopy study of an AlN nucleation layer for the growth of GaN on a 7-degree off-oriented (001)Si substrate by metalorganic vapor phase epitaxy 査読有り

    S. Tanaka, Y. Honda, N. Kameshiro, R. Iwasaki, N. Sawaki, T. Tanji, M. Ichihashi

    J. Cryst. Growth   260 巻 ( 3-4 ) 頁: 360-365   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  496. Photocurrent spectroscopy of a (0001) GaN/AlGaN/(111)Si heterostructure 査読有り

    Y. Kuroiwa, Y. Honda, N. Sawaki

    Physica E   21 巻 ( 2-4 ) 頁: 782-792   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  497. Optical spectra of GaN/InGaN/GaN MQW structure grown on a (1?101) GaN facet 査読有り

    Eun-Hee Kim, Tetsuo Narita, Yoshio Honda, Nobuhiko Sawaki

    phys. stat. sol. (c)   1 巻 ( 10 ) 頁: 2512?2515   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  498. The surface diffusion of Ga on an AlGaN/GaN facet structure in the MOVPE growth 査読有り

    T. Narita, T. Hikosaka, Y. Honda, M. Yamaguchi, and N. Sawaki

    phys. stat. sol. (c)   0 巻 ( 7 ) 頁: 2154-2158   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  499. HVPE growth of a thick GaN layer on a GaN templated (111) Si substrate 査読有り

    Y. Nishimura, Y. Honda, M. Yamaguchi, and N. Sawaki

    phys. stat. sol. (c)   0 巻 ( 7 ) 頁: 2506-2510   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  500. Optical Characteristics of the AlGaN/GaN/AlGaN Waveguide Grown on (111) Si Substrate 査読有り

    H. Kim, K. H. Kim, M. Yang, H. S. Ahn, S. N. Yi, T. Narita, Y. Honda, M. Yamaguchi, and N. Sawaki

    J. Kor. Phys. Soc.   42 巻   頁: S622-S624   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  501. The compositional non-uniformity in an AlGaN capping layer of AlGaN/GaN pyramid grown on a (111) Si substrate by selective MOVPE 査読有り

    Y. Honda, M. Torikai, T. Nakamura, Y. Kuroiwa, M. Yamaguchi, and N. Sawaki

    phys. stat. sol. (c)   0 巻 ( 7 ) 頁: 2043-2046   2003年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  502. Infrared Reflectance in GaN/AlGaN Triangular Stripes Grown on Si(111) Substrates by MOVPE 査読有り

    M. Mizushima, T. Kato, Y. Honda, M. Yamaguchi, and N. Sawaki

    J. Kor. Phys. Soc.   42 巻 ( Supp. issue 2 ) 頁: S750-S752   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  503. Photoluminescence Properties of a Self-Doped GaN Layer Grown on Si Substrate 査読有り

    K. H. Kim, H. Kim, M. Yang, H. S. Ahn, S. N. Yi, N. Kameshiro, Y. Honda, M. Yamaguchi, and N. Sawaki

    J. Kor. Phys. Soc.   42 巻 ( Supp. issue 2 ) 頁: S219-S221   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  504. HVPE growth of a thick GaN layer on a GaN templated (111) Si substrate 査読有り

    Y. Nishimura, Y. Honda, M. Yamaguchi, N. Sawaki

    phys. stat. sol. (c)   0 巻 ( 7 ) 頁: 2506-2510   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  505. The surface diffusion of Ga on an AlGaN/GaN facet structure in the MOVPE growth 査読有り

    T. Narita, T. Hikosaka, Y. Honda, M. Yamaguchi, N. Sawaki

    phys. stat. sol. (c)   0 巻 ( 7 ) 頁: 2154-2158   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  506. The compositional non-uniformity in an AlGaN capping layer of AlGaN/GaN pyramid grown on a (111) Si substrate by selective MOVPE 査読有り

    Y. Honda, M. Torikai, T. Nakamura, Y. Kuroiwa, M. Yamaguchi, N. Sawaki

    phys. stat. sol. (c)   0 巻 ( 7 ) 頁: 2043-2046   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  507. Photoluminescence Properties of a Self-Doped GaN Layer Grown on Si Substrate 査読有り

    K. H. Kim, H. Kim, M. Yang, H. S. Ahn, S. N. Yi, N. Kameshiro, Y. Honda, M. Yamaguchi, N. Sawaki

    J. Kor. Phys. Soc.   42 巻 ( Supp. issue 2 ) 頁: S219-S221   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  508. Optical Characteristics of the AlGaN/GaN/AlGaN Waveguide Grown on (111) Si Substrate 査読有り

    H. Kim, K. H. Kim, M. Yang, H. S. Ahn, S. N. Yi, T. Narita, Y. Honda, M. Yamaguchi, N. Sawaki

    J. Kor. Phys. Soc.   42 巻   頁: S622-S624   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  509. Infrared Reflectance in GaN/AlGaN Triangular Stripes Grown on Si(111) Substrates by MOVPE 査読有り

    M. Mizushima, T. Kato, Y. Honda, M. Yamaguchi, N. Sawaki

    J. Kor. Phys. Soc.   42 巻 ( Supp. issue 2 ) 頁: S750-S752   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  510. Transmission Electron Microscopy Study of the Microstructure in Selective-Area-Grown GaN and an AlGaN/GaN Heterostructure on a 7-Degree Off-Oriented (001) Si Substrate 査読有り

    Tanaka Shigeyasu, Honda Yoshio, Kameshiro Norifumi, IWASAKI Ryuta, SAWAKI Nobuhiko, TANJI Takayoshi

    Japanese journal of applied physics. Pt. 2, Letters   41 巻 ( 7 ) 頁: L846 - L848   2002年7月

     詳細を見る

    掲載種別:研究論文(学術雑誌)  

  511. Si基板上へのGaN/AlGaNストライプ構造のMOVPE成長と光学特性 査読有り

    成田 哲生, 加藤 友将, 本田 善央, 山口 雅史, 澤木 宣彦

    電子情報通信学会技術研究報告. ED, 電子デバイス   102 巻 ( 114 ) 頁: 25 - 28   2002年6月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    選択有機金属気層エピタキシャル成長(MOVPE)法によりSi(111)基板上GaN台形ストライプ構造上とGaN/AlGaNヘテロ構造を作製し、光学的特性の評価を行った。ストライプ構造は(11^^-01)ファセットと(0001)面からなる。AlGaN混晶層の4.0KにおけるCLスペクトルには複数のDAP発光が観測された。その特性は混晶層の厚みによって変化し、ヘテロ界面の歪みが成長モードあるいは不純物の取り込みを変えていることを示唆した。

  512. Si基板上へのGaN/AlGaNストライプ構造のMOVPE成長と光学特性 査読有り

    成田 哲生, 加藤 友将, 本田 善央, 山口 雅史, 澤木 宣彦

    電子情報通信学会技術研究報告. LQE, レーザ・量子エレクトロニクス   102 巻 ( 117 ) 頁: 25 - 28   2002年6月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    選択有機金属気層エピタキシャル成長(MOVPE)法によりSi(111)基板上GaN台形ストライプ構造上とGaN/AlGaNヘテロ構造を作製し、光学的特性の評価を行った。ストライプ構造は(1101)ファセットと(0001)面からなる。AlGaN混晶層の4.0KにおけるCLスペクトルには複数のDAP発光が観測された。その特性は混晶層の厚みによって変化し、ヘテロ界面の歪みが成長モードあるいは不純物の取り込みを変えていることを示唆した。

  513. Si基板上へのGaN/AlGaNピラミッド列のMOVPE成長 査読有り

    鳥飼 正幸, 加藤 友将, 本田 善央, 山口 雅史, 澤木 宣彦

    電子情報通信学会技術研究報告. LQE, レーザ・量子エレクトロニクス   102 巻 ( 117 ) 頁: 21 - 24   2002年6月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    (111)Si基板上へのGaN/Al_xGa_1-xN六角錐ピラミッド列の選択MOVPE成長を行った。GaNピラミッド構造に混晶薄膜を堆積させたところ、Al_xGa_1-xNの組成はファセット上で異なり、ピラミッド構造の先端部分ではAl組成が高い傾向にあった。これは、ファセット上でのGaとAlの関する化学種の拡散長の差異によるものと解釈される。また堆積させる薄膜をAlNとした試料のC面における4.2KのCLスペクトルでは、波長218nmにAlNに起因すると考えられるピークが観測されたが、C面上には六角錐構造の幾何学的形状を反映するピット列が観測された。

  514. Si基板上へのGaN/AlGaNピラミッド列のMOVPE成長 査読有り

    鳥飼 正幸, 加藤 友将, 本田 善央, 山口 雅史, 澤木 宣彦

    電子情報通信学会技術研究報告. ED, 電子デバイス   102 巻 ( 114 ) 頁: 21 - 24   2002年6月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    (111)Si基板上へのGaN/Al_xGa_<1-x>N六角錐ピラミッド列の選択MOVPE成長を行った。GaNピラミッド構造に混晶薄膜を堆積させたところ、Al_xGa_<1-x>Nの組成はファセット上で異なり、ピラミッド構造の先端部分ではAl組成が高い傾向にあった。これは、ファセット上でのGaとAlの関する化学種の拡散長の差異によるものと解釈される。また堆積させる薄膜をAlNとした試料のC面における4.2KのCLスペクトルでは、波長218nmにAlNに起因すると考えられるピークが観測されたが、C面上には六角錐構造の幾何学的形状を反映するピット列が観測された。

  515. (001)Si傾斜基板上への(1-101)GaNの結晶成長 査読有り

    亀代 典史, 本田 善央, 山口 雅史, 澤木 宣彦

    電子情報通信学会技術研究報告. CPM, 電子部品・材料   102 巻 ( 78 ) 頁: 27 - 31   2002年5月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    GaNはSi(111)面上への結晶成長が可能であるが、熱膨張係数差によるクラック等の問題がある。この熱膨張係数差の問題は結晶軸を傾けることによって軽減することが可能である。本研究では、(001)Si 7°off基板上にストライプ窓を設け、異方性エッチングにより(111)ファセットを作製した後、MOVPE法により GaN選択成長を行った。その結果、平坦な(1-101)面が基板表面と平行となる六方晶GaNが得られた。また、界面の平担性がヘテロ構造の特性に大きな影響を及ぼす為、平坦なGaN(1-101)面上にヘテロ構造を作製することによって特性の向上が期待される。そこでGaN(1-101)面上にAlGaN/GaNヘテロ構造を作製し、光学的特性の評価を行った。

  516. (001)Si傾斜基板上への(1-101)GaNの結晶成長 査読有り

    亀代 典史, 本田 善央, 山口 雅史, 澤木 宣彦

    電子情報通信学会技術研究報告. ED, 電子デバイス   102 巻 ( 76 ) 頁: 27 - 31   2002年5月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    GaNはSi(111)面上への結晶成長が可能であるが、熱膨張係数差によるクラック等の問題がある。この熱膨張係数差の問題は結晶軸を傾けることによって軽減することが可能である。本研究では、(001)Si 7°off基板上にストライプ窓を設け、異方性エッチングにより(111)ファセットを作製した後、MOVPE法により GaN選択成長を行った。その結果、平坦な(1-101)面が基板表面と平行となる六方晶GaNが得られた。また、界面の平担性がヘテロ構造の特性に大きな影響を及ぼす為、平坦なGaN(1-101)面上にヘテロ構造を作製することによって特性の向上が期待される。そこでGaN(1-101)面上にAlGaN/GaNヘテロ構造を作製し、光学的特性の評価を行った。

  517. (001)Si傾斜基板上への(1-101)GaNの結晶成長 査読有り

    亀代 典史, 本田 善央, 山口 雅史, 澤木 宣彦

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス   102 巻 ( 80 ) 頁: 27 - 31   2002年5月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    GaNはSi(111)面上への結晶成長が可能であるが、熱膨張係数差によるクラック等の問題がある。この熱膨張係数差の問題は結晶軸を傾けることによって軽減することが可能である。本研究では、(001)Si7° off基板上にストライプ窓を設け、異方性エッチングにより(111)ファセットを作製した後、MOVPE法によりGaN選択成長を行った。その結果、平坦な(1-101)面が基板表面と平行となる六方晶GaNが得られた。また、界面の平坦性がヘテロ構造の特性に大きな影響を及ぼす為、平坦なGaN(1-101)面上にヘテロ構造を作製することによって特性の向上が期待される。そこでGaN(1-101)面上にAlGaN/GaNヘテロ構造を作製し、光学的特性の評価を行った。

  518. 選択成長法による(111)Si基板上へのクラックフリーGaN結晶の作製 査読有り

    黒岩 洋佑, 本田 善央, 山口 雅史, 澤木 宣彦

    電子情報通信学会技術研究報告. CPM, 電子部品・材料   102 巻 ( 78 ) 頁: 15 - 19   2002年5月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    格子型マスクパターンを用いた選択MOVPEにより(111)Si基板上へGaN単結晶の成長を行った。その結果、格子の端部ではリッジ成長が認められたが窓部にクラックフリーのGaNが作製可能であった。(0004)X線回折曲線の半値幅は388sec、77KにおけるPLのバンド端発光の半値幅は18.6meVと狭く、選択成長によりGaNの結晶性は大きく改善された。一方、GaN/A1GaN/Si界面のI-V特性は低抵抗のオーミック特性を示し、光電流スペクトルはA1GaN/Si界面付近にアモルファスSi層と思われる変成層が存在することを示唆していた。

  519. 選択成長法による(111)Si基板上へのクラックフリーGaN結晶の作製 査読有り

    黒岩 洋佑, 本田 善央, 山口 雅史, 澤木 宣彦

    電子情報通信学会技術研究報告. ED, 電子デバイス   102 巻 ( 76 ) 頁: 15 - 19   2002年5月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    格子型マスクパターンを用いた選択MOVPEにより(111)Si基板上へGaN単結晶の成長を行った。その結果、格子の端部ではリッジ成長が認められたが窓部にクラックフリーのGaNが作製可能であった。(0004)X線回折曲線の半値幅は388sec、77KにおけるPLのバンド端発光の半値幅は18.6meVと狭く、選択成長によりGaNの結晶性は大きく改善された。一方、GaN/A1GaN/Si界面のI-V特性は低抵抗のオーミック特性を示し、光電流スペクトルはA1GaN/Si界面付近にアモルファスSi層と思われる変成層が存在することを示唆していた。

  520. 選択成長法による(111)Si基板上へのクラックフリーGaN結晶の作製 査読有り

    黒岩 洋佑, 本田 善央, 山口 雅史, 澤木 宣彦

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス   102 巻 ( 80 ) 頁: 15 - 19   2002年5月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    格子型マスクパターンを用いた選択MOVPEにより(111)Si基板上へGaN単結晶の成長を行った。その結果、格子の端部ではリッジ成長が認められたが窓部にクラックフリーのGaNが作製可能であった。(0004)X線回折曲線の半値幅は388sec、77KにおけるPLのバンド端発光の半値幅は18.6meVと狭く、選択成長によりGaNの結晶性は大きく改善された。一方、GaN/AlGaN/Si界面のI-V特性は低抵抗のオーミック特性を示し、光電流スペクトルはAlGaN/Si界面付近にアモルファスSi層と思われる変成層が存在することを示唆していた。

  521. Growth of GaN free from cracks on a (111) Si substrate by selective metalorganic vapor-phase epitaxy 査読有り

    Y. Honda, Y. Kuroiwa, M. Yamaguchi, and N. Sawaki

    Appl. Phys. Lett   80 巻 ( 2 ) 頁: 222-224   2002年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  522. Transmission electron microscopy study of the microstructure in selective-area-grown GaN and an AlGaN/GaN heterostructure on a 7-degree off-oriented (001) Si substrate 査読有り

    S. Tanaka, Y. Honda, N. Kameshiro, R. Iwasaki, N. Sawaki, and T. Tanji

    Jpn. J. Appl. Phys.   41 巻 ( 7B ) 頁: L846-L848   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  523. HVPE Growth of GaN on a GaN Templated (111) Si Substrate 査読有り

    Y. Honda, T. Ishikawa, Y. Nishimura, M. Yamaguchi, and N. Sawaki

    phys. stat. sol. (c)   0 巻 ( 1 ) 頁: 107-111   2002年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  524. Fabrication of GaN/AlGaN MQW on (1-101) facet of wurtzite GaN grown on a (111) Si substrate by selective MOVPE 査読有り

    T. Kato, Y. Honda, M. Yamaguchi, N. Sawaki

    Inst. Phys. Conf. Ser.   170 巻   頁: 789-794   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  525. Growth of (1-101) GaN on a 7-degree off-oriented (001) Si substrate by selective MOVPE 査読有り

    Y. Honda, N. Kameshiro, M. Yamaguchi, and N. Sawaki

    J. Cryst. Growth   242 巻 ( 1-2 ) 頁: 82-86   2002年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  526. Growth of GaN crystal free from cracks on a (111) Si substrate by selective MOVPE 査読有り

    Y. Honda, Y. Kuroiwa, M. Yamaguchi, and N. Sawaki

    J. Cryst. Growth   242 巻 ( 1-2 ) 頁: 77-81   2002年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  527. Fabrication of GaN/AlGaN heterostructures on a (111) Si substrate by selective MOVPE 査読有り

    T. Kato, Y. Honda, M. Yamaguchi, and N. Sawaki

    J. Cryst. Growth   237-239 巻 ( 2 ) 頁: 1099-1103   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  528. Transmission Electron Microscopy Study of the Microstructure in Selective-Area-Grown GaN and an AlGaN/GaN Heterostructure on a 7-Degree Off-Oriented (001) Si Substrate. 査読有り

    Tanaka Shigeyasu, Honda Yoshio, Kameshiro Norifumi, Iwasaki Ryuta, Sawaki Nobuhiko, Tanji Takayoshi

    Japanese Journal of Applied Physics   41 巻 ( 7 ) 頁: L846 - L848   2002年

     詳細を見る

    掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.41.L846

  529. Growth of GaN free from cracks on a (111) Si substrate by selective metalorganic vapor-phase epitaxy 査読有り

    Y. Honda, Y. Kuroiwa, M. Yamaguchi, N. Sawaki

    Appl. Phys. Lett   80 巻 ( 2 ) 頁: 222-224   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  530. Growth of GaN crystal free from cracks on a (111) Si substrate by selective MOVPE 査読有り

    Y. Honda, Y. Kuroiwa, M. Yamaguchi, N. Sawaki

    J. Cryst. Growth   242 巻 ( 1-2 ) 頁: 77-81   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  531. Growth of (1-101) GaN on a 7-degree off-oriented (001) Si substrate by selective MOVPE 査読有り

    Y. Honda, N. Kameshiro, M. Yamaguchi, N. Sawaki

    J. Cryst. Growth   242 巻 ( 1-2 ) 頁: 82-86   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  532. Fabrication of GaN/AlGaN MQW on (1-101) facet of wurtzite GaN grown on a (111) Si substrate by selective MOVPE 査読有り

    T. Kato, Y. Honda, M. Yamaguchi, N. Sawaki

    Inst. Phys. Conf. Ser.   170 巻   頁: 789-794   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  533. Fabrication of GaN/AlGaN heterostructures on a (111) Si substrate by selective MOVPE 査読有り

    T. Kato, Y. Honda, M. Yamaguchi, N. Sawaki

    J. Cryst. Growth   237-239 巻 ( 2 ) 頁: 1099-1103   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  534. HVPE Growth of GaN on a GaN Templated (111) Si Substrate 査読有り

    Y. Honda, T. Ishikawa, Y. Nishimura, M. Yamaguchi, N. Sawaki

    phys. stat. sol. (c)   0 巻 ( 1 ) 頁: 107-111   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  535. Transmission electron microscopy study of the microstructure in selective-area-grown GaN and an AlGaN/GaN heterostructure on a 7-degree off-oriented (001) Si substrate 査読有り

    S. Tanaka, Y. Honda, N. Kameshiro, R. Iwasaki, N. Sawaki, T. Tanji

    Jpn. J. Appl. Phys.   41 巻 ( 7B ) 頁: L846-L848   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  536. 選択成長法によるシリコン基板上へのGaNドット列の作製 査読有り

    山口 雅史, 本田 善央, 澤木 宣彦

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス   100 巻 ( 643 ) 頁: 25 - 30   2001年2月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    (111)Si基板上に電子線露光法によって直径250nm以下の大きさの円形窓列(周期350nm)を有するシリコン酸化膜を作製し, これをマスクとして, 有機金属気相成長(MOVPE)法によってGaNの選択成長を行った.窓部には, 底面の差し渡しが250nm程度の六角錐GaNドット列が得られた.六角錘GaNドットの頂上には極めて平坦なc面が得られ, その大きさは50nmとなった.サファイア基板上への成長した六角錐GaNドットに比べて, ファセットの形状が鮮明で, 光学的性質にも比較的優れ, GaN量子ドット列を得るのにSi基板上に作製した方がより都合がいいことが分かった.

  537. Selective growth of GaN/AlGaN microstructures by metalorganic vapor phase epitaxy 査読有り

    T. Kato, Y. Honda, Y. Kawaguchi, M. Yamaguchi, and N. Sawaki

    Jpn. J. Appl. Phys.   40 巻 ( 3B ) 頁: 1896-1898   2001年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  538. Structural characterization of GaN laterally overgrown on a (111)Si substrate 査読有り

    S. Tanaka, Y. Honda, N. Sawaki, and M. Hibino

    Appl. Phys. Lett   79 巻 ( 7 ) 頁: 955-957   2001年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  539. Selective area growth of GaN microstructures on petterned (111) and (001) Si substrate 査読有り

    Y. Honda, Y. Kawaguchi, Y. Ohtake, M. Yamaguchi, and N. Sawaki

    J. Cryst. Growth   230 巻 ( 3-4 ) 頁: 346-350   2001年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  540. Selective area growth of GaN microstructures on petterned (111) and (001) Si substrate 査読有り

    Y. Honda, Y. Kawaguchi, Y. Ohtake, M. Yamaguchi, N. Sawaki

    J. Cryst. Growth   230 巻 ( 3-4 ) 頁: 346-350   2001年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  541. Structural characterization of GaN laterally overgrown on a (111)Si substrate 査読有り

    S. Tanaka, Y. Honda, N. Sawaki, M. Hibino

    Appl. Phys. Lett   79 巻 ( 7 ) 頁: 955-957   2001年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  542. Selective growth of GaN/AlGaN microstructures by metalorganic vapor phase epitaxy 査読有り

    T. Kato, Y. Honda, Y. Kawaguchi, M. Yamaguchi, N. Sawaki

    Jpn. J. Appl. Phys.   40 巻 ( 3B ) 頁: 1896-1898   2001年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  543. MOVPE選択成長法による(111)シリコン基板上への六方晶GaN微細構造の作製と制御 査読有り

    本田 善央, 大竹 洋一, 川口 靖利, 山口 雅史, 澤木 宣彦

    電子情報通信学会技術研究報告. ED, 電子デバイス   99 巻 ( 616 ) 頁: 21 - 28   2000年2月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    MOVPE選択成長法(SAG)によるシリコン基板上への六方晶GaNのストライプ構造、埋め込み構造、並びに六角錐ピラミッドの作製について述べた。(1-101)ファセットとC面で囲まれたこれらの結晶の品質は一面成長によるものより良質であること、GaN量子細線や量子ドットをSi基板上に形成することができることを明らかにした。高品質の結晶を得るためには成長初期の成長核の形成過程、すなわち、異種材料上へのヘテロエピタキシにおける緩衝層の形成条件を整えることが重要であることを示した。

  544. Selective growth of GaN microstructures on (111) facets of a (001)Si substrate by MOVPE 査読有り

    Y. Honda, Y. Kawaguchi, T. Kato, M. Yamaguchi, and N. Sawaki

    IPAP Conf. Series   1 巻   頁: 304-307   2000年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  545. Selective growth of GaN microstructures on (111) facets of a (001)Si substrate by MOVPE 査読有り

    Y. Honda, Y. Kawaguchi, T. Kato, M. Yamaguchi, N. Sawaki

    IPAP Conf. Series   1 巻   頁: 304-307   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  546. Selective Area Growth of GaN on Stripe-Patterned (111)Si Substrate by Metalorganic Vapor Phase Epitaxy 査読有り

    Y. Kawaguchi, Y. Honda, M. Yamaguchi, N. Sawaki, and K. Hiramatsu

    Phys. Stat. Sol. (a)   176 巻   頁: 553-556   1999年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  547. Selective area growth (SAG) and epitaxial lateral overgrowth (ELO) of wurtzite GaN on (111) Si substrate by metalorganic vapor phase epitaxy 査読有り

    Y. Kawaguchi, Y. Honda, M. Yamaguchi, K. Hiramatsu, and N. Sawaki

    Inst. Phys. Conf. Ser.   162 巻   頁: 687-692   1999年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  548. Selective area growth (SAG) and epitaxial lateral overgrowth (ELO) of wurtzite GaN on (111) Si substrate by metalorganic vapor phase epitaxy 査読有り

    Y. Kawaguchi, Y. Honda, M. Yamaguchi, K. Hiramatsu, N. Sawaki

    Inst. Phys. Conf. Ser.   162 巻   頁: 687-692   1999年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  549. Selective Area Growth of GaN on Stripe-Patterned (111)Si Substrate by Metalorganic Vapor Phase Epitaxy 査読有り

    Y. Kawaguchi, Y. Honda, M. Yamaguchi, N. Sawaki, K. Hiramatsu

    Phys. Stat. Sol. (a)   176 巻   頁: 553-556   1999年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  550. Selective area growth of GaN on Si substrate using SiO_2 mask by metalorganic vapor phase epitaxy 査読有り

    Y. Kawaguchi, Y. Honda, H. Matsushima, M. Yamaguchi, K. Hiramatsu, and N. Sawaki

    Jpn. J. Appl. Phys.   37 巻 ( 8B ) 頁: L966-L969   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  551. Selective area growth of GaN on Si substrate using SiO_2 mask by metalorganic vapor phase epitaxy 査読有り

    Y. Kawaguchi, Y. Honda, H. Matsushima, M. Yamaguchi, K. Hiramatsu, N. Sawaki

    Jpn. J. Appl. Phys.   37 巻 ( 8B ) 頁: L966-L969   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

▼全件表示

書籍等出版物 1

  1. Si基板上への気相エピタキシャル成長法による窒化物半導体の結晶成長に関する研究

    本田 善央( 担当: 単著)

    [出版者不明]  2002年 

     詳細を見る

講演・口頭発表等 89

  1. Photocurrent and Photoluminescence measurements for InGaN Based LED 招待有り 国際会議

    Shigeyoshi Usami, Yoshio Honda, and Hiroshi Amano

    LEDIA'17 

     詳細を見る

    開催年月日: 2017年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Pacifico Yokohama   国名:日本国  

  2. In-situ monitoring of Laser absorption and scattering method during InGaN growth by MOVPE 招待有り 国際会議

    Yoshio Honda, Tetsuya Yamamoto, Akira Tamura, Shigeyoshi Usami, Kentaro Nagamatsu, Maki Kushimoto, Shugo Nitta, Hiroshi Amano

    The 7th International Symposium on Advanced Science and Technology of Silocon Material 

     詳細を見る

    開催年月日: 2016年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  3. In-situ monitoring of InGaN growth by Laser absorption and scattering method 招待有り 国際会議

    Yoshio Honda , Tetsuya Yamamoto, Akira Tamura, Shigeyoshi Usami, Kentaro Nagamatsu, Maki Kushimoto, Shugo Nitta, Hiroshi Amano

    SPIE 

     詳細を見る

    開催年月日: 2016年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  4. 世界を照らす青色LED

    本田善央

    第20回東海地区分析研究会講演会 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  5. 発光ダイオード

    本田善央

    応用物理学会 赤﨑・天野記念LEDスクール 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  6. InGaN growth mechanism evaluation by In-situ monitoring based on LAS 国際会議

    Yoshio Honda,Akira Tamura,Tetsuya Yamamoto, Maki Kushimoto,Hiroshi Amano

    2015 German-Japanese-Spanish Joint Workshop 

     詳細を見る

    開催年月日: 2015年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  7. InGaN 系光デバイスの成長と特性評価

    本田善央,田村彰,山本哲也, 李 昇我, 久志本真希,天野浩

    STR 結晶成長 結晶成長 とデバイス 解析 

     詳細を見る

    開催年月日: 2015年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  8. 世界を照らす青色発光ダイオード

    本田善央

    第58回名大カフェ 

     詳細を見る

    開催年月日: 2015年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  9. InGaN成長中の光散乱を用いたin situ観察と成長機構

    本田善央,田村彰,山本哲也, 久志本真希,天野浩

    第23回シンポジウム「窒化物半導体の成長技術とメカニズム理解 

     詳細を見る

    開催年月日: 2015年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  10. MOVPE法によるInGaN加圧成長とLAS法によるその場観察

    本田善央,田村彰,山本哲也, 久志本真希,天野浩

    日本結晶成長学会 ナノ構造・エピタキシャル成長分科会 2015年春季講演会 

     詳細を見る

    開催年月日: 2015年5月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  11. Semi-polar GaN growth on patterned (001)Si substrate by MOVPE 国際会議

    Y. Honda, M. Kushimoto, and H. Amano

    2015 MRS Spring Meeting & Exhibit 

     詳細を見る

    開催年月日: 2015年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  12. Si基板上半極性面GaN上光デバイスとInGaN結晶成長のその場観察 国際会議

    本田善央,田村彰,宇佐美茂佳, 久志本真希,光成正,山口雅史,天野浩

    第5回フォトニックデバイス・応用技術研究会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  13. In-situ monitoring of InGaN MOVPE-growth by Laser Absorption and Scattering method 国際会議

    Yoshio Honda, Tetsuya Yamamoto, AkiraTamura, Tadashi Mitsunari and Hiroshi Amano

     詳細を見る

    開催年月日: 2015年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  14. GaN基板上GaN系パワーデバイス開発

    ○本田 善央,出来 真斗,天野浩

    JST懇話会 

     詳細を見る

    開催年月日: 2014年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  15. Ⅲ族窒化物半導体の結晶成長技術とデバイス応用

    本田善央,久志本真希,光成正, 山下康平,山口雅史,天野浩

    第18回VBLシンポジウム 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  16. Pressurized MOVPE of high-In-content InGaN 国際会議

    A.Tamura, K. Yamashita, T. Mitsunari, Y. Honda and H. Amano

    ICMOVPE-17(Tue-Oral-1-1 ) 

     詳細を見る

    開催年月日: 2014年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:スイス連邦  

  17. High pressure InGaN growth on Sapphire substrate by MOVPE 国際会議

    Yoshio Honda, Tomohiro Doi, Masahito Yamaguchi and Hiroshi Amano

    2013 JSAP-MRS Joint Symposia Symposium J(18p-M6-1) 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  18. 加圧MOVPEによるInGaN結晶成長

    坂倉誠也、土井友博、谷川智之、本田善央、山口雅史、天野浩

    第59回 応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  19. 加工Si基板上への非極性GaN選択成長

    本田善央,谷川智之,村瀬輔,光成正,山下康平,山口雅史

    第2回窒化物半導体結晶成長講演会 

     詳細を見る

    開催年月日: 2010年5月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  20. 半極性,非極性GaN/Si基板の開発

    本田善央,澤木宣彦

    第6回窒化物半導体研究会 

     詳細を見る

    開催年月日: 2009年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  21. Si基板上半極性面GaNへのInGaNヘテロ成長

    本田善央

    第1回窒化物半導体結晶成長講演会 

     詳細を見る

    開催年月日: 2009年5月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  22. (110)Si 基板を用いた無極性(11-20)GaN の結晶成長

    本田善央

    特定領域研究「窒化物光半導体のフロンティア -材料潜在能力の極限発現-」公開シンポジウム 

     詳細を見る

    開催年月日: 2008年8月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  23. 加工Si 基板上(1-101)及び(11-22)GaN へのInGaN ヘテロ成長

    本田善央

    特定領域研究「窒化物光半導体のフロンティア -材料潜在能力の極限発現-」公開シンポジウム 

     詳細を見る

    開催年月日: 2008年8月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  24. Series Resistance of s-Si/AlGaN/GaN Structure Grown by MOVPE 国際会議

    Series Resistance of s-Si/AlGaN/GaN Structure Grown by MOVPE 

     詳細を見る

    開催年月日: 2006年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  25. MOVPE growth and cathodoluminescence properties of GaN microcrystal co-doped with Zn and Si 国際会議

    MOVPE growth and cathodoluminescence properties of GaN microcrystal co-doped with Zn and Si 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:英語   会議種別:ポスター発表  

  26. GaN micro-structure on Si substrate 国際会議

    GaN micro-structure on Si substrate 

     詳細を見る

    開催年月日: 2005年12月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  27. Optical spectra of (1-101) InGaN/GaN and GaN/AlGaN MQW structure grown on a 7 degree off axis (001) Si substrate 国際会議

    Optical spectra of (1-101) InGaN/GaN and GaN/AlGaN MQW structure grown on a 7 degree off axis (001) Si substrate 

     詳細を見る

    開催年月日: 2005年12月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  28. MOVPE選択成長法によるGaN微細構造の作製と評価

    本田善央,山口雅史,澤木宣彦

    第 9 回VBLシンポジウム 

     詳細を見る

    開催年月日: 2005年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  29. Cathodoluminescence properties of InGaN codoped with Zn and Si 国際会議

    Cathodoluminescence properties of InGaN codoped with Zn and Si 

     詳細を見る

    開催年月日: 2005年8月

    記述言語:英語   会議種別:ポスター発表  

  30. Surface morphology of (1-101) GaN/AlGaN/GaN heterostructure grown on (001)Si substrate by MOVPE

    Y. Honda, T. Hikosaka, E. H.Kim, M. Yamaguchi and N. Sawaki

    第24 回電子材料シンポジウム 24th Electronic Materials Symposium (EMS-24) 

     詳細を見る

    開催年月日: 2005年7月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  31. MOVPE成長したGaN/AlN/Siの電流電圧特性(Ⅱ)

    近藤広幸,加藤智志,本田善央,山口雅史,澤木宣彦

    第52回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2005年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  32. Uniform growth of GaN on AlN templated (111)Si substrate by HVPE 国際会議

    Uniform growth of GaN on AlN templated (111)Si substrate by HVPE 

     詳細を見る

    開催年月日: 2004年7月

    記述言語:英語   会議種別:ポスター発表  

  33. MOVPE 法による Si 基板上への GaN/AlN ピラミッド構造の作製

    本田善央,中村剛,山口雅史,澤木宣彦

    信学会電子デバイス(ED)研究会 

     詳細を見る

    開催年月日: 2004年5月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  34. Defects in III-nitrides grown on patterned Si substrate 国際会議

    Defects in III-nitrides grown on patterned Si substrate 

     詳細を見る

    開催年月日: 2004年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  35. The compositional non-uniformity in an AlGaN capping layer of AlGaN/GaN pyramid grown on a (111) Si substrate by selective MOVPE 国際会議

    The compositional non-uniformity in an AlGaN capping layer of AlGaN/GaN pyramid grown on a (111) Si substrate by selective MOVPE 

     詳細を見る

    開催年月日: 2003年5月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  36. 選択MOVPE法による(111)Si基板上へのAlN/GaNピラミッド構造の作製

    本田善央,鳥飼正幸,山口雅史,澤木宣彦

    第50回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2003年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  37. HVPE growth of GaN on a GaN templated (111) Si substrate 国際会議

    HVPE growth of GaN on a GaN templated (111) Si substrate 

     詳細を見る

    開催年月日: 2002年7月

    記述言語:英語   会議種別:口頭発表(一般)  

  38. Growth of a GaN crystal free from cracks on a (111)Si substrate by selective MOVPE 国際会議

    Growth of a GaN crystal free from cracks on a (111)Si substrate by selective MOVPE 

     詳細を見る

    開催年月日: 2001年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  39. Growth of (1-101)GaN on a 7 degree off oriented (001)Si substrate by selective MOVPE 国際会議

    Growth of (1-101)GaN on a 7 degree off oriented (001)Si substrate by selective MOVPE 

     詳細を見る

    開催年月日: 2001年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  40. MOVPE選択成長法による(111)Si基板上へのクラックフリーGaNの作製

    本田善央,黒岩洋佑,山口雅史,澤木宣彦

    第48回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2001年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  41. MOVPE選択成長法によるSi(001)7度オフ基板上への(1-101)面GaNの成長

    本田善央,亀代典史,山口雅史,澤木宣彦

    第48回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2001年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  42. Selective growth of GaN microstructures on (111) facets of a (001)Si substrate by MOVPE 国際会議

    Selective growth of GaN microstructures on (111) facets of a (001)Si substrate by MOVPE 

     詳細を見る

    開催年月日: 2000年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  43. Selective area growth and epitaxial lateral over growth of GaN on (111)Si by MOVPE 国際会議

    The 10th International Conference of Metalorganic Vapor Phase Epitaxy 

     詳細を見る

    開催年月日: 2000年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  44. MOVPE選択成長法による(111)シリコン基板上への六方晶GaN微細構造の作製と制御

    本田善央,大竹洋一,川口靖利,山口雅史,澤木宣彦

    信学会電子デバイス(ED)研究会 

     詳細を見る

    開催年月日: 2000年2月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  45. MOVPE法による(111)Si基板上へのGaN選択成長(2)

    本田善央,川口靖利,平松和政,澤木宣彦

    第46回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 1999年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  46. MOVPE法による(111)Si基板上へのGaN選択成長

    本田善央,川口靖利,平松和政,澤木宣彦

    第59回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 1998年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  47. Photocurrent and Photoluminescence measurements for InGaN Based LED 招待有り

    Shigeyoshi Usami, Yoshio Honda, Hiroshi Amano

    LEDIA'17  2017年4月19日 

     詳細を見る

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Pacifico Yokohama  

  48. 選択MOVPE法による(111)Si基板上へのAlN/GaNピラミッド構造の作製 国際会議

    本田善央, 鳥飼正幸, 山口雅史, 澤木宣彦

    第50回応用物理学関係連合講演会  2003年3月27日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  49. In-situ monitoring of InGaN MOVPE-growth by Laser Absorption and Scattering method

    Yoshio Honda, Tetsuya Yamamoto, AkiraTamura, Tadashi Mitsunari, Hiroshi Amano

    The 1st International Workshop on Quantum Nanostructure; Physics and Solar Cell Applications and Special Meeting of Samukawa-Project in CREST  2015年2月20日 

     詳細を見る

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  50. In-situ monitoring of InGaN growth by Laser absorption and scattering method 招待有り

    Yoshio Honda, Tetsuya Yamamoto, Akira Tamura, Shigeyoshi Usami, Kentaro Nagamatsu, Maki Kushimoto, Shugo Nitta, Hiroshi Amano

    SPIE  2016年2月16日 

     詳細を見る

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  51. HVPE growth of GaN on a GaN templated (111) Si substrate

    Y. honda, T. Ishikawa, Y. Nishimura, M. Yamaguchi, N. Sawaki

    HVPE growth of GaN on a GaN templated (111) Si substrate  2002年7月22日 

     詳細を見る

    記述言語:英語   会議種別:口頭発表(一般)  

  52. High pressure InGaN growth on Sapphire substrate by MOVPE

    Yoshio Honda, Tomohiro Doi, Masahito Yamaguchi, Hiroshi Amano

    2013 JSAP-MRS Joint Symposia Symposium J(18p-M6-1)  2013年9月16日 

     詳細を見る

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  53. Growth of a GaN crystal free from cracks on a (111)Si substrate by selective MOVPE

    Y. honda, Y. Kuroiwa, M. Yamaguchi, N. Sawaki

    Growth of a GaN crystal free from cracks on a (111)Si substrate by selective MOVPE  2001年7月30日 

     詳細を見る

    記述言語:英語   会議種別:ポスター発表  

  54. Growth of (1-101)GaN on a 7 degree off oriented (001)Si substrate by selective MOVPE

    Y. honda, N. Kameshiro, M. Yamaguchi, N. Sawaki

    Growth of (1-101)GaN on a 7 degree off oriented (001)Si substrate by selective MOVPE  2001年7月30日 

     詳細を見る

    記述言語:英語   会議種別:ポスター発表  

  55. GaN基板上GaN系パワーデバイス開発 国際会議

    本田 善央, 出来 真斗, 天野浩

    JST懇話会  2014年12月17日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  56. GaN micro-structure on Si substrate

    Y. honda, M. Yamaguchi, N. Sawaki

    GaN micro-structure on Si substrate  2005年12月15日 

     詳細を見る

    記述言語:英語   会議種別:ポスター発表  

  57. Defects in III-nitrides grown on patterned Si substrate

    Y. honda, S. Tanaka, N. Sawaki

    Defects in III-nitrides grown on patterned Si substrate  2004年3月5日 

     詳細を見る

    記述言語:英語   会議種別:ポスター発表  

  58. Cathodoluminescence properties of InGaN codoped with Zn and Si

    Y. honda, Y. Yanase, M. Yamaguchi, N. Sawaki

    Cathodoluminescence properties of InGaN codoped with Zn and Si  2005年8月28日 

     詳細を見る

    記述言語:英語   会議種別:ポスター発表  

  59. (110)Si 基板を用いた無極性(11-20)GaN の結晶成長 国際会議

    本田善央

    特定領域研究「窒化物光半導体のフロンティア -材料潜在能力の極限発現-」公開シンポジウム  2008年8月1日 

     詳細を見る

    記述言語:日本語   会議種別:ポスター発表  

  60. 世界を照らす青色LED 国際会議

    本田善央

    第20回東海地区分析研究会講演会  2015年10月16日 

     詳細を見る

    記述言語:英語   会議種別:口頭発表(一般)  

  61. 世界を照らす青色発光ダイオード 国際会議

    本田善央

    第58回名大カフェ  2015年6月24日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  62. 加圧MOVPEによるInGaN結晶成長 国際会議

    坂倉誠也, 土井友博, 谷川智之, 本田善央, 山口雅史, 天野浩

    第59回 応用物理学関係連合講演会  2012年3月15日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  63. 加工Si 基板上(1-101)及び(11-22)GaN へのInGaN ヘテロ成長 国際会議

    本田善央

    特定領域研究「窒化物光半導体のフロンティア -材料潜在能力の極限発現-」公開シンポジウム  2008年8月1日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  64. 発光ダイオード 国際会議

    本田善央

    応用物理学会 赤﨑・天野記念LEDスクール  2015年9月20日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  65. In-situ monitoring of Laser absorption and scattering method during InGaN growth by MOVPE 招待有り

    Yoshio Honda, Tetsuya Yamamoto, Akira Tamura, Shigeyoshi Usami, Kentaro Nagamatsu, Maki Kushimoto, Shugo Nitta, Hiroshi Amano

    The 7th International Symposium on Advanced Science and Technology of Silocon Material  2016年11月21日 

     詳細を見る

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  66. Ⅲ族窒化物半導体の結晶成長技術とデバイス応用 国際会議

    本田善央, 久志本真希, 光成正, 山下康平, 山口雅史, 天野浩

    第18回VBLシンポジウム  2014年11月17日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  67. Uniform growth of GaN on AlN templated (111)Si substrate by HVPE

    Y. honda, M. Okano, Y. Nishimura, M. Yamaguchi, N. Sawaki

    Uniform growth of GaN on AlN templated (111)Si substrate by HVPE  2004年7月19日 

     詳細を見る

    記述言語:英語   会議種別:ポスター発表  

  68. The compositional non-uniformity in an AlGaN capping layer of AlGaN/GaN pyramid grown on a (111) Si substrate by selective MOVPE

    Y. honda, M. Torikai, T. Nakamura, Y. Kuroiwa, M. Yamaguchi, N. Sawaki

    The compositional non-uniformity in an AlGaN capping layer of AlGaN/GaN pyramid grown on a (111) Si substrate by selective MOVPE  2003年5月25日 

     詳細を見る

    記述言語:英語   会議種別:ポスター発表  

  69. Surface morphology of (1-101) GaN/AlGaN/GaN heterostructure grown on (001)Si substrate by MOVPE 国際会議

    Y. Honda, T. Hikosaka, E. H.Kim, M. Yamaguchi, N. Sawaki

    第24 回電子材料シンポジウム 24th Electronic Materials Symposium (EMS-24)  2005年7月4日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  70. Si基板上半極性面GaN上光デバイスとInGaN結晶成長のその場観察

    本田善央, 田村彰, 宇佐美茂佳, 久志本真希, 光成正, 山口雅史, 天野浩

    第5回フォトニックデバイス・応用技術研究会  2015年3月4日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  71. Series Resistance of s-Si/AlGaN/GaN Structure Grown by MOVPE

    Y. honda, S. Kato, M. Yamaguchi, N. Sawaki

    Series Resistance of s-Si/AlGaN/GaN Structure Grown by MOVPE  2006年10月22日 

     詳細を見る

    記述言語:英語   会議種別:ポスター発表  

  72. Semi-polar GaN growth on patterned (001)Si substrate by MOVPE

    Y. Honda, M. Kushimoto, H. Amano

    2015 MRS Spring Meeting & Exhibit  2015年4月8日 

     詳細を見る

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  73. Selective growth of GaN microstructures on (111) facets of a (001)Si substrate by MOVPE

    Y. honda, Y. Kawaguchi, T. Kato, M. Yamaguchi, N. Sawaki

    Selective growth of GaN microstructures on (111) facets of a (001)Si substrate by MOVPE  2000年9月24日 

     詳細を見る

    記述言語:英語   会議種別:ポスター発表  

  74. Selective area growth and epitaxial lateral over growth of GaN on (111)Si by MOVPE

    Y. honda, Y. Kawaguchi, Y. Ohtake, M. Yamaguchi, N. Sawaki

    The 10th International Conference of Metalorganic Vapor Phase Epitaxy  2000年6月5日 

     詳細を見る

    記述言語:英語   会議種別:口頭発表(一般)  

  75. Pressurized MOVPE of high-In-content InGaN

    A.Tamura, K. Yamashita, T. Mitsunari, Y. Honda, H. Amano

    ICMOVPE-17(Tue-Oral-1-1 )  2014年7月13日 

     詳細を見る

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  76. Optical spectra of (1-101) InGaN/GaN and GaN/AlGaN MQW structure grown on a 7 degree off axis (001) Si substrate

    Y. honda, E. H. Kim, T. Hikosaka, T. Narita, M. Yamaguchi, N. Sawaki

    Optical spectra of (1-101) InGaN/GaN and GaN/AlGaN MQW structure grown on a 7 degree off axis (001) Si substrate  2005年12月5日 

     詳細を見る

    記述言語:英語   会議種別:ポスター発表  

  77. MOVPE選択成長法によるSi(001)7度オフ基板上への(1-101)面GaNの成長 国際会議

    本田善央, 亀代典史, 山口雅史, 澤木宣彦

    第48回応用物理学関係連合講演会  2001年3月28日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  78. MOVPE選択成長法によるGaN微細構造の作製と評価 国際会議

    本田善央, 山口雅史, 澤木宣彦

    第 9 回VBLシンポジウム  2005年10月24日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  79. MOVPE選択成長法による(111)シリコン基板上への六方晶GaN微細構造の作製と制御 国際会議

    本田善央, 大竹洋一, 川口靖利, 山口雅史, 澤木宣彦

    信学会電子デバイス(ED)研究会  2000年2月9日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  80. MOVPE選択成長法による(111)Si基板上へのクラックフリーGaNの作製 国際会議

    本田善央, 黒岩洋佑, 山口雅史, 澤木宣彦

    第48回応用物理学関係連合講演会  2001年3月28日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  81. MOVPE法によるInGaN加圧成長とLAS法によるその場観察 国際会議

    本田善央, 田村彰, 山本哲也, 久志本真希, 天野浩

    日本結晶成長学会 ナノ構造・エピタキシャル成長分科会 2015年春季講演会  2015年5月7日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  82. MOVPE法による(111)Si基板上へのGaN選択成長(2) 国際会議

    本田善央, 川口靖利, 平松和政, 澤木宣彦

    第46回応用物理学関係連合講演会  1999年3月28日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  83. MOVPE法による(111)Si基板上へのGaN選択成長 国際会議

    本田善央, 川口靖利, 平松和政, 澤木宣彦

    第59回応用物理学会学術講演会  1998年9月15日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  84. MOVPE成長したGaN/AlN/Siの電流電圧特性(Ⅱ) 国際会議

    近藤広幸, 加藤智志, 本田善央, 山口雅史, 澤木宣彦

    第52回応用物理学関係連合講演会  2005年3月29日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  85. MOVPE 法による Si 基板上への GaN/AlN ピラミッド構造の作製 国際会議

    本田善央, 中村剛, 山口雅史, 澤木宣彦

    信学会電子デバイス(ED)研究会  2004年5月13日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  86. MOVPE growth and cathodoluminescence properties of GaN microcrystal co-doped with Zn and Si

    Y. honda, Y. Yanase, M. Tsuji, M. Yamaguchi, N. Sawaki

    MOVPE growth and cathodoluminescence properties of GaN microcrystal co-doped with Zn and Si  2006年1月4日 

     詳細を見る

    記述言語:英語   会議種別:ポスター発表  

  87. InGaN成長中の光散乱を用いたin situ観察と成長機構 国際会議

    本田善央, 田村彰, 山本哲也, 久志本真希, 天野浩

    第23回シンポジウム「窒化物半導体の成長技術とメカニズム理解  2015年5月22日 

     詳細を見る

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  88. InGaN 系光デバイスの成長と特性評価 国際会議

    本田善央, 田村彰, 山本哲也, 李 昇我, 久志本真希, 天野浩

    STR 結晶成長 結晶成長 とデバイス 解析  2015年6月26日 

     詳細を見る

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  89. InGaN growth mechanism evaluation by In-situ monitoring based on LAS

    Yoshio Honda, Akira Tamura, Tetsuya Yamamoto, Maki Kushimoto, Hiroshi Amano

    2015 German-Japanese-Spanish Joint Workshop  2015年7月13日 

     詳細を見る

    記述言語:英語   会議種別:口頭発表(招待・特別)  

▼全件表示

共同研究・競争的資金等の研究課題 7

  1. 戦略的国際共同研究プログラム V4プロジェクト

    2015年11月 - 2019年3月

    JST 

    天野浩

      詳細を見る

    資金種別:競争的資金

    高In組成InGaNの高品質エピタキシャル成長と次世代ディスプレイ・照明及び通信用光源と高効率太陽電池

  2. 高性能・高信頼性太陽光発電の発電コスト低減技術開発

    2015年4月 - 2018年3月

    NEDO 

    天野浩

      詳細を見る

    資金種別:競争的資金

    窒化物半導体を用いた、超高効率・低コストⅢ-Ⅴ化合物太陽電池モジュールの研究開発を行う。

  3. GaNに関する拠点型共通基盤技術開発/GaN縦型パワーデバイスの基盤技術開発

    2014年4月 - 2019年2月

    戦略的イノベーション創造プログラム 

    須田淳

      詳細を見る

    資金種別:競争的資金

    GaNのm面上において不純物濃度の低減を行う。高温インプラによりMg注入P型伝導を実現する。

  4. Si基板上半極性GaN上InGaNの偏光制御によるLDの作製

    2011年12月 - 2012年3月

  5. Si基板上高品質GaNの開発

    2011年1月 - 現在

    国内共同研究 

  6. Si基板上半極性GaN基板を用いた高輝度LEDの開発

    2009年4月 - 2010年3月

    企業からの受託研究 

      詳細を見る

    Si基板上に作製した半極性GaN上へLED構造を作製することで、ピエゾ電界の影響の少ない高輝度発光デバイ椅子の作製を行う。

  7. 高品質半極性・無極性GaN基板の作製

    2008年10月 - 2012年3月

      詳細を見る

    資金種別:競争的資金

    GaN系発光デバイスの更なる高輝度化に向けて半極性・無極性基板の作製法の確立が望まれている。本研究では、Siを基材として用いGaNヘテロ成長を行うことで高品質GaNバルク作製を目指す。

▼全件表示

科研費 33

  1. 分極を有する半導体の物理構築と深紫外発光素子への展開

    2013年4月 - 2016年3月

    科学研究費補助金  特別推進研究

      詳細を見る

    担当区分:研究分担者 

  2. 半極性GaN/Si上へのInGaN高圧成長及び歪制御によるLDの作製

    2012年4月 - 2015年3月

    科学研究費補助金  若手研究(A)

      詳細を見る

    担当区分:研究代表者 

  3. Si基板上半極性GaNの積層欠陥、点欠陥抑制による光学的特性の改善

    2008年4月 - 2011年3月

    科学研究費補助金  若手研究(B)

    本田善央

      詳細を見る

    担当区分:研究代表者 

  4. 加工Si基板上(1-101)及び(11-22)GaNへのInGaNヘテロ成長

    2007年4月 - 2009年3月

    科学研究費補助金  特定領域研究(公募,A01),課題番号:19032005

    本田 善央

      詳細を見る

    担当区分:研究代表者 

  5. Si基板上A1Nテンプレートを用いたHVPE法による厚膜GaNバルク結晶の作製

    2004年4月 - 2007年3月

    科学研究費補助金  若手研究(B)

    本田 善央

      詳細を見る

    担当区分:研究代表者 

  6. 新奇材料エピタキシャル融合による窒化物半導体の機能拡張

    研究課題/研究課題番号:23KK0094  2023年9月 - 2027年3月

    科学研究費助成事業  国際共同研究加速基金(海外連携研究)

    小林 篤, 中野 貴之, 前田 拓也, 本田 善央

      詳細を見る

    担当区分:研究分担者 

    本研究課題では、新奇窒化物材料に関する国際共同研究を推進することで、それらの結晶成長メカニズムおよび構造と物性の関係性を解明することを目指す。結晶成長の科学と基礎物性を明らかにした上で、強誘電性と超伝導を窒化物半導体に付与するデバイス設計指針を打ち立て、世界に先駆けて窒化物半導体の機能拡張を実現する。

  7. BGaN検出器を用いた疑似直接検出法による超高解像中性子イメージング技術開発

    研究課題/研究課題番号:23H00099  2023年4月 - 2027年3月

    科学研究費助成事業  基盤研究(A)

    中野 貴之, 青木 徹, 本田 善央, 牧野 高紘, 若林 源一郎

      詳細を見る

    担当区分:研究分担者 

    中性子イメージング技術は、新たな非破壊イメージング技術として開発が行われ、様々な検出器開発により解像度の向上がなされている。しかしながら、電荷を持たない中性子検出を実現するために中性子捕獲層と検出層が必要であり、報告されている中性子イメージングは各層が独立した間接検出法により行われたものである。更なる解像度向上を目指すためには、捕獲層と検出層が一体となった直接検出法でのイメージングが期待される。本研究課題では、中性子捕獲元素であるB原子を半導体構成元素として含有する BGaN半導体検出器により、中性子捕獲と信号検出を同一層で行う疑似直接検出法を用いた超高解像度イメージングの実現を目指す。

  8. 4元混晶AlGaInN分極ドーピング層を用いたヘテロバイポーラトランジスタの作製

    研究課題/研究課題番号:23H01866  2023年4月 - 2026年3月

    科学研究費助成事業  基盤研究(B)

    本田 善央

      詳細を見る

    担当区分:研究代表者 

    配分額:18720000円 ( 直接経費:14400000円 、 間接経費:4320000円 )

    デバイス構造のシミュレーションとAlGaN分極ドーピングHBTの特性評価をもとに、AlGaInN分極ドーピングを用いたHBTの作製を行う。エミッタ層へのIn偏析現象が問題となる可能性があり、理想界面を得るための結晶成長条件の最適化を行い、ベース層とエミッタ層の急峻な界面の作製に関して評価を行う。各種In、Al組成を変えたベース層を作製しデバイス化することで、AlInGaN層の薄膜化を図る。デイバスの静特性、高周波特性などの電気特性を計測しHBTの高性能化を図る。

  9. HVPE法によるGaNのpn接合周期構造の高速成長とSJダイオードの作製

    研究課題/研究課題番号:22K18808  2022年6月 - 2024年3月

    科学研究費助成事業  挑戦的研究(萌芽)

    本田 善央, 田中 敦之, 新田 州吾

      詳細を見る

    担当区分:研究代表者 

    配分額:6500000円 ( 直接経費:5000000円 、 間接経費:1500000円 )

    これまで実現していないGaNを用いたSJダイオードの作製を目指す。我々は、高速成長可能なHVPE法におけるp型伝導の実現に成功したことから、pn接合の厚膜化を可能とした。本手法を利用して、SJ構造のpn接合周期構造の成長を試みる。p型不純物に用いるMgの成長中での拡散による深さ方向への不純物濃度の不均一の抑制、厚膜化に伴う表面モフォロジーの悪化およびデバイスプロセスの確立を図る。SJダイオードを作製し特性を得ることを目的とし、そのための基礎的な成長~プロセス技術を構築する。
    本研究においては、HVPEの厚膜pn-GaN周期構造の作製とそのプロセス技術の開発を行うことでSJ構造の作製を目指す。初めに、結晶成長における問題点を述べる。GaNのpn周期構造においては、p型のドーパントとして、Mgを用いる。Mgは偏析現象と成長炉内のメモリー効果が切るため、p-GaNを成長後のn-GaN層にMgが拡散するように含まれてしまう。本研究では、成長炉内のp-GaNとn-GaNが成長するゾーンを物理的に分離することで、Mgのメモリー効果を排除を試みた。はじめに、HVPE法により、npn-GaN構造を作製した。それぞれの膜厚は1umとした。SIMSによりMgの深さいプロファイルを測定した結果、Mg濃度が7x10^19cm-3程度と高い場合は、Mgの偏析が観測された。このとき、Mg濃度が一桁下がるまでに要する膜厚はおよそ150nm程度であった。また、Mgは単調に減少し、およそ600-700nm程度で5桁減少した。従来のMOVPE法やHVPE法では、偏析のよる100nm/桁程度の減少に加えて、600nm/桁程度の減衰が重畳されることが報告されている。これは、先の述べた前者が偏析現象よるもので、後者がメモリー効果によるものである。本結果から、本成長手法により炉内のメモリ効果をほぼ排除した成長を可能とした。次にMg濃度が7x10^17cm-3程度と低くした場合、50nm/桁程度で急峻に減少することが分かった。この違いは、Mgの固溶限が2x10^18cm-3程度と報告されており、低濃度においては偏析現象の抑えられたことによると考えらる。本結果から、HVPE法を用いて1um程度のpn周期構造を非常に急峻に作製可能であることを示した。今後、周期数を増やし厚膜化することで、SJ構造の作製を目指す。
    GaNへのMgドーピングにおいては、Mgの偏析が大きな問題であり、これまで多層のドーピング積層構造は作製されていない。LEDの作製において、Mgをドーピングした層は上部にある構造となっている。これは、下部にMgドーピング層が存在する場合には、Mgが上部の活性層に侵入し発光効率を著しく低減させることによるためである。このような問題を解決することは、本研究課題の一つの目標であったが、現状では界面でのMgの急峻性は50nm/桁と非常に高い。この値は、SJ構造を作製するにあたっては十分な値であり、原理的に本手法がSJ構造を作製する手法として、ポテンシャルを有していることを証明することが出来たと言える。一方で、面内での分布や、厚膜にしたした際の急峻性の評価に関しては未着手であり、今後評価を進める予定である。ダミー基板を用いた、プロセス技術に関しても着手している。はじめに、基板にフォトリソグラフィによりパターンを作製し、ICP~RIEにより側面のエッチングを実施、得られた側面にイオン注入によりMgおよびSiの打ち込みを行っている。また適切な回復アニールを行うことでダメージ回復を行い、電流‐電圧特性の評価を行っている。HVPEの結晶成長によって、厚膜化が可能となれば、本手法を得られた基板に適用してSJ構造を作製する準備がほぼ整っており、両者の技術を組み合わせたデバイス評価を進める。
    pn-GaN周期構造の作製を進める。p-GaN,n-GaNのそれぞれの膜厚は1umとして、pn構造を150周期繰り返し成長することで、300um厚のGaNの作製を目指す。今年度と同様にSIMSによりMgのドーピングの深さプロファイルを計測し、厚膜においても急峻性が保たれるかの評価を行う。初期に成長したp-GaN層に関しては、成長中の熱履歴が長くなるため、Mgの拡散によるダレが生じる可能性がある。表面における急峻性の評価とともに、初期成長部分のMgの深さプロファイルも再度計測することで、Mg拡散の影響を評価する必要がある。同時に、面内における膜厚およびMg濃度の均一性に関して評価を行う。SJ構造においては、n型とp型の不純物密度の整合が必要であり、SiドーピングレベルとMgドーピングレベルを精密に整合させるためにSiドーピングに関しても取り組み、SJ構造作製用のテンプレートの形成を目指す。得られたテンプレートを用いて、プロセスを行う。試料を劈開して90°回転させ、上下にSiおよびMgのイオン注入を行うことで、SJ構造を作製する。電極を形成して、実際に耐圧を計測することで、本構造の問題点を洗い出す。一般的にGaNのc軸に垂直方向に電流を流す構造においては、結晶欠陥に対して堅牢な特性が得られることが報告されている。本構造においては、作製上そのような形状になるため、転位密度に対する特性の評価も実施したいと考える。

  10. BGaN半導体検出器を用いた熱中性子イメージングセンサーの開発

    研究課題/研究課題番号:19H04394  2019年4月 - 2022年3月

    科学研究費助成事業  基盤研究(B)

    中野 貴之, 青木 徹, 井上 翼, 本田 善央, 小島 一信, 嶋 紘平

      詳細を見る

    担当区分:研究分担者  資金種別:競争的資金

    中性子イメージング技術は、新しい非破壊内部イメージング技術として期待されている。高解像度イメージングに期待される中性子半導体検出器は中性子捕獲元素を含む必要があるため、半導体材料の合成が困難であり実用化に至っていない。我々は、大きな中性子捕獲断面積を持つB原子に着目し、B原子を含んだIII族窒化物半導体であるBGaNを中性子検出半導体として提案している。本研究では、BGaN中性子イメージングセンサー実現に向けて、「BGaN結晶成長技術の開発」、「デバイスプロセス開発」、「信号処理技術の開発」を実施する。これらの要素技術開発により、中性子イメージングセンサーの実現を目指す。
    近年、中性子の利用拡大により、中性子イメージング技術に向けた新たな検出器の開発が期待されている。III族窒化物半導体材料であるBGaNを中性子半導体検出器として提案している。デバイスの実用化に向けて中性子捕獲反応の検出エネルギーの膜厚依存性を計算し、エネルギー弁別に必要な膜厚が5um以上であることを確認した。5um以上の高品質な厚膜結晶成長を実現するために、気相反応の抑制や成長温度の最適化およびに歪の制御により5um以上の厚膜結晶成長を達成し、最大21umの結晶成長の実現に至った。作製した厚膜BGaN結晶を用いて放射線検出用器の作製を実現し、厚膜化による中性子捕獲信号検出の高効率化を達成した。
    従来の中性子検出では、中性子が電荷を持たないことから中性子と捕獲元素の壊変反応による荷電粒子を別の検出器で間接的に検出する手法が用いられている。今回開発しているBGaN検出器は有感層となる空乏層内で中性子捕獲から信号検出までの全工程を実施するため、疑似直接的な検出が可能であり、空間分解能の向上およびγ線とのエネルギー弁別が可能である。本研究成果では、これまで提案と基礎検証であったものが厚膜化の影響による検出効率の改善や、デバイスサイズによる各特性の変化など、今後の特性改善に向けた大きな指針を得ており、結晶成長技術の開発により高解像度中性子イメージングの実用化が期待される結果を得た。

  11. 実環境下の損傷敏感試料に微細領域の動態観測技術をもたらす半導体電子ビーム源

    研究課題/研究課題番号:19H00666  2019年4月 - 2022年3月

    科学研究費助成事業  基盤研究(A)

    西谷 智博, 目黒 多加志, 洗平 昌晃, 成田 哲博, 本田 善央, 石川 史太郎, 田渕 雅夫, 市川 修平, 保田 英洋, 七井 靖

      詳細を見る

    担当区分:研究分担者  資金種別:競争的資金

    次世代の電子顕微鏡技術には、電子線損傷に敏感な試料だけでなく、液中など実環境下でその動態や反応へ観測機能を拡張させることが求められている。このような要求に応えるには、従来を遥かに超える電流密度と単色性だけでなく、既存技術にはない高密度電子パルス特性が電子源に必要不可欠である。本課題では、既存とは異なる電子放出原理の光電効果を利用し半導体から電子ビームを取り出す半導体フォトカソードに着目し、半導体の材料・構造・表面の追求と半導体フォトカソードに適した電子銃装置の研究開発により、電子顕微鏡の観測機能の拡張に適した低単色・高密度のパルス電子ビーム生成の実現を目指す。
    本研究では、電子顕微鏡などの電子ビーム源の技術革新を目指して、従来とは電子生成原理が異なる光電効果を半導体に用いたフォトカソード技術に着目し、その半導体の材料と構造の最適化により、これまでにない高性能かつ多彩な電子ビーム生成の達成を目標としてきた。本研究の結果、窒化ガリウムやガリウムヒ素などの半導体を用いたフォトカソードにより、ビーム内の電子の運動量のばらつきが従来技術よりも一桁低く、かつ従来技術では困難な極めて短いナノ秒の時間幅で高い電流値を持つパルス電子ビームの生成に成功した。
    本研究で達成した電子の運動量のばらつきが極少の電子ビームと従来困難であった極めて短い時間幅のパルス電子ビームの実現は、電子顕微鏡の核心技術である電子源の材料を金属から半導体へと刷新することで、実環境下のまま分子・原子レベルで試料を観測する新奇技術を創出する可能性を持つ。本研究でも示唆した水溶液中で動き回る生きた生体分子や電池材料が充放電する様子などを分子レベルで観測するような潜在的応用例などからも、本技術の社会実装により創薬だけでなくエネルギーなど幅広い分野で技術革新の源になると期待される。

  12. 多次元・マルチスケール特異構造の作製と作製機構の解明

    研究課題/研究課題番号:16H06416  2016年6月 - 2021年3月

    科学研究費助成事業  新学術領域研究(研究領域提案型)

    上山 智, 竹内 哲也, 岩谷 素顕, 本田 善央

      詳細を見る

    担当区分:研究分担者  資金種別:競争的資金

    GaN系ナノワイヤおよびGaInN系量子殻とのコアシェル構造をベースとした特異構造の結晶成長、欠陥生成の機構、光学的性質を明らかにした。また、トンネル接合を付加した電流注入構造を形成し、半導体レーザーへの応用を検討した。ナノワイヤおよび量子殻は微細構造のため、結晶成長中の表面エネルギーの寄与が大きく、成長条件により結晶形状が大きく変化する。この性質を積極的に利用すれば、高アスペクト比のGaNナノワイヤなどを形成することが可能となることを実証できた。ナノワイヤおよび量子殻を選択成長によって周期的に配置することが可能となり、半導体レーザーによる室温パルス発振を達成した。
    本研究により単一モードの光共振器や半導体レーザー、またアクティブなフォトニック結晶を構成することが可能となり、新規高性能半導体デバイスにつながり学術的に大きな意義がある。また、本課題で示した量子殻レーザーの低しきい値電流動作は、高出力かつ、高エネルギー効率実現へのポテンシャルを持つこと、また3次元モード解析により、高出力と単一モード動作が可能であることが示され、将来の省エネルギー技術への期待が高まった。

  13. 中性子半導体検出器に向けたBGaN半導体デバイスの開発

    研究課題/研究課題番号:16H03899  2016年4月 - 2019年3月

    中野 貴之

      詳細を見る

    担当区分:研究分担者  資金種別:競争的資金

    近年、中性子の利用用途が拡大しており、中性子イメージング技術が多くの分野で期待されている。本研究では、III族窒化物半導体材料であるBGaNを中性子半導体検出器として利用することを提案し、開発を行った。結晶成長技術では、従来B有機金属原料として用いられていたトリエチルボロン(TEB)が気相中でアンモニアと気相反応を起こしアダクトを形成することで結晶品質の劣化が起こることを明らかにし、新規有機金属原料としてトリメチルボロン(TMB)を利用することで気相反応を抑制した厚膜結晶成長技術を確立した。作製した厚膜BGaN結晶を用いて、放射線検出器の作製を実現し、中性子捕獲による信号検出を得るに至った。
    本研究で提案しているBGaN中性子半導体検出器は、中性子を半導体有感層中で捕獲し、更に検出まで行う機構であり、これまでのコンバーターなどを用いた検出器とは検出原理が異なっており、全α壊変エネルギーを検出可能なシステムである。従って、中性子検出信号のエネルギー弁別が可能であり高いn/γ比の中性子検出が可能である。本研究成果により、新しい中性子検出器の可能性を示唆しており、今後の開発により中性子イメージングなどにおける新技術として利用可能な基礎技術の構築を実現しており、中性子検出技術の新しい展開が期待される結果を得た。

  14. 戦略的国際共同研究プログラム V4プロジェクト

    2015年11月 - 2019年3月

    JST  JST 

    天野浩

      詳細を見る

    資金種別:競争的資金

    高In組成InGaNの高品質エピタキシャル成長と次世代ディスプレイ・照明及び通信用光源と高効率太陽電池

  15. 高性能・高信頼性太陽光発電の発電コスト低減技術開発

    2015年4月 - 2018年3月

    NEDO  NEDO 

    天野浩

      詳細を見る

    資金種別:競争的資金

    窒化物半導体を用いた、超高効率・低コストⅢ-Ⅴ化合物太陽電池モジュールの研究開発を行う。

  16. GaNに関する拠点型共通基盤技術開発/GaN縦型パワーデバイスの基盤技術開発

    2014年4月 - 2019年2月

    NEDO  戦略的イノベーション創造プログラム 

    須田淳

      詳細を見る

    資金種別:競争的資金

    GaNのm面上において不純物濃度の低減を行う。高温インプラによりMg注入P型伝導を実現する。

  17. 分極を有する半導体の物理構築と深紫外発光素子への展開

    2013年4月 - 2016年3月

    日本学術振興会  科学研究費助成事業  特別推進研究

      詳細を見る

    資金種別:競争的資金

  18. 分極を有する半導体の物理構築と深紫外発光素子への展開

    研究課題/研究課題番号:25000011  2013年 - 2015年

    天野 浩

      詳細を見る

    担当区分:研究分担者  資金種別:競争的資金

    AlGaNを用いた深紫外LEDの内部量子効率改善のため、昇華法による基板用AlN結晶の作製において二段階成長による高再現性成長技術を構築した。また熱処理によるサファイア上のAlN薄膜の高品質化に成功、さらに光取出効率改善のため、超薄膜グラフェン電極の直接成長、またカーボンナノチューブの仕事関数制御による動作電圧の大幅な低減に世界で初めて成功した。また分極ドーピング理論を構築し、その原理に基づき正孔注入効率の向上に成功した。以上の結果、ワットクラス深紫外LED実現のための要素技術は構築できた。

  19. 半極性GaN/Si上へのInGaN高圧成長及び歪制御によるLDの作製

    研究課題/研究課題番号:24686041  2012年4月 - 2015年3月

    本田 善央

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

    配分額:27170000円 ( 直接経費:20900000円 、 間接経費:6270000円 )

    本研究では,窒化物半導体中で発光を抑制する内部電界(ピエゾ電界)を抑制可能な半極性面を成長面とし,InGaNを用いた青色~緑色領域のLD作製を目指した.Siの加工基板を用いることで半極性面を得る手法を用いた.GaN結晶はストライプ状に作製している.Si基板から熱膨張係数に起因する大きな引っ張り応力を受るが,ストライプに沿った引っ張り歪となり,一方でストライプに垂直方向に対しては,圧縮歪となる.この歪が原因となり,InGaN発光の偏光方向がc軸と水平になることが分かった.レーザ構造を作製し,強励起で発光測定を行った結果,c軸と水平方向で大きなゲインを得られ,レーザ発振を確認した.

  20. 電界効果形窒化物半導体太陽電池の高効率化

    研究課題/研究課題番号:24656019  2012年4月 - 2015年3月

    澤木 宣彦

      詳細を見る

    担当区分:連携研究者  資金種別:競争的資金

    太陽電池の高効率化とプロセスコストの低減を両立させるため電界効果を取り入れた窒化物半導体単一pn接合セルを提案・検討した。p形トップ層の混晶組成を徐々に変化させることで光吸収の窓効果と内部電界の発生を促し、光励起キャリアにドリフト効果を付与することでセルの変換効率が60倍に増強できることが分かった。シミュレーションによりp形トップ層の最適厚さはキャリア拡散長の3分の1程度であった。(0001)面トップ層をp形とするためには、分極電界等による自己補償効果に対処するため、Ga空孔の低減が必須であることを明らかにした。

  21. 半極性GaN/Si上へのInGaN高圧成長及び歪制御によるLDの作製

    2012年4月 - 2015年3月

    日本学術振興会  科学研究費助成事業  若手研究(A)

      詳細を見る

    資金種別:競争的資金

  22. シリコン基板上架橋窒化物半導体ナノワイヤLEDの開発

    研究課題/研究課題番号:23651146  2011年 - 2012年

    本田 善央

      詳細を見る

    担当区分:研究代表者 

    配分額:3640000円 ( 直接経費:2800000円 、 間接経費:840000円 )

    トレンチ構造を有する Si 基板のトレンチ側壁に垂直に,RF-MBE 法を用いることで,GaN ナノワイヤを成長することに成功した。また,デバイスに応用した際に分極電場やバッファー層等による悪影響を少なくするために,バッファー層を介さずに InGaN ナノワイヤを直接 Si 基板上に成長することに成功した。ただし,InGaN ナノワイヤの場合 GaN と比較すると光学特性に影響が出ると予測される双晶が発生することがわかり,双晶の発生と光学特性との関係を調べた。最後に,今後の応用デバイスのためにグラフェン上への GaN ナノワイヤ成長の検討を行い,高配向性グラフェン基板上にも GaN ナノワイヤの成長を確認した。

  23. パルス状窒素ラジカル供給による超高品質InGaN超格子PAMBE成長

    研究課題/研究課題番号:23656015  2011年

    天野 浩

      詳細を見る

    担当区分:研究分担者 

    プラズマ援用分子線エピタキシー法を用いて、高発光効率高In組成InGaNの成長を試みた。その結果、超格子構造の形成により、結晶欠陥の少ない高In組成InGaN成長が可能であること、及びInGaNナノワイヤの発光効率は積層欠陥密度と強い依存性があり、より高温、高Inフラックス比での成長が高発光効率InGaNナノワイヤ実現に必要であることが明らかとなった。

  24. Si基板上半極性GaNの高品質化に関する研究

    研究課題/研究課題番号:22360009  2010年 - 2012年

    澤木 宣彦

      詳細を見る

    担当区分:連携研究者 

    Si基板上へのGaNヘテロエピタキシにおける成長層の高品質化のためAlInN緩衝層とIn添加AlN成長核形成層の効果を検討した。断面TEM像の観察からGaN成長層の貫通転位密度の低減が図られることを明らかにした。さらに炭素ドープ半極性面AlGaNの遠赤外吸収スペクトルにAl-Cボンドによる局在振動モードを見いだし、p型伝導の起源が窒素サイトを置換した炭素によるものであることを明らかにした。

  25. 圧力印加MOVPEによる高品質InGaN厚膜成長

    研究課題/研究課題番号:22246004  2010年 - 2012年

    天野 浩

      詳細を見る

    担当区分:研究分担者 

    10 気圧まで加圧可能な有機金属化合物気相成長(MOVPE)装置を設計、 外注し、その装置を用いて InGaN 成長を行った。炉内圧力増加と共にキャリアガスの熱伝導率が上昇し、原料が基板手前で分解してしまい輸送されない問題が実験開始当初生じた。そのためフローチャネル部材をグラファイトから熱伝導率の低い石英に変更するなどの改造を行った。結果、基板への原料供給問題は解決し、当初の目的である InGaN の MOVPE 成長における加圧効果を確かめることができた。圧力 6 気圧において高温で量子井戸を作製したところ 1 気圧と比べ 120 nm 長波長で発光することがわかった。

  26. Si基板上半極性GaNの積層欠陥、点欠陥抑制による光学的特性の改善

    2008年4月 - 2011年3月

    日本学術振興会  科学研究費助成事業  若手研究(B)

    本田善央

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

    配分額:3870000円 ( 直接経費:3870000円 )

  27. Si基板上半極性GaNの積層欠陥、点欠陥抑制による光学的特性の改善

    研究課題/研究課題番号:20760012  2008年 - 2010年

    本田 善央

      詳細を見る

    担当区分:研究代表者 

    配分額:4290000円 ( 直接経費:3300000円 、 間接経費:990000円 )

    加工Si基板上へGaNを選択成長し半極性GaNを作製した。(11-22)GaNを成長する場合、2段階成長を用いることで、転位密度を10^5/cm^2以下にすることに成功しほぼ無転位に近い高品質な半極性GaNを得ることに成功した。また、(1-101)GaNにおいては、転位が成長初期に曲がるため、上部に転位が伝搬しにくく、同様に転位密度を10^5/cm^2以下を達成することが可能であった。この結晶を用いて(1-101)GaNストライプ上にInGaN/GaN MQWを用いた導波路を作製し、光励起による光学特性を測定したところ、端面より誘導放出光を得ることに成功した。これはSi基板上では世界初となる結果であり、高品質結晶が得られたことを証明していると考えている。

  28. 加工Si基板上(1-101)及び(11-22)GaNへのInGaNヘテロ成長

    2007年4月 - 2009年3月

    科学研究費助成事業  特定領域研究(公募,A01),課題番号:19032005

    本田 善央

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

    配分額:6000000円 ( 直接経費:6000000円 )

  29. 加工Si基板上(1-101)及び(11-22)GaNへのInGaNヘテロ成長

    研究課題/研究課題番号:19032005  2007年 - 2008年

    本田 善央

      詳細を見る

    担当区分:研究代表者 

    配分額:6800000円 ( 直接経費:6800000円 )

    昨年度は低In組成領域のInGaNにおいて、(1-101)、(11-22)、(0001)各面でのIn取り込み効率の検討を行った。本年度はこれに加えて緑〜赤色程度までの高組成領域で検討を試みた。(1-101)面においては、Inの取り込み効率が高く、特に低V/III比の条件下で顕著な差がみられた。(0001)、(11-22)面では低In組成領域では同様なIn取り込み効率であった。そこで、Inの供給量を変化してPLピークよりIn取り込み効率を考察した。(11-22)面においては、In供給量に対応して発光ピークがレッドシフトしており、黄色領域の発光まで変化することが可能であった。一方(0001)面では、In供給量が70%程度を超えるあたりで、発光ピーク波長が飽和しており、温度による組成制御が必要であった。この結果から、(11-22)面では高温において高組成InのInGaN成長が可能であり、InGaN結晶品質の向上が期待される。さらに、PLの励起強度依存性を調べた結果、半極性面においてはピークシフトがほとんど見られず、発光強度も線形に変化していた。(0001)面ではQCSEにより励起強度を下げるに従って、レッドシフトと発光強度の著しい減少がみられた。このことから、半極性GaN上へのInGaN結晶を成長することで(1)高品質結晶を得られる可能性があること、(2)ピエゾ電界の影響を大幅に抑制可能であることが明らかとなった。

  30. Si基板上A1Nテンプレートを用いたHVPE法による厚膜GaNバルク結晶の作製

    2004年4月 - 2007年3月

    日本学術振興会  科学研究費助成事業  若手研究(B)

    本田 善央

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

    配分額:3700000円 ( 直接経費:3700000円 )

  31. 加工シリコン基板上への窒化物半導体の選択再成長法によるナノヘテロ構造の創製

    研究課題/研究課題番号:16106001  2004年 - 2008年

    澤木 宣彦

      詳細を見る

    担当区分:研究分担者 

    加工Si基板上への選択MOVPE法により、(0001)、(1-101)、(11-22)ならびに(11-20)面を有するAlGaN/GaN、GaN/InGaN微細ヘテロ構造を作製した。この構造は自然形成原理に従って形成されるため、表面平坦性、結晶性に優れることを明らかにした。特に、窒素を最表面とする(1-101)半極性面GaNは不純物ドーピング特性に優れ、Mgドーピングで高い正孔濃度が得られ、炭素ドーピングでもp形伝導が得られることを明らかにした。Si基板上に半極性GaN-LEDとストライプレーザ構造を作製し、光集積デバイスのためのナノへテロエピタキシの有効性を実証した

  32. Si基板上A1Nテンプレートを用いたHVPE法による厚膜GaNバルク結晶の作製

    研究課題/研究課題番号:16760252  2004年 - 2006年

    本田 善央

      詳細を見る

    担当区分:研究代表者 

    配分額:3700000円 ( 直接経費:3700000円 )

    前年度までに、Si基板を用いてGaN厚膜単結晶の成長を試み、200nm程度のAlN中間層を介してHVPEによりGaN結晶成長を行うと、Si基板のメルトバックエッチングを抑制することが可能であることを見出した。その結果100μm以上の厚膜GaNを得ることに成功している。しかしながら長時間成長においては基板界面からGaNへ向かい変質層が確認された。変質層には20%を超えるSiが含まれ、依然として耐性が完全ではなく、ゆっくりではあるがメルトバックエッチングが起きていることが分かった。昨年度は、AlNテンプレートの成長条件の検討しメルトバックエッチングの抑制を図った。今年度この結果を用い、HVPE成長条件及び選択成長改善により更なるメルトバックエッチングの抑制を試みた。
    成長用基板にはSi(111)を用い、MOVPE法により150nmのAlN上に200nmのGaN薄膜を成長させた。この基板上へSiO_2をマスクとした3/3μmのドットパターンを形成した。この基板をテンプレートとして、HVPE-GaNを成長した。まず、HVPE成長温度を1000〜1100℃と変化させ成長を行った。1100℃の場合、マスクの有無に関わらず全面がメルトバックエッチングをおこしていた。成長温度を1025〜1075℃程度の領域では、マスク無ではメルトバックを起こしていたが、マスクが有る場合メルトバックはほとんど起きなかった。1000℃の場合、どちらの場合もメルトバックエッチングは起こらなかった。これらの結果から、メルトバックの反応は温度に対して非常に敏感であり1000℃付近で急激に抑制できること、選択成長がメルトバック抑制に効果的であることが確認された。以上の実験を踏まえて、厚膜の作製を試みた。選択成長用マスクを施した基板を成長用基板し、1000℃にて5時間行った。得られた結晶は400μm程度の膜厚があり、基板として利用可能な膜厚を満たしていた。そこで、フッ硝酸にてSiをエッチングすることでGaN自立基板を得ることに成功した。XRDにより(0004)のロッキングカーブを測定した結果、半値幅は390arcsecであり、同時に成長したサファイア基板の240arcsecと比較してもそれほど変わらずC軸配向性は良好であった。本研究ではSi基板のAlN結晶品質、HVPEの成長長条件の改善により初めてSi基板を持ちいてGaN自立基板を作製することを可能とした。

  33. 選択成長法によるシリコン基板上への集積形窒化物半導体デバイスの作製に関する研究

    研究課題/研究課題番号:13305023  2001年 - 2003年

    沢木 宣彦

      詳細を見る

    担当区分:研究分担者 

    1.(111)シリコン基板上へMOVPE成長について、特にAlN緩衝層の役割と選択成長法による単位胞の縮小効果について検討し、200-500ミクロン角のクラックフリー結晶を得た。また、その電気的・光学的特性を測定し、界面ポテンシャルと電気抵抗の緩衝層厚さとの関係を評価するとともに、縦型デバイスの作製条件を明らかにした。
    2.ファセット上へのMOVPE選択成長における混晶薄膜の組成と厚さを測定し、化学種の拡散現象(気相拡散と表面拡散)の役割を明らかにするとともに、ファセット上での拡散長を評価した。
    3.MOVPE選択成長法による加工(001)シリコン傾斜基板上への結晶成長を試み、(1-101)面を有する窒化物結晶膜を始めて実現した。結晶軸を傾けることによるクラックフリー結晶の実現と新結晶面による超平坦ヘテロ界面を達成した。
    4.HVPE選択成長法による(111)シリコン基板上への結晶成長をこころみ、AlN緩衝層を用いることによる厚膜結晶の作製手法を提案した。
    5.GaNストライプ、GaAsドット上に量子井戸あるいは結合量子ドット構造を作製し、その光学的特性を測定し、フアセット上に得られた量子井戸構造の導波路としての優れた特性を明らかにするとともに、ドットにおける励起子の寿命がサイズにより長くなることを明らかにした。

▼全件表示

産業財産権 20

  1. 発光層形成用基材、発光体及び発光物質

    本田 善央、澤木 宣彦、柳瀬 康行、一柳 昌幸、稲岡 宏弥、森 連太郎、木山 明

     詳細を見る

    出願人:国立大学法人名古屋大学、トヨタ自動車株式会社

    出願番号:特許出願2005-244354  出願日:2005年8月

    公開番号:特許公開2007-56164 

    出願国:国内  

  2. 発光層形成用基材、発光体及び発光物質

    本田 善央, 澤木 宣彦, 柳瀬 康行, 一柳 昌幸, 稲岡 宏弥, 森 連太郎, 木山 明

     詳細を見る

    出願人:国立大学法人名古屋大学、トヨタ自動車株式会社

    出願番号:特許出願2005-244354  出願日:2005年8月

    公開番号:特許公開2007-56164 

  3. カーボンドープ半導体膜、半導体素子、及びこれらの製造方法

    澤木 宣彦、山口 雅史、本田 善央、彦坂 年輝、小出 典克、真部 勝英

     詳細を見る

    出願人:北川工業株式会社

    出願番号:特許出願2004-92289  出願日:2004年3月

    公開番号:特許公開2005-277342 

    出願国:国内  

  4. カーボンドープ半導体膜、半導体素子、及びこれらの製造方法

    澤木 宣彦, 山口 雅史, 本田 善央, 彦坂 年輝, 小出 典克, 真部 勝英

     詳細を見る

    出願人:北川工業株式会社

    出願番号:特許出願2004-92289  出願日:2004年3月

    公開番号:特許公開2005-277342 

  5. 窒化物半導体結晶層の作製方法、窒化物半導体結晶層、及び窒化物半導体結晶層作製用の基材

    澤木 宣彦、本田 善央、西村 慶之

     詳細を見る

    出願人:国立大学法人名古屋大学

    出願番号:特許出願2003-370790  出願日:2003年10月

    公開番号:特許公開2005-136200 

    出願国:国内  

  6. 窒化物半導体結晶層の作製方法、窒化物半導体結晶層、及び窒化物半導体結晶層作製用の基材

    澤木 宣彦, 本田 善央, 西村 慶之

     詳細を見る

    出願人:国立大学法人名古屋大学

    出願番号:特許出願2003-370790  出願日:2003年10月

    公開番号:特許公開2005-136200 

  7. 半導体素子構造、電子エミッタ、及び半導体素子構造の作製方法

    澤木 宣彦、本田 善央

     詳細を見る

    出願人:名古屋大学長

    出願番号:特許出願2002-280182  出願日:2002年9月

    公開番号:特許公開2004-119168 

    出願国:国内  

  8. 半導体素子構造、電子エミッタ、及び半導体素子構造の作製方法

    澤木 宣彦, 本田 善央

     詳細を見る

    出願人:名古屋大学長

    出願番号:特許出願2002-280182  出願日:2002年9月

    公開番号:特許公開2004-119168 

  9. 半導体発光素子およびその製造方法

    澤木 宣彦、本田 善央、亀代 典史、山口 雅史、田中 成泰、小出 典克

     詳細を見る

    出願人:澤木 宣彦、シャープ株式会社

    出願番号:特許出願2002-38263  出願日:2002年2月

    公開番号:特許公開2003-243702 

    出願国:国内  

  10. 半導体発光素子およびその製造方法

    澤木 宣彦, 本田 善央, 亀代 典史, 山口 雅史, 田中 成泰, 小出 典克

     詳細を見る

    出願人:澤木 宣彦、シャープ株式会社

    出願番号:特許出願2002-38263  出願日:2002年2月

    公開番号:特許公開2003-243702 

  11. 半導体発光素子の製造方法

    小出 典克、山本 淳次、堂北 剛、澤木 宣彦、本田 善央、黒岩 洋佑、山口 雅史

     詳細を見る

    出願人:シャープ株式会社、澤木 宣彦

    出願番号:特許出願2001-338536  出願日:2001年11月

    公開番号:特許公開2003-142728 

    出願国:国内  

  12. 半導体発光素子の製造方法

    小出 典克, 山本 淳次, 堂北 剛, 澤木 宣彦, 本田 善央, 黒岩 洋佑, 山口 雅史

     詳細を見る

    出願人:シャープ株式会社、澤木 宣彦

    出願番号:特許出願2001-338536  出願日:2001年11月

    公開番号:特許公開2003-142728 

  13. 半導体レーザ素子およびその製造方法

    澤木 宣彦、本田 善央、亀代 典史、山口 雅史、小出 典克、伊藤 茂稔、大野 智輝、古川 勝紀

     詳細を見る

    出願人:澤木 宣彦、シャープ株式会社

    出願番号:特許出願2001-240413  出願日:2001年8月

    公開番号:特許公開2002-246697 

    出願国:国内  

  14. 半導体レーザ素子およびその製造方法

    澤木 宣彦, 本田 善央, 亀代 典史, 山口 雅史, 小出 典克, 伊藤 茂稔, 大野 智輝, 古川 勝紀

     詳細を見る

    出願人:澤木 宣彦、シャープ株式会社

    出願番号:特許出願2001-240413  出願日:2001年8月

    公開番号:特許公開2002-246697 

  15. 窒化物半導体の製造方法

    澤木 宣彦、本田 善央、小出 典克

     詳細を見る

    出願人:澤木 宣彦、シャープ株式会社

    出願番号:特許出願2001-191227  出願日:2001年6月

    公開番号:特許公開2003-8061 

    出願国:国内  

  16. 窒化物半導体の製造方法

    澤木 宣彦, 本田 善央, 小出 典克

     詳細を見る

    出願人:澤木 宣彦、シャープ株式会社

    出願番号:特許出願2001-191227  出願日:2001年6月

    公開番号:特許公開2003-8061 

  17. 化合物半導体単結晶の製造方法およびその利用

    澤木 宣彦、山口 雅史、本田 善央

     詳細を見る

    出願人:三菱化学株式会社

    出願番号:特許出願2001-46837  出願日:2001年2月

    公開番号:特許公開2002-249400 

    出願国:国内  

  18. 化合物半導体単結晶の製造方法およびその利用

    澤木 宣彦, 山口 雅史, 本田 善央

     詳細を見る

    出願人:三菱化学株式会社

    出願番号:特許出願2001-46837  出願日:2001年2月

    公開番号:特許公開2002-249400 

  19. 半導体素子

    澤木 宣彦、本田 善央、小出 典克

     詳細を見る

    出願人:澤木 宣彦、シャープ株式会社

    出願番号:特許出願2000-382164  出願日:2000年12月

    公開番号:特許公開2002-185041 

    出願国:国内  

  20. 半導体素子

    澤木 宣彦, 本田 善央, 小出 典克

     詳細を見る

    出願人:澤木 宣彦、シャープ株式会社

    出願番号:特許出願2000-382164  出願日:2000年12月

    公開番号:特許公開2002-185041 

▼全件表示

 

担当経験のある科目 (本学) 10

  1. 電気電子情報工学学生実験

    2013

  2. 電気回路論および演習

    2013

  3. 電気電子情報工学学生実験

    2013

  4. 電気電子情報工学学生実験

    2012

  5. 電気電子情報工学学生実験

    2012

  6. 電気回路論および演習

    2012

  7. 電気電子情報工学学生実験

    2011

  8. 電気電子情報工学学生実験

    2011

  9. 電気回路論および演習

    2011

  10. 電気回路論演習

    2004

▼全件表示

担当経験のある科目 (本学以外) 2

  1. 半導体工学(集中講義)

    2022年9月

  2. 電気電子工学特論I

    2015年4月 - 2022年3月 青山学院大学)

     詳細を見る

    科目区分:大学院専門科目