2023/10/11 更新

写真a

ホリ マサル
堀 勝
HORI Masaru
所属
低温プラズマ科学研究センター 特任教授
職名
特任教授
連絡先
メールアドレス

学位 1

  1. 工学博士 ( 1986年3月   名古屋大学 ) 

研究分野 2

  1. その他 / その他  / プラズマ応用科学

  2. ものづくり技術(機械・電気電子・化学工学) / 電子デバイス、電子機器  / 表面界面物性

現在の研究課題とSDGs 3

  1. ラジカル制御プラズマプロセスに関する研究

  2. プラズマ生命科学(医学・農水産学・薬学)の開拓に関する研究

  3. 低温プラズマ科学と応用に関する研究

経歴 13

  1. 名古屋大学低温プラズマ科学研究センター   特任教授

    2023年4月 - 現在

  2. 名古屋大学低温プラズマ科学研究センター長

    2019年4月 - 2023年3月

      詳細を見る

    国名:日本国

  3. 名古屋大学未来社会創造機構 機構長補佐

    2016年4月 - 2019年3月

      詳細を見る

    国名:日本国

  4. 名古屋大学未来社会創造機構 暮らし・健康基盤情報部門長(COI)

    2014年4月 - 2017年3月

      詳細を見る

    国名:日本国

  5. 名古屋大学プラズマ医療科学国際イノベーションセンター長

    2013年8月 - 2019年3月

      詳細を見る

    国名:日本国

  6. NU-SKKU 先端プラズマナノ材料研究所(韓国)研究所長

    2011年11月 - 2019年9月

      詳細を見る

    国名:大韓民国

  7. 名古屋大学大学院工学研究科付属プラズマナノ工学研究センター長

    2009年4月 - 2013年3月

      詳細を見る

    国名:日本国

  8. 名古屋大学教授

    2004年4月 - 現在

      詳細を見る

    国名:日本国

  9. 英国ケンブリッジ大学キャベンディシュ研究所客員研究員

    1997年8月 - 1997年12月

      詳細を見る

    国名:日本国

  10. 名古屋大学助教授(工学部)

    1996年7月 - 2004年3月

      詳細を見る

    国名:日本国

  11. 名古屋大学講師(工学部)

    1994年4月 - 1996年6月

      詳細を見る

    国名:日本国

  12. 名古屋大学助手(工学部)

    1992年4月 - 1994年3月

      詳細を見る

    国名:日本国

  13. (株)東芝 総合研究所 超LSI研究所

    1986年4月 - 1992年3月

      詳細を見る

    国名:日本国

▼全件表示

学歴 2

  1. 名古屋大学   工学研究科   電子工学

    - 1986年

      詳細を見る

    国名: 日本国

  2. 早稲田大学   理工学部   電子通信学科

    - 1981年

      詳細を見る

    国名: 日本国

所属学協会 6

  1. プラズマ・核融合学会

  2. 応用物理学会

  3. Materials Research Society

  4. American Vacuum Society

  5. 日本分光学会

  6. 日本表面技術科学会

▼全件表示

委員歴 182

  1. 学術振興会「153委員会」プラズマ材料科学   委員長  

    2016年4月 - 2017年3月   

  2. 日本学術会議   連携委員  

    2011年4月 - 現在   

  3. 応用物理学会   常務理事  

    2009年4月 - 2011年3月   

  4. 表面技術協会   理事  

    2010年4月 - 2012年3月   

  5. 応用物理学会 プラズマエレクトロニクス分科会   幹事長  

    2010年4月 - 2012年3月   

  6. ISPC25   Organizing Committee  

    2023年5月   

  7. ICRP-11 / GEC 2022, 11th International Conference on Reactive Plasma / 2022 Gaseous Electronics Conference / 40th Symposium on Plasma Processing (SPP-40) / 35th Symposium on Plasma Science for Materials (SPSM35)   Advisory Committee  

    2022年10月   

  8. 9th International Symposium on Control of Semiconductor Interfaces(ISCSI-IX)   International Technical Program Committee.  

    2022年9月   

  9. 5th International Symposium on Plasmas for Catalysis and Energy Materials (ISPCEM)   International Advisory Committee  

    2022年7月   

  10. The International Symposium of the Vacuum Society of the Philippines (ISVSP 2022) conference   Scientific Program Committee  

    2022年2月   

  11. The 13th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2021)   International Scientific Committee (ISC)  

    2022年2月   

  12. The 43rd International Symposium on Dry Process (DPS2022)   Organizing Committee  

    2022年   

  13. 42nd International Symposium on Dry Process (DPS2021)   Organizing Committee  

    2021年11月   

  14. Interfinish2020   Chair of International Organizing Committee  

    2021年9月   

  15. The 2nd Plasma Thin films International Union Meeting (PLATHINIUM 2021)   International Scientific Committee  

    2021年9月   

  16. The 2nd International Workshop on Plasma Agriculture (IWOPA-3)   International Organizing Committee  

    2021年3月   

  17. ISplasma2021/IC-PLANTS2021   Organizing Committee.  

    2021年3月   

  18. The 8th International Conference on Plasma Medicine (ICPM-8)   Board & International Scientific Committee  

    2020年11月   

  19. The 10th Global Nanotechnology Congress and Expo (Nano-2020)   Organizing Committee  

    2020年11月   

  20. The 11th International Symposium on Plasma Nanoscience (iPlasmaNano-XI)   Executive Committee  

    2020年9月   

  21. ISplasma2020/IC-PLANTS2020   Advisory Committee.  

    2020年3月   

  22. 41st International Symposium on Dry Process Symposium (DPS2019)   Vice Chair of Executive Committee and Organizing Committee  

    2019年11月   

  23. 41st International Symposium on Dry Process (DPS2019)   Organizing Committee.  

    2019年11月   

  24. 8th International Symposium on Control of Semiconductor Interfaces   International Technical Program Committee  

    2019年11月   

  25. Plasma Thin film International Union Meeting, PLATHINIUM   International Scientific Committee  

    2019年9月   

  26. The 10th International Symposium on Plasma Nanoscience (iPlasmaNano-X)   Executive Committee  

    2019年9月   

  27. The 12th Asian-European International Conference on Plasma Surface Engineering(AEPSE 2019)   International Program Committee  

    2019年9月   

  28. International Symposium on Plasma Chemistry (ISPC24)   International Organizing Committee  

    2019年6月   

  29. 6th International Workshop on Plasma for Cancer Treatment (IWPCT 2019)   International Scientific Committee  

    2019年4月   

  30. ISplasma2019/IC-PLANTS2019,   Organizing Committee  

    2019年3月   

  31. 40th International Symposium on Dry Process Symposium (DPS2018)   Chair of Executive Committee and Organizing Committee  

    2018年11月   

  32. 40th International Symposium on Dry Process (DPS2018)   Executive Committee Chair / Organizing Committee  

    2018年11月   

  33. 2018 International Symposium on Plasmas for Catalysts and Energy Materials (ISPCEM-2018)   International Advisory Board  

    2018年10月   

  34. The 8th International Workshop on Plasma Spectroscopy (IPS 2018)   International Scientific Committee  

    2018年9月   

  35. The 9th International Symposium on Plasma Nanoscience (iPlasmaNano-IX)   Executive Committee  

    2018年8月   

  36. 2018 Asia-Pacific Conference on Plasma and Terahertz Science (APCOPTS)   International Advisory Committee  

    2018年8月   

  37. The 7th International Conference on Microelectronics and Plasma Technology (ICMAP 2018)   International Advisory Committee  

    2018年7月   

  38. 7th International Conference on Microelectronics and Plasma Technology (ICMAP 2018)   International Advisory Committee  

    2018年7月   

  39. Joint International Conference on ICMAP 2018, APCPST 2018, and ISPB 2018   International Advisory Committee  

    2018年6月   

  40. 7th International Conference on Plasma Medicine (ICPM-7)   Board & International Scientific Committee  

    2018年6月   

  41. The 2nd International Workshop on Plasma Agriculture (IWOPA-2)   International Organizing Committee  

    2018年3月   

  42. ISplasma2018/IC-PLANTS2018   Organizing Committee.  

    2018年3月   

  43. 5th International Workshop on Plasma for Cancer Treatment (IWPCT 2018)   International Scientific Committee  

    2018年3月   

  44. 39th International Symposium on Dry Process Symposium (DPS2017)   Organizing Committee  

    2017年11月   

  45. The 8th International Symposium on Plasma Nanoscience (iPlasmaNano-IIIV)   Executive Committee  

    2017年9月 - 2017年10月   

  46. The 11th Asian-European International Conference on Plasma Surface Engineering(AEPSE 2017)   Organizing Committee Co-Chair.  

    2017年9月   

  47. 2017 International Forum on Functional Materials (IFFM2017)   International Advisory Board  

    2017年6月   

  48. Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & The Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials   Co-Chair  

    2017年4月   

  49. ISplasma2017/IC-PLANTS2017, March 1-5 2017   Organizing Committee  

    2017年3月   

  50. The 3rd International Workshop on Advanced Plasma Technology and Applications   Organizing Committee  

    2017年1月   

  51. 38th International Symposium on Dry Process Symposium (DPS2016)   Organizing Committee  

    2016年11月   

  52. The 3rd International Conference on Universal Village (UV 2016)   Session Chair (Intelligent Healthcare)  

    2016年10月   

  53. The 3rd International Conference on Universal Village (UV 2016)   Session Chair (Intelligent Healthcare)  

    2016年10月   

  54. The 7th International Symposium on Plasma Nanoscience (iPlasmaNano-IIV)   Executive Committee.  

    2016年9月 - 2016年10月   

  55. ・The 6th International Conference on Microelectronics and Plasma Technology (ICMAP2016), September 26-29, 2016, Gyeongju Dream Center, Gyeongju, Korea. International Advisory Committee,   International Advisory Committee  

    2016年9月   

  56. The 6th International Conference on Plasma Medicine (ICPM-6)   Board & International Scientific Committee.  

    2016年9月   

  57. 15th International Conference on Plasma Surface Engineering (PSE 2016)   Conference Co-chairman  

    2016年9月   

  58. 20th International Vacuum Congress (IVC-20)   International Scientific Committee in Plasma Science and Technique Division  

    2016年8月   

  59. ・2016 International Symposium on Plasma for Catalysts and Energy Materials (ISPCEM-2016)   International Advisory Board.  

    2016年6月 - 2016年7月   

  60. 7th International Workshop on Plasma Spectroscopy (IPS 2016)   International Scientific Committee.  

    2016年6月   

  61. 43rd IEEE International Conference on Plasma Science   Session Organizer  

    2016年6月   

  62. ISplasma2016/IC-PLANTS2016, March 6-10, 2016   Organizing Committee  

    2016年3月   

  63. The 3rd International Workshop on Plasma for Cancer Treatment, 2016   International Scientific Committee.  

    2016年   

  64. 37th International Symposium on Dry Process Symposium (DPS2015)   Organizing  

    2015年11月   

  65. 9th International Conference on Reactive Plasmas / 68th Gaseous Electronics Conference/ 33rd Symposium on Processing Plasmas   Advisory Committee  

    2015年10月   

  66. 21th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & The Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials   Co-Chair  

    2015年10月   

  67. The 6th International Symposium on Plasma Nanoscience (iPlasmaNano-IV)   Executive Committee  

    2015年9月 - 2015年10月   

  68. The 10th Asian-European International Conference on Plasma Surface Engineering(AEPSE 2015)   Organizing Committee Chair  

    2015年9月   

  69. The 32nd International Conference on Phenomena in Ionized Gases (ICPIG2015)   International Organizing Committee  

    2015年7月   

  70. 22th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics, The Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials & The 1st International Workshop on Advanced Plasma Technology and Application   Chair  

    2015年7月   

  71. ISplasma2015/IC-PLANTS2015, March 26-31, 2016   Organizing Committee  

    2015年3月   

  72. ・20th Workshop on Advanced Plasma Processes and Diagnostics & The 7th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, Jan. 27 ~ 29, 2015. Hokkaido University, Sapporo, Japan, Chair.   Chair  

    2015年1月   

  73. The 2nd International Workshop on Plasma for Cancer Treatment (Nagoya 2015)   Chair of Organizing Committee & International Scientific Committee  

    2015年   

  74. 36th International Symposium on Dry Process Symposium (DPS2014)   Organizing Committee  

    2014年11月   

  75. The 5th International Symposium on Plasma Nanoscience (iPlasmaNano-V)   Executive Committee  

    2014年9月 - 2014年10月   

  76. 14th International Conference on Plasma Surface Engineering (PSE 2012)   International Advisory Board  

    2014年9月   

  77. International Symposium on Plasmas for Catalysts and Energy Materials (ISPCEM-2014)   International Advisory Board  

    2014年9月   

  78. 14th international conference on Plasma Surface Engineering (PSE 2014)   International Advisory Board  

    2014年9月   

  79. The 5th International Conference on Microelectronics and Plasma Technology (ICMAP 2014)   International Advisory Committee  

    2014年7月   

  80. ISplasma2014/IC-PLANTS2014   Organizing Committee  

    2014年3月   

  81. 8th International Conference on Reactive Plasmas / 31st Symposium on Plasma Processing   aaaaa  

    2014年2月   

  82. 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics   Chair  

    2014年2月   

  83. The first International Workshop on Plasma for Cancer Treatment   International Scientific Committee  

    2014年   

  84. International Conference on Plasma Medicine (ISPM)   Board & International Scientific Committee  

    2014年   

  85. The 4th International Symposium on Plasma Nanoscience (iPlasmaNano-IV)   Executive Committee  

    2013年9月 - 2013年10月   

  86. 35th International Symposium on Dry Process Symposium (DPS2013)   Organizing Committee  

    2013年8月   

  87. The 31st International Conference on Phenomena in Ionized Gases (ICPIG2013)   International Organizing Committee  

    2013年7月   

  88. 17th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 4th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials   Co-Chair  

    2013年5月   

  89. The 6th International Conference on Plasma-Nano Technology & Science, IC-PLANTS 2013   Organizing Committee  

    2013年2月   

  90. ISplasma2013   Organizing Committee Chair  

    2013年1月 - 2013年2月   

  91. 16th International Workshop on Advanced Plasma Processing and Diagnostics   Chair  

    2013年1月   

  92. 34th International Symposium on Dry Process Symposium (DPS2012)   Organizing Committee  

    2012年11月   

  93. The 11th Asia Pacific Conference on Plasma Science and Technology (APCPST) / The 25th Symposium on Plasma Science for Materials(SPSM)   Chair of Executive Committee  

    2012年10月   

  94. 13th International conference on Plasma Surface Engineering (PSE 2012)   International Advisory Board  

    2012年9月   

  95. 2012 International Symposium on Plasmas for Catalysts and Energy Materials (ISPCEM-2012)   International Advisory Board  

    2012年9月   

  96. The 2nd International Symposium for Plasma Biosciences (SPB 2012)   Chair of Organizing Committee  

    2012年8月   

  97. The 4th International Conference on Microelectronics and Plasma Technology (ICMAP 2012)   International Advisory Committee  

    2012年7月   

  98. 15th Korea- Japan workshop for Advanced Plasma Process and Diagnostics   Co-Chair  

    2012年6月   

  99. The 5th International Conference on Plasma-Nano Technology & Science, IC-PLANTS 2012   Organizing Committee  

    2012年3月   

  100. ISplasma2012   Organizing Committee Chair  

    2012年3月   

  101. The 3rd International Symposium on Plasma Nanoscience (iPlasmaNano-III)   International Consultative and Program Committee Executive Committee  

    2012年2月 - 2012年3月   

  102. 14th International Workshop of Advanced Plasma Processing and Diagnostics & 2nd Workshop for NU- SKKU Joint Institute for Plasma-Nano Materials   Chair  

    2012年1月   

  103. 33rd International Symposium on Dry Process Symposium (DPS2011)   Organizing Committee  

    2011年11月   

  104. The 15th International Conference on Thin Films (ICTF-15)   Organizing Committee  

    2011年11月   

  105. The 4th International Symposium on Plasma Nanoscience (iPlasmaNano-IV)   Executive Committee  

    2011年9月 - 2011年10月   

  106. The 8th Asian-European International Conference on Plasma Surface Engineering (AEPSE2011)   International Organizing Committee  

    2011年9月   

  107. 112 IUVSTA Executive Council Meeting and 4th International Conference on Advanced Plasma Technologies with Workshop   Program Committee  

    2011年9月   

  108. The 30th International Conference on Phenomena in Ionized Gases (ICPIG2011)   International Scientific Committee  

    2011年8月 - 2011年9月   

  109. The 1st International Symposium for Plasma Biosciences / The 1st Annual Workshop for Plasma Bioscinece Research Center   Chair of Organizing Committee  

    2011年8月   

  110. Advanced Plasma Technology for Green Energy and Biomedical Applications (APT 2011)   International Organizing Committee  

    2011年8月   

  111. International Symposium on Plasma Chemistry (ISPC20)   Board of Director of the International Plasma Chemistry Society (IPCS)  

    2011年7月   

  112. 13th International Workshop on Advanced Plasma Processing and Diagnostics   Chair  

    2011年7月   

  113. The 6th International Symposium on Control of Semiconductor Interfaces(ISCSI-VI)   Technical program committee  

    2011年5月   

  114. 実行委員長  

    2011年4月 - 2012年3月   

  115. 2nd International Workshop on Plasma nano-Interface and Plasma Characterization   Organizing Committee  

    2011年3月   

  116. 第58回応用物理学会関係連合講演会   運営委員長  

    2011年3月   

  117. The 4th International Conference on Plasma-Nano Technology & Science, IC-PLANTS 2013   Organizing Committee  

    2011年3月   

  118. The 4th International Conference on Plasma-Nano Technology & Science (IC-PLANTS 2011)   Organizing Committee  

    2011年3月   

  119. ISplasma2011   Organizing Committee Chair  

    2011年3月   

  120. 12th International Workshop of Advanced Plasma Processing and Diagnostics   Chair  

    2011年1月   

  121. 2011 International Conference on Solid State device and materials (SSDM2011)   Chair of Steering Committee  

    2011年   

  122. 32nd International Symposium on Dry Process Symposium (DPS2010)   Organizing Committee  

    2010年11月   

  123. 7th International Conference on Reactive Plasmas / 63rd Gaseous Electronics Conference/28th Symposium on Processing Plasmas   Chair of Organize Committee  

    2010年10月   

  124. The 2nd International Symposium on Plasma Nanoscience (iPlasmaNano-II)   Executive Committee  

    2010年9月 - 2010年10月   

  125. 2010 International Conference on Solid State device and materials (SSDM)   Vice Chair of Steering Committee  

    2010年9月   

  126. 第71回応用物理学会学術講演会   運営委員長  

    2010年9月   

  127. 11th International Workshop on Advanced Plasma Processing and Diagnostics   Co-Chair  

    2010年7月   

  128. 10th Asia-Pacific Conference on Plasma Science and Technology (APCPST) and 23rd Symposium on Plasma Science for Materials (SPSM)   Program Committee  

    2010年7月   

  129. The 3rd International Conference on Plasma-Nano Technology & Science (IC-PLANTS 2010)   Organizing Committee Chair  

    2010年3月   

  130. The 3rd International Conference on Plasma-Nano Technology & Science, IC-PLANTS 2010   Organizing Committee Chair  

    2010年3月   

  131. ISplasma2010   Organizing Committee Chair  

    2010年3月   

  132. 10th International Workshop of Advanced Plasma Processing and Diagnostics   Chair  

    2010年1月   

  133. The 3rd International Conference on Microelectronics and Plasma Technology (ICMAP 2011)   International Advisory Committee  

    2009年9月   

  134. The 2nd International Symposium on Plasma Nanoscience (iPlasmaNano-II)   Executive Committee  

    2009年9月   

  135. 7th International Workshop on Microwave Discharges: Fundamentals and Applications (MD7)   Steering Committee  

    2009年9月   

  136. 31st International Symposium on Dry Process Symposium (DPS2009)   Organizing Committee  

    2009年9月   

  137. Joint International Conference of Asian-European International Conference on Plasma and Ion surface Engineering (AEPSE) / The 2nd International Conference on Microelectronics and Plasma Technology (ICMAP) /DPS   International Organizing Committee and Scientific Program Committee  

    2009年9月   

  138. The 2nd International Conference on Microelectronics and Plasma Technology (ICMAP 2009)   International Advisory Committee  

    2009年9月   

  139. The 29th International Conference on Phenomena in Ionized Gases (ICPIG2015)   International Organizing Committee  

    2009年9月   

  140. 19th International Symposium on Plasma Chemistry   International Plasma Chemistry Society (IPCS) Board of Directors  

    2009年7月   

  141. 9th Korea-Japan Workshop on Thin Film and Plasma Process for Green Technology Advanced Plasma Diagnostics for Plasma-Nano Processing   Co-Chair  

    2009年7月   

  142. ISplasma2009   Organizing Committee Chair  

    2009年3月   

  143. The 2nd International Conference on Plasma-Nano Technology & Science, IC-PLANTS 2009   Organizing Committee Vice Chair  

    2009年1月   

  144. 8th International Workshop of Advanced Plasma Processing and Diagnostics, Joint Workshop with Plasma Application Monodzukuri(PLAM)   Chair  

    2009年1月   

  145. 30th International Symposium on Dry Process Symposium (DPS2008)   Organizing Committee  

    2008年11月   

  146. 2008 International Microprocess and Nanotechnology Conference (MNC)   Executive Committee  

    2008年10月   

  147. The 1st International Conference on Microelectronics and Plasma Technology (ICMAP 2008)   Executive Committee  

    2008年8月   

  148. The 3rd International School of Advanced Plasma Technology   Scientific Organizing Committee  

    2008年7月   

  149. 7th Korea-Japan Workshop on Plasma Technology Thin Film and Plasma Technology for Next Generation Energy Advanced Plasma Diagnostics for Plasma-Nano Processing   Co-Chair  

    2008年7月   

  150. The 1st International Conference on Plasma-Nano Technology & Science, IC-PLANTS 2008   Organizing Committee Vice Chair  

    2008年3月   

  151. 29th International Symposium on Dry Process Symposium (DPS2007)   Organizing Committee  

    2007年11月   

  152. Fifth International Symposium on Control of Semiconductor Interfaces―for Next Generation ULSI Process Integrations―   Program Committee  

    2007年11月   

  153. The 6th Asian-European International Conference on Plasma Surface Engineering (AEPSE)   International Organizing Committee  

    2007年9月   

  154. The 18th International Symposium on Plasma Chemistry (ISPC-18)   Local Executive Committee  

    2007年8月   

  155. The 16th International Colloquium on Plasma Processes (CIP)   International Science Committee  

    2007年6月   

  156. 5th International Symposium on Advanced Plasma Processes and Diagnostics & The 1st International Symposium on Flexible Electronics Technology   Co-Chair  

    2007年4月   

  157. 6th International Workshop on Advanced Plasma Processing and Diagnostics & The 3rd Plasma Application Monodzukuri (PLAM)   Chair  

    2007年1月   

  158. 2nd International workshop on Infrared plasma diagnostics   International Science Committee  

    2007年   

  159. 4th Workshop on Advanced Plasma Processing and Diagnostics   Chair  

    2006年12月   

  160. 28th International Symposium on Dry Process Symposium (DPS2006)   Chair of Executive Committee and Organizing Committee  

    2006年11月 - 2006年12月   

  161. 38th International Symposium on Dry Process Symposium (DPS2016)   Organizing Committee  

    2006年11月   

  162. The 1st International Workshop on Infrared Plasma Spectroscopy   International Scientific Committee  

    2006年6月   

  163. 3rd Workshop on Advanced Plasma Processing and Diagnostics   Co-Chair  

    2006年4月   

  164. The 6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing (ICRP-6/SPP-23)   Organizing Committee / Program Committee / Vice Chair of Fundraising Committee  

    2006年1月   

  165. 8th Asia-Pacific Conference on Plasma Science and Technology / 19th Symposium on Plasma Science for Materials ASCPST   International Program Committee  

    2006年   

  166. The Ist International workshop on Infrared plasma diagnostics   International Science Committee  

    2006年   

  167. The 6th Korea-Japan Symposium on Plasma and Thin Film Technology   Advisory Committee  

    2006年   

  168. 2nd Workshop on Advanced Plasma Processing and Diagnostics   Co-Chair  

    2005年12月   

  169. 27th International Symposium on Dry Process Symposium (DPS2005)   Chair of Executive Committee and Organizing Committee  

    2005年11月   

  170. International Symposium on EcoTopia Science 2005 (ISETS05)   Secretariats  

    2005年8月   

  171. 15th International Colloquium on Plasma Process (CIP 05)   International Scientific Committee  

    2005年6月   

  172. 応用物理学会   東海支部長  

    2005年4月 - 2007年3月   

  173. 1st Workshop on Advanced Plasma Processing and Diagnostics   Chair  

    2005年4月   

  174. International Symposium on EcoTopia Science (ISETS05)   Session Organizer  

    2005年   

  175. 26th International Symposium on Dry Process Symposium (DPS2004)   Chair of Executive Committee and Organizing Committee  

    2004年11月 - 2004年12月   

  176. The 2nd International School of Advanced Plasma Technology   Scientific Organizing Committee  

    2004年9月 - 2004年10月   

  177. International COE Forum on Plasma Science and Technology,   Local Organizing Committee  

    2004年4月   

  178. International Workshop on Plasma Nano-Technology and Its Future Vision   Vice Chair of Organizing Committee and Chair of Local Organizing Committee  

    2004年2月   

  179. 25th International Symposium on Dry Process Symposium (DPS2003)   Chair of Executive Committee and Organizing Committee  

    2003年11月   

  180. 2003 International Microprocess and nanotechnology Conference,   Program Committee, Etching and Deposition Technologies, Section Head  

    2003年10月   

  181. 24th International Symposium on Dry Process Symposium (DPS2002)   Organizing Committee  

    2002年11月   

  182. 23th International Symposium on Dry Process Symposium (DPS2001)   Chair of Program Committee and Organizing Committee  

    2001年11月   

▼全件表示

受賞 40

  1. 第76回中日文化賞

    2023年6月   中日新聞社  

    堀 勝

     詳細を見る

    受賞国:日本国

  2. 紫綬褒章

    2022年11月   内閣府  

    堀 勝

     詳細を見る

    受賞国:日本国

  3. Reactive Plasma Award

    2022年10月   The 11th International Conference on Reactive Plasmas  

    Masaru Hori

     詳細を見る

    受賞区分:国際学会・会議・シンポジウム等の賞  受賞国:日本国

  4. 第43回(2021年度)応用物理学会論文賞

    2022年3月   応用物理学会  

     詳細を見る

    受賞区分:国内学会・会議・シンポジウム等の賞 

  5. The Best Oral Presentation Awards

    2022年3月   14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022)   Evaluation of Selective Anti-Cancer Effect in Plasma-Activated Ringer's Lactate Solution Produced by Regulated Surrounding Atmosphere

    Daiki Ito, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Kae Nakamura, Camelia Miron, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno and Masaru Hori

     詳細を見る

    受賞区分:国際学会・会議・シンポジウム等の賞  受賞国:日本国

  6. The Best Short Presentation (poster) Awards

    2022年3月   14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022)   Enhanced Bioremediation of 4-Chlorophenol by Oxygen Radical Treatment Based on Non-Thermal Atmospheric Pressure Plasma

    Hiroyuki Kato, Kiyota Sakai, Shou Ito, Naoyuki Iwata, Masafumi Ito, Masaru Hori, Motoyuki Shimizu and Masashi Kato

     詳細を見る

    受賞区分:国内外の国際的学術賞  受賞国:日本国

  7. The Best Short Presentation (poster) Awards

    2022年3月   14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022)   Carbon Layer Formation on Boron Nitride via a Plasma in Hydroquinone Solution

    Kenichi Inoue, Noritaka Sakakibara, Taku Goto, Tsuyohito Ito, Yoshiki Shimizu, Kenji Ishikawa, Masaru Hori and Kazuo Terashima

     詳細を見る

    受賞区分:国内外の国際的学術賞  受賞国:日本国

  8. DPS Paper Award

    2021年11月   DPS(International Symposium on Dry Process)   Formation mechanism of sidewall striation in high-aspect-ratio hole etching

     詳細を見る

    受賞区分:国際学会・会議・シンポジウム等の賞  受賞国:日本国

    This award is to be offered to the authors of the best paper published in the Special Issues of Japanese Journal of Applied Physics (JJAP) for DPS in the past 3 years (i.e., DPS 2017, 2018, and 2019) . The awardee(s) of the Best Presentation Award or Young Researcher Award is/are also eligible for this award.

  9. DPS Nishizawa Award 2020

    2021年11月   DPS(International Symposium on Dry Process)  

     詳細を見る

    受賞区分:国際学会・会議・シンポジウム等の賞  受賞国:日本国

    DPS Nishizawa Award is to be presented to an individual who has made outstanding contributions to DPS as well as significant academic/technological achievements in the fields of interest to DPS.

  10. The Best Short Presentation Awards, ISPlasma2021/IC-PLANTS2021

    2021年3月   13th anniversary International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlsma2021) / 14th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2021)   Study of Etching Process Using Gas Condensed Layer at Cryogenic Temperature 2

    Masahiro Hazumi, Suganthamalar Selvaraj, Shih-Nan Hsiao, Chihiro Abe, Toshiyuki Sasaki, Hisataka Hayashi, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

  11. The Best Oral Presentation Awards, ISPlasma2021/IC-PLANTS2021

    2021年3月   13th anniversary International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlsma2021) / 14th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2021)   Dependency of bactericidal effect in oxygen-radical-exposed E. coli suspension containing L-tryptophan on its concentration

    N. Iwata, K. Ishikawa, H. Hashizume, H. Tanaka, J.-S. Oh, M. Ito, M. Hori

     詳細を見る

    受賞区分:国際学会・会議・シンポジウム等の賞 

  12. The Best Short Presentation Awards, ISPlasma2021/IC-PLANTS2021

    2021年3月   13th anniversary International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlsma2021) / 14th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2021)   Apoptosis-inducing Activity through Caspase-9 of Radical-Activated Lactate Ringers Solution for Melanoma Cells

    Yuki Hori, Tomiyasu Murata, Hiromasa Tanaka, Masaru Hori and Masafumi Ito

     詳細を見る

    受賞区分:国際学会・会議・シンポジウム等の賞 

  13. The Best Oral Presentation Award, ISPlasma2021/IC-PLANTS2021

    2021年3月   13th anniversary International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlsma2021) / 14th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2021)   Design of Removal Process of SnO2 on Glass by H2/Ar Plasma at Atmospheric Pressure and Medium Pressure

    Thi-Thuy-Nga Nguyen, Minoru Sasaki, Takayoshi Tsutsumi, Kenji Ishikawa and Masaru Hori

     詳細を見る

    受賞区分:国際学会・会議・シンポジウム等の賞 

  14. 第2回AAPPS-DPPプラズマイノベーション賞

    2020年10月   アジア・太平洋物理学会連合プラズマ物理分科会  

    堀 勝

     詳細を見る

    受賞区分:国内外の国際的学術賞  受賞国:日本国

    受賞テーマ:低温プラズマ科学を基盤とした多くの画期的な発見と発明によるイノベーションの推進。  受賞理由:低温プラズマの応用および基礎プラズマ科学技術への多様な卓越した貢献であり、特に半導体製造用プラズマエッチングのための炭素膜マスク技術開発、機能性材料のラジカル制御プラズマ処理と合成のためのコンパクト原子状ラジカル計測装置と高密度ラジカル源の発明と商品化に対して、プラズマ医療においては、様々な癌細胞を選択的に殺すプラズマ活性培養液/プラズマ活性乳酸の発見。

  15. 第18回 プラズマエレクトロニクス賞

    2020年3月   公益社団法人 応用物理学会 プラズマエレクトロニクス分科会  

    大村光広、橋本惇一、足立昴拓、近藤祐介、石川勝朗、阿部淳子、酒井伊都子、林久貴、関根誠、堀勝

     詳細を見る

    受賞国:日本国

    Japanese Journal of Applied Physics 58, SEEB02 (2019)

  16. Honorary Chair Professor

    2020年1月   Taiwan National Tsing Hua UniTaiwan  

  17. IAAM Award Lecture

    2019年10月   International Association of Advanced material (IAAM)   Carbon Nanowalls Propelling Social Innovations

    Masaru Hori

     詳細を見る

    受賞区分:国際学会・会議・シンポジウム等の賞  受賞国:日本国

  18. K-T Rie Award for the year 2019

    2019年9月   Asian-European International Conference on Plasma Surface Engineering (AEPSE2019)  

    Masaru Hori

     詳細を見る

    受賞区分:国内外の国際的学術賞  受賞国:大韓民国

  19. The Best Poster Presentation Awards, ISPlasma2019/IC-PLANTS2019

    2019年3月   ISPlasma2019 / IC-PLANTS2019   Cytotoxicity of Plasma-Activated Glucose and Amino Acids

    Maho Yamada, Nanami Ito, Yugo Hosoi, Hiromasa Tanaka, Kenji Ishikawa and Masaru Hori

     詳細を見る

    受賞区分:国際学会・会議・シンポジウム等の賞  受賞国:日本国

  20. The Best Oral Presentation Awards in the area of Plasma Science, ISPlasma2019/IC-PLANTS2019

    2019年3月   ISPlasma2019 / IC-PLANTS2019   Control of sp2-C Fraction and Hardness of Amorphous Carbon Films by Formation of Precursor Radicals Depending on a Residence Time

    Hirotsugu Sugiura, Yasuyuki Ohashi, Lingyun Jia, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Toshio Hayashi, Keigo Takeda, Makoto Sekine and Masaru Hori

     詳細を見る

    受賞区分:国際学会・会議・シンポジウム等の賞  受賞国:日本国

  21. The Best Oral Presentation Awards, ISPlasma2019/IC-PLANTS2019

    2019年3月   ISPlasma2019 / IC-PLANTS2019   The Best Oral Presentation Awards in the area of Bio Applications, ISPlasma2019/IC-PLANTS2019

    Naoyuki Iwata, Vladislav Gamaleeve, Jun-SeokOh, Hiroshi Hashizume, Takayuki Ohta, Kenji Ishikawa, Masaru Hori and Masafumi Ito

     詳細を見る

    受賞区分:国際学会・会議・シンポジウム等の賞  受賞国:日本国

  22. The Best Poster Presentation Awards, ISPlasma2019/IC-PLANTS2019

    2019年3月   ISPlasma2019 / IC-PLANTS2019   Morphological Dynamics of Dying Cells Incubated in Plasma-Activated Medium

    Nanami Ito, Maho Yamada, Yugo Hosoi, Hiromasa Tanaka, Kenji Ishikawa and Masaru Hori

     詳細を見る

    受賞区分:国際学会・会議・シンポジウム等の賞  受賞国:日本国

  23. 2018 The Plasma Medical Award

    2018年6月   ICPM7  

     詳細を見る

    受賞区分:国際学会・会議・シンポジウム等の賞  受賞国:アメリカ合衆国

  24. Best Presentation Award

    2016年3月   ISPlasma2016/10th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  

     詳細を見る

    受賞国:日本国

  25. 第37回(2015年度)応用物理学会論文賞

    2015年9月   応用物理学会  

     詳細を見る

    受賞国:日本国

  26. 第11回プラズマエレクトロニクス賞

    2013年3月   応物理学会プラズマエレクトロニクス分科会  

     詳細を見る

    受賞国:日本国

    石川健治、河野昭彦、堀邊英夫、竹田圭吾、近藤博基、関根誠、堀勝

  27. ISPlasma2013 Best Poster Presentation Award

    2013年2月   5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma 2013)  

     詳細を見る

    受賞国:日本国

  28. 五大成果(全2,000件のうちトップ5)

    2013年2月   文部科学省ナノテクノロジーネットワーク事業(平成19年~24年)  

     詳細を見る

    受賞国:日本国

    田畑泰彦 堀勝 馬場嘉信(カーボンナノウォールの細胞培養基材としての特性評価)

  29. 応用物理学会東海支部貢献賞

    2013年1月   応用物理学会  

     詳細を見る

    受賞国:日本国

  30. 11th APCPST and 25th SPSM Plasma Science Award

    2012年10月   11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     詳細を見る

    受賞国:日本国

  31. 応用物理学会 第6回(2012年度)フェロー表彰

    2012年9月   公益社団法人応用物理学会  

     詳細を見る

    受賞国:日本国

    ラジカル制御プラズマプロセスの研究開発

  32. 第14回プラズマ材料科学賞(基礎部門賞)

    2012年8月   日本学術振興会  

     詳細を見る

    受賞国:日本国

    原子状ラジカル制御 プラズマプロセスの基礎的研究

  33. The Distinguished Professor Award

    2012年6月  

     詳細を見る

    受賞国:日本国

  34. 高温学会 論文賞

    2012年3月   社団法人高温学会  

     詳細を見る

    受賞国:日本国

    フレキシブルデバイス創製に向けたプラズマーソフトマテリアル相互作用の解析

  35. 第9回産学官連携功労者表彰(科学技術政策担当大臣賞)

    2011年9月   第10回産学官連携推進会議  

     詳細を見る

    受賞国:日本国

    産学連携功労者表彰

  36. 平成22年度科学技術分野の文部科学大臣表彰・科学技術賞(研究部門)

    2010年4月   文部科学省  

     詳細を見る

    受賞国:日本国

  37. プラズマ材料科学賞(奨励部門賞)

    2004年   日本学術振興会  

     詳細を見る

    受賞国:日本国

  38. JJAP編集貢献賞

    2004年  

     詳細を見る

    受賞国:日本国

  39. プラズマエレクトロニクス賞

    2003年   公益社団法人応用物理学会プラズマエレクトロニクス分科会  

     詳細を見る

    受賞国:日本国

  40. マイクロプロセスナノテクノロジー国際会議2000 Award(最優秀発表賞)

    2001年   マイクロプロセスナノテクノロジー国際会議  

     詳細を見る

    受賞国:日本国

▼全件表示

 

論文 708

  1. In Situ Monitoring of Etching Characteristic and Surface Reactions in Atomic Layer Etching of SiN Using Cyclic CF4/H2 and H2 Plasmas 査読有り

    Shih-Nan Hsiao, Makoto Sekine, Masaru Hori

    ACS Applied Materials & Interfaces   15 巻 ( 29 ) 頁: 35622 - 35630   2023年7月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: DOI: 10.1021/acsami.3c04705

  2. Efficacy of periodic cold plasma treatment in a paddy to produce white-core grains in brewer’s rice cultivar Yamadanishiki 査読有り

    Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Yoji Hirosue, Masayoshi Maeshima, Masaaki Mizuno, Masaru Hori

    Free Radical Research   57 巻 ( 3 ) 頁: 161 - 173   2023年6月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: https://doi.org/10.1080/10715762.2023.2215914

  3. Bias-supply timing tailored to the aspect ratio dependence of silicon trench etching in Ar plasma with alternately injected C4F8 and SF6 招待有り 査読有り

    Taito Yoshie, Kenji Ishikawa, Thi-Thuy-Nga Nguyen, Shih-Nan Hsiao, Takayoshi Tsutsumi, Makoto Sekine, Masaru Hori

    Applied Surface Science   638 巻 ( 30 ) 頁: 157981-1 - 157981-14   2023年7月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: https://doi.org/10.1016/j.apsusc.2023.157981

  4. Tetrachloroaurate (III)–induced oxidation increases nonthermal plasma-induced aldehydes 査読有り

    Yasumasa Okazaki, Jun Yoshitake, Nanami Ito, Kanako Sasaki, Hiromasa Tanaka, Masaru Hori, Takahiro Shibata, Shinya Toyokuni

    Advances in Redox Research   9 巻 ( December ) 頁: 100074-1 - 100074-8   2023年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  5. Generation and measurement of low-temperature plasma for cancer therapy: a historical review 査読有り

    Kenji Ishikawa, Keigo Takeda, Shinji Yoshimura, Takashi Kondo, Hiromasa Tanaka, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, Masaaki Mizuno, and Masaru Hori

    FREE RADICAL RESEARCH   57 巻 ( 3 ) 頁: 239 - 270   2023年7月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: http://dx.doi.org/10.1080/10715762.2023.2230351

  6. Plasma-generated nitric oxide radical (NO•) promotes the proliferation of fibroblast cells in liquid 査読有り

    Yasumasa Mori, Kazane Oguri, Naoyuki Iwata, Tomiyasu Murata, Masaru HORI and Masafumi ITO

    Japanese Journal of Applied Physics   62 巻 ( SL ) 頁: SL1016   2023年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: DOI 10.35848/1347-4065/acd9b6

  7. Development of an experimental system for cell viability assays of yeasts using gas-temperature controllable plasma jets 査読有り

    Yoshimura, Shinji; Otsubo, Yoko; Yamashita, Akira; Johzuka, Katsuki; TSUTSUMI, Takayoshi; Ishikawa, Kenji; HORI, Masaru

    Japanese Journal of Applied Physics   62 巻 ( Number SL )   2023年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/acd4ca

  8. Diagnostics of a nanosecond atmospheric plasma jet. Ionization waves, plasma density and electric field dynamics 査読有り

    Nikolay Britun, Peter Raj Dennis Christy, Vladislav Gamaleev, Shih-Nan Hsiao, Masaru Hori

    Journal of Applied Physics   133 巻 ( 18 )   2023年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/5.0138931

  9. Selective isotropic atomic-layer etching of thin films by using dry chemical removal tool 招待有り 査読有り

    Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Masaru Izawa, Kenji Ishikawa, Masaru Hori

    Advanced Etch Technology and Process Integration for Nanopatterning XII; 124990E (2023)   12499 巻   2023年5月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: https://doi.org/10.1117/12.2664547

  10. Synthesis of highly dense and multi-branched carbon nanowalls by two-step growth combining different plasma chemical vapor deposition methods 査読有り

    Ngo Quang Minh, Ngo Van Nong , Ma Shanlene. Dela Vega, Osamu Oda, Masaru Hori

    Vacuum   213 巻   2023年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.vacuum.2023.112118

  11. Cancer-specific cytotoxicity of Ringer’s acetate solution irradiated by cold atmospheric pressure plasma 査読有り

    Camelia Miron , Kenji Ishikawa, Satoshi Kashiwagura, Yuki Suda, Hiromasa Tanaka, Kae Nakamura, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno, Masaru Hori

    Free Radical Research   57 巻 ( 2 ) 頁: 91 - 104   2023年4月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: https://doi.org/10.1080/10715762.2023.2201390

  12. Exposure of low-temperature plasma after vaccination in 6 tongue promotessystemic IgM induction against spike protein 7 of SARS-CoV-2 査読有り

    Kotaro Sato, Kouki Fujii, Hiromasa Tanaka, Masaru Hori, Hideharu Hibi and Shinya Toyokuni

    Free Radical Research   57 巻 ( 1 ) 頁: 30 - 37   2023年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: https://doi.org/10.1080/10715762.2023.2190486

  13. High-performance glass filters for capturing and culturing circulating tumor cells and cancer-associated fibroblasts 査読有り

    Hiromasa Tanaka, Daijiro Iwata, Yuki Shibata, Tetsunari Hase, Daisuke Onoshima, Naoyuki Yogo, Hirofumi Shibata, Mitsuo Sato, Kenji Ishikawa, Ikuo Nagasawa, Yoshinori Hasegawa, Makoto Ishii, Yoshinobu Baba, Masaru Hori

    Scientific Reports   13 巻   2023年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: https://doi.org/10.1038/s41598-023-31265-9

  14. Plasma activated Ringer’s lactate solution 査読有り

    Hiromasa Tanaka,Masaaki Mizuno,Kenji Ishikawa,Camelia Miron,Yasumasa Okazaki,Shinya Toyokuni,Kae Nakamura,Hiroaki Kajiyama &Masaru Hori

    Free Radical Research   57 巻 ( 1 ) 頁: 14 - 20   2023年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: https://doi.org/10.1080/10715762.2023.2182663

  15. Organic decomposition and synthesis reactions in lactated solution exposed to non-equilibrium atmospheric pressure plasma 査読有り

    Yang Liu, Kenji Ishikawa, Hiromasa Tanaka, Camelia Miron, Takashi Kondo, Kae Nakamura, Masaaki Mizuno, Hiroaki Kajiyama, Shinya Toyokuni, Masaru Hori

    Plasma Processes and Polymers   20 巻 ( 5 )   2023年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: https://doi.org/10.1002/ppap.202200193

  16. 低温大気圧プラズマによるフリーラジカル生成とその生物学的意義-放射線との比較- 査読有り

    近藤隆、橋爪博司、田中比呂間瀬 石川健治、堀勝

    化学工業   74 巻 ( 2 ) 頁: 120 - 126   2023年2月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  17. GaN damage-free cyclic etching by sequential exposure to Cl2 plasma and Ar plasma with low Ar+-ion energy at substrate temperature of 400 °C 査読有り

    Shohei Nakamura, Atsushi Tanide, Takahiro Kimura, Soichi Nadahara, Kenji Ishikawa, Osamu Oda, and Masaru Hori

    Journal of Applied Physics   133 巻 ( 4 )   2023年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/5.0131685

  18. Manipulation of etch selectivity of silicon nitride over silicon dioxide to a-carbon by controlling substate temperature with a CF4/H2 plasma 査読有り

    Shih-Nan Hsiao, Nikolay Britun, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    Vacuum   210 巻   頁: 111863   2023年1月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: https://doi.org/10.1016/j.vacuum.2023.111863

  19. Diagnostics of a nanosecond atmospheric plasma jet. II. Ionization waves, plasma density and electric field dynamics 査読有り

    Nikolay Britun, Peter Raj Dennis Christy, Vladislav Gamaleev and Masaru Hori

    Plasma Sources Science and Technology   31 巻 ( 12 )   2023年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6595/aca0bb

  20. Effects of plasma-activated Ringer’s lactate solution on cancer cells: evaluation of genotoxicity 査読有り

    Yang Liu , Yoshimichi Nakatsu, Hiromasa Tanaka, Kazunori Koga, Kenji Ishikawa, Masaharu Shiratani & Masaru Hori

    Genes and Environment   45 巻   2023年1月

     詳細を見る

    記述言語:英語  

    DOI: 10.1186/s41021-023-00260-x

  21. Role of insoluble atoms in the formation of a three-dimensional buffer layer in inverted Stranski–Krastanov mode 査読有り

    Naoto Yamashita, Ryo Mitsuishi, Yuta Nakamura, Keigo Takeda, Masaru Hori, Kunihiro Kamataki, Takamasa Okumura, Kazunori Koga & Masaharu Shiratani

    Journal of Materials Research   38 巻   頁: 1178 - 1185   2023年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: https://doi.org/10.1557/s43578-022-00886-7

  22. Substrates on Surface-Assisted Laser Desorption/Ionization Mass Spectrometry Performance 査読有り

    Ryusei Sakai ,Hiroki Kondo ,Kenji Ishikawa,Takayuki Ohta, Mineo Hiramatsu, Hiromasa Tanaka and Masaru Hori

    Nanomaterials   13 巻 ( 1 )   2022年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/nano13010063

  23. Non-thermal plasma elicits ferrous chloride-catalyzed DMPO-OH 査読有り

    Yasumasa Okazaki, Nanami Ito, Hiromasa Tanaka, Masaru Hori & Shinya Toyokuni

    Free Radical Research   56 巻 ( 9-10 ) 頁: 595 - 606   2022年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  24. Indoor floor heel mark removal using spark discharges and pressurized airflow 査読有り

    Yoshihiro Sakamoto, Takayoshi Tsutsumi, Hiromasa Tanaka, Kenji Ishikawa, Hiroshi Hashizume and Masaru Hori

    Coatings 2022   12 巻 ( 12 )   2022年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/coatings12121938

  25. Leukocyte Depletion and Size-Based Enrichment of Circulating Tumor Cells Using a Pressure-Sensing Microfiltration Device 査読有り

    Daisuke Onoshima, Tetsunari Hase, Naoto Kihara, Daiki Kuboyama, Daiki Kuboyama, Hiromasa Tanaka, Naoya Ozawa, Hiroshi Yukawa, Mitsuo Sato, Kenji Ishikawa, Yoshinori Hasegawa, Makoto Ishii, Masaru Hori, and Yoshinobu Baba

    ACS Meas. Sci. Au   3 巻   頁: 113 - 119   2022年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: https://doi.org/10.1021/acsmeasuresciau.2c00057

  26. Mechanical properties of maze-like carbon nanowalls synthesized by the radial injection plasma enhanced chemical vapor deposition method 査読有り

    Swapnil Ghodke, Motoyuki Murashim, Dennis Christy, Ngo Van Nong, Kenji Ishikawa, Osamu Oda, Noritsugu Umehara, Masaru Horia

    Materials Science & Engineering A   862 巻 ( 18 ) 頁: 1 - 8   2022年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.msea.2022.144428

  27. Dry etching of ternary metal carbide TiAlC via surface modification using floating wire-assisted vapor plasma 査読有り

    Thi‑Thuy‑Nga Nguyen, Kazunori Shinoda, Hirotaka Hamamura, Kenji Maeda, KenetsuYokogawa, Masaru Izawa, Kenji Ishikawa, Masaru Hor

    Scientific Reports   12 巻   頁: 1 - 13   2022年11月

     詳細を見る

    記述言語:英語  

    DOI: 10.1038/s41598-022-24949-1

  28. Carbon Layer Formation on Hexagonal Boron Nitride by Plasma Processing in Hydroquinone Aqueous Solution 査読有り

    Inoue, Kenichi; Sakakibara, Noritaka; Goto, Taku; Ito, Tsuyohito; Shimizu, Yoshiki; Hakuta, Yukiya; Ishikawa, Kenji; Hori, Masaru; Terashima, Kazuo Terashima

    ACS Applied Materials & Interfaces   14 巻 ( 17 ) 頁: 53413 - 53420   2022年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1021/acsami.2c15951

  29. Creation of unique shapes by coordination of alumina nanopores and carbon nanowalls 査読有り

    Yerassyl Yerlanuly, Dennis Christy, Ngo Van Nong, Hiroki Kondo, Balaussa Ye. Alpysbayeva, Rakhymzhan Zhumadilov, Renata R. Nemkayeva, Tlekkabul S. Ramazanov, Masaru Hori, Maratbek T. Gabdullin

    Fullerenes, nanotubes, and carbon nanostructures   31 巻 ( 4 ) 頁: 295 - 301   2022年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: https://doi.org/10.1080/1536383X.2022.2146672

  30. Biocompatibility of conformal silicon carbide on carbon nanowall scaffolds 査読有り

    Koki Ono, Takashi Koide, Kenji Ishikawa, Hiromasa Tanaka, HIROKI KONDO, Ayae Sugawara-Narutaki, Yong Jin, Shigeno Yasuhara, Masaru HORI and Wakana Takeuchi

    Japanese Journal of Applied Physics (Special Issues)   62 巻 ( SA )   2022年11月

     詳細を見る

    記述言語:英語  

    DOI: 10.35848/1347-4065/ac9319

  31. Radical-controlled plasma processes 査読有り

    Masaru Hori

    Reviews of Modern Plasma Physics   6 巻 ( 1 ) 頁: 1 - 117   2022年11月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1007/s41614-022-00084-2

  32. Area-selective plasma-enhanced atomic layer etching (PE-ALE) of silicon dioxide using a silane coupling agent 査読有り

    Osonio, Airah P. ; Tsutsumi, Takayoshi ; Oda, Yoshinari ; Mukherjee, Bablu ; Borude, Ranjit ; Kobayashi, Nobuyoshi ; Hori, Masaru

    Journal of Vacuum Science & Technology A,   40 巻 ( 6 )   2022年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1116/6.0002044

  33. Dissociation channels of c-C4F8to C2F4in reactive plasma 査読有り

    Hayashi, Toshioa;Ishikawa, Kenjia;Iwayama, Hiroshib;Sekine, Makotoa;Hori, Masarua

    Japanese Journal of Applied Physics   61 巻 ( 10 )   2022年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/ac895e

  34. 液中プラズマ法によるナノグラフェン合成に及ぼす超音波の影響

    安藤 啓司、長谷川 健太、近藤 博基、堀 勝

    超音波テクノ   34 巻 ( 5 ) 頁: 42 - 46   2022年10月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  35. Power Generation Characteristics of Polymer Electrolyte Fuel Cell Using Carbon Nanowalls for Catalyst-Support-Materials 査読有り

    Takayuki Ohta , Hiroaki Iwata, Mineo Hiramatsu, Hiroki Kondo, Masaru Hori

    C - Journal of Carbon Research   8 巻 ( 3 )   2022年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/c8030044

  36. Effects of deposition precursors of hydrogenated amorphous carbon films on the plasma etching resistance based on mass spectrometer measurements and machine learning analysis 査読有り

    Jumpei Kurokawa, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    Vacuum   205 巻   2022年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.vacuum.2022.111351

  37. The 2022 Plasma Roadmap: low temperature plasma science and technology 査読有り

    I Adamovich, S Agarwal, E Ahedo, L L Alves, S Baalrud, N Babaeva, A Bogaerts, A Bourdon, P J Bruggeman, C Canal, E H Choi, S Coulombe, Z Donkó, D B Graves, S Hamaguchi, D Hegemann, M Hori, H-H Kim, G M W Kroesen, M J Kushner, A Laricchiuta, X Li, T E Magin, S Mededovic Thagard, V Miller, A B Murphy, G S Oehrlein, N Puac, R M Sankaran, S Samukawa, M Shiratani, M Šimek, N Tarasenko, K Terashima, E Thomas Jr, J Trieschmann, S Tsikata, M M Turner, I J van der Walt, M C M van de Sanden and T von Woedtke

    Journal of Physics D: Applied Physics   55 巻 ( 37 )   2022年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6463/ac5e1c

  38. Wide range applications of process plasma diagnostics using vacuum ultraviolet absorption spectroscopy 査読有り

    Keigo Takeda, Kenji Ishikawa, Masaru Hori

    Reviews of Modern Plasma Physics   6 巻 ( 1 )   2022年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1007/s41614-022-00075-3

  39. 低温プラズマの農業応用への可能性と進展

    橋爪 博司・堀 勝

    アグリバイオ   6 巻 ( 6 ) 頁: 47 - 51   2022年6月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  40. Study of optical emission spectroscopy using modified Boltzmann plot in dual-frequency synchronized pulsed capacitively coupled discharges with DC bias at low-pressure in Ar/O2/C4F8 plasma etching process 査読有り

    Bibhuti Bhusan Sahu, Kazuya Nakane, Kenji Ishikawa, Makoto Sekine, Takayoshi Tsutsumi, Taku Gohira, Yoshinobu Ohya, Noriyasu Ohnoad and Masaru Hori

    Physical Chemistry Chemical Physics   22 巻   頁: 13883 - 13896   2022年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  41. Nanosecond-scale impulse generator for biomedical applications of atmospheric-pressure plasma technology 査読有り

    Vladislav Gamaleev, Naohiro Shimizu, and Masaru Hori

    Review of Scientific Instruments   93 巻 ( 5 ) 頁: 053503-1 - 053503-10   2022年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/5.0082175

  42. High-Efficient Hydrogen Generation Study by a Reverse Tailing Pulsed-Plasma Water Dissociation Applying Wet Electrode Method 査読有り

    Naohiro Shimizu, Ranjit R Borude, Reiko Tanaka, Osamu Oda, Hiroki Hosoe, Satoshi Inou, Yousuke Inoue and Masaru Hori

    Journal of The Electrochemical Society   169 巻 ( 5 ) 頁: 054507   2022年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/1945-7111/ac6a1b

  43. Ion-induced interface defects in a-Si:H/c-Si heterojunction: possible roles and kinetics of hot mobile hydrogens 査読有り

    Shota Nunomura, Takayoshi Tsutsumi, Kazuya Nakane, Aiko Sato, Isao Sakata and Masaru Hori

    Japanese Journal of Applied Physics   61 巻 ( 5 ) 頁: 056003-1 - 056003-11   2022年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/ac5210

  44. Enhanced Bioremediation of 4-Chlorophenol by Electrically Neutral Reactive Species Generated from Nonthermal Atmospheric-Pressure Plasma 査読有り

    Hiroyuki Kato, Kiyota Sakai, Shou Itoh, Naoyuki Iwata, Masafumi Ito, Masaru Hori, Masashi Kato, and Motoyuki Shimizu

    ACS OMEGA   7 巻   頁: 16197 - 16203   2022年4月

     詳細を見る

    記述言語:英語  

    DOI: 10.1021/acsomega.2c01615

  45. Cytotoxicity of plasma-irradiated lactate solution produced under atmospheric airtight conditions and generation of the methyl amino group 査読有り

    Daiki Ito, Naoyuki Iwata, Kenji Ishikawa, Kae Nakamura, Hiroshi Hashizume, Camelia Miron, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno and Masaru Hori

    Applied Physics Express   15 巻 ( 5 ) 頁: 56001   2022年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1882-0786/ac6360

  46. プラズマによるカーボンナノウォールの合成と応用 査読有り

    平 松 美 根 男,竹 田 圭 吾,近 藤 博 基,堀 勝

    プラズマ・核融合学会誌   98 巻 ( 4 ) 頁: 158 - 164   2022年4月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  47. In-liquid plasma synthesis of iron–nitrogen-doped carbon nanoflakes with high catalytic activity 査読有り

    Hiroki Kondo,Ryo Hamaji,Tomoki Amano,Kenji Ishikawa,Makoto Sekine,Mineo Hiramatsu,Masaru Hori

    Plasma Processes and Polymers   19 巻 ( 8 )   2022年4月

     詳細を見る

    記述言語:英語  

    DOI: 10.1002/ppap.202100203

  48. Low-temperature reduction of SnO2 by floating wire-assisted medium-pressure H2/Ar plasma 査読有り

    Nguyen, Thi-Thuy-Nga; Sasaki, Minoru; Hsiao, Shih-Nan; Tsutsumi, Takayoshi; Ishikawa, Kenji; Hori, Masaru

    Plasma Processes and Polymers     2022年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: https://doi.org/10.1002/ppap.202100209

  49. Scaffolds with isolated carbon nanowalls promote osteogenic differentiation through Runt-related transcription factor 2 and osteocalcin gene expression of osteoblast-like cells 査読有り

    Tomonori Ichikawa, Kenji Ishikawa, Hiromasa Tanaka, Naohiro Shimizu, and Masaru Hori

    AIP Advances   12 巻 ( 2 )   2022年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/5.0075530

  50. Plasma-assisted thermal-cyclic atomic-layer etching of tungsten and control of its selectivity to titanium nitride, 査読有り

    Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Yuko Hanaoka, Masaru Izawa, Kenji Ishikawa, and Masaru Hori

    Journal of Vacuum Science & Technology B   40 巻 ( 2 )   2022年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1116/6.0001660

  51. Tetrachloroaurate (III)-induced oxidation increases non-thermal plasma-induced oxidative stress 査読有り

    Yasumasa Okazaki, Kanako Sasaki, Nanami Ito, Hiromasa Tanaka, Ken-Ichiro Matsumoto, Masaru Hori & Shinya Toyokuni

    Free Radical Research   55 巻 ( 8 )   2022年1月

     詳細を見る

    記述言語:英語  

    DOI: 10.1080/10715762.2022.2026348

  52. Nitrogen Atom Density Measurements in NAGDIS-T Using Vacuum Ultraviolet Absorption Spectroscopy

    Nishio Ryosuke, Kajita Shin, Tanaka Hirohiko, Asaoka Koji, Tsutsumi Takayoshi, Hori Masaru, Ohno Noriyasu

    PLASMA AND FUSION RESEARCH   17 巻   2022年1月

     詳細を見る

    記述言語:日本語  

    DOI: 10.1585/pfr.17.1201004

    Web of Science

  53. Enhancement of ethanol production and cell growth in budding yeast by direct irradiation of low-temperature plasma 査読有り

    Tanaka Hiromasa, Matsumura Shogo, Ishikawa Kenji, Hashizume Hiroshi, Ito Masafumi, Nakamura Kae, Kajiyama Hiroaki, Kikkawa Fumitaka, Ito Mikako, Ohno Kinji, Okazaki Yasumasa, Toyokuni Shinya, Mizuno Masaaki, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   61 巻 ( SA )   2022年1月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/ac2037

    Web of Science

  54. プラズマ生命エレクトロニクスの幕開 招待有り 査読有り

    堀 勝

    化学工業   ( 863 ) 頁: 1 - 5   2022年1月

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  55. 低温プラズマバイオサイエンスの未来 査読有り

    堀 勝

    プラズマ・核融合学会誌エレクトロニクス分化会会報   75 巻   頁: 9 - 13   2021年12月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)  

  56. ハイパーサーミアがん治療への相乗効果―プラズマ活性酢酸リンゲル液との併用 査読有り

    田中 宏昌, 堀 勝

    日本ハイパーサーミア学会誌   37 巻 ( 4 ) 頁: 141 - 142   2021年12月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3191/thermalmed.37.141

  57. On the etching mechanism of highly hydrogenated sin films by CF4/D2 plasma: Comparison with CF4/H2 査読有り

    Shih-Nan Hsiao, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    Coatings   11 巻 ( 12 )   2021年12月

     詳細を見る

    記述言語:英語  

    DOI: https://doi.org/10.3390/coatings11121535

  58. Low Temperature Plasma for Biology, Hygiene, and Medicine: Perspective and Roadmap 査読有り

    Mounir Laroussi, Sander Bekeschus, Michael Keidar, Annemie Bogaerts, Alexander Fridman, XinPei Lu, Kostya (Ken)Ostrikov, Masaru Hori, Katharina Stapelmann, Vandana Miller, Stephan Reuter, Christophe Laux, Ali Mesbah, James Walsh, Chunqi Jiang, Selma Mededovic Thagard, Hiromasa

    IEEE Transaction on Radiation and Plasma Medical Sciences   6 巻 ( 2 )   2021年12月

     詳細を見る

    記述言語:英語  

    DOI: 10.48550/arXiv.2108.03158

  59. プラズマによるがん治療 -2021年の状況と将来展望- 査読有り

    石川 健治、 堀 勝

    静電気学会誌   45 巻 ( 6 ) 頁: 2 - 8   2021年12月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  60. Reaction Mechanism & Selectivity Control of Si-Compound ALE Based on Plasma Modification and F-radical Exposure 査読有り

    Vervuurt Ren, Mukherjee Bablu, Nakane Kazuya, Tsutsumi Takayoshi, Hori, Masaru, Kobayashi, Nobuyoshi

    LANGMUIR   37 巻 ( 43 ) 頁: 12519 - 12766   2021年10月

     詳細を見る

    記述言語:英語  

    DOI: 10.1021/acs.langmuir.1c02036

  61. 窒化物半導体プラズマエッチングにおける原子層反応制御と低ダメージプロセス 査読有り

    堤 隆嘉、石川 健治、近藤 博基、関根 誠、堀 勝

    プラズマ・核融合学会誌   97 巻 ( 9 ) 頁: 517 - 521   2021年9月

     詳細を見る

    記述言語:日本語  

  62. Low temperature plasma irradiation products of sodium lactate solution that induce cell death on U251SP glioblastoma cells were identified. 査読有り

    HiromasaTanaka, Yugo Hosoi, Kenji Ishikawa, JunYoshitake, Takahiro Shibata, Koji Uchida, Hiroshi Hashizume, Masaaki Mizuno, Yasumasa Okazaki, ShinyaToyokuni, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaru Hori

    Scientific Reports     2021年9月

     詳細を見る

    記述言語:英語  

    DOI: 10.1038/s41598-021-98020-w

  63. 先端プラズマプロセスが実現するバイオ・ライフテクノロジー 査読有り

    堀 勝

    化学工学   85 巻 ( 9 ) 頁: 478 - 479   2021年9月

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語  

  64. Novel Method of Rebound Tailing Pulse (RTP) for Water Dissociation 査読有り

    Naohiro Shimizu; Ranjit R. Borude; Reiko Tanaka; Kenji Ishikawa; Osamu Oda; Hiroki Hosoe; Satoshi Ino; Yosuke Inoue; Masaru Hori

    IEEE Transactions on Plasma Science   49 巻 ( 9 ) 頁: 2893 - 2900   2021年8月

     詳細を見る

    記述言語:英語  

    DOI: 10.1109/TPS.2021.3102639

  65. Effects of hydrogen content in films on the etching of LPCVD and PECVD SiN films using CF4/H2 plasma at different substrate temperatures 査読有り

    Shih-Nan Hsiao,Nikolay Britun,Thi-Thuy-Nga Nguyen,Takayoshi Tsutsumi,Kenji Ishikawa,Makoto Sekine,Masaru Hori,

    Plasma Processes and Polymers   18 巻 ( 11 )   2021年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/ppap.202100078

  66. Evidence of near-the-limit energy cost NO formation in atmospheric spark discharge 査読有り

    Nikolay Britun, Vladislav Gamaleev and Masaru Hori

    Plasma Sources Science and Technology   30 巻 ( 8 )   2021年8月

     詳細を見る

    記述言語:英語  

    DOI: https://doi.org/10.1088/1361-6595/ac12bf

  67. Plasma-activated Ringer's lactate solution inhibits the cellular respiratory system in HeLa cells 査読有り

    Hiromasa Tanaka,Shogo Maeda,Kae Nakamura,Hiroshi Hashizume,Kenji Ishikawa,Mikako Ito,Kinji Ohno,Masaaki Mizuno,Yashiro Motooka,Yasumasa Okazaki,Shinya Toyokuni,Hiroaki Kajiyama,Fumitaka Kikkawa,Masaru Hori

    PLASMA PROCESSES AND POLYMERS   18 巻 ( 10 )   2021年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/ppap.202100056

  68. Non-thermal plasma-induced DMPO-OH yields hydrogen peroxide 査読有り

    Yasumasa Okazaki HiromasaTanaka Ken-Ichiro Matsumoto Masaru Hori ShinyaToyokuni

    Archives of Biochemistry and Biophysics   705 巻   2021年7月

     詳細を見る

    記述言語:英語  

    DOI: 10.1016/j.abb.2021.108901

  69. Brain cell proliferation in adult rats after irradiation with nonequilibrium atmospheric pressure plasma 査読有り

    Yamato Masanori, Tamura Yasuhisa, Tanaka Hiromasa, Ishikawa Kenji, Ikehara Yuzuru, Hori Masaru, Kataoka Yosky

    Applied Physics Express   14 巻 ( 36 )   2021年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: https://doi.org/10.35848/1882-0786/ac03c1

  70. Cancer Treatments Using Low-Temperature Plasma 査読有り

    Hiromasa Tanaka , Masaaki Mizuno , Kenji Ishikawa , Shinya Toyokuni , Hiroaki Kajiyama , Fumitaka Kikkawa , Masaru Hori

    Current Medicinal Chemistry   28 巻 ( 41 ) 頁: 8549 - 8558   2021年6月

     詳細を見る

    記述言語:英語  

    DOI: 10.3390/coatings11121535

  71. Lysosomal nitric oxide determines transition from autophagy to ferroptosis after exposure to plasma-activated Ringer's lactate 査読有り

    LiJianga, Hao Zhenga, Qinying Lyua Shotaro Hayashi, Kotaro Satoa, Yoshitaka Sekido, Kae Nakamura, Hiromasa Tanaka, Kenji Ishikawa, Hiroaki Kajiyama, Masaaki Mizuno, Masaru Hori, ShinyaToyokuni

    Redox Biology   43 巻   2021年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.redox.2021.101989

  72. Hydrogen peroxide in lactate solutions irradiated by non-equilibrium atmospheric pressure plasma 査読有り

    Yang Liu, Kenji Ishikawa, Camelia Miron, Hiroshi Hashizume, Hiromasa Tanaka and Masaru Hori

    Plasma Sources Science and Technology   30 巻   2021年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  73. Plasma-Treated Solutions (PTS) in Cancer Therapy 査読有り

    Hiromasa Tanaka, Sander Bekeschus, Dayun Yan, Masaru Hori, Michael Keidar and Mounir Laroussi

    Cancer   13 巻 ( 7 )   2021年4月

     詳細を見る

    掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/cancers13071737

  74. Differential data on the responsiveness of multiple cell types to cell death induced by non-thermal atmospheric pressure plasma-activated solutions 査読有り

    Ko Eto, Chiaki Ishinada, Takuya Suemoto, Keiichiro Hyakutake, Hiromasa Tanaka, Masaru Hori

    Data in Brief   36 巻   2021年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.dib.2021.106995

  75. Preclinical Verification of the Efficacy and Safety of Aqueous Plasma for Ovarian Cancer Therapy 査読有り

    Kae Nakamura, Nobuhisa Yoshikawa, Yuko Mizuno, Miwa Ito, Hiromasa Tanaka, Masaaki Mizuno, Shinya Toyokuni, Masaru Hori, Fumitaka Kikkawa and Hiroaki Kajiyama

    Cancer   13 巻 ( 5 )   2021年3月

     詳細を見る

    記述言語:英語  

    DOI: 10.3390/cancers13051141

  76. 小特集 大気圧低温プラズマに対する細胞応答とがん治療への展開 2.プラズマ照射溶液が誘発する細胞死 査読有り

    田 中 宏 昌,堀 勝

    プラズマ・核融合学会誌   97 巻 ( 3 ) 頁: 119 - 122   2021年3月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  77. 小特集 大気圧低温プラズマに対する細胞応答とがん治療への展開 1.はじめに 査読有り

    田 中 宏 昌,堀 勝

    プラズマ・核融合学会誌   97 巻 ( 3 ) 頁: 117 - 118   2021年3月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  78. 低温プラズマを用いたウイルスの不活性化(-ウイルス滅のプラズマ刃-) 査読有り

    堀 勝,伊藤 昌文

    応用物理学会 特別WEBコラム 新型コロナウィルス禍に学ぶ応用物理     2021年2月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  79. Cyclic C4F8 and O2 plasma etching of TiO2 for high-aspect-ratio three-dimensional devices 査読有り

    Tsubasa Imamura, Itsuko Sakai, Hisataka Hayashi, Makoto Sekine and Masaru Hori

    Japanese Journal of Applied Physics   60 巻 ( 3 )   2021年2月

     詳細を見る

    記述言語:英語  

    DOI: 10.35848/1347-4065/abdf78

  80. A novel and distinctive mode of cell death revealed by using non-thermal atmospheric pressure plasma: The involvements of reactive oxygen species and the translation inhibitor Pdcd4 査読有り

    Ko Eto, Chiaki Ishinada, Takuya Suemoto, Keiichiro Hyakutake, Hiromasa Tanaka, Masaru Hori

    Chemico-Biological Interactions   338 巻   2021年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.cbi.2021.109403

  81. Identification of key neutral species in atmospheric‐pressure plasma for promoting proliferation of fibroblast cells 査読有り

    Yuki Hori, Naoyuki Iwata, Vladislav Gamaleev, Jun‐Seok Oh, Tomiyasu Murata, Masaru Hori, Masafumi Ito

    Plasma Processes and Polymers   18 巻 ( 4 )   2021年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/ppap.202000225

  82. L-Dehydroascorbate efficiently degrades non-thermal plasma-induced hydrogen peroxide 査読有り

    Yasumasa Okazaki, Yuuri Ishidzu, Fumiya Itoa, Hiromasa Tanaka, Masaru Hori, Shinya Toyokuni

    Archives of Biochemistry and Biophysics   700 巻   2021年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.abb.2021.108762

  83. Effects of Carbon Nanowalls (CNWs) Substrates on Soft Ionization of Low-Molecular-Weight Organic Compounds in Surface-Assisted Laser Desorption/Ionization Mass Spectrometry (SALDI-MS) 査読有り

    Ryusei Sakai, Tomonori Ichikawa, Hiroki Kondo , Kenji Ishikawa, Naohiro Shimizu, Takayuki Ohta, Mineo Hiramatsu, Masaru Hori

    Nanomaterials    11 巻 ( 262 )   2021年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/nano11020262

  84. Growth inhibition effect on Trypanosoma brucei gambiense by the oxidative stress supplied from low-temperature plasma at atmospheric pressure 査読有り

    Naoaki Yokoyama, Thillaiampalam Sivakumar, Sanae Ikehara, Yoshihiro Akimoto, Takashi Yamaguchi, Ken Wakai, Kenji Ishikawa, Masaru Hori, Tetsuji Shimizu, Hajime Sakakita and Yuzuru Ikehara

    Japanese Journal of Applied Physics   60 巻 ( 2 )   2021年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/abd464

  85. Reduction in photon-induced interface defects by optimal pulse repetition rate in the pulse-modulated inductively coupled plasma 査読有り

    Yasufumi Miyoshi, Kenji Ishikawa, Makoto Sekine, Masaru Hori and Tetsuya Tatsumi

    Japanese Journal of Applied Physics   60 巻 ( 1 )   2020年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/abd113

  86. 大気圧低温プラズマとバイオ技術応用 査読有り

    堀 勝

    応用物理    89 巻 ( 12 ) 頁: 701-706   2020年12月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  87. Inactivation mechanism of fungal spores through oxygen radicals in atmospheric-pressure plasma 査読有り

    Masafumi Ito, Hiroshi Hashizume, Jun-Seok Oh, Kenji Ishikawa, Takayuki Ohta and Masaru Hori

    Japanese Journal of Applied Physics   60 巻 ( 1 )   2020年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: https://doi.org/10.35848/1347-4065/abcbd1

  88. Influences of substrate temperatures on etch rates of PECVD-SiN thin films with a CF4/H2 plasma 査読有り

    Shih-NanHsiao, Kazuya Nakane, Takayoshi Tsutsumi, KenjiI shikawa, Makoto Sekine, Masaru Hori

    Applied Surface Science   542 巻   2020年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.apsusc.2020.148550

  89. Selective etching of SiN against SiO2 and poly-Si films in hydrofluoroethane chemistry with a mixture of CH2FCHF2, O2, and Ar 査読有り

    Shih-Nan Hsiao, Kenji Ishikawa, Toshio Hayashi, Jiwei Ni, Takayoshi Tsutsumi, Makoto Sekine, Masaru Hori

    Applied Surface Science   541 巻   2020年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.apsusc.2020.148439

  90. Novel Epitaxy for Nitride Semiconductors Using Plasma Technology 査読有り

    Osamu Oda, Masaru Hori

    physica status solidi (a)   218 巻 ( 1 )   2020年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssa.202000462

  91. Formation of spherical Sn particles by reducing SnO2 film in floating wire-assisted H2/Ar plasma at atmospheric pressure 査読有り

    Thi-Thuy-Nga Nguyen, Minoru Sasaki, Takayoshi Tsutsumi, Kenji Ishikawa & Masaru Hori

    Scientific Reports   10 巻   2020年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1038/s41598-020-74663-z

  92. Improvement of yield and grain quality by periodic cold plasma treatment with rice plants in a paddy field 査読有り

    Hiroshi Hashizume Hidemi Kitano Hiroko Mizuno Akiko Abe Genki Yuasa Satoe Tohno Hiromasa Tanaka Kenji Ishikawa Shogo Matsumoto

    Plasma Processes and Polymers   18 巻 ( 1 )   2020年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/ppap.202000181

  93. Roles of Atomic Nitrogen/Hydrogen in GaN Film Growth by Chemically Assisted Sputtering with Dual Plasma Sources 査読有り

    Atsushi Tanide, Shohei Nakamura, Akira Horikoshi, Shigeru Takatsuji, Takahiro Kimura, Kazuo Kinose, Soichi Nadahara, Masazumi Nishikawa, Akinori Ebe, Kenji Ishikawa, Osamu Oda, and Masaru Hori

    ACS omega   5 巻 ( 41 ) 頁: 26297-26956   2020年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1021/acsomega.0c03865

  94. Laser-induced-plasma-activated medium enables killing of HeLa cells 査読有り

    Yukihiro Kurokawa, Keigo Takeda, Kenji Ishikawa, Hiromasa Tanaka and Masaru Hori

    Applied Physics Express   13 巻 ( 10 )   2020年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: https://doi.org/10.35848/1882-0786/abb68c

  95. Small size gold nanoparticles enhance apoptosis-induced by cold atmospheric plasma via depletion of intracellular GSH and modification of oxidative stress 査読有り

    Mati Ur Rehman, Paras Jawaid, Qing Zhao, Masaki Misawa, Kenji Ishikawa, Masaru Hori, Tadamichi Shimizu, Jun ichi Saitoh, Kyo Noguchi, and Takashi Kondo

    Cell Death Discovery   6 巻   2020年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1038/s41420-020-00314-x

  96. Influence of chamber pressure on the crystal quality of homo-epitaxial GaN grown by radical-enhanced MOCVD (REMOCVD) 査読有り

    Frank Wilson Amalraj, NaohiroShimizu, OsamuOda, KenjiIshikawa, MasaruHori

    Journal of Crystal Growth   549 巻   2020年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2020.125863

  97. Silver film etching using halogen gas plasma 査読有り

    Toshiyuki Sasaki, Kenichi Yoshikawa, Kazuhito Furumoto, Itsuko Sakai, Hisataka Hayashi, Makoto Sekine, and Masaru Hori

    Journal of Vacuum Science & Technology A   38 巻 ( 5 ) 頁: 1-12   2020年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1116/6.0000286

  98. Reaction science of layer-by-layer thinning of graphene with oxygen neutrals at room temperature temperature 査読有り

    Hirotsugu Sugiura, Hiroki Kondo, Kimitaka Higuchi, Shigeo Arai, Ryo Hamaji, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori

    Carbon   170 巻   頁: 93-99   2020年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.carbon.2020.07.052

  99. Steering of surface discharges on Through-Glass-Vias (TGVs) combined with high-density nonequilibrium atmospheric pressure plasma generation 査読有り

    Yoichiro Sato, Kaede Katsuno, Hidefumi Odaka, Nobuhiko Imajyo, Kenji Ishikawa and Masaru Hori

    Journal of Physics D: Applied Physics   53 巻 ( 43 ) 頁: 1-11   2020年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6463/aba1ad

  100. Atomic nitrogen density measurements by actinometry method in the toroidal device NAGDIS-T 査読有り

    Shin Kajita, Koji Asaoka, Hirohiko Tanaka, Ryosuke Nishio, Takayoshi Tsutsumi, Masaru Hori, and Noriyasu Ohno

    Japanese Journal of Applied Physics   59 巻 ( 8 ) 頁: 1-6   2020年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/aba456

  101. Measurements of nitrogen atom density in a microwave-excited plasma jet produced under moderate 査読有り

    Jaeho Kim, Keigo Takeda, Hirotomo Itagaki, Xue-lun Wang, Shingo Hirose, Hisato Ogiso, Tetsuji Shimizu, Naoto Kumagai, Takayoshi Tsutsumi, Hiroki Kondo, Masaru Hori, Hajime Sakakita

    IEEJ TRANSACTIONS ON ELECTRICAL AND ELECTRONIC ENGINEERING   15 巻 ( 9 )   2020年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/tee.23194

  102. Numerical analysis of coaxial dielectric barrier helium discharges: Three-stage mode transitions and internal bullet propagation 査読有り

    Yosuke Sato, Kenji Ishikawa, Takayoshi Tsutsumi and Masaru Hori

    Applied Physics Express   13 巻 ( 8 ) 頁: 1-5   2020年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  103. Adjusted multiple gases in the plasma flow induce differential antitumor potentials of plasma activated solutions 査読有り

    Kae Nakamura Nobuhisa Yoshikawa Masato Yoshihara Yoshiki Ikeda Akihiro Higashida Akihiro Niwa Takahiro Jindo Hiromasa Tanaka Kenji Ishikawa Masaaki Mizuno Shinya Toyokuni Masaru Hori Fumitaka Kikkawa Hiroaki Kajiyama

    Plasma Processes and Polymers   17 巻 ( 10 )   2020年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/ppap.201900259

  104. In situ surface analysis of an ion-energy-dependent chlorination layer on GaN during cyclic etching using Ar+ ions and Cl radicals 査読有り

    Masaki Hasegawa Takayoshi Tsutsumi, Atsushi Tanide, Shohei Nakamura, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    Journal of Vacuum Science & Technology A   38 巻 ( 4 ) 頁: 1-11   2020年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1116/6.0000124

  105. Study of the effect of normal load on friction coefficient and wear properties of CNx thin films 査読有り

    Satyananda Kar, Bibhuti Bhusan Sahu, Hiroyuki Kousaka, Jeon Geon Han, and Masaru Hori

    AIP Advances   10 巻 ( 6 ) 頁: 1-8   2020年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/5.0009783

  106. Atomic oxygen radical induced intracellular oxidization of mould spore 査読有り

    Yuta Tanaka, Jun Seok Oh, Hiroshi Hashizume, Takayuki Ohta, Masashi Kato, Masaru Hori, Masafumi Ito

    Plasma Processes and Polymers   17 巻 ( 10 )   2020年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/ppap.202000001

  107. Non-thermal plasma-activated lactate solution kills U251SP glioblastoma cells in an innate reductive manner with altered metabolism 査読有り

    Kenji Ishikawa, Yugo Hosoi, Hiromasa Tanaka, Li Jiang, ShinyaToyokuni, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Masaru Hori

    Archives of Biochemistry and Biophysics   688 巻   頁: 1-9   2020年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.abb.2020.108414

  108. In-plane modification of hexagonal boron nitride particles via plasma in solution 査読有り

    Tsuyohito Ito, Taku Goto, Kenichi Inoue, Kenji Ishikawa, Hiroki Kondo, Masaru Hori, Yoshiki Shimizu, Yukiya Hakuta and Kazuo

    Applied Physics Express   13 巻 ( 6 ) 頁: 1-3   2020年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1882-0786/ab916c

  109. Electronic properties and primarily dissociation channels of fluoromethane 査読有り

    Toshio Hayashi, Kenji Ishikawa, Makoto Sekine and Masaru

    Japanese Journal of Applied Physics   59 巻 ( SJ ) 頁: 1-12   2020年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/ab7e3f

  110. Numerical simulations of stable, high-electron-density atmospheric pressure argon plasma under pin-to-plane electrode geometry: effects of applied voltage polarity 査読有り

    Yosuke Sato, Kenji Ishikawa, Takayoshi Tsutsumi, Akio Ui1, Masato Akita, Shotaro Oka and Masaru

    Journal of Physics D: Applied Physics   53 巻 ( 26 ) 頁: 1-14   2020年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6463/ab7df0

  111. Synthesis of carbon nanowalls on the surface of nanoporous alumina membranes by RI-PECVD method 査読有り

    Yerassyl Yerlanuly, Dennis Christy, Ngo Van Nong, Hiroki Kondo, Balaussa Alpysbayeva, Renata Nemkayeva, Meruert Kadyr, Tlekkabul Ramazanov, Maratbek Gabdullin, Didar Batryshev, Masaru Hori

    Applied Surface Science   523 巻   頁: 1-6   2020年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.apsusc.2020.146533

  112. Characterization of a microsecond pulsed non-equilibrium atmospheric pressure Ar plasma using laser scattering and optical emission spectroscopy 査読有り

    Fengdong JIA, Yong WU, Qi MIN, Maogen SU, Keigo TAKEDA, Kenji ISHIKAWA, Hiroki KONDO,Makoto SEKINE, Masaru HORI and Zhiping ZHONG

    Plasma Science and Technology   22 巻 ( 6 ) 頁: 1-8   2020年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/2058-6272/ab84e2

  113. Generation and diagnostics of ambient air glow discharge in centimeter-order gaps 査読有り

    Vladislav Gamaleev, Takayoshi Tsutsumi, Mineo Hiramatsu, Masafumi Ito, and Masaru Hori

    IEEE Access   8 巻   頁: 72607 - 72619   2020年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/ACCESS.2020.2988091

  114. Transparent elongation and compressive strain sensors based on aligned carbon nanowalls embedded in polyurethane

    Slobodian Petr, Riha Pavel, Kondo Hiroki, Cvelbar Uros, Olejnik Robert, Matyas Jiri, Sekine Makoto, Hori Masaru

    SENSORS AND ACTUATORS A-PHYSICAL   306 巻   2020年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.sna.2020.111946

    Web of Science

  115. Growth of single crystalline films on lattice-mismatched substrates through 3D to 2D mode transition 査読有り

    Naho Itagaki, Yuta Nakamura, Ryota Narishige, Keigo Takeda, Kunihiro Kamataki, Kazunori Koga, Masaru Hori & Masaharu Shiratani

    Scientific Reports   10 巻   2020年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1038/s41598-020-61596-w

  116. Electron and negative ions dynamics in a pulsed 100 MHz capacitive discharge produced in O2 and Ar/O2/C4F8 gas mixture 査読有り

    N Sirse, T Tsutsumi, M Sekine, M Hori and A R Ellingboe

    Plasma Sources Science and Technology   29 巻 ( 3 ) 頁: 1-   2020年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6595/ab7086

  117. N-Graphene Nanowalls via Plasma Nitrogen Incorporation and Substitution: The Experimental Evidence 査読有り

    Neelakandan M. Santhosh, Gregor Filipič, Eva Kovacevic, Andrea Jagodar, Johannes Berndt, Thomas Strunskus, Hiroki Kondo, Masaru Hori, Elena Tatarova & Uroš Cvelbar

    Nano-Micro Letters   12 巻   頁: 1-17   2020年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1007/s40820-020-0395-5

  118. Plasma-activated medium promotes autophagic cell death along with alteration of the mTOR pathway 査読有り

    Nobuhisa Yoshikawa, Wenting Liu, Kae Nakamura, Kosuke Yoshida, Yoshiki Ikeda, Hiromasa Tanaka, Masaaki Mizuno, Shinya Toyokuni, Masaru Hori, Fumitaka Kikkawa & Hiroaki Kajiyama

    Scientific Reports   10 巻   頁: 1-8   2020年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1038/s41598-020-58667-3

  119. Oxygen radical based on non-thermal atmospheric pressure plasma alleviates ligninderived phenolic toxicity in yeast 査読有り

    Shou Ito, Kiyota Sakai, Vladislav Gamaleev, Masafumi Ito, Masaru Hori, Masashi Kato, Motoyuki Shimizu

    Biotechnology for Biofuels   13 巻   頁: 1-13   2020年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1186/s13068-020-1655-9

  120. Scalable Treatment of Flowing Organic Liquids Using Ambient-Air Glow Discharge for Agricultural Applications 査読有り

    Vladislav Gamaleev, Naoyuki Iwata, Ginji Ito, Masaru Hori, Mineo Hiramatsu and Masafumi Ito

    Applied Sciences   10 巻 ( 3 ) 頁: 1-17   2020年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/app10030801

  121. Synthesis of isolated carbon nanowalls via high-voltage nanosecond pulses in conjunction with CH4/H2 plasma enhanced chemical vapor deposition 査読有り

    Tomonori Ichikawa, Naohiro Shimizu, Kenji Ishikawa, Mineo Hiramatsu, and Masaru Hori

    Carbon   161 巻   頁: 403-412   2020年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.carbon.2020.01.064

  122. Interaction of oxygen with polystyrene and polyethylene polymer films: A mechanistic study 査読有り

    Yusuke Fukunaga, Roberto C. Longo, Peter L. G. Ventzek, Barton Lane, Alok Ranjan, Gyeong S. Hwang, Gregory Hartmann, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Journal of Applied Physics   127 巻 ( 2 )   2020年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.5127863

  123. Initial growth analysis of ALD Al2O3 film on hydrogen-terminated Si substrate via in situ XPS 査読有り

    Hiroyuki Fukumizu, Makoto Sekine, Masaru Hori and Paul C. McIntyre

    Japanese Journal of Applied Physics   59 巻 ( 1 ) 頁: 1-6   2020年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/ab6273

  124. In-liquid plasma synthesis of nanographene with a mixture of methanol and 1-butanol 査読有り

    Atsushi Ando, Kenji Ishikawa, Keigo Takeda, Takayuki Ohta, Masafumi Ito, Mineo Hiramatsu, Hiroki Kondo, Makoto Sekine, Masaru Hori

      Volume6 巻 ( Issue4 )   2019年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/cnma.201900676

  125. Gas-phase and film analysis of hydrogenated amorphous carbon films: Effect of ion bombardment energy flux on sp2 carbon structures 査読有り

    Hirotsugu Sugiura, Yasuyuki Ohashi, Kenji Ishikawa, Hiroki Kondo, Toshiaki Kato, Toshiro Kaneko, Keigo Takeda, Takayoshi Tsutsumi, Toshio Hayashi, Makoto Sekine, Masaru Hori

    Diamond & Related Materials   104 巻   頁: 1-10   2019年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.diamond.2019.107651

  126. Simulation-aided design of very-high-frequency excited nitrogen plasma confinement using a shield plate 査読有り

    Yasuhiro Isobe, Takayuki Sakai, Kyoichi Suguro, Naoto Miyashita, Hiroki Kondo, Kenji Ishikawa, Amalraj Frank Wilson, Naohiro Shimizu, Osamu Oda, Makoto Sekine, and Masaru Hori

    Journal of Vacuum Science & Technology B   37 巻 ( 6 )   2019年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: https://doi.org/10.1116/1.5114831

  127. Exosomal Analysis of ALK Rearrangements by Spin Column with Porous Glass Filter

    Hatta T., Hase T., Ozawa N., Yogo N., Yukawa H., Tanaka H., Onoshima D., Sato M., Hori M., Baba Y., Hasegawa Y.

    JOURNAL OF THORACIC ONCOLOGY   14 巻 ( 10 ) 頁: S676-S676   2019年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  128. Oxidative stress-dependent and -independent death of glioblastoma cells induced by non-thermal plasma-exposed solutions 査読有り

    Tanaka Hiromasa, Mizuno Masaaki, Katsumata Yuko, Ishikawa Kenji, Kondo Hiroki, Hashizume Hiroshi, Okazaki Yasumasa, Toyokuni Shinya, Nakamura Kae, Yoshikawa Nobuhisa, Kajiyama Hiroaki, Kikkawa Fumitaka, Hori Masaru

    SCIENTIFIC REPORTS   9 巻   2019年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1038/s41598-019-50136-w

    Web of Science

  129. In Situ Monitoring of Surface Reactions during Atomic Layer Etching of Silicon Nitride Using Hydrogen Plasma and Fluorine Radicals 査読有り

    Kazuya Nakane, René H. J. Vervuurt, Takayoshi Tsutsumi, Nobuyoshi Kobayashi, and Masaru Hori

    ACS Applied Materials & Interfaces   11 巻 ( 40 )   2019年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1021/acsami.9b11489

  130. Rapid thermal-cyclic atomic-layer etching of titanium nitride in CHF3/O-2 downstream plasma 査読有り

    Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Masaru Izawa, Kenji Ishikawa and Masaru Hori

    Journal of Physics D: Applied Physics   52 巻 ( 47 )   2019年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6463/ab3cf3

  131. Direct Treatment of Liquids Using Low-Current Arc in Ambient Air for Biomedical Applications 査読有り

    Gamaleev Vladislav, Iwata Naoyuki, Hori Masaru, Hiramatsu Mineo, Ito Masafumi

    APPLIED SCIENCES-BASEL   9 巻 ( 17 )   2019年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/app9173505

  132. Self-limiting reactions of ammonium salt in CHF3/O2 downstream plasma for thermal-cyclic atomic layer etching of silicon nitride 査読有り

    Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Masaru Izawa, Tomonori Saeki, Kenji Ishikawa, and Masaru Hori

    Journal of Vacuum Science & Technology A   37 巻 ( 5 )   2019年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1116/1.5111663

  133. Atmospheric Pressure Plasma-Treated Carbon Nanowalls' Surface-Assisted Laser Desorption/Ionization Time-of-Flight Mass Spectrometry (CNW-SALDI-MS) 査読有り

    Takayuki Ohta , Hironori Ito , Kenji Ishikawa , Hiroki Kondo , Mineo Hiramatsu and Masaru Hori

    Special Issue "Plasma Processing for Carbon-based Materials   5 巻 ( 3 )   2019年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/c5030040

  134. l-Dehydroascorbic acid recycled by thiols efficiently scavenges non-thermal plasma-induced hydroxyl radicals 査読有り

    Yasumasa Okazaki, Hiromasa Tanaka, Masaru Hori, Shinya Toyokuni

    Archives of Biochemistry and Biophysics   669 巻   頁: 87-95   2019年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.abb.2019.05.019

  135. Review of methods for the mitigation of plasma-induced damage to low-dielectric-constant interlar dielectrics used for semiconductor logic device interconnects 査読有り

    Hideshi Miyajima , Kenji Ishikawa, Makoto Sekine , Masaru Hori

    Plasma Processes and Polymers   16 巻 ( 9 )   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: https://doi.org/10.1002/ppap.201900039

  136. Investigation on the long-term bactericidal effect and chemical composition of radical-activated water 査読有り

    Naoyuki Iwata, Vladislav Gamaleev, Jun-Seok Oh, Takayuki Ohta, Masaru Hori, Masafumi Ito

    Plasma Processes and Polymers   16 巻 ( 10 )   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/ppap.201900055

  137. Gene Expression of Osteoblast-like Cells on Carbon-Nanowall as Scaffolds during Incubation with Electrical Stimulation 査読有り

    Tomonori Ichikawa, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Hiromasa Tanaka, Makoto Sekine, Masaru Hori

    ACS Applied Bio Materials   2 巻 ( 8 )   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1021/acsabm.9b00178

  138. Non-thermal plasma specifically kills oral squamous cell carcinoma cells in a catalytic Fe(II)-dependent manner 査読有り

    Kotaro Sato, Lei Shi, Fumiya Ito, Yuuki Ohara, Yashiro Motooka, Hiromasa Tanaka, Masaaki Mizuno, Masaru Hori, Tasuku Hirayama, Hideharu Hibi and Shinya Toyokuni

    Journal of Clinical Biochemistry and Nutrition   65 巻 ( 1 ) 頁: 8-15   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3164/jcbn.18-91

  139. Simultaneous Achievement of Antimicrobial Property and Plant Growth Promotion using Plasma Activated Benzoic Compound Solution 査読有り

    Naoyuki Iwata, Vladislav Gamaleev, Hiroshi Hashizume, Jun-Seok Oh, Takayuki Ohta, Kenji Ishikawa, Masaru Hori, and Masafumi Ito

    Plasma Processes and Polymers   16 巻 ( 8 )   2019年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/ppap.201900023

  140. Formation mechanism of sidewall striation in high-aspect-ratio hole etching 査読有り

    Mitsuhiro Omura, Junichi Hashimoto, Takahiro Adachi, Yusuke Kondo, Masao Ishikawa, Junko Abe, Itsuko Sakai, Hisataka Hayashi, Makoto Sekine and Masaru Hori

    Japanese Journal of Applied Physics   58 巻 ( SE )   2019年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/ab163c

  141. Plasma-activated solution alters the morphological dynamics of supported lipid bilayers observed by high-speed atomic force microscopy 査読有り

    Sotaro Yamaoka, Hiroki Kondo, Hiroshi Hashizume, Kenji Ishikawa, Hiromasa Tanaka and Masaru Hori

    Applied Physics Express   12 巻 ( 6 )   2019年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1882-0786/ab1a58

  142. Electronic properties and primarily dissociation channels of fluoroethane compounds 査読有り

    Toshio Hayashi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    Japanese Journal of Applied Physics   58 巻 ( SE )   2019年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/ab09ca

  143. プラズマプロセスにおける吸収分光計測の基礎

    竹田圭吾、高島成剛、堀 勝

    プラズマ・核融合学会誌   195 巻 ( 4 ) 頁: 180-186   2019年4月

     詳細を見る

    記述言語:日本語  

  144. Facile synthesis of SnO2-graphene composites employing nonthermal plasma and SnO2 nanoparticles-dispersed ethanol

    Borude Ranjit R., Sugiura Hirotsugu, Ishikawa Kenji, Tsutsumi Takayoshi, Kondo Hiroki, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   52 巻 ( 17 )   2019年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6463/ab03c4

    Web of Science

  145. Laser-drilling formation of through-glass-via (TGV) on polymer-laminated glass 査読有り

    Yoichiro Sato, Nobuhiko Imajyo, Kenji Ishikawa, Rao Tummala, Masaru Hori

    Journal of Materials Science: Materials in Electronics   30 巻 ( 11 ) 頁: pp10183-10190   2019年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1007/s10854-019-01354-5

  146. Systematic diagnostics of the electrical, optical, and physicochemical characteristics of low-temperature atmospheric-pressure helium plasma sources

    Takeda Keigo, Yamada Hiromasa, Ishikawa Kenji, Sakakita Hajime, Kim Jaeho, Ueda Masashi, Ikeda Jun-ichiro, Akimoto Yoshihiro, Kataoka Yosky, Yokoyama Naoaki, Ikehara Yuzuru, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   52 巻 ( 16 )   2019年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6463/aaff44

    Web of Science

  147. Effects of plasma shield plate design on epitaxial GaN films grown for large-sized wafers in radical-enhanced metalorganic chemical vapor deposition 査読有り

    Yasuhiro Isobe, Takayuki Sakai, Naoharu Sugiyama, Ichiro Mizushima, Kyoichi Suguro, Naoto Miyashita, Yi Lu, Amalraj Frank Wilson, Dhasiyan Arun Kumar, Nobuyuki Ikarashi, Hiroki Kondo, Kenji Ishikawa, Naohiro Shimizu, Osamu Oda, Makoto Sekine, and Masaru Hori

    Journal of Vacuum Science & Technology B   37 巻 ( 3 )   2019年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1116/1.5083970

  148. A 65-nm CMOS Fully Integrated Analysis Platform Using an On-Chip Vector Network Analyzer and a Transmission-Line-Based Detection Window for Analyzing Circulating Tumor Cell and Exosome

    Niitsu Kiichi, Nakanishi Taiki, Murakami Shunya, Matsunaga Maya, Kobayashi Atsuki, Karim Nissar Mohammad, Ito Jun, Ozawa Naoya, Hase Tetsunari, Tanaka Hiromasa, Sato Mitsuo, Kondo Hiroki, Ishikawa Kenji, Odaka Hidefumi, Hasegawa Yoshinori, Hori Masaru, Nakazato Kazuo

    IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS   13 巻 ( 2 ) 頁: 470-479   2019年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/TBCAS.2018.2882472

    Web of Science

  149. Effects of 3D structure on electrochemical oxygen reduction characteristics of Pt- nanoparticle-supported carbon nanowalls

    Imai Shun, Naito Kenichi, Kondo Hiroki, Cho Hyung Jun, Ishikawa Kenji, Tsutsumi Takayoshi, Sekine Makoto, Hiramatsu Mineo, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   52 巻 ( 10 )   2019年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6463/aaf8e0

    Web of Science

  150. Chemical bonding structure in porous SiOC films (k<2.4) with high plasma-induced damage resistance 査読有り

    Hideshi Miyajima, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    Micro and Nano Engineering   3 巻   頁: 1-6   2019年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.mne.2019.02.005

  151. Atomic layer etching of AlGaN using Cl-2 and Ar gas chemistry and UV damage evaluation

    Fukumizu Hiroyuki, Sekine Makoto, Hori Masaru, Kanomaru Koji, Kikuchi Takuo

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A   37 巻 ( 2 )   2019年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1116/1.5063795

    Web of Science

  152. Effects of BCl3 addition to Cl-2 gas on etching characteristics of GaN at high temperature

    Tanide Atsushi, Nakamura Shohei, Horikoshi Akira, Takatsuji Shigeru, Kohno Motohiro, Kinose Kazuo, Nadahara Soichi, Ishikawa Kenji, Sekine Makoto, Hori Masaru

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B   37 巻 ( 2 )   2019年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1116/1.5082345

    Web of Science

  153. Real-time control of a wafer temperature for uniform plasma process

    T. Tsutsumi, Y. Fuknaga, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    2018 International Symposium on Semiconductor Manufacturing (ISSM)     2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/ISSM.2018.8651183

  154. Non-thermal plasma-activated medium modified metabolomic profiles in the glycolysis of U251SP glioblastoma 査読有り

    Naoyuki Kurake, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Shinya Toyokuni, Fumitaka Kikkawa, Masaaki Mizuno, Masaru Hori

    Archives of Biochemistry and Biophysics   662 巻   頁: 83-92   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  155. Remotely floating wire-assisted generation of high-density atmospheric pressure plasma and SF6-added plasma etching of quartz glass

    Thi-Thuy-Nga Nguyen, Sasaki Minoru, Odaka Hidefumi, Tsutsumi Takayoshi, Ishikawa Kenji, Hori Masaru

    JOURNAL OF APPLIED PHYSICS   125 巻 ( 6 )   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.5081875

    Web of Science

  156. Control of sp2-C cluster incorporation of amorphous carbon films grown by H-radical-injection CH4/H2 plasma-enhanced chemical vapor deposition 査読有り

    Hirotsugu Sugiura, Lingyun Jia, Yasuyuki Ohashi, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Toshio Hayashi, Keigo Takeda, Makoto Sekine and Masaru Hori

    Japanese Journal of Applied Physics   58 巻 ( 3 )   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/aafd49

  157. Single-Step, Low-Temperature Simultaneous Formations and in Situ Binding of Tin Oxide Nanoparticles to Graphene Nanosheets by In-Liquid Plasma for Potential Applications in Gas Sensing and Lithium-Ion Batteries 査読有り

    Ranjit R. Borude, Hirotsugu Sugiura, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo, Nobuyuki Ikarashi, and Masaru Hori

    ACS Applied Nano Materials   2 巻 ( 2 ) 頁: 649-654   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  158. Adhesion enhancement and amine reduction using film redeposited at the interface of a stack of plasma-enhanced CVD dielectrics for Cu/low-k interconnects

    Miyajima Hideshi, Watanabe Kei, Ishikawa Kenji, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻 ( 2 )   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/aafb5b

    Web of Science

  159. Hetero-epitaxial growth of a GaN film by the combination of magnetron sputtering with Ar/Cl-2 gas mixtures and a separate supply of nitrogen precursors from a high density radical source

    Tanide Atsushi, Nakamura Shohei, Horikoshi Akira, Takatsuji Shigeru, Kohno Motohiro, Kinose Kazuo, Nadahara Soichi, Nishikawa Masazumi, Ebe Akinori, Ishikawa Kenji, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻 ( SA )   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/aaeb39

    Web of Science

  160. Narrow free-standing features fabricated by top-down self-limited trimming of organic materials using precisely temperature-controlled plasma etching system

    Fukunaga Yusuke, Tsutsumi Takayoshi, Kondo Hiroki, Ishikawa Kenji, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻 ( 2 )   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/aaf92a

    Web of Science

  161. Effects of Ion Bombardment Energy Flux on Chemical Compositions and Structures of Hydrogenated Amorphous Carbon Films Grown by a Radical-Injection Plasma-Enhanced Chemical Vapor Deposition 査読有り

    Hirotsugu Sugiura , Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa and Masaru Hori

    C-Journal of Carbon Research   5 巻 ( 1 )   2019年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/c5010008

  162. Electrochemical Reaction in Hydrogen Peroxide and Structural Change of Platinum Nanoparticle-Supported Carbon Nanowalls Grown Using Plasma-Enhanced Chemical Vapor Deposition 査読有り

    Masakazu Tomatsu 1, Mineo Hiramatsu, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine and Masaru Hori

    Journal of Carbon Research   5 巻 ( 1 )   2019年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/c5010007

  163. Effect of electrical stimulation on proliferation and bone-formation by osteoblast-like cells cultured on carbon nanowalls scaffolds 査読有り

    Tomonori Ichikawa, Suiki Tanaka, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine and Masaru Hori

    Applied Physics Express   12 巻 ( 2 )   2019年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1882-0786/aaf469

  164. Modifications of surface and bulk properties of magnetron-sputtered carbon films employing a post-treatment of atmospheric pressure plasma 査読有り

    Ranjit R. Borude, Hirotsugu Sugiura, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo, Jeon Geon Han and Masaru Hori

    Japanese Journal of Applied Physics   58 巻 ( SA )   2019年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/aaec87

  165. Polyethylene terephthalate (PET) surface modification by VUV and neutral active species in remote oxygen or hydrogen plasmas 査読有り

    Yan Zhang, Kenji Ishikawa, Miran Mozetič, Takayoshi Tsutsumi, Hiroki Kondo , Makoto Sekine, Masaru Hori

    Plasma Processes and Polymers   16 巻 ( 6 )   2019年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/ppap.201800175

  166. Batch Fabrication of Nano-Gap Electrode Array Using Photo-Patterning and Resist UV-Curing 査読有り

    Hai Minh Nguyer, Mako Kumeuchi, Shinya Kumagai, Kenji Ishikawa, Masaru Hori, Minoru Sasaki

    IEEJ Transactions on Sensors and Micromachines   139 巻 ( 1 ) 頁: 27-28   2019年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1541/ieejsmas.139.27

  167. Liquid dynamics in response to an impinging low-temperature plasma jet 査読有り

    Timothy R. Brubaker, Kenji Ishikawa, Hiroki Kondo, Takayoshi Tsutsumi, Hiroshi Hashizume, Hiromasa Tanaka, Sean D. Knecht, Sven G. Bilen, and Masaru Hori

    Journal of Physics D: Applied Physics   52 巻 ( 7 )   2018年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6463/aaf460

  168. Pt nanoparticle-supported carbon nanowalls electrode with improved durability for fuel cell applications using C2F6/H2 plasma-enhanced chemical vapor deposition 査読有り

    Shun Imai, Hiroki Kondo, Cho Hyungjun, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    Applied Physics Express   12 巻 ( 1 )   2018年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1882-0786/aaf0ab

  169. 大気圧プラズマを用いたがん治療へ向けた基礎研究

    田中宏昌、堀勝

    腎とフリーラジカル 第13集     頁: 46-49   2018年11月

     詳細を見る

    記述言語:日本語  

  170. Effect of N2/H2 plasma on GaN substrate cleaning for homoepitaxial GaN growth by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) 査読有り

    Frank Wilson Amalraj, Arun Kumar Dhasiyan, Yi Lu1, Naohiro Shimizu, Osamu Oda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, Nobuyuki Ikarashi, and Masaru Hori

    AIP Advances   8 巻 ( 11 )   2018年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.5050819

  171. Oriented Carbon Nanostructures by Plasma Processing: Recent Advances and Future Challenges

    Santhosh Neelakandan M., Filipic Gregor, Tatarova Elena, Baranov Oleg, Kondo Hiroki, Sekine Makoto, Hori Masaru, Ostrikov Kostya (Ken), Cvelbar Uros

    MICROMACHINES   9 巻 ( 11 )   2018年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/mi9110565

    Web of Science

  172. Time Evolution Of Reactive Oxygen Nitrogen Species in Plasma-Activated Essential Media and Water

    Brubaker Timothy, Ishikawa Kenji, Takeda Keigo, Hashizume Hiroshi, Tanaka Hiromasa, Kondo Hiroki, Sekine Makoto, Hori Masaru

    2017 IEEE INTERNATIONAL CONFERENCE ON PLASMA SCIENCE (ICOPS)     2018年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/PLASMA.2017.8496057

  173. Molecular mechanisms of non-thermal plasma-induced effects in cancer cells 査読有り

    Tanaka, Hiromasa; Mizuno, Masaaki; Ishikawa, Kenji; Toyokuni, Shinya; Kajiyama, Hiroaki; Kikkawa, Fumitaka; Hori, Masaru

    Biological Chemistry     2018年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1515/hsz-2018-0199

  174. 大気圧プラズマ処理による異種材料接合

    近藤博基、堤隆嘉、石川健治、関根 誠、堀 勝 

    化学工学(公益社団法人 化学工学会)   82 巻 ( 9 ) 頁: 487-490   2018年9月

     詳細を見る

    記述言語:日本語  

  175. Mechanism of asymmetric etched profiles in trimming process 査読有り

    Nobuyuki Negishi, Masatoshi Miyake, Keigo Takeda, and Masaru Hori

    Japanese Journal of Applied Physics   57 巻 ( 10 )   2018年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.106201

  176. Reaction mechanisms between chlorine plasma and a spin-on-type polymer mask for high-temperature plasma etching 査読有り

    Yan Zhang, Masato Imamura, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, Masaru Hori

    Japanese Journal of Applied Physics   57 巻 ( 10 )   2018年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.106502

  177. New hopes for plasma-based cancer treatment 査読有り

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Shinya Toyokuni, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaru Hori

    Plasma 2018   1 巻   頁: 150-155   2018年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/plasma1010014

  178. Cytotoxic effects of plasma-irradiated fullerenol 査読有り

    Daiki Kanno, Hiromasa Tanaka, Kenji Ishikawa, Hiroshi Hashizume and Masaru Hori

    Journal of Physics D: Applied Physics   51 巻 ( 37 )   2018年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6463/aad510

  179. Effect of substrate temperature on sidewall erosion in high-aspect-ratio Si hole etching employing HBr/SF6/O2 plasma 査読有り

    Itsuko Sakai, Katsunori Yahashi, Satoshi Shimonishi, Makoto Sekine and Masaru Hori

    Japanese Journal of Applied Physics   57 巻 ( 9 )   2018年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.098004

  180. Elevated-temperature etching of gallium nitride (GaN) in dual-frequency capacitively coupled plasma of CH4/H2 at 300-500°C 査読有り

    Takashi Kako, Zecheng Liu, Kenji Ishikawa, Hiroki Kondo, Osamu Oda, Makoto Sekine, and Masaru Hori

    Vacuum   156 巻   頁: 219-223   2018年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.vacuum.2018.07.040

  181. プラズマ技術概論

    堀 勝

    自動車技術   72 巻   頁: P12-17   2018年6月

     詳細を見る

    記述言語:日本語  

  182. Cell Deposition Microchip with Micropipette Control over Liquid Interface Motion 査読有り

    Onoshima Daisuke, Hattori Yuya, Yukawa Hiroshi, Ishikawa Kenji, Hori Masaru, Baba Yoshinobu

    CELL MEDICINE   10 巻   2018年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1177/2155179017733152

    Web of Science

  183. Imaging Differences between Neuromyelitis Optica Spectrum Disorders and Multiple Sclerosis: A Multi-Institutional Study in Japan 査読有り

    Tatekawa H., Sakamoto S., Hori M., Kaichi Y., Kunimatsu A., Akazawa K., Miyasaka T., Oba H., Okubo T., Hasuo K., Yamada K., Taoka T., Doishita S., Shimono T., Miki Y.

    AMERICAN JOURNAL OF NEURORADIOLOGY   39 巻 ( 7 ) 頁: 1239-1247   2018年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3174/ajnr.A5663

    Web of Science

  184. Effects of gas residence time of CH4/H2 on sp2 fraction of amorphous carbon films and dissociated methyl density during radical-injection plasma-enhanced chemical vapor deposition 査読有り

    Hirotsugu Sugiura, Lingyun Jia, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Toshio Hayashi, Keigo Takeda, Makoto Sekine and Masaru Hori

    Japanese Journal of Applied Physics   57 巻 ( 6s2 )   2018年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.06JE03

  185. Low-autofluorescence fluoropolymer membrane filters for cell filtration 査読有り

    Naoto Kihara, Daiki Kuboyama, Daisuke Onoshima, Kenji Ishikawa, Hiromasa Tanaka, Naoya Ozawa, Tetsunari Hase, Ryohei Koguchi, Hiroshi Yukawa, Hidefumi Odaka, Yoshinori Hasegawa, Yoshinobu Baba and Masaru Hori

    Japanese Journal of Applied Physics   57 巻 ( 6s2 )   2018年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.06JF03

  186. Temperature dependence on plasma-induced damage and chemical reactions in GaN etching processes using chlorine plasma 査読有り

    Zecheng Liu, Kenji Ishikawa, Masato Imamura, Takayoshi Tsutsumi, Hiroki Kondo, Osamu Oda, Makoto Sekine and Masaru Hori

    Japanese Journal of Applied Physics   57 巻 ( 6s2 )   2018年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.06JD01

  187. Plasma Activated Medium

    Hiromasa Tanaka, and Masaru Hori

    Comprehensive Clinical Plasma Medicine     頁: 431-440   2018年5月

     詳細を見る

    記述言語:英語  

    DOI: 10.1007/978-3-319-67627-2_26

  188. Dissociative properties of 1,1,1,2-tetrafluoroethane obtained by computational chemistry 査読有り

    Toshio Hayashi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    Japanese Journal of Applied Physics   57 巻 ( 6s2 )   2018年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.06JC02

  189. Impact of helium pressures in arc plasma synthesis on crystallinity of single-walled carbon nanotubes 査読有り

    Atsushi Ando, Keigo Takeda, Takayuki Ohta, Masafumi Ito, Mineo Hiramatsu, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, Tomoko Suzuki, Sakae Inoue, Yoshinori Ando, and Masaru Hori

    Japanese Journal of Applied Physics   57 巻 ( 6S2 )   2018年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.06JF01

  190. Isotropic atomic level etching of tungsten using formation and desorption of tungsten fluoride 査読有り

    Shinoda Kazunori, Miyoshi Nobuya, Kobayashi Hiroyuki, Hanaoka Yuko, Kawamura Kohei, Izawa Masaru, Ishikawa Kenji, Hori Masaru

    ADVANCED ETCH TECHNOLOGY FOR NANOPATTERNING VII   10589 巻   2018年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1117/12.2297241

    Web of Science

  191. Nanographene synthesized in triple-phase plasmas as a highly durable support of catalysts for polymer electrolyte fuel cells 査読有り

    Amano Tomoki, Kondo Hiroki, Takeda Keigo, Ishikawa Kenji, Hiramatsu Mineo, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 4 )   2018年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.045101

  192. Disruption of the pacemaker activity of interstitial cells of Cajal via nitric oxide contributes to postoperative ileus 査読有り

    Kaji N., Nakayama S., Horiguchi K., Iino S., Ozaki H., Hori M.

    NEUROGASTROENTEROLOGY AND MOTILITY   30 巻 ( 8 )   2018年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1111/nmo.13334

  193. Reduced HeLa cell viability in methionine-containing cell culture medium irradiated with microwave-excited atmospheric-pressure plasma

    Takahashi Yohei, Taki Yusuke, Takeda Keigo, Hashizume Hiroshi, Tanaka Hiromasa, Ishikawa Kenji, Hori Masaru

    PLASMA PROCESSES AND POLYMERS   15 巻 ( 3 )   2018年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/ppap.201700200

    Web of Science

  194. Glioblastoma Cell Lines Display Different Sensitivities to Plasma-Activated Medium

    Tanaka Hiromasa, Mizuno Masaaki, Ishikawa Kenji, Takeda Keigo, Hashizume Hiroshi, Nakamura Kae, Utsumi Fumi, Kajiyama Hiroaki, Okazaki Yasumasa, Toyokuni Shinya, Akiyama Shinichi, Maruyama Shoichi, Kikkawa Fumitaka, Hori Masaru

    IEEE TRANSACTIONS ON RADIATION AND PLASMA MEDICAL SCIENCES   2 巻 ( 2 ) 頁: 99-102   2018年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/TRPMS.2017.2721973

    Web of Science

  195. NHM-SMAP: spatially and temporally high-resolution nonhydrostatic atmospheric model coupled with detailed snow process model for Greenland Ice Sheet 査読有り

    Niwano Masashi, Aoki Teruo, Hashimoto Akihiro, Matoba Sumito, Yamaguchi Satoru, Tanikawa Tomonori, Fujita Koji, Tsushima Akane, Iizuka Yoshinori, Shimada Rigen, Hori Masahiro

    CRYOSPHERE   12 巻 ( 2 ) 頁: 635-655   2018年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.5194/tc-12-635-2018

    Web of Science

  196. Cytotoxicity of cancer HeLa cells sensitivity to normal MCF10A cells in cultivations with cell culture medium treated by microwave-excited atmospheric pressure plasmas 査読有り

    Takahashi Yohei, Taki Yusuke, Takeda Keigo, Hashizume Hiroshi, Tanaka Hiromasa, Ishikawa Kenji, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   51 巻 ( 11 )   2018年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6463/aaab09

    Web of Science

  197. Oxygen reduction reaction properties of nitrogen-incorporated nanographenes synthesized using in-liquid plasma from mixture of ethanol and iron phthalocyanine 査読有り

    Amano Tomoki, Kondo Hiroki, Takeda Keigo, Ishikawa Kenji, Hiramatsu Mineo, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 4 )   2018年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.040303

    Web of Science

  198. Free radical generation by non-equilibrium atmospheric pressure plasma in alcohol-water mixtures: an EPR-spin trapping study 査読有り

    Uchiyama Hidefumi, Ishikawa Kenji, Zhao Qing-Li, Andocs Gabor, Nojima Nobuyuki, Takeda Keigo, Krishna Murali C., Ishijima Tatsuo, Matsuya Yuji, Hori Masaru, Noguchi Kyo, Kondo Takashi

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   51 巻 ( 9 )   2018年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6463/aaa885

    Web of Science

  199. Intraperitoneal Treatment With Plasma-Activated Liquid Inhibits Peritoneal Metastasis In Ovarian Cancer Mouse Model 査読有り

    Kae Nakamura, Hiroaki Kajiyama, Yang Peng, Fumi Utsumi, Nobuhisa Yoshikawa, Hiromasa Tanaka, Masaaki Mizuno, Shinya Toyokuni, Masaru Hori, and Fumitaka Kikkawa

    Clinical Plasma Medicine   9 巻   頁: 47-48   2018年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.cpme.2017.12.073

  200. Plasma-Activated Medium Inhibites Metastatic Activities Of Ovarian Cancer Cells In Vitro Via Repressing Mapk Pathway 査読有り

    Yang Peng, Hiroaki Kajiyama, Kae Nakamura, Fumi Utsumi, Nobuhisa Yoshikawa, Hiromasa Tanaka, Masaaki Mizuno, Shinya Toyokuni, Masaru Hori, and Fumitaka Kikkawa

    Clinical Plasma Medicine   9 巻   頁: 41-42   2018年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.cpme.2017.12.065

  201. Similarities And Differences In The Cellular Resposnses Between Plasma-Activated Medium-Treated Glioblastomas And Plasma-Activated Ringer's Lactate Solution-Treated Glioblastomas 査読有り

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Yasumasa Okazaki, Shinya Toyokuni, Shinichi Akiyama, Shoichi Maruyama, Fumitaka Kikkawa, and Masaru Hori

    Clinical Plasma Medicine   9 巻   頁: 42-43   2018年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.cpme.2017.12.066

  202. Current status and future prospects of agricultural applications using atmospheric-pressure plasma technologies

    Ito Masafumi, Oh Jun-Seok, Ohta Takayuki, Shiratani Masaharu, Hori Masaru

    PLASMA PROCESSES AND POLYMERS   15 巻 ( 2 )   2018年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/ppap.201700073

    Web of Science

  203. Facile fabrication of a poly(ethylene terephthalate) membrane filter with precise arrangement of through-holes 査読有り

    Kihara Naoto, Odaka Hidefumi, Kuboyama Daiki, Onoshima Daisuke, Ishikawa Kenji, Baba Yoshinobu, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 3 )   2018年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.037001

    Web of Science

  204. Effect of Plasma-Activated Lactated Ringer's Solution on Pancreatic Cancer Cells In Vitro and In Vivo 査読有り

    Sato Yusuke, Yamada Suguru, Takeda Shigeomi, Hattori Norifumi, Nakamura Kae, Tanaka Hiromasa, Mizuno Masaaki, Hori Masaru, Kodera Yasuhiro

    ANNALS OF SURGICAL ONCOLOGY   25 巻 ( 1 ) 頁: 299-307   2018年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1245/s10434-017-6239-y

    Web of Science

  205. Nanographene synthesis employing in-liquid plasmas with alcohols or hydrocarbons 査読有り

    Atsushi Ando, Kenji Ishikawa, Hiroki Kondo, Takayoshi Tsutsumi, Keigo Takeda, Takayuki Ohta, Masafumi Ito, Mineo Hiramatsu, Makoto Sekine and Masaru Hori

    The Japan Society of Applied Physics   57 巻 ( 2 )   2018年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: https://doi.org/10.7567/JJAP.57.026201

  206. Nanographene synthesis employing in-liquid plasmas with alcohols or hydrocarbons 査読有り

    Ando Atsushi, Ishikawa Kenji, Kondo Hiroki, Tsutsumi Takayoshi, Takeda Keigo, Ohta Takayuki, Ito Masafumi, Hiramatsu Mineo, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 2 )   2018年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.026201

    Web of Science

  207. Electron impact ionization of perfluoro-methyl-vinyl-ether C3F6O

    Kondo Yusuke, Ishikawa Kenji, Hayashi Toshio, Sekine Makoto, Hori Masaru

    PLASMA SOURCES SCIENCE & TECHNOLOGY   27 巻 ( 1 )   2018年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6595/aaa22e

    Web of Science

  208. Rapid growth of micron-sized graphene flakes using in-liquid plasma employing iron phthalocyanine-added ethanol 査読有り

    Tomoki Amano, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Keigo Takeda, Mineo Hiramatsu, Makoto Sekine and Masaru Hori

    The Japan Society of Applied Physics   11 巻 ( 1 )   2017年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/APEX.11.015102

  209. Plasma-activated medium (PAM) kills human cancer-initiating cells 査読有り

    Ikeda Jun-ichiro, Tanaka Hiromasa, Ishikawa Kenji, Sakakita Hajime, Ikehara Yuzuru, Hori Masaru

    PATHOLOGY INTERNATIONAL   68 巻 ( 1 ) 頁: 23-30   2017年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1111/pin.12617

    Web of Science

  210. Dynamic analysis of reactive oxygen nitrogen species in plasma-activated culture medium by UV absorption spectroscopy 査読有り

    Timothy Ryan Brubaker, Kenji Ishikawa, Keigo Takeda, Jun-Seok Oh, Hiroki KONDO, Hiroshi Hashizume, Hiromasa Tanaka, Sean David Knecht, Sven Bilén, and Masaru Hori

    Journal of Applied Physics   122 巻 ( 21 )   2017年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4999256

  211. Oxygen-radical pretreatment promotes cellulose degradation by cellulolytic enzymes 査読有り

    Kiyota Sakai, Saki Kojiya, Junya Kamijo, Yuta Tanaka, Kenta Tanaka, Masahiro Maebayashi, Jun-Seok Oh, Masafumi Ito, Masaru Hori, Motoyuki Shimizu, and Masashi Kato

    Biotechnology for Biofuels   10 巻   2017年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1186/s13068-017-0979-6

  212. Abstract 18: Therapeutic potential of cold physical plasma in palliative cancer care: Introduction and perspectives 査読有り

    Christian Seebauer, Thomas von Woedtke, Klaus-Dieter Weltmann, Vandana Miller, Masaru Hori and Hans-Robert Metelmann

    CLINICAL CANCER RESEARCH 23(23 supplement) pp. 18 (December, 2017)     2017年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1158/1557-3265.AACRAHNS17-18

  213. Intracellular responses to reactive oxygen and nitrogen species, and lipid peroxidation: Induction of apoptotic death in HeLa cells following cultivation in non-equilibrium (cold) atmospheric pressure plasma-activated medium 査読有り

    Ryo Furuta, Naoyuki Kurake, Kenji Ishikawa, Keigo Takeda, Hiromasa Tanaka, Hiroshi Hashizume, Hiroki Kondo, Makoto Sekine and Masaru Hori

    Plasma Process and Polymers   14 巻 ( 11 )   2017年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/ppap.201700123

  214. Dependence of absolute photon flux on infrared absorbance alteration and surface roughness on photoresist polymers irradiated with vacuum ultraviolet photons emitted from HBr plasma 査読有り

    Yan Zhang, Takuya Takeuchi, Kenji Ishikawa, Toshio Hayashi, Keigo Takeda, Makoto Sekine, and Masaru Hori

    Japanese Journal of Applied Physics   56 巻 ( 12 )   2017年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.56.126503

  215. Selective production of reactive oxygen and nitrogen species in the plasma-treated water by using a nonthermal high-frequency plasma jet 査読有り

    Uchida Giichiro, Takenaka Kosuke, Takeda Keigo, Ishikawa Kenji, Hori Masaru, Setsuhara Yuichi

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 1 )   2017年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.0102B4

    Web of Science

  216. Crystallization of calcium oxalate dihydrate in a buffered calcium-containing glucose 査読有り

    Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama,

    Journal of Applied Physics   122 巻 ( 14 )   2017年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.5006598

  217. 大気圧プラズマ処理における殺菌・医療・バイオ分野への応用

    橋爪博司、田中宏昌、堀勝、伊藤昌文

    工業材料   Vol.65. 巻 ( No.10 ) 頁: 27-30   2017年10月

     詳細を見る

    記述言語:日本語  

  218. Clinical Experience with Cold Plasma in the Treatment of Locally Advanced Head and Neck Cancer 査読有り

    Hans-Robert Metelmann, Christian Seebauer,Vandana Miller, Alexander Fridman, Georg Bauer, David B.Graves, Jean-Michel Pouvesle Rico Rutkowski, Matthias Schuster Sander Bekeschus, Kristian Wende, Kai Masur, Sybille Hasse, Torsten Gerling, Masaru Hori, Hiromasa Tanaka, Eun Ha Choi, Klaus-Dieter Weltmann, and Thomas von Woedtke

    Clinical Plasma Medicine,     2017年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.cpme.2017.09.001

  219. Thermal Cyclic Atomic-Level Etching of Nitride Films: A Novel Way for Atomic-Scale Nanofabrication 査読有り

    Kazunori Shinodaa, Nobuya Miyoshia, Hiroyuki Kobayashia, Masaru Kuriharaa, Masaru Izawa, Kenji Ishikawa, and Masaru Hori

    ECS Transactions     2017年9月

     詳細を見る

    記述言語:英語  

    DOI: 10.1149/08003.0003ecst

  220. Cold atmospheric helium plasma causes synergistic enhancement in cell death with hyperthermia and an additive enhancement with radiation 査読有り

    Moniruzzaman Rohan, Qing-Li Zhao, Paras Jawaid, Keigo Takeda, Kenji Ishikawa, Masaru Hori, Kei Tomihara, Noguchi Kyo, Takashi Kondo, and Makoto Noguchi

    Scientific Reports   7 巻   2017年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1038/s41598-017-11877-8

  221. Surface roughening of photoresist after change of the photon/radical and ion treatment sequence 査読有り

    Yan Zhanga, Takuya Takeuchi, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films J.   135 巻 ( 6 )   2017年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1116/1.4994218

  222. High-durability catalytic electrode composed of Pt nanoparticle-supported carbon nanowalls synthesized by radical-injection plasma-enhanced chemical vapor deposition 査読有り

    Shun Imai, Hiroki Kondo, Hyungjun Cho, Hiroyuki Kano, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, Masafumi Ito, Masaru Hori

    Journal of Physics D: Applied Physics,   Vol 50 巻 ( 40 )   2017年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6463/aa8131

  223. Thermally enhanced formation of photon-induced damage on GaN films in Cl2 plasma 査読有り

    Zecheng Liu*, Atsuki Asano, Masato Imamura, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo,

    Japanese Journal of Applied Physics   56 巻   2017年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.56.096501

  224. Palliative Plasmabehandlung von Kopf-Hals-Tumoren und kurative Konzepte

    Christian Seebauer, Hiromasa Tanaka, Masaru Hori, Hans Robert Metelmann

    Plasmamedizin (in German)     頁: 99-109   2017年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1007/978-3-662-52645-3_8

  225. The 2017 Plasma Roadmap: Low temperature plasma science and technology

    Adamovich I., Baalrud S. D., Bogaerts A., Bruggeman P. J., Cappelli M., Colombo V., Czarnetzki U., Ebert U., Eden J. G., Favia P., Graves D. B., Hamaguchi S., Hieftje G., Hori M., Kaganovich I. D., Kortshagen U., Kushner M. J., Mason N. J., Mazouffre S., Thagard S. Mededovic, Metelmann H-R, Mizuno A., Moreau E., Murphy A. B., Niemira B. A., Oehrlein G. S., Petrovic Z. Lj, Pitchford L. C., Pu Y-K, Rauf S., Sakai O., Samukawa S., Starikovskaia S., Tennyson J., Terashima K., Turner M. M., van de Sanden M. C. M., Vardelle A.

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   50 巻 ( 32 )   2017年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6463/aa76f5

    Web of Science

  226. Reduction of chlorine radical chemical etching of GaN under simultaneous plasma-emitted photon irradiation 査読有り

    Zecheng Liu, Masato Imamura, Atsuki Asano, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Osamu Oda, Makoto Sekine, and Masaru Hori

    Applied Physics Express   10 巻   2017年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/APEX.10.086502

  227. Lipid Droplets Exhaustion with Caspases Activation in HeLa Cells Cultured in Plasma-Activated Medium (PAM) Observed By Multiplex Coherent Anti-Stokes Raman Scattering (CARS) Microscopy 査読有り

    Ryo Furuta, Naoyuki Kurake, Keigo Takeda, Kenji Ishikawa, Takayuki Ohta, Masafumi Ito, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine and Masaru Hori

    Biointerphases   12 巻 ( 3 )   2017年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1116/1.4997170

  228. Measurement of F-, O- and CF3- densities in 60 and 100 MHz asymmetric capacitively coupled plasma discharge produced in an Ar/O2/C4F8 gas mixture 査読有り

    N Sirse, T Tsutsumi, M Sekine, M Hori and A R Ellingboe

    Journal of Physics D: Applied Physics   50 巻 ( 33 )   2017年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6463/aa77c4

  229. Novel Intraperitoneal Treatment With Non-Thermal Plasma-Activated Medium Inhibits Metastatic Potential of Ovarian Cancer Cells 査読有り

    Kae Nakamura, Yang Peng, Fumi Utsumi, Hiromasa Tanaka, Masaaki Mizuno, Shinya Toyokuni, Masaru Hori, Fumitaka Kikkawa & Hiroaki Kajiyama

    Scientific Reports   7 巻   2017年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1038/s41598-017-05620-6

  230. State of the art in medical applications using non-thermal atmospheric pressure plasma 査読有り

    Hiromasa Tanaka, Kenji Ishikawa, Masaaki Mizuno, Shinya Toyokuni, Hiroaki Kajiyama, Fumitaka Kikkawa, Hans-Robert Metelmann, Masaru Hori

    Plasma Physics, Association of Asia Pacific Physical Societies 2017   1 巻   2017年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1007/s41614-017-0004-3

  231. Non-thermal plasma induces a stress response in mesothelioma cells resulting in increased endocytosis, lysosome biogenesis and autophagy 査読有り

    Lei Shi, Fumiya Ito, Yue Wang, Yasumasa Okazaki, Hiromasa Tanaka, Masaaki Mizuno, Masaru Hori, Tasuku Hirayama, Hideko Nagasawa, Des R. Richardson, Shinya Toyokuni

    Free Rad. Biol. Med   108 巻   頁: 904-917   2017年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.freeradbiomed.2017.04.368

  232. Temperature dependence of protection layer formation on organic trench sidewall in H2/N2 plasma etching with control of substrate temperature 査読有り

    Yusuke Fukunaga, Takayoshi Tsutsumi, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    Japanese Journal of Applied Physics   56 巻 ( 21 )   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.56.076202

  233. Intracellular-Molecular Changes in Plasma-irradiated Budding Yeast Cells Studied Using Multiplex Coherent Anti-Stokes Raman Scattering Microscopy 査読有り

    Ryo Furuta, Naoyuki Kurake, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto, Sekine, and Masaru Hori

    Physical Chemistry Chemical Physics   19 巻 ( 21 )   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1039/C7CP00489C

  234. Hydrogen peroxide sensor based on carbon nanowalls grown by plasma-enhanced chemical vapor deposition 査読有り

    Masakazu Tomatsu, Mineo Hiramatsu, John S. Foord, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Keigo Takeda and Masaru Hori

    Journal of Applied Physics   56 巻 ( 6S2 )   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.56.06HF03

  235. Progress and prospects in nanoscale dry processes: How can we control atomic layer reactions? 査読有り

    K. Ishikawa, K. Karahashi, T. Ichiki, J. P. Chang, S. M. George, W. M. M. Kessels, H. J. Lee, S. Tinck, J. H. Um, K. Kinoshita

    Japanese Journal of Applied Physics   56 巻 ( 6S2 )   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.56.06HA02

  236. Micro-Coordination of Pacemaker Potentials in the Intestine of the Mouse

    Morishita Hirotaka, Iwata Naoko, Takai Chiho, Mochizuki Naoto, Kaji Noriyuki, Hori Masatoshi, Kajioka Shunichi, Nakayama Shinsuke

    GASTROENTEROLOGY   152 巻 ( 8 ) 頁: 1831-+   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1053/j.gastro.2017.04.016

    Web of Science

  237. Electron behaviors in afterglow of synchronized dc-imposed pulsed fluorocarbon-based plasmas 査読有り

    Toshinari Ueyama, Yusuke Fukunaga, Takayoshi Tsutsumi, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Manabu Iwata, Yoshinobu Ohya, Hideo Sugai, and Masaru Hori

    Journal of Applied Physics   56 巻 ( 6S2 )   2017年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.56.06HC03

  238. Advanced Plasma Etching Processing: Atomic Layer Etching for Nanoscale Devices 査読有り

    Takayoshi Tsutsumi, M. Zaitsu, Akiko Kobayashi, N. Kobayashi, and Masaru Hori

    ECS Transactions   77 巻 ( 3 )   2017年5月

     詳細を見る

    記述言語:英語  

    DOI: 10.1149/07703.0025ecst

  239. Growth of InN firms by radical-enhanced metal organic chemical vapor deposition at a low temperature of 200 °C 査読有り

    S. Takai, Y. Lu, O. Oda, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine and M. Hori

    Journal of Applied Physics   56 巻 ( 6S2 )   2017年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.56.06HE08

  240. 電子スピン共鳴法を活用したプラズマバイオ反応プロセスの診断

    石川健治 近藤隆 竹田圭吾 呉準席 橋爪博司 田中宏昌 近藤博基 太田貴之 伊藤昌文 関根誠 堀勝

    プラズマ・核融合学会誌   93 巻 ( 5 ) 頁: 246-252   2017年5月

     詳細を見る

    記述言語:日本語  

  241. Selective atomic-level etching using two heating procedures, infrared irradiation and ion bombardment, for next-generation semiconductor device manufacturing

    Shinoda K., Miyoshi N., Kobayashi H., Miura M., Kurihara M., Maeda K., Negishi N., Sonoda Y., Tanaka M., Yasui N., Izawa M., Ishii Y., Okuma K., Saldana T., Manos J., Ishikawa K., Hori M.

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   50 巻 ( 19 )   2017年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6463/aa6874

    Web of Science

  242. Intraperitoneal Administration of Plasma-Activated Medium: Proposal of a Novel Treatment Option for Peritoneal Metastasis From Gastric Cancer 査読有り

    Shigeomi Takeda, Suguru Yamada, Norifumi Hattori, Kae Nakamura, Hiromasa Tanaka, Hiroaki Kajiyama, Mitsuro Kanda, Daisuke Kobayashi, Chie Tanaka, Tsutomu Fujii, Michitaka Fujiwara, Masaaki Mizuno, Masaru Hori, Yasuhiro Kodera

    Annals of Surgical Oncology   24 巻 ( 5 )   2017年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1245/s10434-016-5759-1

  243. Effect of plasma-activated medium on the decrease of tumorigenic population in lymphoma 査読有り

    N. Wada, J. Ikeda, H. Tanaka, H. Sakakita, M. Hori, Y. Ikehara, E. Morii

    Pathology - Researchand Practice   213 巻   2017年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.prp.2017.04.003

  244. Bactericidal pathway of Escherichia coli in buffered saline treated with oxygen radicals 査読有り

    Tsuyoshi Kobayashi, Natsumi Iwata, Jun-Seok Oh, Hiroshi Hashizume, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, 20Masaru Hori, and Masafumi Ito

    J. Phys. D: Appl. Phys   50 巻 ( 15 )   2017年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6463/aa61d7/

  245. Absolute density of precursor SiH3 radicals and H atoms in H2-diluted SiH4 gas plasma for deposition of microcrystalline silicon films 査読有り

    Yusuke Abe , Kenji Ishikawa , Keigo Takeda , Takayoshi Tsutsumi , Atsushi Fukushima , Hiroki KONDO , Makoto Sekine , Masaru Hori

    Journal of Physics D: Applied Physics   50 巻 ( 19 )   2017年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: DOI: 10.1088/1361-6463/aa6874

  246. Spatial distributions of O, N, NO, OH and vacuum ultraviolet light along gas flow direction in an AC-excited atmospheric pressure Ar plasma jet generated in open air 査読有り

    Keigo Takeda, Kenji Ishikawa, Hiromasa Tanaka, Makoto Sekine, and Masaru Hori

    Journal of Physics D: Applied Physics   50 巻 ( 19 )   2017年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6463/aa6555

  247. Investigation of the radially resolved oxygen dissociation degree and local mean electron energy in oxygen plasmas in contact with different surface materials 査読有り

    T. Tsutsumi, A. Greb, A. R. Gibson, M. Hori, D. OConnell, T. Gans

    Journal of Physics D: Applied Physics   50 巻 ( 19 )   2017年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: https://doi.org/10.1063/1.4979855

  248. Bactericidal pathway of Escherichia coli in buffered saline treated with oxygen radicals 査読有り

    Tsuyoshi Kobayashi, Natsumi Iwata, Jun-Seok Oh, Hiroshi Hahizume, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori and Masafumi Ito

    Journal of Physics D: Applied Physics   50 巻 ( 15 )   2017年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: DOI: 10.1088/1361-6463/aa61d7

  249. Spatial profiles of interelectrode electron density in direct current superposed dual-frequency capacitively coupled plasmas 査読有り

    Yoshinobu Ohya, Kenji Ishikawa, Tatsuya Komuro, Tsuyoshi Yamaguchi, Keigo Takeda, Hiroki Kondo, Makoto Sekine and Masaru Hori

    Journal of Physics D: Applied Physics   50 巻 ( 15 )   2017年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: DOI: 10.1088/1361-6463/aa60f7

  250. Spatial profiles of interelectrode electron density in direct current superposed dual-frequency capacitively coupled plasmas 査読有り

    Ohya Yoshinobu, Ishikawa Kenji, Komuro Tatsuya, Yamaguchi Tsuyoshi, Takeda Keigo, Kondo Hiroki, Sekine Makoto, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   50 巻 ( 15 )   2017年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6463/aa60f7

    Web of Science

  251. Effects of center dot OH and center dot NO radicals in the aqueous phase on H2O2 and NO2- generated in plasma-activated medium

    Kurake Naoyuki, Tanaka Hiromasa, Ishikawa Kenji, Takeda Keigo, Hashizume Hiroshi, Nakamura Kae, Kajiyama Hiroaki, Kondo Takashi, Kikkawa Fumitaka, Mizuno Masaaki, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   50 巻 ( 15 )   2017年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6463/aa5f1d

    Web of Science

  252. Effects of OH and NO radicals in the aqueous phase on H2O2 and NO2-generated in plasma-activated medium 査読有り

    Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Takashi Kondo, Fumitaka Kikkawa, Masaaki Mizuno and Masaru Hori

    Journal of Physics D: Applied Physics   50 巻 ( 15 )   2017年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: DOI: 10.1088/1361-6463/aa5f1

  253. Postpartum atypical hemolytic uremic syndrome with complement factor H mutation complicated by reversible cerebrovascular constriction syndrome successfully treated with eculizumab 査読有り

    Yamaguchi Makoto, Hori Mayuko, Hiroshi Nagaya, Maruyama Shoichi

    THROMBOSIS RESEARCH   151 巻   頁: 79-81   2017年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.thromres.2017.01.013

    Web of Science

  254. Behavior of absolute densities of atomic oxygen in the gas phase near an object surface in an AC-excited atmospheric pressure He plasma jet 査読有り

    Keigo Takeda, Takumi Kumakura, Kenji Ishikawa, Hiromasa Tanaka, Makoto Sekine and Masaru Hori

    Applied Physics Express   10 巻 ( 3 )   2017年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: DOI: 10.7567/APEX.10.036201

  255. Characteristics of optical emissions of arc plasma processing for high-rate synthesis of highly crystalline single-walled carbon nanotubes 査読有り

    A. Ando, K. Takeda, T. Ohta, M. Ito, M. Hiramatsu, K. Ishikawa, H. Kondo, M. Sekine, T. Suzuki, S. Inoue, Y. Ando, M. Hori

    Japanese Journal of Applied Physics   56 巻 ( 3 )   2017年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.56.035101

  256. Investigation of effects of ion energies on both plasma-induced damage and surface morphologies and optimization of high-temperature Cl2 plasma etching of GaN 査読有り

    Zecheng Liu, Jialin Pan, Atsuki Asano, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Osamu Oda, Makoto Sekine and Masaru Hori

    "Japanese Journal of Applied   56 巻   2017年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: DOI:10.7567/JJAP.56.026502

  257. Absolute density of precursor SiH3 radicals and H atoms in H2-diluted SiH4 gas plasma for deposition of microcrystalline silicon films

    Yusuke Abe , Kenji Ishikawa , Keigo Takeda , Takayoshi Tsutsumi , Atsushi Fukushima , Hiroki KONDO , Makoto Sekine , Masaru Hori

    Applied Physics Letters (2017)     2017年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4974821

  258. Densities and Surface Reaction Probabilities of Oxygen and Nitrogen Atoms During Sputter Deposition of ZnInON on ZnO 査読有り

    Matsushima Koichi, Ide Tomoaki, Takeda Keigo, Hori Masaru, Yamashita Daisuke, Seo Hyunwoong, Koga Kazunori, Shiratani Masaharu, Itagaki Naho

    IEEE TRANSACTIONS ON PLASMA SCIENCE   45 巻 ( 2 ) 頁: 323-327   2017年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/TPS.2016.2632124

    Web of Science

  259. Intraperitoneal Administration of Plasma-Activated Medium: Proposal of a Novel Treatment Option for Peritoneal Metastasis From Gastric Cancer 査読有り

    Shigeomi Takeda, Suguru Yamada, Norifumi Hattori, Kae Nakamura, Hiromasa Tanaka, Hiroaki Kajiyama, Mitsuro Kanda, Daisuke Kobayashi, Chie Tanaka, Tsutomu Fujii, Michitaka Fujiwara, Masaaki Mizuno, Masaru Hori, Yasuhiro Kodera

    Annals of Surgical Oncology   24 巻 ( 5 ) 頁: 1-7   2017年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: DOI: 10.1245/s10434-016-5759-1

  260. Annual shell growth pattern of the Stimpson's hard clam Mercenaria stimpsoni as revealed by sclerochronological and oxygen stable isotope measurements

    Kubota Kaoru, Shirai Kotaro, Murakami-Sugihara Naoko, Seike Koji, Hori Masako, Tanabe Kazushige

    PALAEOGEOGRAPHY PALAEOCLIMATOLOGY PALAEOECOLOGY   465 巻   頁: 307-315   2017年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.palaeo.2016.05.016

    Web of Science

  261. Medical applications of non-thermal atmospheric pressure plasma

    Tanaka Hiromasa, Hori Masaru

    JOURNAL OF CLINICAL BIOCHEMISTRY AND NUTRITION   60 巻 ( 1 ) 頁: 29-32   2017年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3164/jcbn.16-67

    Web of Science

  262. Thermal Cyclic Atomic-Level Etching of Nitride Films: A Novel Way for Atomic-Scale Nanofabrication

    Shinoda K., Miyoshi N., Kobayashi H., Kurihara M., Izawa M., Ishikawa K., Hori M.

    ATOMIC LAYER DEPOSITION APPLICATIONS 13   80 巻 ( 3 ) 頁: 3-14   2017年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/08003.0003ecst

    Web of Science

  263. Plasma-inspired biomaterials 査読有り

    Cvelbar Uros, Canal Cristina, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   50 巻 ( 4 )   2016年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6463/50/4/040201

    Web of Science

  264. Medical applications of non-thermal atmospheric pressure plasma

    Hiromasa Tanaka, Masaru Hori

    J. Clin. Biochem. Nutr.   60 巻 ( 1 ) 頁: 29-32   2016年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  265. Future perspective of strategic non-thermal plasma therapy for cancer treatment

    Hiroaki Kajiyama, fumi Utsumi, Kae Nakamura, Hiromasa Tanaka, Shinya Toyokuni, Masaru Hori, Fumitaka Kikkawa

    J. Clin. Biochem. Nutr.   60 巻 ( 1 ) 頁: 33-38   2016年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  266. Atomic layer etching of SiO2 by alternating an O2 plasma with fluorocarbon film deposition

    Takayoshi Tsutsumi, Hiroki Kondo, Masaru Hori, Masaru Zaitsu, Akiko Kobayashi, Toshihisa Nozawa, Nobuyoshi Kobayashi

    Journal of Vacuum Science & Technology   35 巻   2016年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1116/1.4971171

  267. Non-Thermal atmospheric pressure plasma activated lactate in Ringer's solution for anti-tumor effects

    H.Tanaka, K.Nakamura, M.Mizuno, K.Ishikawa, K.Takeda, h.Kajiyama, F.Utsumi, F.Kikkawa, M.Hori

    Scientific Report   35 巻   2016年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: https://doi.org/10.1038/srep36282

  268. Effects of assisted magnetic field to an atomospheric-pressure plasma jet on radical generation at the plasma-surface interface and bactericidal function 査読有り

    Chih-Tung Liu, Takumi Kumakura, Kenji Ishikawa, Hiroshi Hashizume, Keigo Takeda, Masafumi Ito, Masaru Hori, Jong-Shinn Wu

    Plasam Source Science and Technology   25 巻 ( 6 )   2016年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/0963-0252/25/6/065005

  269. Cell survival of glioblastoma grown in medium containing hydrogen peroxide and/or nitrite, or in plasma-activated medium

    Naoyuki Kurake,Hiromasa Tanaka, Kenji Ishikawa, Takashi Kondo, Makoto Sekine, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Masaru Hori

    Archives of Biochemistry and Biophysics   605 巻   頁: 102-108   2016年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: DOI :10.1016/j.abb.2016.01.011

  270. Low temperature plasma processing for cell growth inspired carbon thin films fabrication 査読有り

    M. Kumar, J. X. Piao, S. B. Jin, J. H. Lee, S. Tajima, M. Hori, and J. G. Han

    Archives of Biochemistry and Biophysics   605 巻   頁: 41–48   2016年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: DOI: 10.1016/j.abb.2016.03.026

  271. Low-temperature plasma in biology and medicine

    Masaru Hori, Eun Ha Choi, Shinya Toyokuni

    Archives of Biochemistry and Biophysics   605 巻   頁: 1-2   2016年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  272. Red Blood Cell Coagulation Induced by Low-temperature Plasma Treatment

    K. Miyamoto, S. Ikehara, H. Takei, Y. Akimoto, H. Sakakita, K. Ishikawa, M. Ueda, J. Ikeda, M. Yamagishi, J. Kim, T. Yamaguchi, H. Nakanishi, T. Shimizu, N. Shimizu, M. Hori, and Y. Ikehara

    Arch. Biochem. Biophys   605 巻   頁: 95–101   2016年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.abb.2016.03.023

  273. Galectin expression in healing wounded skin treated with low-temperature plasma: Comparison with treatment by electronical coagulation

    Y. Akimoto, S. Ikehara, T. Yamaguchi, J. Kim, H. Kawakami, N. Shimizu, M. Hori, H. Sakakita, and Y. Ikehara

    Arch. Biochem. Biophys.   605 巻   頁: 1-9   2016年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.abb.2016.01.012

  274. Feedback Control System of Wafer Temperature for Advanced Plasma Processing and its Application to Organic Film Etching

    T. Tsutsumi, Y. Fukunaga, K. Ishikawa, K. Takeda, H. Kondo, T. Ohta, M. Ito, M. Sekine, M. Hori

    IEEE Trans. Semicond. Manuf. 28 (4)     頁: pp. 515-520   2016年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/TSM.2015.2470554

  275. Synthesis of calcium oxalate crystals in culture medium irradiated with non-equilibrium atmospheric-pressure plasma 査読有り

    Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Yoko Yamanishi and Masaru Hori

    Applied Physics Express   9 巻 ( 9 )   2016年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: DOI: 10.7567/APEX.9.096201

  276. Rapid electron density decay observed by surface-wave probe in afterglow of pulsed fluorocarbon-based plasma

    Y. Ohya, M. Iwata, K. Ishikawa, M.Sekine, M.Hori and H.Sugai

    Japanese Journal of Applied Physics   55 巻 ( 8 ) 頁: 10.7567/JJAP.55.080309   2016年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  277. Non-thermal plasma prevents progression of endometriosis in mice

    Chiharu Ishida, Masahiko Mori, Kae Nakamura, Hiromasa Tanaka, Masaaki Mizuno, Masaru Hori, Akira Iwase, Fumitaka Kikkawa and Shinya Toyokuni

        頁: 111   2016年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  278. Possible therapeutic option of aqueous plasma for refractory ovarian cancer

    H. Kajiyama, F. Utsumi, K. Nakamura, H. Tanaka, M. Mizuno, S. Toyokuni, M. Hori, and F. Kikkawa

    Clinical Plasma Medicine   4 巻 ( 1 ) 頁: 14-18   2016年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.cpme.2015.12.002

  279. Plasma-Activated Medium Selectively Eliminates Undifferentiated Human Induced Pluripotent Stem Cells

    R. Matsumoto, K. Shimizu, T. Nagashima, H. Tanaka, M. Mizuno, F. Kikkawa, M. Hori, and H. Honda

    Regenerative Therapy   5 巻   頁: 55-63   2016年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.reth.2016.07.001

  280. Microfluidic Transport Through Microsized Holes Treated by Nonequilibrium Atmospheric-Pressure Plasma

    Takumi Ito, Kenji Ishikawa,Daisuke Onoshima, Naoto Kihara,Kentaro Tatsukoshi Hidefumi Odaka, Hiroshi Hashizume,Hiromasa Tanaka,Hiroshi Yukawa, Keigo Takeda Hiroki Kondo, Makoto Sekine, Yoshinobu Baba, Masaru Hori

    IEEE Transactions on Plasma Science     2016年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/TPS.2016.2571721

  281. Computational study on SiH4 dissociation channels and H abstraction reactions 査読有り

    Toshio Hayashi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    Japanese Journal of Applied Physics   55 巻 ( 7S2 )   2016年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: DOI: 10.7567/JJAP.55.07LD07

  282. Helium-based cold atmospheric plasma-induced reactive oxygen species-mediated apoptotic pathway attenuated by platinum nanoparticles 査読有り

    Jawaid P, Rehman MU, Zhao QL, Takeda K, Ishikawa K, Hori M, Shimizu T, Kondo T.

    J Cell Mol Med     2016年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1111/jcmm.12880

  283. Role of surface-electrical properties on the cell-viability of carbon thin films grown in nanodomain morphology

    Amjed Javid,, Manish Kumar, Seokyoung Yoon, Jung Heon Lee,Satomi Tajima, Masaru Hori and Jeon Geon Han

    J. Phys. D: Appl. Phys.   49 巻 ( 26 )   2016年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/0022-3727/49/26/264001

  284. Biphasic effects of l-ascorbate on the tumoricidal activity of non-thermal plasma against malignant mesothelioma cells

    L. Shi, Y.Wang, F.Ito, Y.Okazaki, H.Tanaka, M.Mizuno, M.Hori, D.R.Richardson, S.Toyokuni

    Archives of Biochemistry and Biophysics   605 巻   頁: 109-116   2016年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  285. Nanopore formation process in artificial cell membrane induced by plasma-generated reactive oxygen species

    R.Tero, R.Yamashita, H.Hashizume, Y.Suda, H.Takikawa, M.Hori, M.Ito

    Archives of Biochemistry and Biophysics   605 巻   頁: 26-33   2016年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: DOI:10.1016/j.abb.2016.05.014

  286. Formation of a SiOF reaction intermixing layer on SiO2 etching using C4F6/O2/Ar plasmas 査読有り

    Y. Ohya, M. Tomura, K. Ishikawa, M. Sekine, and M. Hori

    Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films   34 巻 ( 4 )   2016年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: DOI: 10.1116/1.4949570

  287. The role of plasma chemistry on functional silicon nitride film properties deposited at low-temperature by mixing two frequency powers using PECVD

    B. B. Sahu,Y. Y. Yin,T. Tsutsumi, M. Hori, Jeon G. Han

    Phys. Chem. Chem. Phys     2016年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1039/C6CP00986G

  288. Effects of nitrogen on the apoptosis of and changes in gene expression in human lymphoma U937 cells exposed to argon-based cold atmospheric pressure plasma

    Yoshikawa Tabuchi, Hidefumi Uchiyama, Quing-Li XZhao, Tatsuya Yunoki, Gabor Andocs, Nobuyuki Nojima, Kengo Takeda, Kenji Ishikawa, Masaru Hori, Takashi Kondo

    International Journal of Molecular Medicine   37 巻 ( 6 ) 頁: 1706-1714   2016年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: DOI:10.3892/ijmm.2016.2574

  289. Variable susceptibility of ovarian cancer cells to non-thermal plasma-activated medium 査読有り

    F. Utsumi, H. Kajiyama, K. Nakamura, H. Tanaka, M. Mizuno, S. Toyokuni, M. Hori, and F. Kikkawa

    Oncology Report   35 巻 ( 6 ) 頁: 3169–3177   2016年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: DOI: 10.3892/or.2016.4726

  290. Low temperature plasma processing for cell growth inspired carbon thin films fabrication

    M.Kumar, J.X.Piao, S.B.Jin, J.H.Lee, S.Tajima, M.Hori, J.G.Han

    Archives of Biochemistry and Biophysics   605 巻   頁: 41-48   2016年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  291. Red blood cell coagulation induced by low-temperature plasma treatment

    K,Miyamoto, S.Ikehara, H.Takei, Y.Akimoto, H.Sakakita, K. Ishikawa, M.Ueda, J.Ikeda, M.Yamagishi, J.Kim, T. Yamaguchi, H. Nakanishi, T.Shimizu, N.Shimizu, M.Hori, Y.Ikehara

    Archives of Biochemistry and Biophysics   605 巻   頁: 95-101   2016年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  292. Galectin expression in healing wounded skin treated with low-temperature plasma: Comparison with treatment by electronical coagulation

    Y.Akimoto, S.Ikehara, T.Yamaguchi, J.Kim, H. Kawakami, N.Shimizu, M.Hori, H. Sakakita, Y.Ikehara

    Archives of Biochemistry and Biophysics   305 巻   頁: 86-94   2016年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  293. Synthesis of calcium oxalate crystals in culture medium irradiated with non-equilibrium atmospheric-pressure plasma

    M. Hori, N.Kurake, Y.Yamanishi, M.Mizuno, K.Nakamura, K.Ishikawa, H.Tanaka

        頁: 111   2016年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  294. Plasma-Activated Medium Selectively Eliminates Undifferentiated Human Induced Pluripotent Stem Cells

    Ryo Matsumoto, Kazunori Shimizu, Takunori Nagashima, Hiromasa Tanaka, Masaaki Mizuno, Fumitaka Kikkawa, Masaru Hori, Hiroyuki Honda

    Regenerative Therapy     頁: 111   2016年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  295. Effects of assisted magnetic field to an atmospheric-pressure plasma jet on radical generation at the plasma-surface interface and bactericidal function

    Liu, Chih-Tung; Kumakura, Takumi; Ishikawa, Kenji; Hashizume, Hiroshi; Takeda, Keigo; Ito, Masafumi; Hori, Masaru; Wu, Jong-Shinn

    Plasma Sources Science and Technology     頁: 111   2016年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  296. Plasma with high electron density and plasma-activated medium for cancer treatment

    H. Tanaka, M. Mizuno, K. Ishikawa, H. Kondo, K. Takeda, H. Hashizume, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, Y. Okazaki, S. Toyokuni, S. Akiyama, S. Maruyama, S. Yamada, Y. Kodera, H. Kaneko, H. Terasaki, H. Hara, T. Adachi, M. Iida, I. Yajima, M. Kato, F. Kikkawa, M. Hori

    Clinical Plasma Medicine 3     頁: pp. 72-76   2015年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.cpme.2015.09.001

  297. Histological and Nuclear Medical Comparison of Inflammation After Hemostasis with Non-Thermal Plasma and Thermal Coagulation

    Masashi Ueda, Daiki Yamagami, Keiko Watanabe, Asami Mori,Hiroyuki Kimura, Kohei Sano, Hideo Saji, Kenji Ishikawa, Masaru Hori,Hajime Sakakita, Yuzuru Ikehara, Shuichi Enomoto

    Plasma Process and Polymers     2015年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/ppap.201500099

  298. Plasma and Cancer

    Masashi Ueda, Daiki Yamagami, Keiko watanabe, Asami Mori, Hiroyuki Kimura, Masaru Hori, Mounir Laroussi, Kai Masur, Yuzuru Ikehara ,

    Plasma Processes and Polymers 12,No. 12     頁: p.p. 1329-1469   2015年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/ppap.201570043

  299. プラズマ医療の可能性と今後の展望

    田中宏昌、堀 勝

    ファルマシア/メカノバイオロジーと薬の融合   51 巻 ( 11 ) 頁: 1053   2015年11月

     詳細を見る

    記述言語:日本語  

  300. Effects of deposition rate and ion bombardment on properties of a-C:H films deposited by H-assisted plasma CVD method 査読有り

    X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    Jpn. J. Appl. Phys. 55 (1S)   55 巻 ( 1S ) 頁: 01AA11   2015年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.55.01AA11

  301. Real-time temperature-monitoring of Si substrate during plasma processing and its heat-flux analysis

    T. Tsutsumi, K. Ishikawa, K. Takeda, H. Kondo, T. Ohta, M. Ito, M. Sekine, M. Hori

    Jpn. J. Appl. Phys. 55 (1S)     頁: 01AB04:1-4   2015年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.55.01AB04

  302. Cancer therapy using non-thermal atmospheric pressure plasma with ultra-highelectron density

    H. Tanaka, M. Mizuno, S. Toyokuni, S. Maruyama, Y. Kodera, H. Terasaki, T. Adachi, M. Kato, F. Kikkawa, M. Hori

    Phys Plasmas     頁: 122004   2015年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4933402

  303. Development of Microelectrode Arrays Using Electroless Plating for CMOS-Based Direct Counting of Bacterial and HeLa Cells

    Niitsu K, Ota S, Gamo K, Kondo H, Hori M, Nakazato K

    IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS, VOL. 9, NO. 5     頁: p.p.607-619   2015年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/TBCAS.2015.2479656

  304. Raman Spectroscopy of a-C:H Films Deposited Using Ar + H2+ C7H8 Plasma CVD 査読有り

    X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    Proc. 68th GEC/9th ICRP/33rd SPP   60 巻 ( 9 ) 頁: GT1.145   2015年10月

     詳細を見る

    記述言語:英語  

  305. Measurement of absolute density of N atom in sputtering plasma for epitaxial growth ZnO films via nitrogen mediated crystallization 査読有り

    T. Ide, K. Matsushima, T. Takasaki, K. Takeda, M. Hori, D. Yamashita, H. Seo, K. Koga, M, Shiratani, N. Itagaki

    Proc. 68th GEC/9th ICRP/33rd SPP   60 巻 ( 9 ) 頁: GT1.155   2015年10月

     詳細を見る

    記述言語:英語  

  306. Measurements of nitrogen atom density in N2/Ar sputtering plasma for fabrication of high-mobility amorphous In2O3:Sn films 査読有り

    T. Takasaki, T. Ide, K. Matsushima, K. Takeda, M. Hori, D. Yamashita, H. Seo, K. Koga, M, Shiratani, N. Itagaki

    Proc. 68th GEC/9th ICRP/33rd SPP   60 巻 ( 9 ) 頁: GT1.150   2015年10月

     詳細を見る

    記述言語:英語  

  307. Measurements of absolute densities of nitrogen and oxygen atoms in sputtering plasma for fabrication of ZnInON films 査読有り

    K. Matsushima, T. Ide, K. Takeda, M. Hori, D. Yamashita, H. Seo, K. Koga, M, Shiratani, N. Itagaki

    Proc. 68th GEC/9th ICRP/33rd SPP   60 巻 ( 9 ) 頁: GT1.154   2015年10月

     詳細を見る

    記述言語:英語  

  308. Effectiveness of plasma treatment on pancreatic cancer cells

    N. Hattori, S. Yamada, K. Torii, S. Takeda, K. Nakamura, H. Tanaka, H. Kajiyama, M. Kanda, T. Fujii, G. Nakayama, H. Sugimoto, M. Koike, S. Nomoto, M. Fujiwara, M. Mizuno, M. Hori, Y. Kodera

    International journal of oncology, 47     頁: pp. 1655-1662   2015年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3892/ijo.2015.3149

  309. Growth control of Saccharomyces cerevisiae through dose of oxygen atoms

    Hiroshi Hashizume, Takayuki Ohta, Masaru Hori, and Masafumi Ito

    APPLIED PHYSICS LETTERS     2015年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4929952

  310. EPR-Spin Trapping and Flow Cytometric Studies of Free Radicals Generated Using Cold Atmospheric Argon Plasma and X-Ray Irradiation in Aqueous Solutions and Intracellular Milieu 査読有り

    Hidefumi Uchiyama, Qing-Li Zhao, Mariame Ai Hassan, Gabor Andocs, Nobuyuki Nojima, Keigo Takeda, Kenji ishikawa, Masaru Hori, Takashi Kondo

    PLOS one     2015年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    top 10% most cited PLOS One (2015)

    DOI: 10.1371/journal.pone.0136956

  311. Wavelength dependence for silicon-wafer temperature measurement by autocorrelationtype frequency-domain low-coherence interferometry

    T. Tsutsumi, T. Ohta, K. Takeda, M. Ito, M. Hori

    Appl. Opt. 54 (23)     頁: pp. 7088-7093   2015年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1364/AO.54.007088

  312. Effects of discharge voltage on the characteristics of a-C:H films prepared by H-assisted Plasma CVD method 査読有り

    X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    Trans. Mater. Res. Soc. Jpn.   40 巻 ( 2 ) 頁: 123-128   2015年7月

     詳細を見る

    記述言語:英語  

    DOI: 10.14723/tmrsj.40.123

  313. Utility of dual frequency hybrid source for plasma and radical generation

    Kyung Sik Shin, Bibhuti Bhusan Sahu, Jeon Geon Han, and Masaru Hori

    Japanese Journal of Applied Physics     2015年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.54.076201

  314. Emission spectroscopy of Ar + H-2+ C7H8 plasmas: C7H8 flow rate dependence and pressure dependence 査読有り

    X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Y. Setsuhara, M. Sekine and M. Hori

    J. Phys. : Conf. Series (SPSM26)   518 巻 ( 1 ) 頁: 012010   2015年6月

     詳細を見る

    記述言語:英語  

    DOI: 10.1088/1742-6596/518/1/012010

  315. Suppression of plasma-induced damage on GaN etched by a Cl2 plasma at high temperatures

    Zecheng Liu, Jialin Pan, Takashi Kako, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo,Osamu Oda, Makoto Sekine, and Masaru Hori

    Jpn. J. Appl. Phys. 54 (6S2)     頁: 06GB04   2015年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.54.06GB04

  316. Effectiveness of hydrogen dilution for designing amorphous to crystalline Si thin film in inductively coupled plasma assisted magnetron sputtering

    Kyung Sik Shin, Bibhuti Bhusan Sahu, Jeon Geon Han, and Masaru Hori

    Japanese Journal of Applied Physics     2015年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.54.060303

  317. Electronic properties of HBr, O2 and Cl2 used in Si etching

    Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    Japanese Journal of Applied Physics     2015年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.54.06GA03

  318. シリコン薄膜形成プロセスにおけるプラズマ中の水素原子の計測とその挙動

    堀勝、阿部祐介、竹田圭吾、石川健治、近藤博基、関根誠、韓銓健

    プラズマ・核融合学会誌   91 巻 ( 5 ) 頁: 317   2015年5月

     詳細を見る

    記述言語:日本語  

  319. プラズマ活性溶液:作用機序解明と臨床応用・産業化を目指して

    田中宏昌 、水野正明、豊國伸哉、丸山彰一、小寺泰弘、吉川史隆、堀勝

    福岡医学雑誌   106 巻 ( 4 ) 頁: 71-76   2015年4月

     詳細を見る

    記述言語:日本語  

  320. Silicon nitride (SiN) etch performance of CH2F2 plasmas diluted with argon or krypton

    Yusuke Kondo, Kenji Ishikawa, Toshio Hayashi, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Japan. J. Appl. Phys. 54 (4)     頁: 40303   2015年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.54.040303

  321. Plasma diagnostic approach for high rate nanocrystalline Si synthesis in RF/UHF hybrid plasmas using a PECVD process Plasma Sources

    Bibhuti Bhusan Sahu, Jeon G. Han, Kyung-Sik Shin, Kenji Ishikawa, Masaru Hori, and Yudai Miyawaki

    Plasma Sources Science and Technology 24 (2)     頁: 25019   2015年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/0963-0252/24/2/025019

  322. CF3+ fragmentation by electron impact ionization of perfluoro-propyl-vinyl-ethers, C5F10O, in gas phase

    Yusuke Kondo, Kenji Ishikawa, Toshio Hayashi, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Japan. J. Appl. Phys. 54 (4)     頁: 40301   2015年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.54.040301

  323. Deposition of Carbon Films on PMMA Using H-assisted Plasma CVD 査読有り

    X. Dong, R. Torigoe, K. Koga, G. Uchida, M. Shiratani, N. Itagaki, Y. Setsuhara, K. Takenaka, M. Sekine, .M. Hori

    Jpn. Phys. Soc. Conf. Proc (APPC12)   1 巻   頁: 015072   2015年3月

     詳細を見る

    記述言語:英語  

    DOI: 10.7566/JPSCP.1.015072

  324. New line plasma source excited by 2.45 GHz microwave at atmospheric pressure

    Haruka Suzuki,Suguru Nakano,Hitoshi Itoh,Makoto Sekine,Masaru Hori,and Hirotaka Toyoda

    Applied Physics Express 8     2015年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/APX.8.036001

  325. Estimation of activation energies for decomposition reaction of polymer by hydrogen radicals generated using hot-wire catalyzer

    Akihiko Kono, Yu Arai, Yousuke Goto, Masashi Yamamoto, Seiji Takahashi, Tadaaki Yamagishi, Kenji Ishikawa, Masaru Hori, and Hideo Horibe

    Thin Solid Film 575     頁: pp. 17-20   2015年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.tsf.2014.10.020

  326. Study of the decomposition mechanism of PMMA-type polymers by hydrogen radicals

    Yu Arai, Yusuke Noto, Yousuke Goto, Seiji Takahashi, Masashi Yamamoto, Akihiko Kono, Tatsuo Ishijima, Kenji Ishikawa, Masaru Hori, and Hideo Horibe

    Thin Solid Film 575     頁: pp. 12-16   2015年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: https://doi.org/10.1016/j.tsf.2014.10.021

  327. Experimental evidence of warm electron populations in magnetron sputtering plasmas

    B. B. Sahu, Jeon G. Han, Hye R. Kim, Kenji Ishikawa, and Masaru Hori

    J. Appl. Phys. 117     頁: 33301   2015年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4905901

  328. Hydrofluorocarbon ion density of argon- or krypton-diluted CH2F2 plasmas: Generation of CH2F+ and CHF2+ by dissociative-ionization in charge exchange collisions

    Yusuke Kondo, Yudai Miyawaki, Kenji Ishikawa, Toshio Hayashi, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    J. Phys. D: Appl. Phys. 48 (4)     頁: 45202   2015年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/0022-3727/48/4/045202

  329. Plasma-activated medium suppresses choroidal neovascularization in mice: a new therapeutic concept for age-related macular degeneration

    F. Ye, H. Kaneko, Y. Nagasaka, R. Ijima, K. Nakamura, M. Nagaya, K. Takayama, H. Kajiyama, T. Senga, H. Tanaka, M. Mizuno, F. Kikkawa, M. Hori, and H. Terasaki

    Sci Rep, vol. 5     頁: 7705   2015年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1038/srep07705

  330. Langmuir probe and optical emission spectroscopy studies in magnetron sputtering plasmas for Al-doped ZnO film deposition

    B. B. Sahu, Jeon G. Han, Masaru Hori and Keigo Takeda

    J. Appl. Phys. 117     頁: 23301   2015年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4905541

  331. Electrical,Optical and Structural Properties of AZO Thin Film Deposited Using Facing Targets Magnetron Sputtering System with Inductively Coupled Plasma

    Hye R.Kim,Jay B.Kim,Yoon S.Choi,M.Hori,and Jeon G.Han

    American Scientific Publishers 7     頁: p.p. 107-112   2015年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1166/sam.2015.2088

  332. Carbon nanowall scaffold to control culturing of cervical cancer cells 査読有り

    Hitoshi Watanabe, Hiroki Kondo, Yukihiro Okamoto, Mineo Hiramatsu, Makoto Sekine, Yoshinobu Baba, and Masaru Hori

    Applied Physics Letters   105 巻 ( 24 )   2014年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4902054

  333. Plasma Medical Science for Cancer Therapy: Toward Cancer Therapy Using Nonthermal Atmospheric Pressure Plasma

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Kae Nakamura, Fumi Utsumi,Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Kae Nakamura, Fumi Utsumi, Shoichi Maruyama, Fumitaka Kikkawa, and Masaru Hori

    IEEE TRANSACTIONS ON PLASMA SCIENCE   42 巻 ( 12 ) 頁: 3760-3764   2014年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  334. Plasma-activated medium induces A549 cell injury via a spiral apoptotic cascade involving the mitochondrial-nuclear network

    Tetsuo Adachi, Hiromasa Tanaka, Saho Nonomura, Hirokazu Hara, Shin-ichi Kondo, Masaru Hori

    Free Radical Biology and Medicine   79 巻   頁: p.p. 28-44   2014年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.freeradbiomed.2014.11.014

  335. Quantitative clarification of inactivation mechanism of Penicillium digitatum spores treated with neutral oxygen radicals

    Hiroshi Hashizume,Takayuki Ohta,Keigo Takeda,Kenji Ishikawa,Masaru Hori,and Masafumi Ito

    Japanese Journal of Applied Physics 54,01ag05(2015)     2014年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.54.01AG05

  336. Robust characteristics of semiconductor-substrate temperature measurement by autocorrelation-type frequency-domain low-coherence interferometry

    T. Tsutsumi, T. Ohta, K. Ishikawa, K. Takeda, H. Kondo, M. Sekine, M. Hori, and M. Ito

    Jpn. J. Appl. Phys.   54 巻 ( 1S )   2014年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.54.01AB03

  337. アルミニウム合金のプラズマ窒化処理と処理物 査読有り

    高島成剛、浅見悦男、早川雅浩、山川晃司、山本博之、田昭治、和田仁志、堀 勝

    アルトピア   44 巻 ( 10 ) 頁: 18-23   2014年10月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  338. Localized plasma irradiation through a micronozzle for individual cell treatment 査読有り

    Ryutaro Shimane, Shinya Kumagai, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Masaru Hori and Minoru Sasaki

    Japanese Journal of Applied Physics   53 巻 ( 11S )   2014年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.53.11RB03

  339. Effectiveness of plasma diagnostic in ultra high frequency and radio frequency hybrid plasmas for synthesis of silicon nitride film at low temperature

    B.B. Sahu, Kyung Sik Shin, Su B. Jin, Jeon G.Han, K.Ishikawa, M. Hori

    Journal of Applied Physics   116 巻   2014年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4896833

  340. Recovery of atom density drift caused by change in reactor wall conditions by real-time autonomous control 査読有り

    Toshiya Suzuki, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine and Masaru Hori

    Journal of Physics D: Applied Physics   47 巻 ( 42 )   2014年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/0022-3727/47/42/422002

  341. Direct exposure of non-equilibrium atmospheric pressure plasma confers simultaneous oxidative and ultraviolet modifications in biomoleculels 査読有り

    Yasumasa Okazaki, Yue Wang, Hiromasa Tanaka, Masaaki Mizuno, Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Koji Uchida, Fumitaka Kikkawa, Masaru Hori and Shinya Toyokuni

    Journal of Clinical Biochemistry and Nutrition (JCBN)   55 巻 ( 3 ) 頁: 207-215   2014年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3164/jcbn.14-40

  342. Non-thermal atmospheric pressure plasmas as a novel candidate for preventive therapy of melanoma. 査読有り

    Omata Y, Iida M, Yajima I, Takeda K, Ohgami N, Hori M, Kato M

    Environmental health and preventive medicine   19 巻 ( 5 ) 頁: 367-9   2014年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1007/s12199-014-0399-1.

  343. An atmospheric pressure inductively coupled microplasma source of vacuum ultraviolet light

    Ryoto Sato, Daisuke Yasumatsu, Shinya Kumagai, Keigo Takeda, Masaru Hori, Minoru Sasaki

    Sensors and Actuators A: Physical   215 巻   頁: 144-149   2014年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  344. Effectiveness of plasma treatment on gastric cancer cells 査読有り

    Koji Torii, Suguru Yamada, Kae Nakamura, Hiromasa Tanaka, Hiroaki Kajiyama, Kuniaki Tanahashi, Naoki Iwata, Mitsuro Kanda, Daisuke Kobayashi, Chie Tanaka, Tsutomu Fujii, Goro Nakayama,Masahiko Koike, Hiroyuki Sugimoto, Shuji Nomoto, Atsushi Natsume, Michitaka Fujiwara,Masaaki Mizuno, Masaru Hori, Hideyuki Saya, Yasuhiro Kodera

    Gastric Cancer     2014年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1007/s10120-014-0395-6

  345. Perspective of strategic plasma therapy in patients with epithelial ovarian cancer: A short review of plasma in cancer treatment

    Hiroaki Kajiyama, Kae Nakamura, Fumi Utsumi, Hiromasa Tanaka, Masaru Hori and Fumitaka Kikkawa

    Jpn. J. Appl. Phys. 53 (5S1) (Apr 14, ,2014) 05FA05     2014年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.53.05FA05

  346. Effects of Different Chamber-Wall Conditions on Temporal Changes of H and N Radicals Densities in H2 and N2 Mixture Gas Plasma

    Toshiya Suzuki, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    Jpn. J. Appl. Phys. 53 (5) (Apr 7, 2014) 050301     2014年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.53.050301

  347. Temporal changes in absolute atom densities in H2 and N2 mixture gas plasmas by surface modifications of reactor wall

    Toshiya Suzuki, KeigoTakeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    Japanese Journal of Applied Physics   ( 53 )   2014年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.53.050301

  348. Epitaxial growth of GaN by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) in the downflow of a very high frequency (VHF) N2/H2 excited plasma-effect of TMG flow rate and VHF power

    Yi Lu, Hiroki Kondo, Kenji Ishikawa, Osamu Oda, Keigo Takeda, Makoto Sekine, Hiroshi Amano, and Masaru Hori

    Journal of Crystal Growth 391 (Jan 23; Apr 1, 2014)     頁: 97-103   2014年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2014.01.014

  349. Hierarchical regrowth of flowerlike nanographene sheets on oxygen-plasma-treated carbon nanowalls

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori

    Appl. Phys. Express 7 (4) (Mar 25, 2014) 046201     2014年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/APEX.7.046201

  350. Effects of nitrogen plasma post-treatment on electrical conduction of carbon nanowalls

    Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

    Jpn. J. Appl. Phys. 53 (4) (Mar 20, 2014) 040307     2014年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.53.040307

  351. Nanostructure modification to carbon nanowall surface employing hydrogen peroxide solution

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori

    Jpn. J. Appl. Phys. 53 (4) (Mar 7, 2014) 040305     頁: 1-4   2014年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.53.040305

  352. Spatiotemporal behaviors of absolute density of atomic oxygen in a planar type of Ar/O2 non-equilibrium atmospheric pressure plasma jet

    Fengdong Jia, Kenji Ishikawa, Keigo Takeda, Hiroyuki Kano, Jagath Kularatne, Hiroki Kondo, Makoto Sekine and Masaru Hori

    Plasma Source Sci. Technol. 23 (Mar. 3, 2014) 025004     2014年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/0963-0252/23/2/025004

  353. Density Control of Carbon Nanowalls Grown by CH4/H2 plasma and Their Electrical Properties

    Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

    Carbon 68 (Nov 15, 2013; Mar, 2014)     頁: 380-388   2014年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.carbon.2013.11.014

  354. 未来を創るプラズマ ─ ものづくりから医療まで

    堀 勝

    応用物理   83 巻 ( 2 ) 頁: 132-135   2014年

     詳細を見る

    記述言語:日本語  

  355. Chemical reactions during plasma-enhanced atomic layer deposition of SiO2 films employing aminosilane and O2/Ar plasma at 50 °C

    Yi Lu, Akiko Kobayashi, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    Jpn. J. Appl. Phys. 53 (1) (Dec 30, 2013; 2014) 010305     頁: 1-4   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.53.010305

  356. Chemical reactions during plasma-enhanced atomic layer deposition of SiO2 films employing aminosilane and O2/Ar plasma at 50 °C

    Yi Lu, Akiko Kobayashi, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    Jpn. J. Appl. Phys.     2013年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: DOI: 10.7567/JJAP.53.010305

  357. A Development of Atmospheric Pressure Plasma Equipment and Its Applications for Treatment of Ag Films Formed from Nano-Particle Ink

    H. Itoh, Y. Kubota, Y. Kashiwagi, K. Takeda, K. Ishikawa, H. Kondo, M.Sekine, H. Toyoda, and M. Hori

    Journal of Physics: Conference Series   441 巻   2013年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: doi:10.1088/1742-6596/441/1/012019

  358. Effect of Indirect Nonequilibrium Atmospheric Pressure Plasma on Anti-Proliferative Activity against Chronic Chemo-Resistant Ovarian Cancer Cells In Vitro and In Vivo

    Fumi Utsumi, Hiroaki Kajiyama, Kae Nakamura, Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Hiroki Kondo, Hiroyuki Kano, Masaru Hori, and Fumitaka Kikkawa

    PLoS ONE 8 (12) (Dec. 18, 2013) e81576     2013年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1371/journal.pone.0081576

  359. Oxidation mechanism of Penicillium digitatum spores through neutral oxygen radicals

    Hiroshi Hashizume, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, Masafumi Ito

    Jpn. J. Appl. Phys. 53 (1) (Dec 16 2013; 2014) 010209     頁: 1-6   2013年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.53.010209

  360. Investigations on Plasma-Biomolecules Interactions as Fundamental Process for Plasma Medicine

    K. Takenaka, K. Cho, Y. Setsuhara, M. Shiratani, M. Sekine, and M. Hori

    Journal of Physics: Conference Series   441 巻   2013年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: doi:10.1088/1742-6596/441/1/012001

  361. Scale-up approach for industrial plasma enchanced chemical vapor deposition processes and Siox thin film technology

    Su B. Jin, Joon S Lee, Yoon S. Choi, In S Choi, Jeon G.Han, M. Hori

    Thin Solid Films   547 巻   頁: 193-197   2013年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  362. Perspective of strategic plasma therapy for prognostic improvement of patients with ovarian cancer

    Hiroaki Kajiyama, Fumi Utsumi, Kae Nakamura, Hiromasa Tanaka, Masaru Hori and Fumitaka Kikkawa

    MRS Proc 1598     2013年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1557/opl.2013.1188

  363. Plasma Interactions with Biological Molecules in Aqueous Solution

    Yuichi Setsuhara, Atsushi Miyazaki, Kosuke Takenaka, and Masaru Hori

    MRS Proc 1598     2013年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1557/opl.2013.1155

  364. High H Radical Density Produced by 1-m-length Atmospheric Pressure Microwave Plasma System

    Hitoshi Itoh, Yusuke Kubota, Yusaku Kashiwagi, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Hirotaka Toyoda, and Masaru Hori

    Jpn. J. Appl. Phys. 52 (11) (Nov 20, 2013) 11NE01     2013年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.52.11NE01

  365. Study on Effects of Hydrogen Flow Rates on the Properties of ZnO Thin Film Deposited by Facing Targets Sputtering System

    Hye Ran Kim, L. Wen, Su Bong Jin, Yoon Seok Choi, In Sik Choi, M. Hori, and Jeon Geon Han

    Jpn. J. Appl. Phys. 52 (11) (Nov 20, 2013) 11NB01     2013年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.52.11NB01

  366. Field Emissions from Organic Nanorods Armored with Metal Nanoparticles

    Toshiya Suzuki, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Jpn. J. Appl. Phys. 52 (12) (Nov 15, 2013) 120203     頁: 1-4   2013年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.52.120203

  367. 卵巣癌治療におけるプラズマの応用をめざして

    梶山広明、中村香江、内海史、堀勝、古川史隆

    産婦人科の実際   62 巻 ( 11 ) 頁: 1550-1552   2013年11月

     詳細を見る

    記述言語:日本語  

  368. Effect of gas flow on transport of O (3Pj) atoms produced in ac power excited non-equilibrium atmospheric-pressure O2/Ar plasma jet

    Keigo Takeda, Masaki Kato, Fendong Jia, Kenji Ishikawa, Hiroyuki Kano, Makoto Sekine, and Masaru Hori

    J. Phys. D: Appl. Phys. 46 (Oct 30, 2013) 464006     2013年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/0022-3727/46/46/464006

  369. Inactivation effects of neutral reactive-oxygen species on Penicillium digitatum spores using non-equilibrium atmospheric-pressure oxygen radical source

    Hiroshi Hashizume, Takayuki Ohta, Jia Fengdong, Keigo Takeda,Kenji Ishikawa,Masaru Hori, and Masafumi Ito

    Appl. Phys. Lett. 103 (15) (Oct 11, 2013) 153708-1:4     2013年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4824892

  370. An Atmpospheric pressure inductively coupled microplasma source of vacuum ultraviolet light

    Ryoto Sato, Daisuke Yasumatsu, Shinya Kumagai Keigo Takeda, Masaru Hori, Minoru Sasaki

    Sensors and Actuators   215 巻   頁: 144-149   2013年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.sna.2013.09.018

  371. Formation of Nanoporous Features, Flat Surfaces, or Crystallographically Oriented Etched Profiles by the Si Chemical Dry Etching Using the Reaction of F2 + NO -> F + FNO at an Elevated Temperature

    Satomi Tajima, Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    J. Phys. Chem. C 117 (40)     頁: 20810–20818   2013年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1021/jp4084794

  372. Atomic Oxygen Etching from the Top Edges of Carbon Nanowalls

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori

    Appl. Phys. Express 6 (9) (Aug 27, 2013) 095201     2013年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/APEX.6.095201

  373. Mass density control of carbon films deposited by H-assisted plasma CVD method

    Surf. Coat Technol. 228 (S1)

    Tatsuya Urakawa, Hidehumi Matsuzaki, Daisuke Yamashita, Giichiro Uchida, Kazunori Koga, Masaharu Shiratani, Yuichi Setsuhara, Makoto Sekine, Masaru Hori     頁: S15-S18   2013年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.surfcoat.2012.10.002

  374. A novel fast and flexible technique of radical kinetic behaviour investigation based on pallet for plasma evaluation structure and numerical analysis

    Arkadiusz Malinowski, Takuya Takeuchi, Shang Chen, Toshiya Suzuki, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Lidia Lukasiak, and Andrzej Jakubowski

    J. Phys. D: Appl. Phys. 46 (26) (Jul 3, 2013) 265201     2013年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/0022-3727/46/26/265201

  375. Improving the Gas Barrier Properties of a-SiOxCyNz Film at Low Temperature using High Energy and Suitable Nitrogen Flow Rate

    Su B. Jin, Joon S.Lee, Yoon S.Choi, In S.Choi, Jeon G.Han, M.Hori

    Current Applied Physics   13 巻   頁: 885-889   2013年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  376. A Development of Atmospheric Pressure Plasma Equipment and Its Applications for Treatment of Ag Films Formed from Nano-Particle Ink

    H Itoh,Y Kubota, Y Kashiwagi, K Takeda, K Ishikawa, H Kondo, M Sekine, H Toyoda, M Hori

    Journal of Physics: Conference Series   441 巻   2013年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1742-6596/441/1/012019

  377. Investigations on Plasma-Biomolecules Interactions as Fundamental Process for Plasma Medicine

    Kosuke Takenaka, Ken Cho, Yuichi Setsuhara, Masaharu Shiratani, Makoto Sekine, and Masaru Hori

    J. Phys.: Conf. Ser.   441 巻 ( 1 )   2013年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1742-6596/441/1/012001

  378. Surface morphology on high-temperature plasma-etched gallium nitride 査読有り

    Ryosuke Kometani, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Trans. Mater. Res. Soc. Jpn.   38 巻 ( 2 ) 頁: 325-328   2013年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.14723/tmrsj.38.325

  379. Photoluminescence Study of Plasma-Induced Damage of GaInN Single Quantum Well

    Shouichiro Izumi, Masaki Minami, Michiru Kamada, Tetsuya Tatsumi, Atsushi A. Yamaguchi, Kenji Ishikawa, Masaru Hori, and Shigetaka Tomiya

    Jpn. J. Appl. Phys. 52 (8) (May 31, 2013) 08JL09     頁: 1-4   2013年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.52.08JL09

  380. Dissociations of C5F8 and C5HF7 in Etching Plasma

    Hayashi Toshio, Ishikawa Kenji, Sekine Makoto, Hori Masaru

    Japanese Journal of Applied Physics   52 巻 ( 5 )   2013年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.52.05EB02

  381. Wavelength dependence of photon-induced interface defects in hydrogenated silicon nitride/Si structure during plasma etching processes

    Masanaga Fukasawa, Hiroyasu Matsugai, Takayoshi Honda, Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Kazunori Nagahata, Fumikatsu Uesawa, Masaru Hori, Tetsuya Tatsumi

    Jpn. J. Appl. Phys. 52 (5) (May 20, 2013) 05ED01     頁: 1-4   2013年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.52.05ED01

  382. Surface Chemical Modification of Carbon Nanowalls for Wide-Range Control of Surface Wettability

    H. Watanabe, H. Kondo, M. Hiramatsu, M. Sekine, S. Kumar, K. Ostrikov, M. Hori

    Plasma Process. Polym. 10 (7) (May 20, 2013)     頁: 582-592   2013年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/ppap.201200141

  383. A High-Temperature Nitrogen Plasma Etching for Preserving Smooth and Stoichiometric GaN Surface

    Ryosuke Kometani, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Appl. Phys. Express 6 (5) (April 25, 2013) 056201     頁: 1-4   2013年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/APEX.6.056201

  384. Inactivation Process of Penicillium digitatum Spores Treated with Non-equilibrium Atmospheric Pressure Plasma

    H. Hashizume, T. Ohta, T. Mori, S. Iseki, M. Hori, and M. Ito

    Jpn. J. Appl. Phys. 52 (5) (Apr 15, 2013) 056202     頁: 1-4   2013年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.52.056202

  385. Supercritical Fluid Deposition of High-Density Nanoparticles of Photo-Catalytic TiO2 on Carbon Nanowalls

    Takeyoshi Horibe, Hiroki Kondo, Kenji Ishikawa, Hiroyuki Kano, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

    Appl. Phys. Express 6 (4) (2013) 045103     頁: 1-4   2013年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/APEX.6.045103

  386. Study on change of electrical properties of ZnO thin films deposited in low temperature facing targets magnetron sputtering (FTS) system with H2 and O2 flow rate changes

    Hye R. Kim, Su B. Jin, Long Wen, Yoon S. Choi, In S. Choi, M. Hori, and Jeon G. Han

    Journal of Ceramic Processing Research   14 巻 ( 2 ) 頁: pp.188-193   2013年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  387. Graphene Nanowalls

    Mineo Hiramatsu, Hiroki Kondo and Masaru Hori

    Chapter 9 in Book "New Progress on Graphene Research"     2013年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.5772/3358

  388. Plasma interactions with aminoacid (l-alanine) as a basis of fundamental processes in plasma medicine

    Yuichi Setsuhara, Ken Cho, Masaharu Shiratani, Makoto Sekine, Masaru Hori

    Current Applied Physics   13 巻   頁: S59-S63   2013年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  389. Optical-Fiber-Type Broadband Cavity Ring-Down Spectroscopy Using Wavelength-Tunable Ultrashort Pulsed Light

    T. Hiraoka, T. Ohta, M. Ito, N. Nishizawa, M. Hori

    Jpn. J. Appl. Phys.   ( 52 ) 頁: DOI: 10.7567/JJAP.52.040201   2013年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  390. Room-Temperature Si Etching in NO/F2 Gases and the Investigation of Surface Reaction Mechanisms

    S.Tajima, T. Hayashi , K. Ishikawa , M. Sekine , M. Hori

    J. Phys. Chem. C   ( 117 ) 頁: pp 5118-5125, DOI: 10.1021/jp3119132   2013年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  391. Etching-Enhancement Followed by Nitridation on Low-k SiOCH Film in Ar/C5F10O Plasma

    Y. Miyawaki, E. Shibata, Y. Kondo, K. Takeda, H. Kondo, K. Ishikawa, H. Okamoto, M. Sekine, M. Hori

    Jpn. J. Appl. Phys.   ( 52 ) 頁: P.020204:1-4   2013年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  392. Plasma-activated medium selectively kills glioblastoma brain tumor cells by downregulating a survival signaling molecule, AKT kinase

    H. Tanaka, M. Mizuno, K. Ishikawa, K. Nakamura, H. Kajiyama, H. Kano, F. Kikkawa, M. Hori

    Plasma Medicine   3 巻   頁: 265-277   2013年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  393. Surface roughness development on ArF-photoresist studied by beam-irradiation of CF4 plasma

    T. Takeuchi, K. Ishikawa, Y. Setsuhara, K. Takeda, H. Kondo, M. Sekine, M. Hori

    J. Phys. D: Appl. Phys.   ( 46 ) 頁: P. 102001:1-5.   2013年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  394. Temperature Measurement of Si Substrate Using Optical-Fiber-Type Low-Coherence Interferometry Employing Supercontinuum Light

    Takehiro Hiraoka, Takayuki Ohta, Tetsunori Kageyama, Masafumi Ito, Norihiko Nishizawa, Masaru Hori

    Jpn. J. Appl. Phys.   ( 52 ) 頁: 026602-1:6   2013年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  395. Fabrication of Graphene-Based Films Using Microwave-Plasma-Enhanced Chemical Vapor Deposition

    M. Hiramatsu, M. Naito, H. Kondo, and M. Hori

    Jpn. J. Appl. Phys. 52 (1) 01AK04     2013年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.52.01AK04

  396. Nucleation Control of Carbon Nanowalls Using Inductively Coupled Plasma-Enhanced Chemical Vapor Deposition

    M. Hiramatsu, Y. Nihashi, H. Kondo, and M. Hori

    Jpn. J. Appl. Phys. 52 (1) (Jan 21, 2013) 01AK05     2013年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.52.01AK05

  397. Impact of hydrogen radical-injection plasma on fabrication of microcrystalline silicon thin film for solar cells

    Yusuke Abe, Sho Kawashima, Atsushi Fukushima, Ya Lu, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    J. Appl. Phys.   113 巻   頁: DOI: 10.1063/1.4778608 P.033304:1-6   2013年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  398. Development of High-Density Nitrogen Radical Source for Low Mosaicity and High Rate Growth of InGaN Films in Molecular Beam Epitaxy

    Shang Chen, Yohjiro Kawai, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Hiroyuki Kano, Makoto Sekine, Hiroshi Amano, Masaru Hori

    Jpn.J.Appl.Phys   ( 52 ) 頁: DOI: 10.7567/JJAP.52.021001   2013年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  399. Highly selective etching of SiO2 over Si3N4 and Si in capacitivlly coupled plasma employing C5HF7 gas

    Y. Miyawaki, Y. Kondo, M. Sekine, K. Ishikawa,T. Hayashi, K. Takeda, H. Kondo, M. Hori

    Jpn. J. Appl. Phys.   ( 52 ) 頁: 016201:1-9   2013年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  400. H2/N2 plasma etching rate of carbon films deposited by H-assisted plasma CVD

    Tatsuya Urakawa, Ryuhei Torigoe, Hidefumi Matsuzaki, Daisuke Yamashita, Giichiro Uchida, Kazunori Koga, Masaharu Shiratani, Yuichi Setsuhara, Keigo Takeda, Makoto Sekine, Masaru Hori

    Jpn. J. Appl. Phys.   ( 52 ) 頁: 1,01AB01   2013年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  401. Development of the sputtering yields of ArF photoresist after the onset of argon ion bombardment

    T. Takeuchi, C. Corbella, S. Grosse-Kreul, A. von Keudell, K. Ishikawa, H. Kondo, K. Takeda, M. Sekine, M. Hori

    J. Appl. Phys.   113 巻   頁: 014306:1-6.   2013年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  402. Surface loss probability of H radicals on silicon thin films in SiH4/H2 plasma

    Y. Abe, A. Fukushima, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, and M.Hori

    J. Appl. Phys.   113 巻 ( 1 )   2013年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: http://dx.doi.org/10.1063/1.4773104

  403. Rapid measurement of substrate temperatures by frequency-domain low-coherence interferometry

    Takayoshi Tsutsumi, Takayuki Ohta, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori, and Masafumi Ito

    Appl. Phys. Lett. 103 (18) (2013) 182102     2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4827426

  404. Study on change of electrical properties of ZnO thin films deposited in low temperature facing targets magnetron sputtering (FTS) system with H2 and O2 flow rate changes

    Hye R. Kim, Su B. Jin, Long Wen, Yoon S. Choi, In S. Choi, M. Hori, and Jeon G. Han

    Journal of Ceramic Processing Research 14 (2)     頁: 188-193   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  405. プラズマ異方性化学気相堆積法による硬質カーボン薄膜の低温製膜

    古閑 一憲、白谷 正治、節原 裕一、関根 誠、堀 勝

    化学工業/化学工業社   63 巻 ( 12 ) 頁: 908-912   2013年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  406. Highly selective etching of SiO2 over Si3N4 and Si in capacitivlly coupled plasma employing C5HF7 gas

    Y. Miyawaki, Y. Kondo, M. Sekine, K. Ishikawa, T. Hayashi, K. Takeda, H. Kondo, M. Hori

    Jpn. J. Appl. Phys.   ( 52 ) 頁: 016201:1-9   2012年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  407. プラズマ異方性化学気相堆積法による硬質カーボン薄膜の低温製膜

    古閑 一憲、 白谷 正治、 節原 裕一、 関根 誠、 堀 勝

    月刊 化学工業/化学工業社   63 巻 ( 12 ) 頁: 908-912   2012年12月

     詳細を見る

    記述言語:日本語  

  408. 社会イノベーションを実現する低温プラズマ科学技術

    堀 勝

      32 巻   頁: 17   2012年12月

     詳細を見る

    記述言語:日本語  

  409. MINIMIZING PLASMA IRRADIATION AREA BY MICRO-NOZZLE DEVICE TOWARDS SINGLE CELL TREATMENT

    Ryutaro Shimane, Shinya Kumagai, Masaru Hori, Minoru Sasaki

    Micro&Nano letters   7 巻   頁: 1210-1212   2012年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1049/mln.2012.0555

  410. Investigation of chemical bonding states at interface of Zn/organic materials for analysis of early stage of inorganic/organic hybrid multi-layer formation

    Ken Cho, Kosuke Takenaka, Yuichi Setsuhara, Masaharu Shiratani, Makoto Sekine, Masaru Hori

    Thin Solid Films   523 巻   頁: 15-19   2012年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  411. Properties of Indium-Zinc-Oxide Films Synthesized by Radio Frequency Magnetron Sputtering Based on Gas Phase Monitoring Using Multi-Micro Hollow Cathode Lamp

    M. Inoue, T. Ohta, N. Takota, S. Tsuchitani, M. Ito, S. Takashima, K. Yamakawa, H. Kano, K. Takeda, M. Hori

    Jpn. J. Appl. Phys.   ( 51 ) 頁: DOI: 10.1143/JJAP.51.116202   2012年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  412. Individual Roles of Atoms and Ions during Hydrogen Plasma Passivivation of Surface Defects on GaN Created by Plasma Etching

    Shang Chen, Kenji Ishikawa, Yi Lu, Ryosuke Kometani, Keigo Takeda, Hiroki Kondo, Yutaka Tokuda, Takashi Egawa, Hiroshi Amano, Makoto Sekine, Masaru Hori

    Jpn.J.Appl.Phys   51 巻   頁: DOI: 10.1143/JJAP.51.111002   2012年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  413. Critical flux ratio of hydrogen radical to film precursor in microcrystalline silicon deposition for solar cells

    Yusuke Abe, Atsushi Fukushima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    Appl. Phys. Volume 101, 172109     2012年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4764065

  414. As-grown deep-level defects in n-GaN grown by metal-organic chemical vapor deposition on freestanding GaN

    Shang Chen, Unhi Honda, Tatsunari Shibata, Toshiya Matsumura, Yutaka Tokuda, Kenji Ishikawa, Masaru Hori, Hiroyuki Ueda, Tsutomu Uesugi, Tetsu Kachi

    J. Appl. Phys.   112 巻 ( 5 ) 頁: 10.1063/1.4748170   2012年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  415. プラズマナノテクノロジーによる10mmサイズ、超高アスペクト比有機ナノピラーの室温近傍形成とフレキシブルディスプレイへの応用

    堀 勝、鈴木 俊哉、竹田 圭吾、近藤 博基、石川 健治、関根 誠

    名古屋大学ベンチャー・ビジネス・ラボラトリーニュース 研究紹介(1)   17 巻 ( 1 ) 頁: 2012, Summere, No.33   2012年8月

     詳細を見る

    記述言語:日本語  

  416. Line-Profiles and Translational Temperatures of Pb Atoms in Multi-Micro Hollow Cathode Lamp Measured by Diode Laser Absorption Spectroscopy

    M. Inoue, T. Ohta, N. Takota, S. Tsuchitani, M. Ito, S. Takashima, K. Yamakawa, H. Kano, K. Takeda, M. Hori

    Jpn. J. Appl. Phys.   ( 51 ) 頁: DOI: 10.1143/JJAP.51.086301   2012年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  417. Real-time in situ electron spin resonance measurements on fungal spores of Penicillium digitatum during exposure of oxygen plasmas

    Kenji Ishikawa, Hiroko Mizuno, Hiromasa Tanaka, Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Sachiko Iseki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Appl. Phys. Lett.   101 巻 ( 1 )   2012年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4733387

  418. An Autonomously Controllable Plasma Etching System Based on Radical Monitoring

    Shunji Takahashi, Ryota Kawauchi, Seigo Takashima, Shoji Den, Toshiro Katagiri, Hiroyuki Kano, Takayuki Ohta, Masafumi Ito, Tatsuya Suzuki, Keigo Takeda, and Masaru Hori

    Jpn. J. Appl. Phys. 51 (2012) 076502     2012年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.51.076502

  419. The 2012 Plasma Roadmap

    Seiji Samukawa, Masaru Hori, Shahid Rauf, Kunihide Tachibana, Peter Bruggeman, Gerrit Kroesen, J Christopher Whitehead, Anthony B Murphy, Alexander F Gutsol, Svetlana Starikovskaia, Uwe Kortshagen, Jean-Pierre Boeuf, Timothy J Sommerer, Mark J Kushner, Uwe Czarnetzki and Nigel Mason

    J. Phys. D: Appl. Phys. 45 253001     2012年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/0022-3727/45/25/253001

  420. Photoluminescence recovery by in-situ exposure of plasma-damaged n-GaN to atomic hydrogen at room temperature

    S. Chen, Y. Lu, R. Kometani, K. Ishikawa, H. Kondo, Y. Tokuda, M. Sekine, and M. Hori

    AIP Advances 2, 022149     2012年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4729448

  421. タングステン加熱触媒体により生成した水素ラジカルによるレジスト用ベースポリマーの分解除去

    新井 祐, 渡邉 誠, 河野 昭彦, 山岸 忠明, 石川 健治, 堀 勝, 堀邊 英夫

    高分子論文集Vol. 69 (2012) No. 6     頁: p. 266-273   2012年6月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1295/koron.69.266

  422. トリフルオロメチルトリフルオロビニルエーテル混合ガスを用いた60Hz非平衡大気圧プラズマによるビア底残渣のドライデスミア

    岩田義幸, 坂本一, 竹田圭吾, 堀 勝

    表面技術, Vol.63, No.4,     頁: pp.247-251   2012年4月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  423. Ultrahigh-Speed Synthesis of Nanographene Using Alcohol In-Liquid Plasma

    T. Hagino, H. Kondo, K. Ishikawa, H. Kano, M. Sekine, M. Hori

    Applied Physics Express (2012).   Vol.5 巻   頁: pp. 035101-1:3   2012年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/APEX.5.035101

  424. Plasma Agriculture

    Masafumi Ito and Takayuki Ohta, Masaru Hori

    Journal of the Korean Physical Society, Vol. 60, No. 6,     2012年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: DOI: 10.3938/jkps.60.937

  425. Nitriding of Polymer by Low Energy Nitrogen Neutral Beam Source

    Yasuhiro Hara, Keigo Takeda, Koji Yamakawa, Shoji Den, Hirotaka Toyoda, Makoto Sekine, and Masaru Hori

    Appl. Phys. Express 5 (2012) 035801     2012年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: DOI: 10.1143/APEX.5.035801

  426. Quantum Chemical Investigation of Si Chemical Dry Etching by Flowing NF3 into N2 Downflow Plasma

    Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Akihiro Kono, and Koukou Suu

    Japanese Journal of Applied Physics 51 (2012) 026505     2012年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: DOI: 10.1143/JJAP.51.026505

  427. Pressure dependence of carbon film deposition using H-assisted plasma CVD

    X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, K. Takenaka, Y. Setsuhara, M. Sekine, M. Hori

    Proc. 8th Int. Conf. Reactive Plasmas     頁: 5P-PM-S08-P14   2012年2月

     詳細を見る

    記述言語:英語  

  428. Effects of Irradiation with Ions and Photons in Ultraviolet--Vacuum Ultraviolet Regions on Nano-Surface Properties of Polymers Exposed to Plasmas

    Ken Cho, Kosuke Takenaka, Yuichi Setsuhara, Masaharu Shiratani, Makoto Sekine, Masaru Hori

    Jpn. J. Appl. Phys.   ( 51 ) 頁: 01AJ02-01AJ02-5   2012年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  429. Floating Wire for Enhancing Ignition of Atmospheric Pressure Inductively Coupled Microplasma

    Shinya Kumagai, Hirotaka Asano, Masaru Hori, and Minoru Sasaki

    Jpn. J. Appl. Phys. 51 (2012) 01AA01     2012年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: DOI: 10.1143/JJAP.51.01AA01

  430. Multiple-Height Microstructure Fabricated by Deep Reactive Ion Etching and Selective Ashing of Resist Layer Combined with Ultraviolet Curing

    Shinya Kumagai, Akiyoshi Hikita, Takuya Iwamoto, Takashi Tomikawa, Masaru Hori, and Minoru Sasaki

    Jpn. J. Appl. Phys. 51 (2012) 01AB04     2012年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: DOI: 10.1143/JJAP.51.01AB04

  431. Vacuum Ultraviolet and Ultraviolet Radiation-Induced Effect of Hydrogenated Silicon Nitride Etching: Surface Reaction Enhancement and Damage Generation

    Masanaga Fukasawa, Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo,K. Ishikawa, M. Sekine, H. Matsugai, T. Honda, M. Minam, F. Uesawa, M. Hori, and T. Tatsumi

    Jpn. J. Appl. Phys.     2012年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.51.026201

  432. Control of Super Hydrophobic and Super Hydrophilic Surfaces of Carbon Nanowalls Using Atmospheric Pressure Plasma Treatments

    H. Watanabe, H. Kondo, M. Sekine, M. Hiramatsu ,M. Hori

    Jpn. J. Appl. Phys. 51 (2012) 01AJ07 (4 pages)     2012年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.51.01AJ07

  433. Chemical bond modification in porous SiOCH films by H2 and H2/N2 plasmas investigated by in situ infrared reflection absorption spectroscopy

    Hiroshi Yamamoto, Kohei Asano, Kenji Ishikawa, Makoto Sekine, Hisataka Hayashi, Itsuko Sakai, Tokuhisa Ohiwa, Keigo Takeda, Hiroki Kondo, and Masaru Hori

    Journal of Applied Physics 2011   110 巻 ( 123301 )   2011年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: doi:10.1063/1.3671547

  434. Quantum Chemical Investigation for Chemical Dry Etching of SiO2 by Flowing NF3 into H2 Downflow Plasma

    Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Akihiro Kono, and Koukou Suu

    Japanese Journal of Applied Physics (JJAP)     2011年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.51.016201

  435. Feature Profiles on Plasma Etch of Organic Films by a Temporal Control of Radical Densities and Real-Time Monitoring of Substrate Temperature

    Hiroshi Yamamoto, Hiroki Kuroda, Masafumi Ito1, Takayuki Ohta1, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Japanese Journal of Applied Physics (JJAP)     2011年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.51.016202

  436. Direct current superposed dual-frequency capacitively coupled plasmas in selective etching of SiOCH over SiC

    Tsuyoshi Yamaguchi, Tatsuya Komuro, Chishio Koshimizu, Seigo Takashima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    Journal of Physics D: Applied Physics     2011年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/0022-3727/45/2/025203

  437. Synchrotron x-ray analyses of crystalline and electronic structures of carbon nanowalls

    Hiroki Kondo, Wakana Takeuchi, Masaru Hori, Shigeru Kimura, Yukako Kato, Takayuki Muro, Toyohiko Kinoshita, Osami Sakata, Hiroo Tajiri, and Mineo Hiramatsu

    Appl. Phys. Lett   99 巻   2011年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.3659470

  438. フレキシブルデバイス創製に向けたプラズマ-ソフトマテリアル相互作用の解析

    趙研 節原 裕一 竹中 弘祐 白谷 正治 関根 誠 堀 勝

    高温学会誌   Vol.37 巻 ( No.6 ) 頁: pp.289-297   2011年11月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  439. Optical emission spectroscopy of Ar+H2+ C7H8 discharges for anisotropic plasma CVD of carbon

    T. Urakawa, H. Matsuzaki, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    Proc. Intern. Symp. on Dry Process   33 巻   頁: 123-124   2011年11月

     詳細を見る

    記述言語:英語  

  440. Investigation of plasma interactions with organic semiconductors for fabrication of flexible electronics devices

    K. Cho, K. Takenaka, Y. Setsuhara, M. Shiratani, M. Sekine, M. Hori

    Proc. Intern. Symp. on Dry Process   33 巻   頁: 69-70   2011年11月

     詳細を見る

    記述言語:英語  

  441. Effects of substrate bias voltage on plasma anisotropic CVD of carbon using H-assisted plasma CVD reactor

    T. Urakawa, H. Matsuzaki, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    Proc. Intern. Symp. on Dry Process   33 巻   頁: 24P007-O   2011年11月

     詳細を見る

    記述言語:英語  

  442. Self-organized carbon Mk formation on the top surface of fine trenches using a low temperature plasma anisotropic CVD for depositing fine organic structure

    K. Koga, T. Urakawa, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    Proc. Plasma Conf. 2011     頁: 23G03   2011年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  443. High-Performance Decomposition and Fixation of Dry Etching ExhaustPerfluoro-Compound Gases and Study of Their Mechanism

    Kei Hattori, Masaaki Osato, Takeshi Maeda, Katsuya Okumura, Makoto Sekine, and Masaru Hori

    Jpn. J. Appl. Phys   50 巻   2011年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.50.117301

  444. Inactivation of Penicillium digitatum Spores by a High-Density Ground-State Atomic Oxygen-Radical Source Employing an Atmospheric-Pressure Plasma

    S. Iseki, H. Hashizume, F. Jia, K. Takeda, K. Ishikawa, T. Ohta, M. Ito, and M. Hori

    Appl. Phys. Express   Vol. 4 巻   2011年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/APEX.4.116201

  445. Analysis of GaN Damage Induced by Cl2/SiCl4/Ar Plasma

    Masaki Minami Shigetaka Tomiya, Kenji Ishikawa, Ryosuke Matsumoto, Shang Chen, Masanaga Fukasawa,Fumikatsu Uesawa, Makoto Sekine, Masaru Hori, and Tetsuya Tatsumi

    Japanese Journal of Applied Physics   50 巻   頁: 08JE03   2011年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.50.08JE03

  446. Impacts of CF+,CF2+,CF3+,andAr Ion Beam Bombardment with Energies of 100 and 400 eV on Surface Modification of Photoresist

    TakuyaTakeuchi,ShinpeiAmasaki,HirokiKondo,KenjiIshikawa,HirotakaToyoda,MakotoSekine,Song-Yun Kang,IkuoSawada,MasaruHori

    JapaneseJournalOfAppliedPhysics   50 巻 ( 8 )   2011年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.50.08JE05

  447. Novel Atmospheric Pressure Inductively Coupled Micro Plasma Source Using Floating Wire Electrode

    Shinya Kumagai, Hiroki Matsuyama, Yoshihiro Yokoyama, Masaru Hori, and Minoru Sasaki

    Jpn. J. Appl. Phys. 50 (2011) 08JA02     2011年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: DOI: 10.1143/JJAP.50.08JA02

  448. Reactive Ion Etching of Carbon Nanowalls

    Shingo Kondo, Hiroki Kondo, Yudai Miyawaki, Hajime Sasaki,Hiroyuki Kano,Mineo Hiramatsu,Masaru Hori

    Japanese Journal of Applied Physics   50 巻 ( 7 )   2011年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.50.075101

  449. Achieving high-growth-rate in GaN homoepitaxy using high-density nitrogen radical source

    Yohjiro Kawai1,*, Shang Chen1, Yoshio Honda1,2, Masahito Yamaguchi1,2, Hiroshi Amano1,2, Hiroki Kondo1, Mineo Hiramatsu3, Hiroyuki Kano4, Koji Yamakawa5, Shoji Den5, Masaru Hori1

    physica status solidi (c)   8 巻 ( 7--8 ) 頁: 2089-2091   2011年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssc.201000969

  450. Synergistic Formation of Radicals by Irradiation with Both Vacuum Ultraviolet and Atomic Hydrogen :A Real-time In Situ Electron Spin Resonance Study

    Kenji Ishikwa,Naoya Sumi,Akihiko Kono Hideo Horibe,Keigo Takeda,Hiroki Kondo,Makoto Sekine,and Masaru Hori

    Physical Chemistry   2 巻 ( 11 ) 頁: 1278-1281   2011年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  451. Spatial Distributions of Electron, CF, and CF2 Radical Densities and Gas Temperature in DC-Superposed Dual-Frequency Capacitively Coupled Plasma Etch Reactor Employing Cyclic-C4F8/N2/Ar Gas

    Tsuyoshi Yamaguchi, Tetsuya Kimura, Chishio Koshimizu, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    Jpn. J. Appl. Phys   50 巻   頁: 056101-1:6   2011年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  452. H2/N2 plasma damage on porous dielectric SiOCH film evaluated by in situ film characterization and plasma diagnostics

    Hiroshi Yamamoto, Keigo Takeda, Kenji Ishikawa, Masafumi Ito, Makoto Sekine, Masaru Hori, Takeshi Kaminatsui, Hisataka Hayashi, Itsuko Sakai, and Tokuhisa Ohiwa

    J. Appl. Phys.   109 巻   頁: pp.084112-1-8   2011年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.3562161

  453. O2/N2ガスを用いた60Hz非平衡大気圧プラズマによるソルダーレジストとドライフィルムの表面改質

    岩田義幸,坂本一,乾裕俊,堀勝

    表面技術   62 巻 ( 6 ) 頁: 311-316   2011年4月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  454. Radical-controlled plasma processing for nanofabrication

    Hori Masaru Hori, Hiroki Kondo and Mineo Hiramatsu

    J. Phys. D: Appl. Phys.     頁: 44, 174027   2011年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  455. Spontaneous formation of highly regular superlattice structure in InGaN epilayers grown by molecular beam epitaxy

    Z. H. Wu, Y. Kawai, Y.-Y. Fang, C. Q. Chen, H. Kondo, M. Hori, Y. Honda, M. Yamaguchi, and H. Amano

    Appl. Phys. Lett.     頁: 98, 141905   2011年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  456. Formation and mechanism of ultrahigh density platinum nanoparticles on vertically grown graphene sheets by metal-organic chemical supercritical fluid deposition

    Kota Mase, Hiroki Kondo, Shingo Kondo, Masaru Hori, Mineo Hiramatsu, and Hiroyuki Kano

    APPLIED PHYSICS LETTERSGRAPHENE, CARBON NANOTUBES, C60, AND RELATED STUDIES     頁: 98, 193108   2011年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  457. Dissociation Channels of c-C4F8 to CF2 Radical in Reactive Plasma

    Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Akihiro Kono, and Koukou Suu

    Japanese Journal of Applied Physics     頁: 50   2011年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  458. Electron field emission enhancement of carbon nanowalls by plasma surface nitridation

    Wakana Takeuchi, Hiroki Kondo, Tomomi Obayashi, Mineo Hiramatsu, and Masaru Hori

    Appl. Phys. Lett.     頁: 98, 123107   2011年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  459. Hydrophobic treatment of organics against glass employing nonequilibrium atmospheric pressure pulsed plasmas with a mixture of CF4 and N2 gases

    Hirotoshi Inui, Keigo Takeda, Kenji Ishikawa, Takuya Yara, Tsuyoshi Uehara, Makoto Sekine, and Masaru Hori

    Journal of Applied Physics   109 巻   頁: 013310   2011年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  460. Mechanism of plasma-induced damage to low-k SiOCH films during plasma ashing of organic resists

    Keigo Takeda, Yudai Miyawaki, Seigo Takashima, Masanaga Fukasawa, Keiji Oshima, Kazunori Nagahata, Tetsuya Tatsumi, and Masaru Hori

    J. Appl. Phys.   109 巻   頁: 033303   2011年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  461. Controlled Synthesis of Carbon Nanowalls for Carbon Channel Engineering

    Hiroki Kondo, Masaru Hori, Wakana Takeuchi, Mineo Hiramatsu

    Key Engineering Materials   470 巻   頁: 85-91   2011年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  462. Laser Scattering Diagnosis of a 60-Hz Non-Equilibrium Atmospheric Pressure Plasma Jet

    Fengdong Jia, Naoya Sumi, Kenji Ishikawa, Hiroyuki Kano, Hirotoshi Inui,Jagath Kularatne, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Akihiro Kono, and Masaru Hori

    Applied Physics   4 巻   頁: 026101   2011年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  463. Behaviors of Absolute Densities of N, H, and NH3 at Remote Region of High-Density Radical Source Employing N2?H2 Mixture Plasmas

    Shang Chen, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Makoto Sekine, Hiroyuki Kano, Shoji Den, and Masaru Hori

    Jpn. J. Appl. Phys.   50 巻   頁: 01AE03   2011年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  464. プラズマ技術とバイオアプリケーション -非均衡大気圧プラズマのミドリカビ殺菌への応用-

    伊藤昌文、堀勝

    化学工業   61 巻 ( 6 ) 頁: 44-48   2010年6月

     詳細を見る

    記述言語:日本語  

  465. 研究開発の効率を飛躍的に高めるコンビ名とリアルプラズマ解析装置

    白谷正治、節原裕一、関根誠、堀勝

    化学工業   60 巻 ( 5 ) 頁: 43-47   2010年5月

     詳細を見る

    記述言語:日本語  

  466. 巻頭言・プラズマ誘起表面科学の魅力

    堀勝

    表面科学   31 巻 ( 3 ) 頁: 123   2010年3月

     詳細を見る

    記述言語:日本語  

  467. プラズマCVD法を用いたカーボンナノウォールの形成

    平松美根男、堀勝

    表面科学   31 巻 ( 3 ) 頁: 144-149   2010年3月

     詳細を見る

    記述言語:日本語  

  468. 自律型プラズマナノエッチング製造装置の創製~装置が自己判断、自己制御、自己修正する究極のプラズマプロセスの実現~

    堀勝、竹田圭吾

      14 巻 ( 2 ) 頁: 4   2010年2月

     詳細を見る

    記述言語:日本語  

  469. Contrast Enhancement of Wavelength Selective Detection on Mid-InfraredUsing Localized Atmospheric Plasma Treatment

    K. Masuno, K. Tashiro, M. Hori, S. Kumagai, M. Sasaki

    Jpn J. Appl. Phys   49 巻 ( 4 )   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  470. Preparation of Platinum Nanoparticles on Carbon Nanostructures Using Metal-Organic Chemical Fluid Deposition Employing Supercritical Carbon Dioxide

    M. Hiramatsu, T. Machino, K. Mase, M. Hori, and H. Kano

    J. Nanosci. Nanotechnol   10 巻   頁: 4023-4029   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  471. Monolithic self-sustaining nanographene sheet grown using plasma-enhanced chemical vapor deposition

    Wakana Takeuchi, Keigo Takeda, Mineo Hiramatsu, Yutaka Tokuda, Hiroyuki Kano, Shigeru Kimura, Osami Sakata, Hiroo Tajiri, and Masaru Hori

    Phys. Status Solidi A 207   1 巻   頁: 139-143   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  472. Critical Factors for Nucleation and Vertical Growth of Two Dimensional Nano-Graphene Sheets Employing a Novel Ar+ Beam with Hydrogen and Fluorocarbon Radical Injection

    Shingo Kondo, Hiroki Kondo, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    Applied Physics Express   3 巻 ( 4 ) 頁: 045102   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  473. “High performance of compact radical monitoring probe in H2/N2 mixture plasma"

    Chang S. Moon, K. Takeda, S. Takashima, M. Sekine, Y. Setsuhara, M. Shiratani, and M. Hori

    J. Vac. Sci. Technol.   B 28 巻 ( L17 )   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  474. Preparation of Dispersed Platinum Nanoparticles on a Carbon Nanostructured Surface Using Supercritical Fluid Chemical Deposition Materials

    M. Hiramatsu, M. Hori

      3 巻 ( 3 ) 頁: 1559-1572   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  475. Rapid inactivation of Penicillium digitatum spores using high-density nonequilibrium atmospheric pressure plasma

    Sachiko Iseki, Takayuki Ohta, Akiyoshi Aomatsu, Masafumi Ito, Hiroyuki Kano, Yasuhiro Higashijima, and Masaru Hori

    Appl. Phys.   96 巻   頁: 153704   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  476. Surface loss probabilities of H and N radicals on different materials in afterglow plasmas employing H2 and N2 mixture gases

    Chang S. Moon, Keigo Takeda, Seigo Takashima, Makoto Sekine, Yuichi Setsuhara, Masaharu Shiratani, and Masaru Hori

    J. Appl. Phys   107 巻 ( 10 ) 頁: 103310   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  477. Etching characteristics of organic low-k films interpreted by internal parameters employing a combinatorial plasma process in an inductively coupled H2/N2 plasma

    Moon Chang Sung; Takeda Keigo; Sekine Makoto; Setsuhara Yuichi; Shiratani Masaharu; Hori Masaru

    J. Appl. Phys   107 巻 ( 11 ) 頁: 113310 - 113310-8   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  478. Optical Properties of Evolutionary Grown Layers of Carbon Nanowalls Analyzed by Spectroscopic Ellipsometry

    Shinji Kawai, Shingo Kondo, Wakana Takeuchi, Hiroki Kondo, Mineo Hiramatsu, Masaru Hori

    Japanese Journal of Applied Physics   49 巻   頁: 060220   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  479. Surface Loss Probability of Nitrogen Atom on Stainless-Steel in N2 Plasma Afterglow

    S. Takashima, K. Takeda, S. Kato, M. Hiramatsu, and M. Hori

    Jpn J. Appl. Phys.   49 巻   頁: 076101-1 - 4   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  480. Dependence of Surface-Loss Probability of Hydrogen Atom on Pressures in Very High Frequency Parallel-Plate Capacitively Coupled Plasma

    Yusuke Abe, Sho Kawashima, Keigo Takeda, Makoto Sekine, and Masaru Hori

    Applied Physics Express   13 巻 ( 10 ) 頁: 106001   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  481. Modeling considerations and performance estimation of Single Carbon Nano Wall based Field Effect Transistor by 3D TCAD simulation study

    Malinowski A., Hori M., Sekine M., Takeuchi W., ?ukasiak L., Jakubowski A., Tomaszewski D.

    Journal Transactions of the Materials Research Society of Japan   35 巻 ( 3 ) 頁: 669-674   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  482. Measurement of Hydrogen Radical Density and its Impact on Reduction of Copper Oxide in Atmospheric-Pressure Remote Plasma Using H2 and Ar Mixture Gases

    Hirotoshi Inui, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Sekine Makoto, Hiroyuki Kano, Naofumi Yoshida, and Masaru Hori

    Appl. Phys. Express   3 巻   頁: 126101   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  483. Initial growth process of carbon nanowalls synthesized by radical injection plasma-enhanced chemical vapor deposition

    S. Kondo, S. Kawai, W. Takeuchi, K. Yamakawa, S. Den, H. Kano, M. Hiramatsu, and M. Hori

    J. Appl. Phys   106 巻   頁: 094302   2009年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  484. A scientific look at plasma technology

    SPOTLIGHT ON NAGOYA     頁: 16   2009年10月

     詳細を見る

    記述言語:英語  

  485. *Development of atomic monitoring probe and its application to spatial distribution measurements of H and O atomic radical densities in radical-based plasma processing

    S. Takahashi, S.Takashima, K.Yamakawa, S. Den, H.Kano, K. Takeda, and M. Hori

    J. Appl. Phys   106 巻 ( 5 )   2009年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  486. Analysis of dispersion of electrical parameters and characteristics of FinFET devices

    Malinowski A., Sekine M., Hori M., Jakubowski A., Lukasiak L., Tomaszewski D

    Journal of Telecommunications and Information Technology (JTIT)   ( 4 )   2009年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  487. ラジカル制御プラズマとその応用

    堀 勝

      52 巻 ( 9 ) 頁: 491-497   2009年9月

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語  

  488. Monolithic self-sustaining nanographene sheet grown using plasma-enhanced chemical vapor deposition

    Wakana Takeuchi, Keigo Takeda, Mineo Hiramatsu, Yutaka Tokuda, Hiroyuki Kano, Shigeru Kimura, Osami Sakata, Hiroo Tajiri, and Masaru Hori

    Phys. Status Solidi A   1-5 巻   2009年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  489. SiH4 /H2 &not;プラズマによる高品質微結晶シリコンの低温形成

    堀 勝

    月間ディスプレイ   15 巻 ( 8 ) 頁: 3-8   2009年8月

     詳細を見る

    記述言語:日本語  

  490. Development of measurement technique for carbon atoms employing vacuum ultraviolet absorption spectroscopy with a microdischarge hollow-cathode lamp and its application to diagnostics of nanographene sheet material formation plasmas 査読有り

    W. Takeuchi, H. Sasaki, S. Kato, S. Takashima, M. Hiramatsu, and M. Hori

    J. Appl. Phys   105 巻   頁: 113305 -1- 113305 -6   2009年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  491. 低誘電率(Low-k)材料のドライエッチング

    堀 勝、関根 誠

    プラズマ・核融合学会誌   85 巻 ( 4 ) 頁: 193,194   2009年4月

     詳細を見る

    記述言語:日本語  

  492. Synthesis of Platinum Nanoparticles on Two-Dimensional Carbon Nanostructures with an Ultrahigh Aspect Ratio Employing Supercritical Fluid Chemical Vapor Deposition Process 査読有り

    T. Machino, W. Takeuchi, H. Kano, M. Hiramatsu, and M. Hori

    Appl. Phys. Express   2 巻 ( 2 ) 頁: 025001-1-025001-3   2009年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  493. Combinatorial Plasma Etching Process

    Chang Sung Moon, Keigotakeda, Makoto Sekine, Yuichi Setsuhara, Masaharu Shiratani, and Masaru Hori

    Applied Physics Express   2 巻   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  494. Substrate temperature dependence of deposition profile of plasma CVD carbon films in trenches

    Jun Umetsu, Kazuhiko Inoue, Takuya Nomura, Hidefumi Matsuzaki, Kazunori Koga, Masaharu Shiratani, Yuichi Setsuhara, Makoto Sekine, and Masaru Hori

    Journal of Plasma and Fusion Research Series   8 巻   頁: 1443-1446   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  495. Development of d ensity-inclination plasmas for analysis of plasma nano-processes via combinatorial method

    Y. Setsuhara, K. Nagao, M. Shiratani, M. Sekine, M. Hori

    Thin Solid Films   518 巻   頁: 1020-1023   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  496. Plasma Surface Treatment of Polymers with Inductivity Coupled RF Plasmas Driven by Low inductance Antenna Units

    Y. Setsuhara, K. Cho, K. Takenaka, A. Ebe, M. Shiratani, M. Sekine, M. Hori E. Ikeitaga, H. Kondo, O. Nakatsuka and S. Zaima

    Thin Solid Films   518 巻   頁: 1006-1011   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  497. Low-Damage Surface Modification of Polymethylmethacrylate with Argon-Oxygen Mixture Plasmas Driven by Multiple Low-Inductance Antenna Units

    Y. Setsuhara, K. Cho, K. Takenaka, M. Shiratani, M. Sekine, M. Hori, E. Ikeitaga and S. Zaima

    Thin Solid Films   - 巻   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  498. X-Ray Photoelectron Spectroscopy for Analysis of Plasma-Polymer Interactions in Ar Plasmas Sustained via RF Inductive-Coupling with Low-Inductance Antenna Units

    Y. Setsuhara, K. Cho, M. Shiratani, M. Sekine and M. Hori, E. Ikeitaga and S. Zaima

    Thin Solid Films   - 巻   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  499. DEVELOPMENT OF COMBINATORIAL PLASMA PROCESS ANALYZER FOR ADVANCED R&D OF NEXT GENERATION NANODEVICE FABRICATIONS

    K. Takenaka, K. Cho, Y. Setsuhara, M. Shiratani, M. Sekine, M. Hori

    Cramics Transactions   - 巻   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  500. 半導体特性を持つカーボンナノウォールの合成及びその電気伝導特性の制御に世界で初めて成功

    堀 勝

    科研費NEWS   2 巻   頁: 7   2008年11月

     詳細を見る

    記述言語:日本語  

  501. Fabrication of Carbon Nanowalls using Electron Beam Excited Plasma-Enhanced Chemical Vapor Deposition 査読有り

    T. Mori, M. Hiramatsu, K. Yamakawa, K. Takeda, and M. Hori

    Diamond & Related Materials   17 巻 ( 7-10 ) 頁: 1513-1517   2008年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  502. New Compact Continuous Spectrum Light Source Using Atmospheric Pressure Microplasma with High-Velocity Ar Gas Flow 査読有り

    H. Ito, H. Kano, and M. Hori

    Appl. Phys. Express   1 巻 ( 10 ) 頁: 106001-1-106001-3   2008年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  503. 先進プラズマナノプロセス技術~プラズマナノ科学創成による製造技術の革新~

    堀 勝

    真空ジャーナル   120 巻   頁: 18,25   2008年9月

     詳細を見る

    記述言語:日本語  

  504. Novel Silicon Wafer Slicing Technology Using Atmospheric-Pressure Reactive Microplasma 査読有り

    T. Ideno, H. Inui, S. Takashima, H. Kano, M. Kondo, M. Hiramatsu, and M. Hori

    Jpn. J. Appl. Phys.   47 巻 ( 7 ) 頁: 5648-5651   2008年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  505. グラファイト(黒鉛)から半導体を創る――カーボンナノウォールの電気伝導制御に成功――

    堀 勝

    名大トピックス   182 巻   頁: 12,13   2008年7月

     詳細を見る

    記述言語:日本語  

  506. Absolute Density and Temperature of O(1D2) in Highly Ar or Kr Diluted O2 Plasma 査読有り

    K. Takeda, S. Takashima, M. Ito, and M. Hori

    Appl. Phys. Lett   93 巻 ( 2 ) 頁: 021501-1-021501-3   2008年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  507. Surface Reactions during Low-k Etching using N2/H2 Plasma 査読有り

    M. Fukasawa, T. Tatsumi, K. Oshima, K. Nagahata, S. Uchida, S. Takashima, M. Hori, and Y. Kamide

    J. Vac. Sci. Technol   ( A26 ) 頁: 870-874   2008年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  508. 大気圧プラズマを用いた加工技術 招待有り

    堀 勝

    放電研究   51 巻 ( 2 ) 頁: 27-31   2008年6月

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語  

  509. Evaluation of Property Changes due to Radiation, Radicals, and Ions on Organic Low-k Films in H2/N2 Plasma Etching 査読有り

    S. Uchida, S. Takashima, M. Hori, M. Fukasawa, K. Ohshima, K. Nagahata, and T. Tatsumi

    Jpn. J. Appl. Phys.   47 巻 ( 5 ) 頁: 3621-3624   2008年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  510. Surface Modification Process of Contact Lens Using Three-Phase AC Excited Nonequilibrium Atmospheric Pressure Ar Plasma 査読有り

    M. Iwasaki, H. Inui, H. Kano, M. Ito, Y. Suzuki, D. Sutou, K. Nakada, and M. Hori

    Jpn. J. Appl. Phys   47 巻 ( 5 ) 頁: 3625-3629   2008年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  511. Electrical Conduction Control of Carbon Nanowalls 査読有り

    W. Takeuchi, M. Ura, M. Hiramatsu, Y. Tokuda, H. Kano, and M. Hori

    Appl. Phys. Lett.   92 巻   頁: 213103-1-213103-3   2008年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  512. Plasma Damage Mechanisms for Low-k Porous SiOCH Films due to Radiation, Radicals, and Ions in the Plasma Etching Process 査読有り

    S. Uchida, S. Takashima, M. Hori, M. Fukasawa, K. Ohshima, K. Nagahata, and T. Tatsumi

    J. Appl. Phys.   103 巻 ( 7 ) 頁: 073303-1-073303-5   2008年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  513. プラズマ中のラジカル制御によるカーボンナノウォールの合成

    堀 勝、平松 美根男

    応用物理   77 巻 ( 4 ) 頁: 406-410   2008年4月

     詳細を見る

    記述言語:日本語  

  514. のぞいてみよう!“プラズマの世界”

    堀 勝

    青少年のための科学の祭典・岐阜大会実験解説集     頁: 10   2008年3月

     詳細を見る

    記述言語:日本語  

  515. Characteristics of Low Energy Atom and Molecule Beams Generated by the Charge Exchange Reaction 査読有り

    Y. Hara, S. Takashima, K. Yamakawa, S. Den, H. Toyoda, M. Sekine, and M. Hori

    J. Appl. Phys.   103 巻 ( 5 ) 頁: 053301-1-053301-5   2008年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  516. Nonequilibrium Atmospheric Pressure Plasma with Ultrahigh Electron Density and High Performance for Glass Surface Cleaning 査読有り

    M. Iwasaki, H. Inui, Y. Matsudaira, H. Kano, N. Yoshida, M. Ito, and M, Hori

    Appl. Phys. Lett.   92 巻   頁: 081503-1-081503-3   2008年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  517. Octave Spanning High Quality Super Continuum Generation Using 10 nJ and 104 fs High Energy Ultrashort Soliton Pulse 査読有り

    N. Nishizawa and M. Hori

    Appl. Phys. Express 1     頁: 022009-1-022009-2   2008年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  518. プラズマイノベーションによる学と産の世界拠点を目指して!

    堀 勝

      112 巻   頁: 23-25   2008年1月

     詳細を見る

    記述言語:日本語  

  519. Roles of Oxidizing Species in a Nnonequilibrium Atmospheric-Pressure Pulsed Remote O2/N2 Plasma Glass Cleaning Process 査読有り

    M. Iwasaki, Y. Matsudaira, K. Takeda, M. Ito, E. Miyamoto, T. Yara, T. Uehara, and M. Hori

    J. Appl. Phys.   103 巻   頁: 023303-1-023303-7   2008年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  520. Highly Reliable Growth Process of Carbon Nanowalls using Radical Injection Plasma-Enhanced Chemical Vapor Deposition 査読有り

    S. Kondo, K. Yamakawa, S. Den, H. Kano, M. Hiramatsu, and M. Hori

    J. Vac. Sci. Technol   ( B26 ) 頁: 1294   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  521. シミュレーションと計測によるプロセスプラズマの解析:N2 プラズマとH2 プラズマについて 査読有り

      51 巻 ( 12 ) 頁: 807-813   2008年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  522. Tunable Low-Energy Ar Fast Atom Source with Large Diameter 査読有り

    Y. Hara, S. Takashima, K. Yamakawa, S. Den, H. Toyoda, and M. Hori

    Appl. Phys. Lett.   91 巻 ( 23 ) 頁: 231502-1-231502-3   2007年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  523. カーボンナノウォールの超精密形成と機能デバイスへの応用 招待有り

    堀 勝、平松 美根男

      7 巻 ( 11 ) 頁: 10-16   2007年11月

     詳細を見る

    記述言語:日本語  

  524. シリコン表面の窒化初期過程とエネルギーバンドギャップの形成

    近藤 博基、財満 鎮明、堀 勝、酒井 朗、小川 正毅

    真空   50 巻 ( 11 ) 頁: 665- 671   2007年11月

     詳細を見る

    記述言語:日本語  

  525. 高密度プラズマとその応用技術の最前線 展望『高密度プラズマプロセッシングの現状と将来展望』 招待有り

    堀 勝

    精密工学学会誌   73 巻 ( 9 ) 頁: 971-974   2007年9月

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語  

  526. VBLニュース 研究紹介 「大気圧プラズマによるフレキシブルエレクトロニクスの技術革新」

    堀 勝

    名古屋大学ベンチャー・ビジネス・ラボラトリー ニュースNo. 23   12 巻 ( 1 ) 頁: 3   2007年8月

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語  

  527. ラジカル制御CVD法によるカーボンナノウォールの成長

    堀 勝、平松 美根男

      23 巻 ( 3 ) 頁: 13-17   2007年7月

     詳細を見る

    記述言語:日本語  

  528. Effect of Low Level O2 Addition to N2 on Surface Cleaning by Nonequilibrium Atmospheric-Pressure Pulsed Remote Pmasma

    M .Iwasaki, K. Takeda, M. Ito, T. Yara, T. Uehara, and M. Hori

    Jpn. J. Appl. Phys., Express Letter   46 巻 ( 23 ) 頁: L540-L542   2007年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  529. *Insights into Sticking of Radicals on Surfaces for Smart Plasma Nano-Processing

    M. Hori and T. Goto

    Applied Surface Science   253 巻 ( 16 ) 頁: 6657-6671   2007年6月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  530. 小特集「材料プロセス用フルオロカーボンプラズマ――現状と展望―― 9.フルオロカーボンプラズマを用いたナノ構造体の形成」

    平松美根男、堀 勝、

    プラズマ・核融合学会誌   83 巻 ( 4 ) 頁: 356-360   2007年4月

     詳細を見る

    記述言語:日本語  

  531. 小特集「材料プロセス用フルオロカーボンプラズマ――現状と展望―― 7.環境調和型ゼロエミッション・リサイクルナノエッチングプロセスの開発」

    高橋俊次、堀 勝

    プラズマ・核融合学会誌   83 巻 ( 4 ) 頁: 346-349   2007年4月

     詳細を見る

    記述言語:日本語  

  532. 小特集「材料プロセス用フルオロカーボンプラズマ――現状と展望―― 1.はじめに」

    堀 勝

    プラズマ・核融合学会誌   83 巻 ( 4 ) 頁: 317-318   2007年4月

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語  

  533. Aligned Growth of Single-Walled and Double-Walled Carbon Nanotube Films by Control of the Catalyst Preparation

    M. Hiramatsu, T. Deguchi, H. Nagao, and M. Hori

    Jpn. J. Appl. Phys.   46 巻 ( 13 ) 頁: L303 - L306   2007年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  534. Growth and Energy Bandgap Formation of Silicon Nitride Films in Radical Nitridation

    H. Kondo, K. Kawaai, A. Sakai, M. Hori, S. Zaima, and Y. Yasuda

    Jpn. J. Appl. Phys.   46 巻 ( 1 ) 頁: 71-75   2007年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  535. 巻頭言

    堀 勝

    応用物理学会東海支部創立40周年記念リフレッシュ理科教室「たのしい工作大集合!」     2007年1月

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語  

  536. Silicon Oxide Selective Etching Employing Dual Frequency Superimposed Magnetron Sputtering of Carbon Using F2/Ar Gases

    M. Nagai and M. Hori

    Jpn. J. Appl. Phys.   46 巻 ( 2 ) 頁: 799-802   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  537. Plasma Etching Technology for Low-k Porous SiOCH Films

    M. Hori

    Silicon Nitride, Silicon Dioxide, and Emerging Dielectrics 9   6 巻 ( 3 ) 頁: 485-500   2007年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  538. Formation of Microcrystalline Diamond Using a Low-Pressure Inductively Coupled Plasma Assisted by Thermal Decomposition of Di-t-alkyl Peroxide

    H. Ito, K. Teii, M. Ito, and M. Hori

    Diamond and Related Materials   16 巻   頁: 393-396   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  539. Initial Stage of Processes and Energy Bandgap Formation in Nitridation of Silicon Surface Using Nitrogen Radicals

    H. Kondo, S. Zaima, M. Hori, A. Sakai, M. Ogawa

    J. Vac. Soc. Jpn   50 巻 ( 11 ) 頁: 665- 671   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  540. Simultaneous Monitoring of Multimetallic Atom Densities in Plasma Processes Employing a Multimicrohollow Cathode Lamp

    T. Ohta, M. Ito, Y. Tachibana, S. Taneda, S. Takashima, M. Hori, H. Kano, and S. Den

    Appl. Phys. Lett.   90 巻   頁: 251502.1- 251502.3   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  541. Area-Selective Growth of Aligned Single-Walled Carbon Nanotube Films using Microwave Plasma-Enhanced CVD

    M. Hiramatsu, T. Deguchi, H. Nagao, and M. Hori

    Diamond and Related Materials   16 巻   頁: 1126-1130   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  542. The Silicon Mold Fabrication of a Kind of Micro-Optical Resonator and Coupler

    H. Ju, T. Ohta, S. Takao, M. Ito, M. Sasaki, K. Hane, and M. Hori

    Proceedings of SPIE   6462 巻   頁: 64620I   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  543. Diagnostics of Surface Wave Excited Kr/O2 Plasma for Low-Temperature Oxidation Processes

    K. Takeda, Y. Kubota, S. Takashima, M. Hori, A. Serdyuchenko, M. Ito, and Y. Matsumi

    J. Appl. Phys.,   102 巻   頁: 013302-1-013302-6   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  544. Geometric Characteristics of Silicon Cavities Etched in EDP 査読有り

    H. Ju, T. Ohta, M. Ito, M. Sasaki, K. Hane, and M. Hori

    J. Micromech, & Microeng.   17 巻   頁: 1012-1016   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  545. 精密シリコンスライスでフッ素系ガスプラズマ切断浮上

    堀 勝

    ガスレビュー   614 巻   頁: 25   2006年12月

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語  

  546. 研究室紹介

    堀 勝

    応用物理学会プラズマエレクトロニクス分科会会報   45 巻   2006年12月

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語  

  547. Ion Attachment Mass Spectrometry of Nonequilibrium Atmospheric-Pressure Pulsed Remote Plasma for SiO2 Etching

    M. Iwasaki, M. Ito, T. Uehara, M. Nakamura, and M. Hori

    J. Appl. Phys.   100 巻   2006年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  548. Carbon Nanowalls Formation by Radical Controlled Plasma Process

    M. Hori and M. Hiramatsu

    Advanced in Science and Technology   48 巻   頁: 119-126   2006年11月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  549. Nitriding of a Tool Steel with an Electron-beam-excited Plasma

    H. Shoyama, T. Hishida, T. Hara, Y. Dake, T. Mori, H. Nagai, M. Hori, and T. Goto

    J. Vac. Sci. Techno.   A24 巻   頁: 1999-2002   2006年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  550. Development of a Low Pressure Microwave Excited Plasma and its Application to the Formation of Microcrystalline Silicon Films

    D. Kikukawa, M. Hori, K. Honma, M. Yamamoto, T. Goto, S. Takahashi, and S. Den

    J. Vac. Sci. Technol.   A24 巻   頁: 2128-2132   2006年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  551. プラズマ化学気相堆積法を用いたカーボンナノウォールの作製

    平松美根男、堀 勝

    真空   49 巻 ( 9 ) 頁: 368-372   2006年9月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  552. Effects of N2 Addition on Density and Temperature of Radicals in 60 MHz Capacitively Coupled C-C4F8 Gas Plasma

    M. Nagai and M. Hori

    J. Vac. Sci. Technol.   A24 巻   頁: 1760-1763   2006年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  553. Low-k SiOCH Film Etching Process and Its Diagnostics Employing Ar/C5F10O/N2 Plasma

    M. Nagai, T. Hayashi, M. Hori, and H. Okamoto

    Jpn. J. Appl. Phys.   45 巻 ( 9A ) 頁: 7100-7104   2006年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  554. Silicon Dioxide Etching Process for Fabrication of Micro-optics Employing Pulse-Modulated Electron-beam-excited Plasma

    K. Takeda, T. Ohta, M. Ito, and M. Hori

    J. Vac. Sci. Technol.   A24 巻   頁: 1725-1729   2006年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  555. Fabrication of Carbon Nanowalls Using Novel Plasma Processing

    M. Hiramatsu and M. Hori

    Jpn. J. Appl. Phys.   45 巻 ( 6B ) 頁: 5522-5527   2006年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  556. Progress of Radical Measurements in Plasmas for Semiconductor Processing

    M. Hori and T. Goto

    Plasma Sources Sci. Technol.   15 巻 ( 2 ) 頁: S74-S83   2006年5月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  557. Atmospheric Pressure Fluorocarbon-Particle Plasma Chemical Vapor Deposition for Hydrophobic Film Coating

    M. Nagai, O. Takai, and M. Hori

    Jpn. J. Appl. Phys.   45 巻 ( 17 ) 頁: L460-L462   2006年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  558. 新規エッチングガスを用いた半導体微細加工プロセス

    堀 勝、高橋俊次

    化学工業   57 巻 ( 3 ) 頁: 55-58   2006年3月

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語  

  559. プラズマCVDを用いたカーボンナノウォールの成長

    平松美根男、堀 勝

    日本結晶成長学会誌   32 巻 ( 32 ) 頁: 27-32   2005年12月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  560. スマートプラズマプロセス

    堀 勝

    応用物理   74 巻 ( 10 ) 頁: 1328-1335   2005年10月

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語  

  561. プラズマで遊ぼう

    堀 勝

    WEC青少年のための科学の祭典 2005年岐阜大会in岐阜メモリアルセンター     頁: 5   2005年10月

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語  

  562. RFプラズマCVDによるカーボンナノウォールの配向成長

    平松美根男、堀 勝

    プラズマ・核融合学会誌   81 巻 ( 9 ) 頁: 669-673   2005年9月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  563. 巻頭言

    堀 勝

    応用物理学会東海支部第8回リフレッシュ理科教室「あつい!つめたい!熱の不思議」     2005年7月

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語  

  564. 名古屋大学ナノプロセス研究Gr.自立型ナノ製造装置を開発 LTPS向け各種製膜から平面バックライト向けCNWの形成まで

    堀 勝

    EExpress     頁: 24-31   2005年6月

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語  

  565. 巻頭言

    堀 勝

    応用物理学会シリコンテクノロジー分科会「65nmから45nmノードlow-kエッチングの最前線」特集号   ( 71 ) 頁: 1   2005年6月

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語  

  566. 第52回応用物理学関係連合講演会 講演会報告「シリコンナノエレクトロニクスの新展開――ポストスケーリングテクノロジー――」

    堀 勝、宮崎誠一、田畑仁

    応用物理   74 巻 ( 6 ) 頁: 804-805   2005年6月

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語  

  567. カーボンナノウォールの合成と合成装置の実用化開発

    堀 勝、平松美根男

    放電研究   48 巻 ( 2 ) 頁: 33-38   2005年5月

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  568. カーボンナノ構造体製膜装置の開発

    平松美根男、堀 勝

    Display Asia(韓国)     2005年5月

     詳細を見る

    記述言語:日本語  

  569. Property of Atmospheric Pressure Plasma with Microwave Excitation of Plasma Processing

    M. Nagai, M. Hori, and T. Goto

    J. Vac. Sci. Technol. A   23 巻 ( 2 ) 頁: 221-225   2005年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  570. マイクロ波励起非平衡大気圧プラズマを用いたシリコン酸化膜の超高速エッチングおよびカーボンナノチューブの形成

    山川晃司、堀 勝

    真空 Journal of the Vacuum Society of Japan   48 巻 ( 2 ) 頁: 51-56   2005年2月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  571. Vertical Growth of Carbon Nanowalls Using RF Plasma-Enhanced Chemical Vapor Deposition

    K. Shiji, M. Hiramatsu, A. Enomoto, M. Nakamura, H. Amano and M. Hori

    Diamond & Related Materials   14 巻   頁: 831-834   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  572. Preparation of Dense Carbon Nanotube Film Using Microwave Plasma-Enhanced Chemical Vapor Deposition

    M. Taniguchi, H. Nagao, M. Hiramatsu, Y. Ando, and M. Hori

    Diamond & Related Materials   14 巻   頁: 855-858   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  573. Fabrication of Dense Carbon Nanotube Films Using Microwave Plasma-Enhanced Chemical Vapor Deposition

    M. Hiramatsu, M. Taniguchi, H. Nagao, Y. Ando, and M. Hori

    Jpn. J. Appl. Phys.   44 巻 ( 2 ) 頁: 1150-1154   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  574. High-Rate Growth of Films of Dense, Aligned Double-Walled Carbon Nanotubes Using Microwave Plasma-Enhanced Chemical Vapor Deposition

    M. Hiramatsu, H. Nagao, M. Taniguchi, H. Amano, Y. Ando, and M. Hori

    Jpn. J. Appl. Phys.   44 巻   頁: L693-L695   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  575. Etching Process of Silicon Dioxide with Nonequilibrium Atmospheric Pressure Plasma

    K. Yamakawa, M. Hori, T. Goto, S. Den, T. Katagiri, and H. Kano

    J. Appl. Phys.   98 巻   頁: 13301-1-13301-6   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  576. *Ultra-High-Speed Etching of Organic Films Using Microwave-Excited Nonequilibrium Atmospheric-Pressure Plasma

    K. Yamakawa, M. Hori, T. Goto, S. Den, T. Katagiri, and H. Kano

    J. Appl. Phys.   98 巻   頁: 43311-1-43311-5   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  577. Decomposition and Polymerization of Perfluorinated Compounds in Microwave-Excited Atmospheric Pressure Plasma

    M. Nagai, M. Hori, and T. Goto

    J. Appl. Phys.   97 巻   頁: 123304-1-123304-5   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  578. Development of Compact C2F4 Gas Supply Equipment and Its Application to Etching of Dielectrics in an Environmental Benign Process

    S. Takahashi, S. Den, T. Katagiri, K. Yamakawa, H. Kano, and M. Hori

    Jpn. J. Appl. Phys.   44 巻 ( 24 ) 頁: L781-L783   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  579. マイクロ波励起非平衡大気圧プラズマを用いた超高速加工技術

    堀 勝、山川晃司

    表面技術   55 巻 ( 12 ) 頁: 38-42   2004年12月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  580. Study on the Absolute Density and Translational Temperature of Si Atoms in Very High Frequency Capacitively Coupled SiH4 Plasma with Ar, N2, and H2 Dilution Gases 査読有り

    T. Ohta, M. Hori, T. Ishida, T. Goto, M. Ito, and S. Kawakami

    Jpn. J. Appl. Phys.   43 巻 ( 9A ) 頁: 6405-6412   2004年9月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  581. A Novel Silicon-Dioxide Etching Process Employing Pulse-Modulated Electron-Beam-Excited Plasma 査読有り

    K. Takeda, Y. Tomekawa, M. Iwasaki, M. Ito, T. Ohta, K. Yamakawa, and M. Hori

    Jpn. J. Appl. Phys.   43 巻 ( 9A/B ) 頁: L1166-L1168   2004年8月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  582. Ultrahigh-Speed Etching of SiO2 with Ultrahigh Selectivity over Si in Microwave-Excited Non Equilibrium Atmospheric Pressure Plasma 査読有り

    K. Yamakawa, M. Hori, T. Goto, S. Den, T. Katagiri, and H. Kano

    Applied Physics Letters   84 巻 ( 4 ) 頁: 549-551   2004年7月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  583. Fabrication of Vertically Aligned Carbon Nanowalls Using Capacitively Coupled Plasma-Enhanced Chemical Vapor Deposition Assisted by Hydrogen Radical Injection 査読有り

    M. Hiramatsu, K. Shiji, H. Amano, and M. Hori

    Applied Physics Letters   84 巻 ( 23 ) 頁: 4708-4710   2004年6月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  584. Fabrication of Vertically Aligned Carbon Nanowalls Using Capacitively Coupled Plasma-Enhanced Chemical Vapor Deposition Assisted by Hydrogen Radical Infection

    M. Hiramatsu, K. Shiji, H. Amano, and M. Hori

    Virtual Journal of Nanoscale Science & Technology   9 巻 ( 21 )   2004年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  585. Diagnostic and analytical study on a low-pressure limit of diamond chemical vapor deposition in inductively coupled CO-CH4-H2 plasmas 査読有り

    K. Teii, M. Hori, and T. Goto

    J. Appl. Phys.   95 巻 ( 8 ) 頁: 4463-4470   2004年4月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  586. Silicon-oxide etching process employing an electron-beam-excited plasma 査読有り

    M. Ito, K. Takeda, T. Shiina, Y. Okamura, H. Nagai, M. Hori, and T. Goto

    J. Vac. Sci. & Technol.   22 巻 ( 2 ) 頁: 543-547   2004年3月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  587. High Performance of Silicon Oxide Selective Etching Using F2 Gas and Graphite Instead of Perfluorinated Compound Gases

    M.Nagai,M.Hori,and T.Goto

    Jpn.P.Appl.Phys.(Express Letter)   43 巻 ( 4A ) 頁: pp.L501-L503   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  588. Effects of Driving Frequency on the Translation Temperature and Absolute Density of Si Atoms In Very High Frequency Capacitively Coupled SiF4 Plasma

    Takayuki Ohta, Masaru Hori, Tetsuro Ishida, Toshio Goto, Masafumi Ito, Satoshi Kawakami, Nobuo Ishii

    Jpn.J.Appl.Phys.   Vol.42 巻 ( No.12B ) 頁: pp L1532-L1534   2003年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  589. MBE-growth, characterization and properties of InN and InGaN 査読有り

    Y. Nanishi, Y. Saito, T. Yamaguchi, M. Hori, F. Matsuda, T. Araki, A. Suzuki, T. Miyajima

    Physica Status Solidi (a)   200 巻 ( 1 ) 頁: 202-208   2003年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssa.200303327

  590. Synthesis of polytetrafluoroethylene-like Film by a Novel Plasma Enhanced Chemical vapor Deposition Employing Solid material Evaporation Technique 査読有り

    K. Fujita, M. Ito, M. Hori and T. Goto

    Jpn. J. Appl. Phys.   42 巻 ( 2A ) 頁: 650-656   2003年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  591. Effect of Oxygen and Nitrogen Atoms on SiOCH Film Etching in Ultrahigh Frequency Plasma 査読有り

    H. Nagai, Y. Maeda, M. Hiramatsu, M. Hori and T. Goto

    Jpn. J. Appl. Phys.   42 巻 ( 3B ) 頁: L326-L328   2003年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  592. Measurement of C2 Radical Density in Microwave Methane/Hydrogen Plasma Used For Nanocrystalline Diamond Film Formation 査読有り

    M.Hiramatsu, K.Kato, C.H.Lau, J.S.Food, M.Hori

    Diamond & Related Materials   12 巻 ( 3月7日 ) 頁: 366-369   2003年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  593. *Fabrication of Vertically Aligned Carbon Nanostructures by Microwave Plasma-enhanced Vapor Deposition 査読有り

    M.Hiramatsu, K.Ito, C.H.Lau, J.S.Food, M.Hori

    Diamond & Related Materials   12 巻 ( 3月7日 ) 頁: 787-790   2003年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  594. Fabrication of Multilayered SiOCH Films with Low Dielectric Constant Employing Layer-by-Layer Process of Plasma Enhanced Chemical Vapor Deposition and Oxidation 査読有り

    H. Nagai, M. Hori, T. Goto, T. Fujii, M. Hiramatsu

    Jpn. J. Appl. Phys.   42 巻 ( 5A ) 頁: 2775-2779   2003年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  595. Measurement of Oxgen Atom Density Employing Vacuum Ultraviolet Absorption Spectroscopy with Microdischarge Hollow Cathode Lamp 査読有り

    H. Nagai,M. Hiramatsu, M. Hori, T. Goto

    Review of Scientific Instruiments   74 巻 ( 7 ) 頁: 3453-3459   2003年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  596. Etching Organic Low Dielectric Film in Ultrahigh Frequency Plasma Using N2/H2 and N2/NH3 査読有り

    H.Nagai, M. Hiramatsu, M. Hori, T. Goto

    J. Appl. Phys.   94 巻 ( 3 ) 頁: 1362-1367   2003年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  597. Measurement of S, SiF, and SiF2 Radicals and SiF4 Molecule Using Very High Frequency Capacitively Coupled Plasma Employing SiF4 査読有り

    T.Ohta, K. Hara, T. Ishida, M. Hori, T. Goto

    J. Appl. Phys.   94 巻 ( 3 ) 頁: 1428-1435   2003年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  598. Environmentally Benign Etching Process of Amorphous Silicon and Tungsten Using Species Evaporated from Polytetrafluoroethylene and Fluorinated Ethylene Propylene 査読有り

    K. Fujita, M. Hori, T. Goto and M. Ito

    J. Vac. Sci.Technol.   B21 巻 ( 1 ) 頁: 302-309   2003年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  599. Plasma Induced Subsurface Reactions for Anisotropic Etching of Organic Low Dielectric Film Employing N2 and H2 Gas Chemistry 査読有り

    H. Nagai, M. Hiramatsu, M. Hori and T. Goto

    Jpn. J. Appl. Phys.(Express Letter)   42 巻 ( 3A ) 頁: L212-L214   2003年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  600. Dry Etching 招待有り 査読有り

    Masaru Hori

    Electrochemistry   71 巻 ( 7 ) 頁: 603-604   2003年

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  601. Effects of Driving Frequency on the Translation Temperature and Absolute Density of Si Atoms in Very High Frequency Capacitively Coupled SiF4 Plasma 査読有り

    Takayuki Ohta, Masaru Hori, Tetsuro Ishida, Toshio Goto, Masafumi Ito, Satoshi Kawakami, Nobuo Ishii

    Jpn. J. Appl. Phys.   42 巻 ( 12B ) 頁: L1532-L1534   2003年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  602. Subsurface reaction of silicon nitride in a high selective etching process of silicon oxide over silicon nitride 査読有り

    M. Ito, K. Kamiya, M. Hori and T. Goto

    J. Appl. Phys.   91 巻 ( 3 ) 頁: 3452   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  603. Deposition of diamond-Like Carbon Using Compact Electron-Beam-Excited Plasma Source 査読有り

    S.Tada, M. Ito, m. Hamagaki, m. Hori and T. Goto

    Jpn. J. Appl. Phys.   41 巻 ( 8 ) 頁: 5408   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  604. Ion-to CH3 Flux Ratio in Diamond Chemical-vapor Deposition 査読有り

    K. Teii, M. Hori and T. Goto

    J. Appl. Phys.   92 巻 ( 7 ) 頁: 4103   2002年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  605. Cleaning of Glass Disk in Oxygen Plasma by Using Compact Electron-Beam-Excited Plasma Source 査読有り

    S. Tada, M. Ito, M. Hamagaki, M. Hori and T.GOTO

    Jpn. J. Appl. Phys.   41 巻 ( 11A ) 頁: 6553   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  606. Silicon Oxide Contact Hole Etching Employing an Environmentally Benign Process 査読有り

    K. Fujita, M. Hori, T. Goto and M. Ito

    J. Vac. Sci & Technol.   B20 巻 ( 6 ) 頁: 2192   2002年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  607. パルス変調プラズマCVDを用いた配向性ポリシリコン薄膜形成 招待有り 査読有り

    堀勝,後藤俊夫

    表面技術   53 巻 ( 12 ) 頁: 860   2002年

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語  

  608. Behavior of Atomic Radicals and Their Effects on Organic Low Dielectric Constant Film Etching in High Density N2/H2 and N2/NH3 Plasmas 査読有り

    H. Nagai, S. Takashima, M. Hiramatsu, M. Hori and T. Goto

    J. Appl. Phys.   91 巻 ( 5 ) 頁: 2615   2002年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  609. Effects of Initial Layers on Surface Roughness and Crystallinity of Microcrystalline Silicon Thin Films Formed by Remote Electron Cyclotron Resonance Silane Plasma 査読有り

    K. Murata, D. Kikukawa, M. Hori and T.Goto

    J. Vac. Sci. Technolo.   A20 巻 ( 3 ) 頁: 953   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  610. Investigation of Nitrogen Atoms in Low-Pressure Nitrogen Plasmas Using a Compact Electron-Beam-Excited Plasma Source 査読有り

    S.Tada, S. Takashima, M. Ito, M. Hamagaki, M. Hori and T. Goto

    Jpn. J. Appl. Phys.   41 巻 ( 7A ) 頁: 4691   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  611. Measurement technique of radicals, their gas phase and surface reactions in reactive plasma prosessing 査読有り

    M. Hori and T. Goto

    Applied Surface Science   192 巻   頁: 135   2002年

     詳細を見る

    記述言語:英語  

  612. Growth of Preferentially Oriented Microcrystalline Silicon Film Using Pulse-Modulated Ultrahigh-Frequency Plasma

    Jpn. J. Appl. Phys.(Express Letter)   40 巻 ( 1 ) 頁: L4   2001年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  613. Development of Vacuum Ultraviolet Absorption Spectroscopy Technique Employing Nitrogen Molecule Microdischarge Hollow Cathode Lamp for Absolute Density Measurements of Nitrogen Atoms in Process Plasmas 査読有り

    J.Vac. Sci. Technol. A   A19 巻 ( 2 ) 頁: 599   2001年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  614. On the Mechanism of Polytetrafluoroethylene Ablation Using a Synchrotron Radiation-Induced Photochemical Process 査読有り

    Hisao Nagai, Muneto Inayoshi, Masaru Hori, Toshio Goto, Mineo Hiramatsu

    Appl. Surf. Sci.   183 巻   頁: 284   2001年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  615. Absolute Cocentration and Loss Kinetics of Hydrogen Atom in Methane and Hydrogen Plasma 査読有り

    Seigou Takashima, Masaru Hori, Akihiro Kono, Toshio Goto, Katsumi Yoneda

    J. Appl. Phys   90 巻 ( 11 ) 頁: 5497   2001年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  616. Negative Bias Dependence of Surfur and Fluorine Incorporation in Diamond Films Etched by an SF6 Plasma

    J. Electrochem. Soc.   148 巻 ( 2 ) 頁: G55   2001年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  617. Amorphous Silicon and Tungsten Etching Employing Environmentally Benign Plasma Process

    Jpn. J. Appl. Phys.   40 巻 ( 2A ) 頁: 832   2001年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  618. Effect of Ions and Radicals on Formation of Silicon Nitride Gate Dielectric Film Using Plasma Chemical Vapor Deposition 査読有り

    Hiroyuki Ohta Atsushi Nagashima Hiroyuki Ohta Atsushi Nagashima Masaru Hori Toshio Goto

    J. Appl. Phys.   89 巻 ( 9 ) 頁: 5083   2001年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  619. Spatial Distribution of the Absolute Densities of CFx Radicals in Fluorocarbon Plasmas Determined from Single-Path Infrared Laser Absorption and Laser-Induced Fluorescence 査読有り

    Masayuki Nakamura Masaru Hori Toshio Goto Masafumi Ito Nobuo Ishii

    J. Appl. Phys.   90 巻 ( 2 ) 頁: 580   2001年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  620. Behavior of Hydrogen Atoms in Ultrahigh-Frequency Silane Plasmas 査読有り

    Seigou Takashima Masaru Hori Toshio Goto Katsumi Yoneda

    J. Appl. Phys.   89 巻 ( 9 ) 頁: 4727   2001年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  621. Dual-Electrode Biasing for Controlling Ion-to Adatom Flus ratio during Ion-Assisted Deposition of Diamond 査読有り

    Kungen Teii Masaru Hori Toshio Goto

    J. Appl. Phys.   89 巻 ( 9 ) 頁: 4714   2001年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  622. Measurement of Spatial Distribution of SiF4 and SiF2 Densities in High Density SiF4 Plasma Using Single -Path Infrared Diode Laser Absorption Spectroscopy and laser-Induced Fluorescence Technique 査読有り

    M.Nakamura, M. Hori, T. Goto, M. Ito and N. Ishii

    Jpn. J. Appl. Phys.   40 巻 ( 7 ) 頁: 4730   2001年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  623. Ultrathin Fluorinated Silicon Nitride Gate Dielectric Films Formed by Remote Plasma Enhanced Chemical Vapor Deposition Employing NH3 and SiF4 査読有り

    H. Ohta, M. Hori and T.Goto

    J. Appl. Phys.   90 巻 ( 4 ) 頁: 1955   2001年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  624. マイクロプラズマを光源に用いた真空紫外吸収分光法による原子密度計測 査読有り

    高島成剛、堀 勝、後藤俊夫

    真空   44 巻 ( 9 ) 頁: 802   2001年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  625. 赤外半導体レーザー吸収分光による半導体プロセスモニタリング 査読有り

    堀 勝、後藤俊夫

    日本赤外線学会誌   11 巻 ( 1 ) 頁: 2   2001年

     詳細を見る

    記述言語:日本語  

  626. Spatial distribution of the absolute CF and CF2 radical densities in high-density plasma employing low global warming potential fluorocarbon gases and precursors for film formation 査読有り

    Masayuki Nakamura, Masaru Hori, Toshio Goto, Masafumi Ito, Nobuo Ishii

    J. Vac. Sci. Technol.   A19 巻 ( 5 ) 頁: 2134   2001年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  627. Codeposition on Diamond Film Surface during Reactive Ion Etching in SF6 and O2 Plasma

    J. Vac. Sci. & Technol.   18 巻 ( 6 ) 頁: 2779   2000年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  628. マイクロ放電光源を用いたプラズマ吸収分光法

    プラズマ・核融合学会誌   76 巻 ( 5 ) 頁: 435   2000年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  629. Kinetics and Role of C, O, and OH in Low-Pressure Nanocrystalline Diamond Growth

    J. Appl. Phys.   87 巻 ( 9 ) 頁: 4572   2000年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  630. Precursors of Fluorocarbon Film Growth Studied by Mass Spectroscopy

    J. Appl. Phys.   87 巻 ( 10 ) 頁: 7185   2000年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  631. Plasma Diagnostics and Low-Temperature Deposition of Microcrystalline Silicon in Ultrahigh-Frequency Silane Plasma

    J. Appl. Phys.   88 巻 ( 1 ) 頁: 576   2000年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  632. Measurement and Control of Absolute Nitrogen Atom Density in an Electron Beam-Excited Plasma Using Vacuum Ultraviolet Absorption Spectroscopy

    J. Appl. Phys.   88 巻 ( 33 ) 頁: 1756   2000年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  633. Loss Kinetics of Carbon Atoms in Low-pressure High Density Plasmas

    J. Appl. Phys.   88 巻 ( 8 ) 頁: 4537   2000年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  634. Study on Polymeric Neutral Species in High-Density Fluorocarbon Plasmas

    J. Appl. Phys.   87 巻 ( 9 ) 頁: 4572   2000年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  635. Formation of Silicon Nitride Gate Dielectric Film at 300℃ Employing Radical Chemical Vapor Deposition

    J. Vac. Sci. & Technol.   B18 巻 ( 5 ) 頁: 2486   2000年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  636. Formation and Micromachining of Teflon(Fluorocarbon Polymer) Film by a Completely Dry Process Using Synchrotron Radiation

    J. Vac.Sci. Technol.   B17 巻 ( 3 ) 頁: 949   1999年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  637. Control over Size and Density of Sub-5nm Gold Dots by Retarding-Field Single Ion Deposition(RSID)

    Microelectronic Engineering   47 巻   頁: 401   1999年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  638. Vacuum Ultraviolet Absorption Spectroscopy Employing a Microdischarge Hollow-Cathode Lamp for Absolute Density Measurement of Hydrogen Atoms in Reactive Plasmas

    Appl. Phys. Lett.   75 巻   頁: 3929   1999年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  639. 高密度プラズマとエッチング・薄膜形成への応用

    応用物理   68 巻 ( 11 ) 頁: 1251   1999年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  640. In-situ Observation of Hydrogenated Amorphous Silicon Surface in Electron Cyclotron Resonance Hydrogen Plasma Annealing

    J.Appl. Phys.   85 巻 ( 2 ) 頁: 1172   1999年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  641. フルオロカーボンラジカル(CFx)の表面反応過程

    プラズマ・核融合学会誌   75 巻 ( 7 ) 頁: 777   1999年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  642. Novel Process for SiO2/Si Selective Etching Using a Novel Gas Source for Preventing Global Warming

    J.Vac. Sci. Technol.   B17 巻 ( 3 ) 頁: 957   1999年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  643. Control of Seed Layer for a Low Temperature Formation of Polycrystalline Silicon with High Crystallinity and a Smooth Surface

    J. Vac. Sci. Technol.   B17 巻 ( 3 ) 頁: 1098   1999年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  644. Ploycrystalline Silicon Film Formation at Low Temperature Using Ultra-High-Frequency Plasma Enhanced Chemical Vapor Deposition

    Material Letters   41 巻   頁: 16   1999年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  645. Environmentally Harmonized Etching Process for Cleaning Amorphous Silicon and Tungsten in Chemical Vapor Deposition Chamber

    Material Science in Semiconductor Processing   2 巻   頁: 219   1999年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  646. Low Temperature Polycrystalline Silicon Film Formation with and without Charged Species in an Electron Cyclotron Resonance Vapor Deposition

    J.Vac.Sci. Technol.   A17 巻   頁: 2542   1999年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  647. Silicon Oxide Selective Etching Process Keeping Harmony with Environment by Using Radical Injection Technique

    J. Vac. SCi. Technol.   A17 巻   頁: 3260   1999年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  648. Spatial Distribution Measurement of Absolute Densities of CF and CF2 Radicals i a High Density Plasma Reactor Using a Combination of Single Path Infrared Diode Laser Absorption and Laser-Induced Fluorescence Technique

    Jpn. J. Appl. Phys.   38 巻   頁: L1469   1999年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  649. Diamond Deposition and Behavior of Atomic Carbon Species in a Low-Pressure Inductively Coupled Plasma

    Jpn. J. Appl. Phys.   38 巻   頁: 4504   1999年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  650. Surface Reaction of CF2 Radicals for Fluorocarbon Film Formation in SiO2/Si Selective Etching Process(共著)

    J. Vac. Sci. Technol. A   16 巻 ( 1 ) 頁: 233   1998年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  651. Synchrotron Radiation Induced SiC Formation on Si Substrate Employing Methonol and H Radical(共著)

    J. Vac. Sci. Technol. A.   16 巻 ( 4 ) 頁: 2252   1998年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  652. Sub-5nm Gold Dot Formation Using Retarding-Field Single. Ion Deposition(共著)

    Appl. Phys. Lett.   73 巻 ( 22 ) 頁: 3223   1998年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  653. A Study on the Time Evolution of SiH3 Surface Loss Probability on Hydrogenated Amorphous Silicon Films in SiH4 RF Discharges Using Infrared Diode-Laser Absorption Spectroscopy(共著)

    J. Phys. D : Appl. Phys.   31 巻   頁: 776   1998年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  654. 赤外半導体レーザー吸収分光法を用いたプラズマプロセスの計測

    オプトロニクス   11 巻 ( 90 ) 頁: 145   1997年

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  655. Substrate Bias Effect on Low Temperature Polycrystalline Silicon Formation Using Electron Cyclotron Resonance SiH4/H2 Plasma

    J. Appl. Phys.   81 巻 ( 12 ) 頁: 8035   1997年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  656. CFx(X=1-3)Radical Densities during Si, SiO2 and Si3N4 Etching Employing Electron Cyclotron Resonance CHF3 Plasma

    J. Vac. Sci. Technol.   A15 巻 ( 3 ) 頁: 568   1997年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  657. Absolute Density Measurement of Cynogen Fluoride in CHF3/N2 Electron Cyclotron Resonance Plasma Using Infrared Diode Laser Absorption

    J. Appl. Phys   82 巻 ( 10 ) 頁: 4777   1997年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  658. Rols of SiH3 and SiH2 Radicals in Particle Growth in RF Silame Plasma

    Jpn. J. Appl. Phys.   36 巻 ( 7B ) 頁: 4985   1997年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  659. Influence on Selective SiO2/Si Etching of Carbon Atoms Produced by CH4 Addition to a C4F8 Permanent Magnet Electron Cyclotron Resonance

    J. Vac. Sci. Techual   A15 巻 ( 6 ) 頁: 2880   1997年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  660. Kinetics of Radicals in CF4 and C4F8 Electron Cyclotron Resonance Plasmas

    Jpm. J. Appl. Phys   36 巻 ( 8 ) 頁: 5340   1997年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  661. Effects of H, OH and CH3 Radicals on Diamond Film Formation in Parallel-Plate Radio Frequency Plasma Reactor

    J. Appl. Phys.   82 巻 ( 8 ) 頁: 4055   1997年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  662. Measurement of Carbon Atom Density in High Density Plasma Process

    Jpn. J. Appl. Phys.   36 巻 ( 7A ) 頁: L880   1997年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  663. Development and Characterization of a New Compact Microwave Radical Beam Source

    Jpn. J. Appl. Phys.   36 巻 ( 7B ) 頁: 4588   1997年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  664. Measuement of Einstein's A Coefficient of the 296.7nm Tramition Live of the Carbon Atom

    Jpn. J. Appl. Phys.   36 巻 ( 12A ) 頁: L1616   1997年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  665. Effects of Dilution Gases on Si Atoms and SiHx+(X=O-3)Ions in Electron Cyclotron Resonance SiH4 Plasmas

    Jpn. J. Appl. Phys.   36 巻 ( 7B ) 頁: 4664   1997年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  666. Scanning Tunneling Microscopic and Spectroscopic Characterinzation of Diamond film Prepared by capacitively Compled Radio Frequency CH3OH Plasma with OH Radical Injection

    Appl. Phys. Lett.   70 巻 ( 16 ) 頁: 2141   1997年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  667. Low Dielectric Constant Film Formation by Oxygen-Radical Polymerization of Laser-Evapotated Siloxane

    J. Vac. Sci. Technol.   B15 巻 ( 3 ) 頁: 746   1997年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  668. ドライエッチング中の反応種計測

    堀勝

    ウルトラクリーンテクノロジー   8 巻 ( 4 ) 頁: 265   1996年

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  669. Effects of Ions on Surface Morphology and Structure of Polycrystalline Silicon Films Prepared by Electron Rosonance Silane/Hydrogen Plasmas

    Plasma Processing XI   96 巻 ( 12 ) 頁: 662   1996年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  670. Fluorocarbon Radicals and Surface Reactions in Fluorocarbon High Density Plasma I. O2 Addition to Electron Cyclotron Resonamce Plasma

    J. Vac. Sci & Technal. A   14 巻 ( 4 ) 頁: 2004   1996年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  671. Diagnastics of Fluorocarbon Radicals in a Large-area Permanent Magnet Electron Cyclotron Etching Plasma

    Jpn. J. Appl. Phys.   35 巻 ( 12B ) 頁: 6521   1996年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  672. Fluorocarbon Radicals and Surface Reactions in Fluorocarbon High Demsity Plasma II. H2 Addition to Electron Cyclotron Resonance Plasma

    J. Vac. Sci. & Technol. A   14 巻 ( 4 ) 頁: 2011   1996年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  673. Infrared Diode Laser Absorption Spectroscopy Measurement of CFx(X=1-3) Radical Densities in Electron Cyctrotron Resonance Plasma Emplaying C4F8, C2F6, CF4 and CHF3 Gases

    J. Vac. Sci. & Technal. A   14 巻 ( 4 ) 頁: 2343   1996年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  674. Behavior of Si Atom in a Silane Electron Cyctroton Resonance Plasma at High Dissociations

    J. Vac. Sci & Technol. A   14 巻 ( 4 ) 頁: 1999   1996年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  675. Hydrogen Radical Assisted Radio-Frequency Plasma Enhonced Chemical Vapon Deposition System for Diamond Formation

    Rev. Sci. Instrum.   67 巻 ( 6 ) 頁: 2360   1996年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  676. プラズマプロセスにおけるラジカルの気体ー固体相互作用

    堀勝

    放電研究   151 巻   頁: 3   1996年

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  677. Evaluation of CF2 Radical as a Precursar for Fluorocarbon Film Formation in Highly Selectine SiO2 Etching Process Using Radical Injection Technigene

    Jpn. J. Appl. Phys.   35 巻 ( 6A ) 頁: 3635   1996年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  678. Preparation of Polysiloxane Thin Films Using CO2 Laser Evaporation Assisted by Remate Radical Sowce

    J. Vac. Sci & Technol. A   14 巻 ( 5 ) 頁: 2849   1996年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  679. Diamond Film Formation by OH Radical Injection from Microwave H2/H2O Plasma into Pardlel-plate RF Methnol Plasma

    Jpn. J. Appl. Phys.   35 巻 ( 9 ) 頁: 4826   1996年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  680. Radical Beharvior in Fluorocarbon Palsma and Control of Silicon Oxide Etching by Injection of Radicals

    Jpn. J. Appl. Phys.   35 巻 ( 12B ) 頁: 6521   1996年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  681. CFx Radical Generation by Plasma Interaction with Fluorocarbon Films on the Reactor Wall

    J. Vac. Sci & Technal. A   14 巻 ( 4 ) 頁: 2083   1996年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  682. Formation of PTFE Thin Films by Using CO2 Laser Evaporation and Xecl Laser Ablation

    J. Vac. Sci & Technal. A   14 巻 ( 4 ) 頁: 1981   1996年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  683. Synthesis of Diamond Using RF Magnetion Plasma Chemical Vapour Deposition Assisted by Hydrogen Radical Injection

    Jpn. J. Appl. Phys.   34 巻   頁: 2484   1995年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  684. CFX(X=1-3) Radical Measurements in ECR Etching prasma Employing C4H8 Gas by Infrared Diode Laser Absorption Spectroscopy

    Jpn. J. Appl. Phys.   34 巻 ( 4A ) 頁: L444-L447   1995年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  685. CH3 Radical Density in Electron Cyclotron Resonance CH3OH and CH3OH/H2 Plasma

    Jpn. J. Appl. Phys.   34 巻 ( 6A ) 頁: 3273-3277   1995年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  686. High-Rate Anisotropic Ablation and Deposition of Polytetrafluoroethylene Using Synchrotron Radiation Process

    Jpn. J. Appl. Phys.   34 巻 ( 12B ) 頁: L1675-1677   1995年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  687. Plasma CVD Assisted by Selective Radical Source and Its Application to Synthesis of Diamond

    Rarefield Gas Dynamics 19   1 巻   頁: 671-677   1995年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  688. Measurement of Absolute Densities of Si, SiH and SiH3 in SiH4/H2 Election Cyclation Resonance Plasma

    Jpn. J. Appl. Phys.   33 巻   頁: 4320   1994年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  689. CFX(X=1-3) Radicals Controlled by On-Off Modulated Electron Cyclotron Resonance Plasma and Their Effects on Polymer Film Deposition

    Jpn. J. Appl. Phys.   33 巻   頁: 4181   1994年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  690. Characteristics of Fluorocarbon Radicals and CHF3 Molecule in CHF3 Electron Cyclotron Resonance Downstream Plasma

    Jpn. J. Appl. Phys.   33 巻   頁: 4745-4751   1994年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  691. Residue-Free Etching of the Al-Si-Cu Alloy Employing Magnetron Reactive Ion Etching

    J. Electrochem. Soc.   141 巻 ( 10 ) 頁: 2825-2828   1994年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  692. Measurement of the CF, CF2 and CF3 Radicals in a CHF3 Electron Cyclotron Resonance Plasma

    Jpn. J. Appl. Phys.   32 巻 ( 5A ) 頁: L694   1993年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  693. Control of Fluorocarbon Radicals by On-Off Modulated Electron Cyclotron Resonance Plasma

    Jpn. J. Appl. Phys.   32 巻 ( 5A ) 頁: L694   1993年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  694. The Origin of Stress in Sputter-Deposited Tungsten Film for X-ray Masks

    J. Vac. SCi. & Technol.   B9 巻 ( 1 ) 頁: 149   1991年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  695. An Ultra-Low Stress Tungsten Absorber for X-Ray Masks

    J. Vac. Sci. & Technol.   B9 巻 ( 1 ) 頁: 165   1991年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  696. A study of Radiation Damage in SiN and SiC Mask Membranes

    J. Vac. Sci. & Technol.   B9 巻 ( 6 ) 頁: 3262   1991年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  697. Oxygen Plasma Etching Resistance of Plasma Polymerized Organometallic Film

    J. Vac. Sci.& Technol.   B7 巻 ( 2 ) 頁: 175   1989年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語  

  698. Self Development of Polymethylmethacrylate by Synchrotoron Radiation Exposure

    J. Electron Soc.   135 巻 ( 4 ) 頁: 966   1988年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  699. Gold Particles Containing Plasma-Polymerized Styrene as an X-Ray Absorber

    Plasma Chemistry and Plasma Processing   7 巻 ( 2 ) 頁: 155   1987年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  700. Plasma-Polymerized Dry Developable Resist for Synchrotron Radiation Lithography

    J. Electrochem. Soc.   134 巻 ( 3 ) 頁: 707   1987年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  701. Plasma-Polymerized Electron Beam Resists Prepared from Methyl Methacrylate Using Various Carrier Gases

    Thin Solid Films   149 巻 ( 3 ) 頁: 341   1987年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  702. Effect of Sn in Plasma Copolymerized Methylmethacrylate and Tetramethyltin(MMA-TMT) Resist on Plasma Development for X-Ray Absorber

    J.Vac.Sci. & Technol.   B4 巻 ( 2 ) 頁: 500   1986年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語  

  703. H2 Plasma Development of X-Ray Imaged Patterns on Plasma-Polymerized Resists

    Plasma Chemistry and Plasma Processing   4 巻 ( 2 ) 頁: 119   1984年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  704. Molecular Structure of Plasma-Polymerized Methy Methacrylate and Evaluation as a Resist

    M.Hori,S.Hattori,S.Morita,and S.Ishibashi

      ( 10 ) 頁: pp 1670-1676   1984年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  705. Low-Temperature Redistribution of As in Si during Ni Silicide Formation

    J. Appl. Phys.   56 巻 ( 10 ) 頁: 2725   1984年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  706. プラズマ重合メタクリル酸メチルの分子構造とレジスト構造評価

    日本化学会誌   10 巻   頁: 1670   1984年

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  707. Reduction of Contact Resistivity by As Redistribution during Pd2Si Formation

    J. Appl. Phys.   54 巻 ( 8 ) 頁: 4679   1983年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  708. Electron Paramagnetic Resonance Study on the Annealing Behavior of Vacuum Deposited Amorphous Silicon on Crystalline Silicon

    J. Appl. Phys.   52 巻 ( 11 ) 頁: 6617   1981年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

▼全件表示

書籍等出版物 43

  1. プラズマ産業革新技術

    橋爪 博司・堀 勝( 担当: 分担執筆 ,  範囲: 第四章 農業・医療・バイオ分野への応用 4. プラズマによる生体・植物の制御技術)

    株式会社シーエムシー出版  2023年4月  ( ISBN:978-4-7813-1733-5

     詳細を見る

    総ページ数:316   担当ページ:277-283   記述言語:日本語 著書種別:学術書

  2. 半導体製造ににおけるウェット/ドライエッチング技術 

    堀 勝( 担当: 共著 ,  範囲: 第3章1節)

    株式会社R&D支援センター  2022年10月  ( ISBN:978-4-905507-61-1

     詳細を見る

    総ページ数:252   担当ページ:95-119   記述言語:日本語 著書種別:学術書

  3. Plasma-Activated Solutions in Cancer Treatment 査読有り

    Hiromasa Tanaka, Mounir Laroussi, Sander Bekeschus, Dayun Yan, Masaru Hori, and Michael ( 担当: 共著)

    Springer  2020年8月 

     詳細を見る

    記述言語:英語 著書種別:教科書・概説・概論

  4. PLASMA MEDICAL SCIENCE

    Masao Ichinose, Masaharu Shiratani, and Masaru Hori( 担当: 共著 ,  範囲: 8. Future outlooks in plasma medical science)

    Academic Press  2018年7月 

     詳細を見る

    総ページ数:438   記述言語:英語

  5. PLASMA MEDICAL SCIENCE

    Masashi Ueda, Daiki Yamagami, Takashi Temma, Kazuhiro Koshino, Osamu Goto, Jun-ichiro Ikeda, Hajime Sakakita, Kenji Ishikawa, Masaru Hori, ( 担当: 共著 ,  範囲: 6.5 Evaluating the invasiveness of nonthermal plasma treatment using molecular imaging technique)

    Academic Press  2018年7月 

     詳細を見る

    総ページ数:438   記述言語:英語 著書種別:学術書

  6. PLASMA MEDICAL SCIENCE

    Yoshihiro Akimoto, Sanae Ikehara, Takashi Yamaguchi, Jaeho Kim, Hayato Kawakami, Nobuyuki Shimizu, Masaru Hori, Hajime Sakakita, ( 担当: 共著 ,  範囲: 6.4 Molecular morphological analysis of the effect of plasma irradiation on cells, tissue)

    Academic Press  2018年7月 

     詳細を見る

    総ページ数:438   記述言語:英語

  7. PLASMA MEDICAL SCIENCE

    Kenji Miyamoto, Yuzuru Ikehara, Sanae Ikehara, Yoshihiro Akimoto, Hajime Sakakita, Kenji Ishikawa, Masashi Ueda, Jun-ichiro Ikeda, Hayao Nakanishi, Nobuyuki Shimizu, Tetsuji Shimizu, and Masaru Hori( 担当: 共著 ,  範囲: 6.2 Cutting edge technologies of bleeding control using nonthermal plasma - Mechanism of blood coagulation and wound healing)

    Academic Press  2018年7月 

     詳細を見る

    総ページ数:438   記述言語:英語 著書種別:学術書

  8. PLASMA MEDICAL SCIENCE

    PLASMA MEDICAL SCIENCE( 担当: 共著)

    Academic Press  2018年7月 

     詳細を見る

    総ページ数:438   記述言語:英語 著書種別:学術書

  9. PLASMA MEDICAL SCIENCE

    Machiko Iida, Yasuhiro Omata, Ichiro Yajima, Awoi Sato, Takehito Kajiwara, Ryoko Tasaka, Masaru Hori, and Masashi Kato( 担当: 共著 ,  範囲: 5.8 Plasma medical innovations in cancer therapy: Melanoma)

    Academic Press  2018年7月 

     詳細を見る

    総ページ数:438   記述言語:英語

  10. PLASMA MEDICAL SCIENCE

    Hiromasa Tanaka, Masaaki Mizuno, and Masaru Hori( 担当: 共著 ,  範囲: 5.7 Plasma medicine innovations in cancer therapy: Glioblastoma)

    5.7 Plasma medicine innovations in cancer therapy: Glioblastoma  2018年7月 

     詳細を見る

    総ページ数:438   記述言語:英語 著書種別:学術書

  11. PLASMA MEDICAL SCIENCE

    Kenji Ishikawa, and Masaru Hori( 担当: 共著 ,  範囲: 2.1 Physical and chemical basis of nonthermal plasma, Introduction)

    ACADEMIC PRESS   2018年7月 

     詳細を見る

    総ページ数:438   記述言語:英語 著書種別:学術書

  12. PLASMA MEDICAL SCIENCE

    Masaru Hori( 担当: 単著 ,  範囲: 1. General introduction)

    ACADEMIC PRESS   2018年7月 

     詳細を見る

    総ページ数:438   記述言語:英語 著書種別:学術書

  13. PLASMA MEDICAL SCIENCE

    Keigo Takeda, Kenji Ishikawa, and Masaru Hori( 担当: 単著 ,  範囲: 2.4 Optical diagnostics of atmospheric pressure plasma)

    ACADEMIC PRESS   2018年7月 

     詳細を見る

    総ページ数:438   記述言語:英語

  14. プラズマプロセス技術 ナノ材料作製・加工のためのアトムテクノロジー

    プラズマ・核融合学会( 担当: 単著)

    森北出版株式会社  2017年1月  ( ISBN:978-4-627-77561-9

     詳細を見る

    記述言語:日本語

  15. ドライプロセスによる表面処理・薄膜形成の応用

    表面技術協会( 担当: 単著)

    コロナ社  2016年12月  ( ISBN:978-4-339-04650-2

     詳細を見る

    記述言語:日本語

  16. Nanotechnology and Nanomaterials New Progress on Graphene Research "Graphene Nanowalls"

    Mineo Hiramatsu, Hiroki Kondo and Masaru Hori( 担当: 共著)

    InTech  2014年3月 

     詳細を見る

    記述言語:英語

  17. 精密加工と微細構造の形成技術-材料・プロセスの最適化、トラブル対策- 第2章第2節[2] ArFフォトレジストのプラズマエッチング技術

    堀勝,石川健治( 担当: 共著)

    技術情報協会  2013年7月 

     詳細を見る

    記述言語:日本語

  18. ドライプロセスによる表面処理・薄膜形成の基礎 

    堀 勝、石川 健治( 担当: 共著)

    コロナ社  2013年5月  ( ISBN:978-4-339-04631-1

     詳細を見る

    記述言語:日本語

  19. New Progress on Graphene Research

    Mineo Hiramatsu, Hiroki Kondo and Masaru Hori( 担当: 共著)

    InTech  2013年3月  ( ISBN:978-953-51-1091-0

     詳細を見る

    記述言語:英語

  20. ナノエレクトロニクスにおける絶縁超薄膜技術~成膜技術と膜・界面の物性科学

    堀勝、石川健治 他( 担当: 共著)

    エヌティエス出版  2012年7月  ( ISBN:978-4-86469-039-3

     詳細を見る

    記述言語:日本語

    第5編 絶縁膜形成とエッチング 第5章 層間絶縁膜の成膜とエッチング

  21. レジストプロセスの最適化テクニック

    堀勝、石川健治 他( 担当: 共著)

    情報機構  2011年9月  ( ISBN:978-4-904080-90-0

     詳細を見る

    記述言語:日本語

    第6章 エッチング工程の手法およびレジスト・レジストパターンへの影響

  22. Nucleation and Vertical Growth of Nano-Graphene Sheets

    Hiroki Kondo, Masaru Hori and Mineo Hiramatsu ( 担当: 共著)

    Intech  2011年9月  ( ISBN:978-953-307-292-0

     詳細を見る

    記述言語:英語

  23. Aligned Growth of Single-Walled and Double-Walled Carbon Nanotube Films by Control of Catalyst Preparation

    Mineo Hiramatsu and Masaru Hori ( 担当: 共著)

    Intech  2011年7月 

     詳細を見る

    記述言語:英語

  24. Generation and Applications of Atmospheric Pressure Plasmas

    Kogoma Masuhiro, Kusano Masako, Kusano Yukihiro( 担当: 共著)

    Nova Science  2011年4月  ( ISBN:1612097170

     詳細を見る

    記述言語:英語

  25. プラズマ/プロセスの原理 

    Michael A. Lieberman, Allan J. Lichtenberg 著 佐藤 久明訳 堀 勝監修 ( 担当: 監修)

    丸善出版(株)  2010年1月  ( ISBN:978-4-621-08223-2

     詳細を見る

    記述言語:日本語

  26. Introduction to Diamond-Like Carbons

    M. Hori( 担当: 単著)

    WILEY-VCH  2010年 

     詳細を見る

    記述言語:英語

  27. Field Emission from Carbon Nanowalls

    M. Hori, M. Hiramatsu( 担当: 共著)

    WILEY-VCH  2010年 

     詳細を見る

    記述言語:英語

  28. Carbon Nanowalls:Synthesis and Emerging

    M.Hori,M.Hiramatsu( 担当: 共著)

    Springer Wien New York,Springer-Verlag  2010年 

     詳細を見る

    記述言語:日本語

  29. 大気圧プラズマ―基礎と応用―4.1, 4.6章

    堀 勝( 担当: 単著)

    オーム社  2009年 

     詳細を見る

    記述言語:日本語

  30. 大気圧プラズマー基礎と応用ー4.4.3,4.5.6項

    竹田圭吾、堀勝( 担当: 共著)

    オーム社  2009年 

     詳細を見る

    記述言語:日本語

  31. 大気圧プラズマー基礎と応用ー6.7.4項

    高島成剛、堀勝( 担当: 共著)

    オーム社  2009年 

     詳細を見る

    記述言語:日本語

  32. 薄膜ハンドブック 第1編基礎編 1.5.6エッチング技術〔1〕-〔6〕、1.7.6エッチングの終点検出

    堀 勝( 担当: 共著)

    オーム社  2008年3月 

     詳細を見る

    記述言語:日本語

  33. ナノカーボンハンドブック 4編6章カーボンナノウォールの開発と応用技術

    堀 勝、平松 美根男( 担当: 共著)

    (株)エヌ・ティー・エス  2007年7月 

     詳細を見る

    記述言語:日本語

  34. マイクロ・ナノプラズマ技術とその産業応用

    堀勝(分担)( 担当: 共著)

    シーエムシー出版  2006年7月 

     詳細を見る

    記述言語:日本語

  35. カーボンナノウォールの作製と応用技術 先端技術要覧

    平松美根男、堀勝( 担当: 共著)

    OHM編集部編  2006年5月 

     詳細を見る

    記述言語:日本語

  36. 先端科学技術要覧 -OHM HEADLINE REVIEW 2006- 「カーボンナノウォールの作成と応用技術」

    堀 勝( 担当: 共著)

    OHM編集部  2006年 

     詳細を見る

    記述言語:日本語

  37. 大気圧プラズマの生成制御と応用技術 第12節 SiO2エッチング

    堀 勝( 担当: 共著)

    サイエンス&テクノロジー社  2006年 

     詳細を見る

    記述言語:日本語

  38. マイクロ・ナノプラズマ技術とその産業応用 第10章 プラズマナノプロセス用マイクロプラズマ分光診断

    堀 勝( 担当: 共著)

    シーエムシー出版  2006年 

     詳細を見る

    記述言語:日本語

  39. プラズマ診断の基礎と応用 「8.1低誘電率薄膜のエッチングにおけるラジカル計測」

    平松美根男、堀 勝( 担当: 共著)

    コロナ社  2005年 

     詳細を見る

    記述言語:日本語

  40. 初歩から学ぶマイクロ波応用技術

    堀 勝( 担当: 共著)

    工業調査会  2004年 

     詳細を見る

    記述言語:日本語

  41. 光センシング技術の最新資料集

    オプトエレクトニクス社  1999年 

     詳細を見る

    記述言語:日本語

  42. 半導体大事典

    工業調査会  1999年 

     詳細を見る

    記述言語:日本語

  43. 最新プラズマプロセスのモニタリング技術と解析・制御

    リアライズ社  1997年 

     詳細を見る

    記述言語:日本語

▼全件表示

講演・口頭発表等 3321

  1. Recent Progress in the Synthesis of Functional and Three-Dimensional Carbon Nano-Composites By Gas-Liquid Interface Plasma 国際会議

    H.Kondo, H.Zhou, T.Tsutsumi, K.Ishikawa, M.Sekine, and M.Hori

    243rd ECS Meeting  2023年5月30日 

     詳細を見る

    開催年月日: 2023年5月 - 2023年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Boston, MA   国名:アメリカ合衆国  

  2. Subsequent functionalization of hexagonal boron nitride after plasma processing in solution for preparation of polymer composite materials 国際会議

    K. Inoue, N. Takagi, T. Ito, Y. Shimizu, K. Ishikawa, K. Ito, M. Hori and K. Terashima

    25th International Symposium on Plasma Chemistry (ISPC25)  2023年5月22日 

     詳細を見る

    開催年月日: 2023年5月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Kyoto, Japan   国名:日本国  

  3. Time-resolved poly-diagnostics of atmospheric ns He jet discharge 国際会議

    Nikolay Britun, Vladislav Gamaleev, Dennis Christy, Shih-Nan Hsiao, Masaru Hori

    25th International Symposium on Plasma Chemistry (ISPC25)  2023年5月25日 

     詳細を見る

    開催年月日: 2023年5月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Kyoto, Japan   国名:日本国  

  4. Efficacy of cold plasma for strawberry cultivation on fruit ripening process 国際会議

    Hiroshi Hashizume, Shogo Matsumoto, Hitoshi Sakakibara, Kaoru Sanda, Akiko Abe, Hiroko Mizuno, Kenki Tsubota, Mikiko Kojima, Yumiko Takebayashi, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Masafumi Ito, Hidemi

    25th International Symposium on Plasma Chemistry (ISPC25)  2023年5月23日 

     詳細を見る

    開催年月日: 2023年5月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Kyoto, Japan   国名:日本国  

  5. A comparative study on the CF4/H2 and HF/H2 plasmas for etching of highly hydrogenated SiN films 国際会議

    Shih-Nan Hsiao, Nikolay Britun, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    25th International Symposium on Plasma Chemistry (ISPC25)  2023年5月26日 

     詳細を見る

    開催年月日: 2023年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Kyoto, Japan   国名:日本国  

  6. Global and local contribution analysis of process parameters in Plasma enhanced chemical vapor deposition of amorphous carbon har 国際会議

    Yusuke Ando, Jumpei Kurokawa, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    The 4th International Conference on Data Driven Plasma Sciences ( ICDDPS-4 )  2023年4月16日 

     詳細を見る

    開催年月日: 2023年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Okinawa, Japan   国名:日本国  

  7. In-situ analysis of surface reactions in plasma-assisted thermal-cyclic atomic layer etching of thin films 招待有り 国際会議

    Kazunori Shinoda, Katsuya Miura, Kenji Maeda, Masaru Izawa, Thi-Thuy-Nga Nguyen, Kenji Ishikawa, and Masaru Hori

    The 14th EU-Japan Joint Symposium on Plasma Processing ( JSPP-14)   2023年4月20日 

     詳細を見る

    開催年月日: 2023年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Okinawa, Japan   国名:日本国  

  8. High Performances of Plasma-activated Lactated Ringer’s Solution for Medical Treatment 招待有り 国際会議

    Masaru Hori, Hiromasa Tanaka, Camelia Miron, Kenji Ishikawa, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama and Masaaki Mizuno

    The 14th EU-Japan Joint Symposium on Plasma Processing ( JSPP-14)   2023年4月16日 

     詳細を見る

    開催年月日: 2023年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Okinawa, Japan   国名:日本国  

  9. Positive and negative ion behaviors in DC-imposed Ar/SF6 pulsed plasma 国際会議

    Kazuki Toji, Takayoshi Tsutsumi, Kenji Ishikawa, S-N. Hsaio, Makoto Sekine, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月6日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  10. Enhancement Effects of Ambient-Air Glow-Discharge Treatment on Enzymatic Digradation of Lignin 国際会議

    Ryuichi Ohashi, Naoyuki Iwata, Hiroyuki Kato, Yasuhiro Nishikawa, Motoyuki Shimizu, Masashi Kato, Masaru Hori and Masafumi Ito

    ISPlasma2023 / IC-PLANTS2023  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  11. In situ monitoring surface structure during hydrofluorocarbon assisted atomic layer etching of silicon nitride using CF4/H2 and H2 plasmas 国際会議

    Shih-Nan Hsiao, Makoto Sekine and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023年3月6日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Gifu, Japan   国名:日本国  

  12. Positive and negative ion behaviors in DC-imposed Ar/SF6 pulsed plasma 国際会議

    Kazuki Toji, Takayoshi Tsutsumi, Kenji Ishikawa, S-N. Hsaio, Makoto Sekine, and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023年3月6日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  13. Self-limited fluorination of electron-beam-irradiated GaN surface 国際会議

    Yusuke Izumi, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023年3月6日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Gifu, Japan   国名:日本国  

  14. Analysis of Effect of Neutral-Oxygen-Radical Irradiation on Biodegradation of Polyethylene Terephthalate using High-Performance Liquid Chromatography 国際会議

    Daichi Goto, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Masafumi Ito and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  15. In-plane aligned growth of carbon nanowalls by ion irradiation control 国際会議

    Shintaro Iba, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語  

    開催地:Gifu, Japan   国名:日本国  

  16. Morphological effect of carbon nanowalls on exosome capture 国際会議

    Takumi Hashimoto, Hiroki Kondo, Hiromasa Tanaka, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Takao Yasui, Yoshinobu Baba, Mineo Hiramatsu and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  17. Effects of non-equilibrium atmospheric pressure plasma on zebrafish 国際会議

    Yuya Kurebayashi, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Shinichi Akiyama, and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  18. Self-aligned Ohmic Contact Formation Combining Growth of N+-GaN and Ohmic Metalization Using Pulsed Laser Deposition with Picosecond Laser 国際会議

    K. Kodama, M. Miyachi, O. Oda, M. Hori, and D. Ueda

    ISPlasma2023 / IC-PLANTS2023  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  19. Control of generating white core in grains of brewer’s rice cultivar with direct plasma irradiation to spikelet after flowering 国際会議

    Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Kaoru Sanda, Shih-Nan Hsiao, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Yuji Hirosue , Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori.

    ISPlasma2023 / IC-PLANTS2023  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  20. Cold plasma treatment during cultivation affects flesh firmness of strawberry fruits 国際会議

    Hiroshi Hashizume, Kohei Nakano, Kaoru Sanda, Akiko Abe, Hiroko Mizuno, Manasikan Thammawong, Camelia Miron, Nikolay Britun, Teruaki Shimazu, Hiromasa Tanaka, and Masaru Hori.

    ISPlasma2023 / IC-PLANTS2023  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  21. Study on plasma process using adsorbed C7F14 as an etchant 国際会議

    Kohei Masuda,Makoto Sekine, Kenji Ishikawa, Shih-Nan Hsiao,Takayoshi Tsutsumi,Hiroki Kondo, and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023年3月6日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  22. Nano-Pulsed Plasma Study of the Wet Electrode Methods on Hydrogen Generation from High-Resistive Water 国際会議

    Naohiro Shimizu, Britun Nikolay, Reiko Tanaka, Osamu Oda, Ranjit Borude, Hiroki Hosoe, Satoshi Ino, Yosuke Inoue and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Gifu, Japan   国名:日本国  

  23. Morphological control of human mesenchymal stem cells with electrical stimulation on carbon nanowalls. 国際会議

    Yuki Kojima, Hiroki Kondo, Masahiro Tanaka, Kenji Ishikawa, Hiroshi Hashizume, Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Gifu, Japan   国名:日本国  

  24. Characterization of plasmas and polymerized hydrofluorocarbon films in capacitively coupled CF4/H2 plasmas 国際会議

    Yusuke Imai, Shih-Nan Hsiao, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  25. Low temperature plasma chemistry of aqueous solutions of amino acids. A spin trapping study 国際会議

    Takashi Kondo, Hiroshi Hashizume, Jun Kumagai, 1Hiromasa Tanaka, Kenji Ishikawa, Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  26. Contribution analysis of process parameters in plasma-enhanced chemical vapor deposition of amorphous carbon 国際会議

    Yusuke Ando, Jumpei Kurokawa, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Gifu, Japan   国名:日本国  

  27. Multi-diagnostic study of nanosecond atmospheric jet discharge 国際会議

    Nikolay Britun, Peterraj Dennis Christy, Shih-Nan Shiao, Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023年3月9日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Gifu, Japan   国名:日本国  

  28. Etching behavior of lamellar poly(styrene-b-2-vinylpyridine) block copolymer under N2/H2 plasma process 国際会議

    Ma. Shanlene D.C. Dela Vega, Ayane Kitahara, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Atsushi Takano, Yushu Matsushita and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023年3月6日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  29. Study of the behavior of trimethyl gallium and triethyl gallium by OES and QMS for the growth of GaN by REMOCVD (radical-enhanced metalorganic chemical vapor deposition) 国際会議

    Arun Kumar Dhasiyan, Swathy Jayaprasad, Frank Wilson Amalraj, Naohiro Shimizu, Osamu Oda, Kenji Ishikawa and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Gifu, Japan   国名:日本国  

  30. Etching silicon oxide, silicon nitride, and polysilicon films in CH2FCHF2 hydrofluorocarbon plasma 国際会議

    Trung-Nguyen Tran,Thi-Thuy-Nga Nguyen,Kenji Ishikawa, Shih-Nan Hsiao,Toshio Hayashi,Makoto Sekine, and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023年3月6日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  31. Non-halogen plasma etching of metal gate TiAlC 国際会議

    Thi-Thuy-Nga Nguyen, Kazunori Shinoda, Shih-Nan Hsiao, Hirotaka Hamamura, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, Kenji Ishikawa, and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023年3月6日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  32. Synthesis and characteristics of carbon nanowalls by combining different plasma methods 国際会議

    Ngo Quang Minh, Ngo Van Nong, Osamu Oda, and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023年3月6日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Gifu, Japan   国名:日本国  

  33. Investigation of anti-tumor effect mechanism by plasma irradiated L-Arginine solution 国際会議

    Liyin Du, Carmelia Miron, Saitoshi Kashiwagura, Takashi Kondo, Hiromasa Tanaka, Kenji Ishikawa, Masaaki Mizuno, Shinya Toyokuni, Hiroaki Kajiyama, and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Gifu, Japan   国名:日本国  

  34. Plasma-driven science for emerging plasma-processing technologies 招待有り 国際会議

    Kenji Ishikawa, Hiromasa Tanaka, Hirokazu Hara, Shin-ichi Kondo, Masafumi Ito, Kazunori Koga, Masaharu Shiratani, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月9日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Gifu, Japan   国名:日本国  

  35. Effect of Deposition Temperature for Cubic Boron Nitride Film by Electron Beam Excited Plasma 国際会議

    Koji Yamakawa, Hiroyuki Yamamoto, Shoji Den, Seigo Takashima, Masanao Iwata, Kenji Ishikawa and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  36. Mass Spectrometric Study of Ions and Neutral Species Generated by a High-Density Atmospheric-Pressure Plasma Source 国際会議

    Kyosuke Sugie, Jun-Seok Oh, Hiromasa Tanaka, Masaru Hori and Masafumi Ito

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  37. Effects of Non-Equilibrium Atmospheric Pressure Plasma on Zebrafish 国際会議

    Yuya Kurebayashi, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Shinichi Akiyama and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  38. Analysis of Effect of Neutral-Oxygen-Radical Irradiation on Biodegradation of Polyethylene Terephthalate Using High-Performance Liquid Chromatography 国際会議

    Daichi Goto, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Masafumi Ito and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  39. Effect of Lactate Activated with Nitrogen and Oxygen Radicals on Inactivation of Breast Cancer Cells 国際会議

    Taiga Nishida, Naoyuki Iwata, Tomiyasu Murata, Hiromasa Tanaka, Masaru Hori and Masafumi Ito

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  40. loping Observation of Supported Lipid Bilayer in Oxygen-Radical Activated L-Tryptophan Solution Using Atomic Force Microscope 国際会議

    Takashi Namizaki, Naoyuki Iwata, Ryugo Tero, Hiroki Kondo, Masaru Hori and Masafumi Ito

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  41. Intracellular Fluorescent of Nitric Oxide in Fibroblasts Irradiated by Electrically Neutral Radical 国際会議

    Yasumasa Mori, Naoyuki Iwata, Tomiyasu Murata, Masaru Hori and Masafumi Ito

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  42. Effects of Plasma Treated Water on Papaya Seed Germination 国際会議

    Deng-Ke Xi, Xian-Hui Zhang, Si-Ze Yang, Seong Shan Yap, Kenji Ishikawa, Masaru Hori and Seong Ling Yap

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  43. Enhancement of Reacation Efficiency of Ambient-Air Glow Discharge with Carboxymethyl-Cellulose by Narrowing Solution-Flow Path 国際会議

    Kazuma Okamoto, Hiroyuki Kato, Masahiro Maebayashi, Motoyuki Shimizu, Masashi Kato, Masaru Hori and Masafumi Ito

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  44. Enhancement Effects of Ambient-Air Glow-Discharge Treatment on Enzymatic Digradation of Lignin 国際会議

    Ryuichi Ohashi, Naoyuki Iwata, Hiroyuki Kato, Yasuhiro Nishikawa, Motoyuki Shimizu, Masashi Kato, Masaru Hori and Masafumi Ito

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  45. In situ monitoring surface structure during hydrofluorocarbon assisted atomic layer etching of silicon nitride using CF4/H2 and H2 plasmas 国際会議

    Shih-Nan Hsiao, Makoto Sekine and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月6日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Gifu, Japan   国名:日本国  

  46. Enhancement of Reacation Efficiency of Ambient-Air Glow Discharge with Carboxymethyl-Cellulose by Narrowing Solution-Flow Path 国際会議

    Kazuma Okamoto, Hiroyuki Kato, Masahiro Maebayashi, Motoyuki Shimizu, Masashi Kato, Masaru Hori and Masafumi Ito

    ISPlasma2023 / IC-PLANTS2023  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  47. Study of the behavior of trimethyl gallium and triethyl gallium by OES and QMS for the growth of GaN by REMOCVD (radical-enhanced metalorganic chemical vapor deposition) 国際会議

    Arun Kumar Dhasiyan, Swathy Jayaprasad, Frank Wilson Amalraj, Naohiro Shimizu, Osamu Oda, Kenji Ishikawa and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Gifu, Japan   国名:日本国  

  48. Etching silicon oxide, silicon nitride, and polysilicon films in CH2FCHF2 hydrofluorocarbon plasma 国際会議

    Trung-Nguyen Tran,Thi-Thuy-Nga Nguyen,Kenji Ishikawa, Shih-Nan Hsiao,Toshio Hayashi,Makoto Sekine, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月6日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  49. Non-halogen plasma etching of metal gate TiAlC 国際会議

    Thi-Thuy-Nga Nguyen, Kazunori Shinoda, Shih-Nan Hsiao, Hirotaka Hamamura, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, Kenji Ishikawa, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月6日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  50. Synthesis and characteristics of carbon nanowalls by combining different plasma methods 国際会議

    Ngo Quang Minh, Ngo Van Nong, Osamu Oda, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月6日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Gifu, Japan   国名:日本国  

  51. Investigation of anti-tumor effect mechanism by plasma irradiated L-Arginine solution 国際会議

    Liyin Du, Carmelia Miron, Saitoshi Kashiwagura, Takashi Kondo, Hiromasa Tanaka, Kenji Ishikawa, Masaaki Mizuno, Shinya Toyokuni, Hiroaki Kajiyama, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Gifu, Japan   国名:日本国  

  52. Plasma-driven science for emerging plasma-processing technologies 招待有り 国際会議

    Kenji Ishikawa, Hiromasa Tanaka, Hirokazu Hara, Shin-ichi Kondo, Masafumi Ito, Kazunori Koga, Masaharu Shiratani, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月9日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Gifu, Japan   国名:日本国  

  53. Effect of Deposition Temperature for Cubic Boron Nitride Film by Electron Beam Excited Plasma 国際会議

    Koji Yamakawa, Hiroyuki Yamamoto, Shoji Den, Seigo Takashima, Masanao Iwata, Kenji Ishikawa and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  54. Mass Spectrometric Study of Ions and Neutral Species Generated by a High-Density Atmospheric-Pressure Plasma Source 国際会議

    Kyosuke Sugie, Jun-Seok Oh, Hiromasa Tanaka, Masaru Hori and Masafumi Ito

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  55. Effects of Non-Equilibrium Atmospheric Pressure Plasma on Zebrafish 国際会議

    Yuya Kurebayashi, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Shinichi Akiyama and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  56. Analysis of Effect of Neutral-Oxygen-Radical Irradiation on Biodegradation of Polyethylene Terephthalate Using High-Performance Liquid Chromatography 国際会議

    Daichi Goto, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Masafumi Ito and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  57. Effect of Lactate Activated with Nitrogen and Oxygen Radicals on Inactivation of Breast Cancer Cells 国際会議

    Taiga Nishida, Naoyuki Iwata, Tomiyasu Murata, Hiromasa Tanaka, Masaru Hori and Masafumi Ito

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  58. loping Observation of Supported Lipid Bilayer in Oxygen-Radical Activated L-Tryptophan Solution Using Atomic Force Microscope 国際会議

    Takashi Namizaki, Naoyuki Iwata, Ryugo Tero, Hiroki Kondo, Masaru Hori and Masafumi Ito

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  59. Intracellular Fluorescent of Nitric Oxide in Fibroblasts Irradiated by Electrically Neutral Radical 国際会議

    Yasumasa Mori, Naoyuki Iwata, Tomiyasu Murata, Masaru Hori and Masafumi Ito

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  60. Effects of Plasma Treated Water on Papaya Seed Germination 国際会議

    Deng-Ke Xi, Xian-Hui Zhang, Si-Ze Yang, Seong Shan Yap, Kenji Ishikawa, Masaru Hori and Seong Ling Yap

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  61. Enhancement of Reacation Efficiency of Ambient-Air Glow Discharge with Carboxymethyl-Cellulose by Narrowing Solution-Flow Path 国際会議

    Kazuma Okamoto, Hiroyuki Kato, Masahiro Maebayashi, Motoyuki Shimizu, Masashi Kato, Masaru Hori and Masafumi Ito

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  62. Enhancement Effects of Ambient-Air Glow-Discharge Treatment on Enzymatic Digradation of Lignin 国際会議

    Ryuichi Ohashi, Naoyuki Iwata, Hiroyuki Kato, Yasuhiro Nishikawa, Motoyuki Shimizu, Masashi Kato, Masaru Hori and Masafumi Ito

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  63. In situ monitoring surface structure during hydrofluorocarbon assisted atomic layer etching of silicon nitride using CF4/H2 and H2 plasmas 国際会議

    Shih-Nan Hsiao, Makoto Sekine and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月6日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Gifu, Japan   国名:日本国  

  64. Positive and negative ion behaviors in DC-imposed Ar/SF6 pulsed plasma 国際会議

    Kazuki Toji, Takayoshi Tsutsumi, Kenji Ishikawa, S-N. Hsaio, Makoto Sekine, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月6日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  65. Self-limited fluorination of electron-beam-irradiated GaN surface 国際会議

    Yusuke Izumi, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月6日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Gifu, Japan   国名:日本国  

  66. Analysis of Effect of Neutral-Oxygen-Radical Irradiation on Biodegradation of Polyethylene Terephthalate using High-Performance Liquid Chromatography 国際会議

    Daichi Goto, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Masafumi Ito and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  67. In-plane aligned growth of carbon nanowalls by ion irradiation control 国際会議

    Shintaro Iba, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語  

    開催地:Gifu, Japan   国名:日本国  

  68. Morphological effect of carbon nanowalls on exosome capture 国際会議

    Takumi Hashimoto, Hiroki Kondo, Hiromasa Tanaka, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Takao Yasui, Yoshinobu Baba, Mineo Hiramatsu and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  69. Effects of non-equilibrium atmospheric pressure plasma on zebrafish 国際会議

    Yuya Kurebayashi, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Shinichi Akiyama, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  70. Self-aligned Ohmic Contact Formation Combining Growth of N+-GaN and Ohmic Metalization Using Pulsed Laser Deposition with Picosecond Laser 国際会議

    K. Kodama, M. Miyachi, O. Oda, M. Hori, and D. Ueda

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  71. Control of generating white core in grains of brewer’s rice cultivar with direct plasma irradiation to spikelet after flowering 国際会議

    Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Kaoru Sanda, Shih-Nan Hsiao, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Yuji Hirosue , Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori.

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  72. Cold plasma treatment during cultivation affects flesh firmness of strawberry fruits 国際会議

    Hiroshi Hashizume, Kohei Nakano, Kaoru Sanda, Akiko Abe, Hiroko Mizuno, Manasikan Thammawong, Camelia Miron, Nikolay Britun, Teruaki Shimazu, Hiromasa Tanaka, and Masaru Hori.

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  73. Study on plasma process using adsorbed C7F14 as an etchant 国際会議

    Kohei Masuda,Makoto Sekine, Kenji Ishikawa, Shih-Nan Hsiao,Takayoshi Tsutsumi,Hiroki Kondo, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月6日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  74. Nano-Pulsed Plasma Study of the Wet Electrode Methods on Hydrogen Generation from High-Resistive Water 国際会議

    Naohiro Shimizu, Britun Nikolay, Reiko Tanaka, Osamu Oda, Ranjit Borude, Hiroki Hosoe, Satoshi Ino, Yosuke Inoue and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Gifu, Japan   国名:日本国  

  75. Morphological control of human mesenchymal stem cells with electrical stimulation on carbon nanowalls. 国際会議

    Yuki Kojima, Hiroki Kondo, Masahiro Tanaka, Kenji Ishikawa, Hiroshi Hashizume, Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Gifu, Japan   国名:日本国  

  76. Characterization of plasmas and polymerized hydrofluorocarbon films in capacitively coupled CF4/H2 plasmas 国際会議

    Yusuke Imai, Shih-Nan Hsiao, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  77. Low temperature plasma chemistry of aqueous solutions of amino acids. A spin trapping study 国際会議

    Takashi Kondo, Hiroshi Hashizume, Jun Kumagai, 1Hiromasa Tanaka, Kenji Ishikawa, Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  78. Contribution analysis of process parameters in plasma-enhanced chemical vapor deposition of amorphous carbon 国際会議

    Yusuke Ando, Jumpei Kurokawa, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Gifu, Japan   国名:日本国  

  79. Multi-diagnostic study of nanosecond atmospheric jet discharge 国際会議

    Nikolay Britun, Peterraj Dennis Christy, Shih-Nan Shiao, Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月9日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Gifu, Japan   国名:日本国  

  80. Etching behavior of lamellar poly(styrene-b-2-vinylpyridine) block copolymer under N2/H2 plasma process 国際会議

    Ma. Shanlene D.C. Dela Vega, Ayane Kitahara, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Atsushi Takano, Yushu Matsushita and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月6日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  81. Study of the behavior of trimethyl gallium and triethyl gallium by OES and QMS for the growth of GaN by REMOCVD (radical-enhanced metalorganic chemical vapor deposition) 国際会議

    Arun Kumar Dhasiyan, Swathy Jayaprasad, Frank Wilson Amalraj, Naohiro Shimizu, Osamu Oda, Kenji Ishikawa and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Gifu, Japan   国名:日本国  

  82. Etching silicon oxide, silicon nitride, and polysilicon films in CH2FCHF2 hydrofluorocarbon plasma 国際会議

    Trung-Nguyen Tran,Thi-Thuy-Nga Nguyen,Kenji Ishikawa, Shih-Nan Hsiao,Toshio Hayashi,Makoto Sekine, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月6日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  83. Non-halogen plasma etching of metal gate TiAlC 国際会議

    Thi-Thuy-Nga Nguyen, Kazunori Shinoda, Shih-Nan Hsiao, Hirotaka Hamamura, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, Kenji Ishikawa, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月6日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  84. Synthesis and characteristics of carbon nanowalls by combining different plasma methods 国際会議

    Ngo Quang Minh, Ngo Van Nong, Osamu Oda, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月6日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Gifu, Japan   国名:日本国  

  85. Investigation of anti-tumor effect mechanism by plasma irradiated L-Arginine solution 国際会議

    Liyin Du, Carmelia Miron, Saitoshi Kashiwagura, Takashi Kondo, Hiromasa Tanaka, Kenji Ishikawa, Masaaki Mizuno, Shinya Toyokuni, Hiroaki Kajiyama, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Gifu, Japan   国名:日本国  

  86. Plasma-driven science for emerging plasma-processing technologies 招待有り 国際会議

    Kenji Ishikawa, Hiromasa Tanaka, Hirokazu Hara, Shin-ichi Kondo, Masafumi Ito, Kazunori Koga, Masaharu Shiratani, and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023年3月9日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Gifu, Japan   国名:日本国  

  87. Effect of Deposition Temperature for Cubic Boron Nitride Film by Electron Beam Excited Plasma 国際会議

    Koji Yamakawa, Hiroyuki Yamamoto, Shoji Den, Seigo Takashima, Masanao Iwata, Kenji Ishikawa and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  88. Mass Spectrometric Study of Ions and Neutral Species Generated by a High-Density Atmospheric-Pressure Plasma Source 国際会議

    Kyosuke Sugie, Jun-Seok Oh, Hiromasa Tanaka, Masaru Hori and Masafumi Ito

    ISPlasma2023 / IC-PLANTS2023  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  89. Effects of Non-Equilibrium Atmospheric Pressure Plasma on Zebrafish 国際会議

    Yuya Kurebayashi, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Shinichi Akiyama and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  90. Analysis of Effect of Neutral-Oxygen-Radical Irradiation on Biodegradation of Polyethylene Terephthalate Using High-Performance Liquid Chromatography 国際会議

    Daichi Goto, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Masafumi Ito and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  91. Effect of Lactate Activated with Nitrogen and Oxygen Radicals on Inactivation of Breast Cancer Cells 国際会議

    Taiga Nishida, Naoyuki Iwata, Tomiyasu Murata, Hiromasa Tanaka, Masaru Hori and Masafumi Ito

    ISPlasma2023 / IC-PLANTS2023  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  92. loping Observation of Supported Lipid Bilayer in Oxygen-Radical Activated L-Tryptophan Solution Using Atomic Force Microscope 国際会議

    Takashi Namizaki, Naoyuki Iwata, Ryugo Tero, Hiroki Kondo, Masaru Hori and Masafumi Ito

    ISPlasma2023 / IC-PLANTS2023  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  93. Intracellular Fluorescent of Nitric Oxide in Fibroblasts Irradiated by Electrically Neutral Radical 国際会議

    Yasumasa Mori, Naoyuki Iwata, Tomiyasu Murata, Masaru Hori and Masafumi Ito

    ISPlasma2023 / IC-PLANTS2023  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  94. Effects of Plasma Treated Water on Papaya Seed Germination 国際会議

    Deng-Ke Xi, Xian-Hui Zhang, Si-Ze Yang, Seong Shan Yap, Kenji Ishikawa, Masaru Hori and Seong Ling Yap

    ISPlasma2023 / IC-PLANTS2023  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  95. Etching behavior of lamellar poly(styrene-b-2-vinylpyridine) block copolymer under N2/H2 plasma process 国際会議

    Ma. Shanlene D.C. Dela Vega, Ayane Kitahara, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Atsushi Takano, Yushu Matsushita and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月6日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  96. Self-limited fluorination of electron-beam-irradiated GaN surface 国際会議

    Yusuke Izumi, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月6日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Gifu, Japan   国名:日本国  

  97. Analysis of Effect of Neutral-Oxygen-Radical Irradiation on Biodegradation of Polyethylene Terephthalate using High-Performance Liquid Chromatography 国際会議

    Daichi Goto, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Masafumi Ito and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  98. In-plane aligned growth of carbon nanowalls by ion irradiation control 国際会議

    Shintaro Iba, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語  

    開催地:Gifu, Japan   国名:日本国  

  99. Morphological effect of carbon nanowalls on exosome capture 国際会議

    Takumi Hashimoto, Hiroki Kondo, Hiromasa Tanaka, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Takao Yasui, Yoshinobu Baba, Mineo Hiramatsu and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  100. Effects of non-equilibrium atmospheric pressure plasma on zebrafish 国際会議

    Yuya Kurebayashi, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Shinichi Akiyama, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  101. Self-aligned Ohmic Contact Formation Combining Growth of N+-GaN and Ohmic Metalization Using Pulsed Laser Deposition with Picosecond Laser 国際会議

    K. Kodama, M. Miyachi, O. Oda, M. Hori, and D. Ueda

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  102. Control of generating white core in grains of brewer’s rice cultivar with direct plasma irradiation to spikelet after flowering 国際会議

    Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Kaoru Sanda, Shih-Nan Hsiao, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Yuji Hirosue , Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori.

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  103. Cold plasma treatment during cultivation affects flesh firmness of strawberry fruits 国際会議

    Hiroshi Hashizume, Kohei Nakano, Kaoru Sanda, Akiko Abe, Hiroko Mizuno, Manasikan Thammawong, Camelia Miron, Nikolay Britun, Teruaki Shimazu, Hiromasa Tanaka, and Masaru Hori.

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  104. Study on plasma process using adsorbed C7F14 as an etchant 国際会議

    Kohei Masuda,Makoto Sekine, Kenji Ishikawa, Shih-Nan Hsiao,Takayoshi Tsutsumi,Hiroki Kondo, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月6日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  105. Nano-Pulsed Plasma Study of the Wet Electrode Methods on Hydrogen Generation from High-Resistive Water 国際会議

    Naohiro Shimizu, Britun Nikolay, Reiko Tanaka, Osamu Oda, Ranjit Borude, Hiroki Hosoe, Satoshi Ino, Yosuke Inoue and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Gifu, Japan   国名:日本国  

  106. Morphological control of human mesenchymal stem cells with electrical stimulation on carbon nanowalls. 国際会議

    Yuki Kojima, Hiroki Kondo, Masahiro Tanaka, Kenji Ishikawa, Hiroshi Hashizume, Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Gifu, Japan   国名:日本国  

  107. Characterization of plasmas and polymerized hydrofluorocarbon films in capacitively coupled CF4/H2 plasmas 国際会議

    Yusuke Imai, Shih-Nan Hsiao, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  108. Low temperature plasma chemistry of aqueous solutions of amino acids. A spin trapping study 国際会議

    Takashi Kondo, Hiroshi Hashizume, Jun Kumagai, 1Hiromasa Tanaka, Kenji Ishikawa, Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gifu, Japan   国名:日本国  

  109. Contribution analysis of process parameters in plasma-enhanced chemical vapor deposition of amorphous carbon 国際会議

    Yusuke Ando, Jumpei Kurokawa, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Gifu, Japan   国名:日本国  

  110. Multi-diagnostic study of nanosecond atmospheric jet discharge 国際会議

    Nikolay Britun, Peterraj Dennis Christy, Shih-Nan Shiao, Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023年3月9日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Gifu, Japan   国名:日本国  

  111. Challenges of Plasma Science and Technology for Green Semiconductor Manufacturing 招待有り 国際会議

    Masaru Hori

    International Symposium on Semiconductor Manufacturing 2022  2022年12月12日 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Tokyo, Japan   国名:日本国  

  112. Plasma diagnostics and characteristics of hydrofluorocarbon films in capacitively coupled CF4/H2 plasmas 招待有り 国際会議

    Shih-Nan Hsiao, Yusuke Imai, Nicolay Britun, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    International Symposium on Semiconductor Manufacturing 2022  2022年12月13日 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Tokyo, Japan   国名:日本国  

  113. 低温プラズマ科学の魅力 招待有り

    堀勝

    岐阜大学工学部付属 プラズマ応用研究センター開所式  2022年12月7日 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:Gifu, Japan  

  114. Investigation of anti-tumor effect mechanism by plasma treated L-Arginine solutions 国際会議

    Liyin DU, Camelia MIRON, Satoshi KASHIWAGURA, Takashi KONDO, Hiromasa TANAKA, Kenji ISHIKAWA, Masaaki MIZUNO, Shinya TOYOKUNI, Hiroaki KAJIYAMA, Masaru HORI

    2022年12月7日 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Yokohama, Japan   国名:日本国  

  115. Plasma-activated solutions in plasma life science 国際会議

    Hiromasa TANAKA, Masaaki MIZUNO, Kenji ISHIKAWA, Hiroaki KAJIYAMA, Shinya TOYOKUNI, Fumitaka KIKKAWA, Masaru HORI

    2022年12月7日 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Yokohama, Japan   国名:日本国  

  116. Ion Induced Damage in Plasma Enhanced Atomic Layer Etching Processing 国際会議

    Liyin DU, Camelia MIRON, Satoshi KASHIWAGURA, Takashi KONDO, Hiromasa TANAKA, Kenji ISHIKAWA, Masaaki MIZUNO, Shinya TOYOKUNI, Hiroaki KAJIYAMA, Masaru HORI

    Takayoshi TSUTSUMI, Hiroki KONDO, Kenji ISHIKAWA, Makoto SEKINE, Masaru HORI  2022年12月5日 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Yokohama, Japan   国名:日本国  

  117. Time-resolved measurement of ion energy distribution in pulsed Ar/SF6 plasma 国際会議

    Kazuki TOJI, Kenji ISHIKAWA, Takayoshi TSUTSUMI, Shih nan HSIAO, Makoto SEKINE, Masaru HORI

    2022年12月5日 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Yokohama, Japan   国名:日本国  

  118. Plasama-activated organics in lactated solution irradiated N2-added plasma 国際会議

    Satoshi KASHIWAGURA, Naoyuki IWATA, Kenji ISHIKAWA, Camelia MIRON, Hiroshi HASHIZUME, Masaru HORI

    2022年12月6日 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Yokohama, Japan   国名:日本国  

  119. Isotropic Plasma-enhanced Atomic Layer Etching of SiO2 using F radicals and Ar plasma 国際会議

    A. Osonio, T. Tsutsumi, B. Mukherjee, R. Borude, N. Kobayashi, and M. Hori

    The 43rd International Symposium on Dry Process (DPS2022)  2022年11月25日 

     詳細を見る

    開催年月日: 2022年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Osaka, Japan   国名:日本国  

  120. Plasma diagnostics of the F and O radical density in dual-frequency capacitively coupled discharges with CF4/H2 国際会議

    N. Britun, S. N. Hsiao, M. Sekine, M. Hori

    The 43rd International Symposium on Dry Process (DPS2022)  2022年11月25日 

     詳細を見る

    開催年月日: 2022年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Osaka, Japan   国名:日本国  

  121. Selective dry etching of TiAlC over TiN using N2/H2 plasma chemistry 国際会議

    Thi-Thuy-Nga Nguyen, Kazunori Shinoda, Hirotaka Hamamura, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, Kenji Ishikawa, and Masaru Hori

    AVS 68th International Symposium & Exhibition  2022年11月9日  Pittsburgh, USA

     詳細を見る

    開催年月日: 2022年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  122. Impact of Bias Power and Oxygen Addition on Selective Dry Etching of TiAlC over TiN Using N2/H2-based Plasmas 国際会議

    Kenji Ishikawa, T. Nguyen, K. Shinoda, H. Hamamura, K. Maeda, K. Yokogawa, M. Izawa, M. Hori

    AVS 68th International Symposium & Exhibition  2022年11月9日  Pittsburgh, USA

     詳細を見る

    開催年月日: 2022年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  123. Synthesis, Functionalization, and Three-Dimensional Structuring of Carbon Nanomaterials By Gas-Liquid Interface Plasma 招待有り 国際会議

    H. Kondo, T. Tsutsumi, K. Ishikawa, M. Sekine, and M. Hori

    242nd ECS Meeting  2022年10月10日 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Atlanta,   国名:アメリカ合衆国  

  124. Evolution of Reactive Plasma Processes by Radical Control 招待有り 国際会議

    Masaru Hori

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022年10月5日 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Sendai   国名:日本国  

  125. Inactivation of Breast Cancer Cells using Nitrogen-Oxygen-Radical-Activated Lactate Ringer's Solution 国際会議

    Taiga Nishida, Naoyuki Iwata, Tomiyasu Murata, Hiromasa Tanaka, Masaru Hori, Masafumi Ito

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022年10月5日 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Sendai, Japan   国名:日本国  

  126. Degradation of lignin model compounds using ambient-air glow discharge 国際会議

    Ryuichi Ohashi, Naoyuki Iwata, Hiroyuki Kato, Motoyuki Shimizu, Masashi Kato, Masaru Hori, Masafumi Ito

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022年10月5日 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Sendai, Japan   国名:日本国  

  127. Viscous reduction of carboxymethyl cellulose treated with ambient-air glow discharge using peristaltic pumps 国際会議

    Kazuma Okamoto, Masahiro Maebayashi, Motoyuki Shimizu, Masashi Kato, Masaru Hori, Masafumi Ito

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022年10月5日 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Sendai, Japan   国名:日本国  

  128. Growth promotion of Arabidopsis thaliana using oxygen-radical-treated L-tryptophan solution 国際会議

    Araki Shota, Tomomichi Ota, Hironaka Tsukagoshi, Naoyuki Iwata, Masaru Hori, Masafumi Ito

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022年10月5日 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Sendai, Japan   国名:日本国  

  129. Spatiotemporal distribution measurements of ozone in the gas and liquid phases generated by non-equilibrium atmospheric pressure radical source 国際会議

    Hiromi Alwi Yamamoto, Masaru Hori, Masafumi Ito

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022年10月5日 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Sendai, Japan   国名:日本国  

  130. Biological effects of the combination with low temperature plasmas and nanoparticles-platinum and gold-Plasma Medical & Agricultural Application II 国際会議

    Kenji Ishikawa, Takashi Kondo, Hiromasa Tanaka, Masaru Hori, Shinya Toyokuni, Masaaki Mizuno

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022年10月7日 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Sendai, Japan   国名:日本国  

  131. Achieving selective etching of SiN and SiO2 over amorphous carbon during CF4/H2 by controlling substrate temperature 国際会議

    Shih-Nan Hsiao, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022年10月6日 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Sendai, Japan   国名:日本国  

  132. Damage mitigation in atomic layer etching of GaN by cyclic exposure of BCl3 gas and F2 added Ar plasma at high substrate temperature 国際会議

    Shohei Nakamura, Atsushi Tanide, Masafumi Kawagoe, Soichi Nadahara, Kenji Ishikawa, Osamu Oda, Masaru Hori

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022年10月5日 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Sendai, Japan   国名:日本国  

  133. Properties of an atmospheric He-based nanosecond jet discharge 国際会議

    Nikolay Britun, Peterraj Dennis Christy, Vladislav Gamaleev, Shih-Nan Hsiao, Masaru

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022年10月6日 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Sendai   国名:日本国  

  134. Topographically-selective atomic layer etching of SiO2 using fluorine-containing plasma 国際会議

    Airah Osonio, Takayoshi Tsutsumi, Bablu Mukherjee, Ranjit Borude, Nobuyoshi Kobayashi, and Masaru Hori

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022年10月5日 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Sendai   国名:日本国  

  135. Deposition mechanism of hydrogenated amorphous carbon film by C3H6/H2 mixture gas plasma 国際会議

    Hiroki Kondo, Jumpei Kurokawa, Takayoshi Tustsumi, Makoto Sekine, Kenji Ishikawa, Masaru Hori

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022年10月4日 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Sendai   国名:日本国  

  136. Comprehensive analysis of gene expression in PAL-treated glioblastoma cells 国際会議

    Hiromasa Tanaka, Masaaki Mizuno, Ayako Tanaka, Yuki Shibata, Kenji Ishikawa, Hiroki Kondo, Hiroshi Hashizume, Camelia Miron, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaru Hori

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022年10月4日 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Sendai   国名:日本国  

  137. Various approaches of cold plasma treatment to brewer’s rice plant for improvement of grain quality 国際会議

    Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Kaoru Sanda, Genki Yuasa, Satoe Tohno, Shih-Nan Hsiao, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Yoji Hirosue, Masayoshi Maeshima, Masaaki Mizuno, Masaru Hor

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022年10月7日 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Sendai   国名:日本国  

  138. Novel Hydrogen Generation Study Applying Rebound Tailing Pulse and Wet Electrode Methods 招待有り 国際会議

    Naohiro Shimizu, Osamu Oda, Ranjit R. Borude, Reiko Tanaka, Kenji Ishikawa, Hiroki Hosoe, Satoshi Ino, Yosuke Inoue, and Masaru Hori

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022年10月3日 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Sendai   国名:日本国  

  139. Langmuir probe and Laser Photodetachment Study of Afterglow Phase in Dual RF Frequency Pulsed Plasma Etching Processes Operated with Synchronized DC Bias 招待有り 国際会議

    Makoto Sekine, Bibhuti B Sahu, Shogo Hattori, Takayoshi Tsutsumi, Nikolay Britun, Kenji Ishikawa, Hirohiko Tanaka, Taku Gohira, Noriyasu Ohno, Masaru Hori

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022年10月7日 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Sendai   国名:日本国  

  140. Development of an experimental system for cell viability assays of yeasts using gas-temperature controllable plasma jets 国際会議

    Shinji Yoshimura, Yoko Otsubo, Akira Yamashita, Katsuki Johzuka, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022年10月4日 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Sendai, Japan   国名:日本国  

  141. Dependence of depth in liquid and gas-flow-rate ratio irradiated with nitric-oxide radicals on proliferation of fibroblast cells 国際会議

    Yasumasa Mori, Naoyuki Iwata, Tomiyasu Murata, Masaru Hori, Masafumi Ito

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022年10月4日 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Sendai, Japan   国名:日本国  

  142. Highly efficient exosome capture by carbon nanowalls template 国際会議

    Takumi Hashimoto, Hiroki Kondo, Hiromasa Tanaka, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Takao Yasui, Yoshinobu Baba, Mineo Hiramatsu, Masaru Hori

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022年10月4日 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  143. プラズマ活性溶液による細胞運命の制御

    田中 宏昌, 水野 正明, 石川 健治, 梶山 広明, 豊國 伸哉, 吉川 史隆, 堀 勝

    2022年 第83回 応用物理学会 秋季学術講演  2022年9月21日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:仙台   国名:日本国  

  144. 酸素ラジカル処理L-トリプトファン溶液の成長促進生成物の評価

    荒木 祥多、太田 智通、塚越 啓央、岩田 直幸、堀 勝、伊藤 昌文

    2022年 第83回 応用物理学会 秋季学術講演  2022年9月20日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:仙台   国名:日本国  

  145. 大気圧空気グロープラズマ処理カルボキシメチルセルロース溶液粘度のぜん動ポンプ送液速度依存性

    2022年 第83回 応用物理学会 秋季学術講演  2022年9月20日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:仙台   国名:日本国  

  146. 酸化窒素ラジカル活性化乳酸リンゲル液の乳がん細胞に対する選択的不活性化効果

    西田 大河、岩田 直幸、村田 富保、田中 宏昌、堀 勝、伊藤 昌文

    2022年 第83回 応用物理学会 秋季学術講演  2022年9月20日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:仙台   国名:日本国  

  147. プラズマアシスト熱サイクル法を用いたSiGeのセルフリ ミティング性サイクルエッチング

    篠田 和典, 三浦 勝哉, 前田 賢治, 伊澤 勝, NGUYEN Thi-Thuy-Nga, 石川 健治, 堀 勝

    2022年 第83回 応用物理学会 秋季学術講演  2022年9月22日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:仙台   国名:日本国  

  148. 水素化アモルファスカーボン薄膜の合成機構における活 性種の寄与度の機械学習を用いた解析

    近藤 博基, 黒川 純平, 堤 隆嘉, 関根 誠, 石川 健治, 堀 勝

    2022年 第83回 応用物理学会 秋季学術講演  2022年9月23日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:仙台   国名:日本国  

  149. イオン照射角制御によるカーボンナノウォールの配向成長

    射場 信太朗, 近藤 博基, 石川 健治, 堤 隆嘉, 平松 美根男, 関根 誠, 堀 勝

    2022年 第83回 応用物理学会 秋季学術講演  2022年9月23日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:仙台   国名:日本国  

  150. 非平衡大気圧ラジカル源で生成されたオゾンの気相および液相の時空間分布測定

    山本 ヒロミ アルウィ, 堀 勝, 伊藤 昌文

    2022年 第83回 応用物理学会 秋季学術講演  2022年9月20日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:仙台   国名:日本国  

  151. プラズマ活性乳酸リンゲル液によるマクロファージの形質発現誘導

    出野 雄大, 柏倉 慧史, 田中 宏昌, 石川 健治, 橋爪 博司, 中村 香江, 豊國 伸哉, 水野 正明, 梶山 広明, 堀 勝

    2022年 第83回 応用物理学会 秋季学術講演  2022年9月20日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:仙台   国名:日本国  

  152. 一酸化窒素ラジカル照射されたマウス胎児線維芽細胞増殖の液中距離依存性

    森 康雅, 岩田 直幸, 村田 富保, 堀 勝, 伊藤 昌文

    2022年 第83回 応用物理学会 秋季学術講演  2022年9月20日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:仙台   国名:日本国  

  153. ラジカル活性L-フェニルアラニン溶液と線維芽細胞の相互作用

    石川 雄太, 岩田 直幸, 村田 富保, 堀 勝, 伊藤 昌文

    2022年 第83回 応用物理学会 秋季学術講演  2022年9月20日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:仙台   国名:日本国  

  154. リグニンモデル化合物への大気圧グロープラズマ処理時間依存性

    大橋 龍一, 岩田 直幸, 加藤 大志, 志水 元亨, 加藤 雅士, 堀 勝, 伊藤 昌文

    2022年 第83回 応用物理学会 秋季学術講演  2022年9月20日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:仙台   国名:日本国  

  155. 非平衡大気圧プラズマを用いた陸上養殖実現に向けた基礎的研究

    紅林 佑弥, 石川 健治, 田中 宏昌, 秋山 真一, 橋爪 博司, 堀 勝

    2022年 第83回 応用物理学会 秋季学術講演  2022年9月20日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:仙台   国名:日本国  

  156. ウエハプロセスのグリーン化イノベーションに向けた戦 略的挑戦

    堀 勝, 関根 誠, 石川 健治

    2022年 第83回 応用物理学会 秋季学術講演  2022年9月21日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:仙台   国名:日本国  

  157. Optical diagnostics of a nanosecond atmospheric He plasma jet 国際会議

    Nikolay Britun, Peterraj Dennis Christy, Vladislav Gamaleev, Shih-Nan Hsiao, Masaru Hori

    18th International Conference on Plasma Surface Engineering(PSE2022)  2022年9月13日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Erfurt   国名:ドイツ連邦共和国  

  158. In situ monitoring hydrogen fluoride molecular density and its effects on etch selectivity of SiN over SiO2 films with hydrogen-contained fluorocarbon down-flow plasmas 国際会議

    Shih-Nan HsiaoNicolay BritunThi-Thuy-Naga NguyenTakayoshi TsutsumiKenji IshikawaMakoto SekineMasaru Hori

    THE 22ND INTERNATIONAL VACUUM CONGRESS IVC-22  2022年9月13日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  159. Machine learning and contribution analysis of radicals to the properties of hydrogenated amorphous carbon films grown by a plasma-enhanced chemical vapor deposition 国際会議

    Hiroki KondoJumpei KurokawaKenji IshikawaTakayoshi TsutsumiMakoto SekineMasaru Hori

    THE 22ND INTERNATIONAL VACUUM CONGRESS IVC-22  2022年9月13日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  160. Plasma-induced Bioactive Substances and their Medical Applications 招待有り 国際会議

    Masaru Hori

    THE 22ND INTERNATIONAL VACUUM CONGRESS IVC-22  2022年9月13日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:日本国  

  161. Self-aligned Ohmic Contact Formation with Selectively Grown n+ -GaN Layer by Using Picosecond Laser Deposition 国際会議

    K. Kodama, M. Kobayashi*, M. Miyachi*, O. Oda, M. Hori, and D. Ueda

    14th Topical Workshop on Heterostructure Microelectronics(TWHM 2022)  2022年9月1日 

     詳細を見る

    開催年月日: 2022年8月 - 2022年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  162. Development of ultrahigh density non-equilibrium atmospheric pressure plasma and its applications to materials and biotechnology 招待有り 国際会議

    Masaru Hori

    15th Asia Pacific Physics Conference (APPC15)  2022年8月22日 

     詳細を見る

    開催年月日: 2022年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  163. Interfacial reaction of gas-liquid plasma in the synthesis of functional carbon nanomaterials 招待有り 国際会議

    Hiroki KONDO, Takayoshi TSUTSUMI, Kenji ISHIKAWA, Masaru HORI

    The 5th International Union of Materials Research Societies International Conference of Young Researchers on Advanced Materials (IUMRS-ICYRAM2022)  2022年8月4日 

     詳細を見る

    開催年月日: 2022年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Fukuoka, Japan   国名:日本国  

  164. Nanostructure Control and Modification of Poly(styrene-b-2-vinylpyridine) Block Copolymer in H2/N2 Plasma Process 国際会議

    Ma. shanlene Dela Cruz DELA VEGA, Ayane KITAHARA, Thi-thuy-nga NGUYEN, Takayoshi TSUTSUMI, Atsushi TAKANO, Yushu MATSUSHITA, Masaru HORI

    The 5th International Union of Materials Research Societies International Conference of Young Researchers on Advanced Materials (IUMRS-ICYRAM2022)  2022年8月4日 

     詳細を見る

    開催年月日: 2022年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Fukuoka, Japan   国名:日本国  

  165. 設立趣旨と理念 招待有り

    堀勝

    プラズマソサエティ/グリーン・DXプラズマコンソーシアム設立記念講演会  2022年7月1日 

     詳細を見る

    開催年月日: 2022年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:愛知県 名古屋大学   国名:日本国  

  166. Molecular mechanisms of cell death by plasma-activated solutions in glioblastoma cells 招待有り 国際会議

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Camelia Miron, Hiroaki Kajiyama, Shinya Toyokuni, Masaru Hori

    9th International Conference on Plasma Medicine (ICPM9)  2022年6月27日 

     詳細を見る

    開催年月日: 2022年6月 - 2022年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Utrecht, The Netherlands   国名:オランダ王国  

  167. The aqueous plasma therapy for ovarian cancer ~Aiming for controlling disseminated peritoneal metastasis~ 招待有り 国際会議

    Hiroaki Kajiyama, Kae Nakamura, Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Shinya Toyokuni, Masaru Hori

    9th International Conference on Plasma Medicine (ICPM9)  2022年6月30日 

     詳細を見る

    開催年月日: 2022年6月 - 2022年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Utrecht, The Netherlands   国名:オランダ王国  

  168. Physicochemical Investigation of Plasma Activated Liquids Organically Engineered by Cold Atmospheric Pressure Plasma for Cancer Treatment 国際会議

    Camelia Miron, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Massaki Mizuno, Masaru Hori

    9th International Conference on Plasma Medicine (ICPM9)  2022年6月30日 

     詳細を見る

    開催年月日: 2022年6月 - 2022年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Utrecht, The Netherlands   国名:オランダ王国  

  169. Effectiveness of Plasma Treatment for Various Rice Cultivation 国際会議

    Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Kaoru Sanda, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, Masaru Hori

    9th International Conference on Plasma Medicine (ICPM9)  2022年6月27日 

     詳細を見る

    開催年月日: 2022年6月 - 2022年7月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Utrecht, The Netherlands   国名:オランダ王国  

  170. Immunostimulatory Effect of Plasma-Activated Solutions in the Intraperitoneal Environment of Ovarian Cancer 国際会議

    Kae Nakamura, Kazuya Sugiyama, Nobuhisa Yoshikawa, Masato Yoshihara,Tetsuya Matsukawa, Hiromasa Tanaka, Masaaki Mizuno, Shinya Toyokuni, Masaru Hori and Hiroaki Kajiyama

    9th International Conference on Plasma Medicine (ICPM9)  2022年6月28日 

     詳細を見る

    開催年月日: 2022年6月 - 2022年7月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Utrecht, The Netherlands   国名:オランダ王国  

  171. Plasma-Assisted Thermal-Cyclic Etching of Silicon Germanium Selective to Germanium 国際会議

    Kazunori Shinoda, H. Hamamura,K. Maeda, M. Izawa, T. Nguyen, K. Ishikawa, M. Hori,

    AVS 22nd International Conference on Atomic Layer Deposition (ALD 2022), Atomic Layer Etching 2022 Workshop (ALE 2022), (ALD/ALE2022)  2022年6月27日 

     詳細を見る

    開催年月日: 2022年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Ghent, Belgium   国名:ベルギー王国  

  172. Area-Selective Atomic Layer Etching of SiO2 Using Silane Coupling Agent 国際会議

    A. Osonio, Takayoshi Tsutsumi, Nagoya B. Mukherjee, R. Borude, N. Kobayashi, M. Hori,

    AVS 22nd International Conference on Atomic Layer Deposition (ALD 2022), Atomic Layer Etching 2022 Workshop (ALE 2022), (ALD/ALE2022)  2022年6月28日 

     詳細を見る

    開催年月日: 2022年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Ghent, Belgium   国名:ベルギー王国  

  173. Surface Modification for Atomic Layer Etching of TiAlC Using Floating Wire-Assisted Liquid Vapor Plasma at Medium Pressure 国際会議

    Thi-Thuy-Nga Nguyen, K. Shinoda, H. Hamamura, K. Maeda, K. Yokogawa, M. Izawa, K. Ishikawa, M. Hori,

    AVS 22nd International Conference on Atomic Layer Deposition (ALD 2022), Atomic Layer Etching 2022 Workshop (ALE 2022), (ALD/ALE2022)  2022年6月28日 

     詳細を見る

    開催年月日: 2022年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Ghent, Belgium   国名:ベルギー王国  

  174. Study of etching process using CHF3 gas condensed layer in cryogenic region

    Kuangda Sun, Chieh-Ju Liao, Shih-Nan Hsiao, Makoto Sekine, Toshiyuki Sasaki, Chihiro Abe, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori

    1st International Workshop on Plasma Cryo Etching Processes(PlaCEP2022)  2022年5月17日 

     詳細を見る

    開催年月日: 2022年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Orléans, France/ Online   国名:フランス共和国  

  175. Transient Behaviors of Gaseous and Surface Reactions in a Cycle of Pasivation and Etch Steps Using Ar-Based C4F8 and SF6 Plasma 国際会議

    Kenji Ishikawa,Taito Yoshie,Takayoshi Tsutsumi,Hiroki Kondo,Makoto Sekine,Masaru Hori

    MRS Spring Meetings & Exhibits  2022年5月9日 

     詳細を見る

    開催年月日: 2022年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Honolulu. Hawai / Online   国名:アメリカ合衆国  

  176. Growth of High-In Content InGaN Layer by Molecular Beam Epitaxy Under High-Density Nitrogen Radical Irradiation 国際会議

    Hiroki Kondo,Kiyoshi Kuwahara,Arun Dhasiyan,Osamu Oda,Koji Yamakawa,Shoji Den,Yoshihiro Nakai,Masaru Hori

    MRS Spring Meetings & Exhibits  2022年5月10日 

     詳細を見る

    開催年月日: 2022年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Honolulu. Hawai / Online   国名:アメリカ合衆国  

  177. Creation of Plasma Biology by Seamless Radical Control in Gas Phase, Liquid Phase and Biological Systems 国際会議

    Masaru Hori

    MRS Spring Meetings & Exhibits  2022年5月11日 

     詳細を見る

    開催年月日: 2022年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Honolulu. Hawai / Online   国名:アメリカ合衆国  

  178. Gene Expression Analysis of Plasma Activated Ringer’s Lactate Solution Treated Cells 国際会議

    Hiromasa Tanaka,Masaaki Mizuno,Kenji Ishikawa,Hiroshi Hashizume,Kae Nakamura,Hiroaki Kajiyama,Fumitaka Kikkawa,Yasumasa Okazaki,Shinya Toyokuni,Masaru Hori

    MRS Spring Meetings & Exhibits  2022年5月11日 

     詳細を見る

    開催年月日: 2022年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Honolulu. Hawai / Online   国名:アメリカ合衆国  

  179. 稲穂への低温プラズマ照射がもたらす酒造品種玄米の品質向上

    橋爪 博司, 北野 英己, 水野 寛子, 阿部 明子, 三田 薫, 蕭 世男, 湯浅 元気, 東野 里江, 田中 宏昌, 石川 健治, 松本 省吾, 榊原 均, 仁川 進, 前島 正義, 水野 正明, 堀 勝

    2022年第69回 応用物理学会 春季学術講演会 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  180. 網羅的解析に基づくプラズマ活性溶液による細胞死の機構解明

    田中 宏昌, 水野 , 石川 健司, 橋爪 博司, 中村 香江, 梶山 広明, 吉川 史隆, 岡崎 康昌, 豊國 伸哉, 堀 勝

    2022年第69回 応用物理学会 春季学術講演会 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  181. プラズマ照射乳酸リンゲル液の抗腫瘍成分の評価

    伊藤 大貴, 岩田 直幸, 石川 健治, 橋爪 博司, 中村 香江, ミロン カメリア, 田中 宏昌, 梶山 広明, 豊國 伸哉, 水野 正明, 堀 勝

    2022年第69回 応用物理学会 春季学術講演会 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  182. 流液への照射によるプラズマ活性溶液の作製と短寿命活性種の測定

    柏倉 慧史, 岩田 直幸, 石川 健治, 橋爪 博司, 田中 宏昌, 堀 勝

    2022年第69回 応用物理学会 春季学術講演会 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  183. 中性酸素ラジカル源を用いたポリエチレンテレフタレートの生分解速度の向上

    五藤 大智, 岩田 直幸, 石川 健治, 橋爪 博司, 田中 宏昌, 伊藤 昌文, 堀 勝

    2022年第69回 応用物理学会 春季学術講演会 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  184. プラズマ励起化学気相堆積法におけるカーボンナノウォールの配向成長に対するイオン照射角度の効果

    射場 信太朗, 近藤 博基, 石川 健治, 堤 隆嘉, 平松 美根男, 関根 誠, 堀 勝

    2022年第69回 応用物理学会 春季学術講演会 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  185. 高効率エクソソーム解析に向けたカーボンナノウォールテンプレートの 表面電位制御

    橋本 拓海, 近藤 博基, 田中 宏昌, 石川 健治, 堤 隆嘉, 関根 誠, 安井 隆雄, 馬場 嘉信, 平松 美根男, 堀 勝

    2022年第69回 応用物理学会 春季学術講演会 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  186. ラジカル注入型プラズマ励起化学気相堆積法で成長したカーボンナノウォールの3次元構造解析

    近藤 博基, 尾崎 敦士, 堤 隆嘉, 関根 誠, 石川 健治, 堀 勝, 平松 美根男

    2022年第69回 応用物理学会 春季学術講演会 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  187. C3H6 / H2プラズマを用いた水素化アモルファスカーボン成膜における成膜前駆体と膜特性の相関関係

    黒川 純平, 光成 正, 近藤 博基, 堤 隆嘉, 関根 誠, 石川 健治, 堀 勝

    2022年第69回 応用物理学会 春季学術講演会 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  188. 「第43回優秀論文賞受賞記念講演」高アスペクトホールエッチングにおけるストライエーションの形成メカニズム 招待有り

    大村 光広, 橋本 惇一, 足立 昂拓, 近藤 祐介, 石川 勝朗, 阿部 淳子, 酒井 伊都子, 林 久貴, 関根 誠, 堀 勝

    2022年第69回 応用物理学会 春季学術講演会 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン   国名:日本国  

  189. C4F8/SF6ガス変調サイクルにおいてバイアス印加位相がエッチング形状に及ぼす影響

    吉江 泰斗, 堤 隆嘉, 石川 健治, 近藤 博基, 関根 誠, 堀 勝

    2022年第69回 応用物理学会 春季学術講演会 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  190. Ar/F2プラズマとBCl3の交互供給によるAlGaN原子層エッチングでの組成比制御

    中村 昭平, 谷出 敦, 木村 貴弘, 灘原 壮一, 石川 健治, 小田 修, 堀 勝

    2022年第69回 応用物理学会 春季学術講演会 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  191. 窒化ガリウムの基板昇温時サイクルエッチング特性

    南 吏玖, 中村 昭平, 谷出 敦, 石川 健治, 堤 隆嘉, 近藤 博基, 関根 誠, 堀 勝

    2022年第69回 応用物理学会 春季学術講演会 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  192. プラズマ活性媒質におけるプラズマ相互作用:医療分野での応用 招待有り

    堀 勝

    2022年第69回 応用物理学会 春季学術講演会 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン   国名:日本国  

  193. Carbon Layer Formation on Boron Nitride via a Plasma in Hydroquinone Solution 国際会議

    Kenichi Inoue, Noritaka Sakakibara, Taku Goto, Tsuyohito Ito, Yoshiki Shimizu, Kenji Ishikawa, Masaru Hori and KazuoTerashima

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:online   国名:日本国  

  194. Atomic layer etching of metal compounds with selective removal of their carbides over nitrides using a floating wire-assisted liquid vapor plasma method 国際会議

    Thi-Thuy-Nga Nguyen, Kazunori Shinoda, Hirotaka Hamamura, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, Kenji Ishikawa, and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online   国名:日本国  

  195. Physicochemical Investigation of Plasma Activated Lactate Solutions 国際会議

    Camelia Miron, Nikolay Britun, Hiroki Kondo, Kae Nakamura, Hiroshi Hashizume, Hiromasa Tanaka, Kenji Ishikawa, and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online   国名:日本国  

  196. Time-resolved dynamics of a ns- atmospheric jet discharge 国際会議

    N. Britun, P. Dennis Christy, V. Gamaleev and M. Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online   国名:日本国  

  197. Feature profiles in cyclic etch using C4F8 and SF6 gas-modulated plasma 国際会議

    Taito Yoshie, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online   国名:日本国  

  198. Enhanced Bioremediation of 4-Chlorophenol by Oxygen Radical Treatment Based on Non-Thermal Atmospheric Pressure Plasma 国際会議

    Hiroyuki Kato, Kiyota Sakai, Shou Ito, Naoyuki Iwata, Masafumi Ito, Masaru Hori, Motoyuki Shimizu and Masashi Kato

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:online   国名:日本国  

  199. Effect of Air Introduction between Electrodes on Rapid Removal Process of Polymer Contamination on Floor by Atmospheric Pressure Plasma 国際会議

    Yoshihiro Sakamoto, Takayoshi Tsutsumi and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:online   国名:日本国  

  200. Growth-Promotion Effect of Oxygen-Radical-Treated Tryptophan Solutions on Arabidopsis Thaliana 国際会議

    Shota Araki, Tomomiti Ota, Hironaka Tsukagoshi, Naoyuki Iwata, Masaru Hori and Masafumi Ito

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:online   国名:日本国  

  201. Biocompatibility of Conformal Coating of SiC on Carbon Nanowall Scaffold 国際会議

    Koki Ono, Tkashi Koide, Kenji Ishikawa, Hiromasa Tanaka, Hiroki Kondo , Ayase Sugawara Narutaki, Yong Jin, Shigeo Yasuhara, Masaru Hori and Wakana Takeuchi

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:online   国名:日本国  

  202. Low Temperature Plasma Chemistry of Volatile and Non-Volatile Solutes in Aqueous Solutions: e.p.r. and Spin Trapping Studies 国際会議

    Hidefumi Uchiyama, Kenji Ishikawa, Masaru Hori and Takashi Kondo

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:online   国名:日本国  

  203. Tuning Plasma-Knobs to Control Seamless Radical-Induced Biological Reaction Processes 国際会議

    Masaru Hori, Hiromasa Tanaka and Kenji Ishikawa

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online   国名:日本国  

  204. Effects of Concentration of Plasma Activated Lactate on Germination of Arabidopsis thaliana Seeds 国際会議

    Hayate Tanaka, Takamasa Okumura, Pankaj Attri, Teruki Anan, Kunihiro Kamataki, Naho Itagaki, Masaharu Shiratani, Yushi Ishibashi, Masataka Nakao Kentaro Namiki, Shoko Tsuboyama, Kenji Hashimoto, Kazuyuki Kuchitsu, Hiroshi Hashizume, Kenji Ishikawa, Masaru Hori, and Kazunori Koga

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online   国名:日本国  

  205. Evaluation of Selective Anti Cancer Effect in Plasma Activated Ringer's Lactate Solution Produced by Regulated Surrounding Atmosphere 国際会議

    Daiki Ito, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Kae Nakamura, Camelia Miron, Hiromasa Tanaka, Hiroaki kajiyama, Shinya Toyokuni, Masaaki Mizuno and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online   国名:日本国  

  206. Low Damage Atomic Layer Etching of GaN at High Temperature 国際会議

    Shohei Nakamura, Atsushi Tanide, Takahiro Kimura, Soichi Nadahara, Kenji Ishikawa, Osamu Oda and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online   国名:日本国  

  207. Visualization of Plasma Affected Area on a 3D Printed Mouse Mode 国際会議

    Shunya Hashimoto, Yuta Matsumoto, Jun-Seok Oh, Tatsuru Shirafuji, Kenji Ishikawa and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online   国名:日本国  

  208. Rebound Tailing Pulse Method Applied to Water Electrolysis 国際会議

    Naohiro Shimizu, Ranjit Borude, Reiko Tanaka, Kenji Ishikawa, Osamu Oda, Hiroki Hosoe, Satoshi Ino, Yousuke Inoue and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online   国名:日本国  

  209. Effect of RF Stage-Bias on Morphology of Carbon Nanowalls Grown by Radical-Injection Plasma Enhanced Chemical Vapor Deposition 国際会議

    Shintaro Iba, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online   国名:日本国  

  210. Genotoxic effects of plasma activated Ringer’s lactate solution on cancer cells 国際会議

    Yang Liu, Yoshimichi Nakatsu, Hiromasa Tanaka, Kazunori Koga, Kenji Ishikawa, Masaharu Shiratani, and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online   国名:日本国  

  211. Measurement of RONS concentration in plasma-irradiated artificial seawater 国際会議

    Takumi Yamauchi, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Shinichi Akiyama, and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:online   国名:日本国  

  212. Effect of substrate temperature on morphology of carbon nanowalls grown by a radical-injection plasma-enhanced chemical vapor deposition using C2F6 /H2 mixture gas 国際会議

    Takumi Hashimoto, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:online   国名:日本国  

  213. Improvement of Efficiency of Biodegradation of Polyethylene Terephthalate using Neutral Oxygen-Radical Source 国際会議

    Daichi Goto, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Masafumi Ito and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online   国名:日本国  

  214. Nanomechanical Properties Of Maze-Like Carbon Nanowalls Structure By Nanoindentation Technique 国際会議

    Swapnil Ghodke, Motoyuki Murashima, Dennis Christy, Ngo Van Nong, Osamu Oda, Noritsugu Umehara, Kenji Ishikawa, Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online   国名:日本国  

  215. Homoepitaxial Growth of GaN Using a p-BN Tube Attached Radical Enhanced MOCVD (REMOCVD) 国際会議

    Frank Wilson Amalraj, Dhasiyan Arun Kumar, Naohiro Shimizu, Osamu Oda, Kenji Ishikawa, and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online   国名:日本国  

  216. Three-dimensional morphological analysis of carbon nanowalls 国際会議

    Hiroki Kondo, Atsushi Ozaki, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Uroš Cvelbar and Mineo Hiramatsu

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online   国名:日本国  

  217. In-liquid plasma coating of graphite films on metal surface immersed in ethanol 国際会議

    Ma. Shanlene D.C. Dela Vega, Hiroki Kondo, Takayoshi Tsutsumi, Thi-Thuy-Nga Nguyen, Kenji Ishikawa, Makoto Sekine, Magdaleno R. Vasquez Jr., and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online   国名:日本国  

  218. Spectroscopy of H2/CH4/N2 Plasma for Carbon Nanowalls Growth 国際会議

    Dennis Christy, Ngo Van Nong, Osamu Oda, Masaru Hori and Nikolay Britun

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online   国名:日本国  

  219. Quality-Increasing Effect of Plasma Treatment in a Paddy on Various Rice Cultivars 国際会議

    Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Kaoru Sanda, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori.

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online   国名:日本国  

  220. Manipulation of Etch Selectivity of Silicon Nitride over Silicon Dioxide by Controlling Substrate Temperature with a CF4/H2 Plasma 国際会議

    Shih-Nan Hsiao, Nicolay Britun, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online   国名:日本国  

  221. Correlation analysis between radicals in gas phase and etch resistance of hydrogenated amorphous carbon film 国際会議

    Jumpei Kurokawa, Tadashi Mitsunari, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, Kenji Ishikawa, and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online  

  222. Etching of a layer from stacked graphene in remote oxygen plasma 国際会議

    Liugang Hu, Kenji Ishikawa, Thi-Thuy-Nga Nguyen, Shih-Nan Hsiao, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:online   国名:日本国  

  223. Internal parameter analysis by random forest model in PECVD of amorphous carbon films 招待有り 国際会議

    Masaru Hori, Jumpei Kurokawa, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine

    First Meeting of the NTC Technical Committee on Emerging Plasma Nanotechnologies(IEEE Nanotechnology Council)  2022年2月9日 

     詳細を見る

    開催年月日: 2022年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:online  

  224. C2F6/H2混合ガスを用いてラジカル注入型プラズマ励起化学気相堆積法により成長させたカーボンナノウォールのモフォロジー制御

    橋本 拓海, 近藤 博基, 石川 健治, 堤 隆嘉, 関根 誠, 平松 美根男, 堀 勝

    第 39 回 プラズマプロセシング研究会 / 第 40 回 プラズマ材料科学シンポジウムプログラム(SPP-39/SPSM-34) 

     詳細を見る

    開催年月日: 2022年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  225. 液中プラズマプロセスを用いたメタノール内金属基板上へのナノグラフェンの成膜

    デラヴェガマリアシャンリン, 近藤 博基, 堤 隆嘉, グエン ティ・トゥイーンガ , 石川 健治 , 関根 誠, ヴァスケズマグダレノジュニア, 堀 勝

    第 39 回 プラズマプロセシング研究会 / 第 39 回 プラズマ材料科学シンポジウムプログラム(SPP-39/SPSM-34) 

     詳細を見る

    開催年月日: 2022年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  226. カーボンナノウォールの形態と高さが光透過率に及ぼす影響

    射場 信太朗, 近藤 博基, 石川 健治, 関根 誠, 堤 隆嘉, 平松 美根男, 堀 勝

    第 39 回 プラズマプロセシング研究会 / 第 38 回 プラズマ材料科学シンポジウムプログラム(SPP-39/SPSM-34) 

     詳細を見る

    開催年月日: 2022年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  227. 原子状酸素ラジカル処理したポリエチレンテレフタレートの生分解

    五藤 大智, 岩田 直幸, 石川 健治, 橋爪 博司, 田中 宏昌, 伊藤 昌文, 上坂 裕之, 堀 勝

    第 39 回 プラズマプロセシング研究会 / 第 37 回 プラズマ材料科学シンポジウムプログラム(SPP-39/SPSM-34) 

     詳細を見る

    開催年月日: 2022年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  228. 真空紫外原子吸光分光法による大気圧マイクロ波 Ar/O2プラズマの O 原子密度計測

    岩田 悠揮, 小笠原 知裕, 鈴木 陽香, 堤 隆嘉, 堀 勝, 豊田 浩孝

    第 39 回 プラズマプロセシング研究会 / 第 36 回 プラズマ材料科学シンポジウムプログラム(SPP-39/SPSM-34) 

     詳細を見る

    開催年月日: 2022年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  229. プラズマ活性乳酸リンゲル液によるがん細胞死の分子機構

    田中 宏昌, 水野 正明, 石川 健司, 橋爪 博司, 中村 香江, 岡崎 康昌, 豊國 伸哉, 梶山 広明, 吉川 史隆, 堀 勝

    第 39 回 プラズマプロセシング研究会 / 第 35 回 プラズマ材料科学シンポジウムプログラム(SPP-39/SPSM-34) 

     詳細を見る

    開催年月日: 2022年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  230. 低温プラズマ処理による高品質イチゴ果実の安定的生産

    橋爪 博司, 松本 省吾, 坪田 憲紀, 三田 薫, 水野 寛子, 阿部 明子, 湯浅 元気, 東野 里江, 田中 宏昌, 石川 健治, 伊藤 昌文, 北野 英己, 榊原 均, 仁川 進, 大熊 隆之, 前島 正義, 水野 正明, 堀 勝

    第 39 回 プラズマプロセシング研究会 / 第 34 回 プラズマ材料科学シンポジウムプログラム(SPP-39/SPSM-34)  

     詳細を見る

    開催年月日: 2022年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  231. プラズマ活性溶液による細胞運命の制御

    近藤 隆、熊谷 純、平山亮一、橋爪 博司、田中 宏昌、石川 健治、堀 勝

    第2回 若手放射線影響研究会   2022年1月7日 

     詳細を見る

    開催年月日: 2022年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  232. Plasma Medical Science and Its Innovations for a Future Medical Care 招待有り 国際会議

    14th International Conference on Plasma Science and Applications (ICPSA-2021)  2021年12月28日 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:online  

  233. カーボンナノウォール上への SiC コーティングが細胞増殖に与える影響

    小野浩毅、小出崇史、石川健治、田中宏昌、近藤博基、鳴瀧彩絵、金勇、安原重雄、堀勝、竹内和歌奈

    日本表面真空学会 中部支部学術講演会(若手講演会)  2021年12月18日 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

  234. Novel Plasma Processing for Sustainable Society 招待有り 国際会議

    Masaru Hori

    Material Research Meeting (MRM 2021)  2021年12月16日 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Yokohama , Japan, online   国名:日本国  

  235. Functional nitrogen science for plasma-processing in life and matter 招待有り 国際会議

    Kenji Ishikawa, Toshio Kaneko, and Masaru Hori

    Material Research Meeting (MRM 2021)  2021年12月16日 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Yokohama , Japan, online   国名:日本国  

  236. Optical transmission of carbon nanowalls from ultra-violet region to infra-red region 国際会議

    S. Iba, H. Kondo, K. Ishikawa, T. Tsutsumi, M. Sekine, M. Hiramatsu, and M. Hori.

    Material Research Meeting (MRM2021)  2021年12月16日 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Yokohama , Japan, online   国名:日本国  

  237. Bactericidal mechanism of Rhizobium radiobacter in L-tryptophan solution exposed to oxygen radicals 招待有り 国際会議

    Masafumi Ito, Naoyuki Iwata, Masaru Hori

    Material Research Meeting (MRM 2021)  2021年12月16日 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Yokohama , Japan, online   国名:日本国  

  238. Three-dimensional structural analysis of carbon nanowalls synthesized by a radical-injection plasma-enhanced chemical vapor deposition system 国際会議

    Hiroki Kondo, Atsushi Ozaki, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Uroš Cvelbar, Mineo Hiramatsu

    Material Research Meeting (MRM2021)  2021年12月15日 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Yokohama , Japan, online   国名:日本国  

  239. Plasma-biological reaction networks and aqueous radical chemistry 招待有り 国際会議

    Kenji Ishkawa, Camelia Miron, Takashi Kondo, Hiromasa Tanaka, and Masaru Hori

    31st Material Research Society in Japan (MRS-J)  2021年12月13日 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Yokohama , Japan, online   国名:日本国  

  240. Rebound Tailing Pulse method for water reformation 国際会議

    Naohiro Shimizu, Ranjit Borude, Reiko Tanaka, Kenji Ishikawa, Osamu Oda, Hiroki Hosoe, Satoru Ino, Yosuke Inoue, and Masaru Hori

    31st Material Research Society in Japan (MRS-J)  2021年12月13日 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Yokohama , Japan, online   国名:日本国  

  241. Growth promotion of cultured feed Artemia irradiated with low-temperature plasma 国際会議

    Takumi Yamauchi, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Shin'ichi Akiyama, and Masaru Hori.

    31st Material Research Society in Japan (MRS-J)  2021年12月13日 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Yokohama , Japan, online   国名:日本国  

  242. Production of plasma-activated Ringer's lactate solution with regulated surrounding atmosphere 国際会議

    Daiki Ito, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Kae Nakamura, Camelia Miron, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori

    31st Material Research Society in Japan (MRS-J)  2021年12月13日 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Yokohama , Japan, online   国名:日本国  

  243. Anti-tumor effect of plasma-activated solution produced by the flowing system 招待有り 国際会議

    Satoshi Kashiwagura, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Camelia Miron, Kae Nakamura, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori

    31st Material Research Society in Japan (MRS-J)  2021年12月13日 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Yokohama , Japan, online   国名:日本国  

  244. Effects of cold plasma treatment with rice seedlings in a paddy on yield and grain quality for different cultivars 招待有り 国際会議

    Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Kaoru Sanda, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori

    31st Material Research Society in Japan (MRS-J)  2021年12月13日 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Yokohama , Japan, online   国名:日本国  

  245. Cellular Respiration System Affected by Low-temperature Plasma 招待有り 国際会議

    Hiromasa Tanaka, Shogo Maeda, Shogo Matsumura, Masaaki Mizuno, Kenji Ishikawa, Masafumi Ito, Hiroshi Hashizume, Mikako Ito, Kinji Ohno, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Yasumasa Okazaki, Shinya Toyokuni, and Masaru Hori

    31st Material Research Society in Japan (MRS-J)  2021年12月13日 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Yokohama , Japan, online   国名:日本国  

  246. Enhancement of biodegradation technology of polyethylene terephthalate with plasma-pretreatment 招待有り 国際会議

    Daichi Goto, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Masafumi Ito, and Masaru Hori

    31st Material Research Society in Japan (MRS-J)  2021年12月15日 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Yokohama , Japan, online   国名:日本国  

  247. Components in Plasma-Activated Ringer’s Lactate Solution that Induce Cell Death on U251SP Glioblastoma Cells 国際会議

    Hiromasa Tanaka, Yugo Hosoi, Kenji Ishikawa, Jun Yoshitake, Takahiro Shibata, Koji Uchida, Hiroshi Hashizume, Masaaki Mizuno, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa and Masaru Hori

    12th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-12)   2021年12月10日 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Taipei, online   国名:台湾  

  248. Comparison of CF4/H2 and HF/H2 Plasmas for Etching of PECVD-Prepared SiN Films 国際会議

    Shih-Nan Hsiao, Nicolay Britun, Thi-Thuy-Nga Nga, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    12th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-12)   2021年12月10日 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Taipei, online   国名:台湾  

  249. Behavior of Hydrogen Atom in Atmospheric Pressure Micro-Hollow Cathode Discharge 国際会議

    Keigo Takeda ; Takayoshi Tsutsumi; Mineo Hiramatsu ; Masaru Hori

    12th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-12)   2021年12月10日 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Taipei, online   国名:台湾  

  250. Spatial Distribution Measurement of Atomic Oxygen from Atmospheric-Pressure Microwave Line Plasma by Atomic Absorption Spectroscopy 国際会議

    Tomohiro Ogasawara, Yuki Iwata, Haruka Suzuki, Takayoshi Tsutsumi, Masaru Hori and Hirotaka Toyoda

    12th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-12)   2021年12月10日 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Taipei, online   国名:台湾  

  251. Growth Promotion Effects of Arabidopsis Thaliana Using Radical-Activated L-Phenylalanine Containing Medium 国際会議

    Masafumi Ito, Naoyuki Iwata, Shota Araki, Ginji Ito, Hironaka Tsukagoshi and Masaru Hor

    12th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-12)   2021年12月10日 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Taipei, online   国名:台湾  

  252. Interaction between ultrahigh density, non-thermal atmospheric pressure plasma and organic solution for cancer therapy 招待有り 国際会議

    Masaru Hori

    日独交流160周年 Jahre Freundschaft Deutschland-Japan  2021年12月3日 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:online  

  253. Random forest model for property control of plasma

    J. Kurokawa, T. Tsutsumi, K. Ishikawa, H. Kondo, M. Sekine, and M. Hori

    The 42nd International Symposium on Dry Process (DPS2021)  2021年11月18日 

     詳細を見る

    開催年月日: 2021年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online   国名:日本国  

  254. Selective plasma-enhanced atomic layer etching of SiO using a silane coupling agent

    A. Osonio, T. Tsutsumi, B. Mukherjee, R. Borude, N. Kobayashi, M. Hori

    The 42nd International Symposium on Dry Process (DPS2021)  2021年11月18日 

     詳細を見る

    開催年月日: 2021年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online   国名:日本国  

  255. Evolution of dry processes 招待有り

    Masaru Hori

    The 42nd International Symposium on Dry Process (DPS2021)  2021年11月18日 

     詳細を見る

    開催年月日: 2021年11月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:online   国名:日本国  

  256. Transient effects in cyclic processes on fabrications of high-aspect-ratio trenches 国際会議

    T. Yoshie, T. Tsutsumi, K. Ishikawa, M. Hori

    The 42nd International Symposium on Dry Process (DPS2021)  2021年11月18日 

     詳細を見る

    開催年月日: 2021年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online  

  257. Atomic layer etching of GaN using F-added Ar plasma removal of BCl3 modified layer at high temperature

    S. Nakamura, A. Tanide, T. Kimura, S. Nadahara, K. Ishikawa, O. Oda, M. Hori

    The 42nd International Symposium on Dry Process (DPS2021)  2021年11月19日 

     詳細を見る

    開催年月日: 2021年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online   国名:日本国  

  258. Toward plasma cancer therapy and intracellular metabolic modifications by treatments using low-temperature plasma-activated solutions 招待有り

    Kenji Ishkawa, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori

    30th International Toki Conference on Plasma and Fusion Research  2021年11月18日 

     詳細を見る

    開催年月日: 2021年11月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:online  

  259. 低温プラズマ科学の魅力とプラズマファーマシーへの挑戦 招待有り

    堀 勝

    名古屋大学低温プラズマ科学研究センター×岐阜薬科大学 連携協力協定記念講演会  2021年11月9日 

     詳細を見る

    開催年月日: 2021年11月

    記述言語:日本語   会議種別:口頭発表(基調)  

    開催地:岐阜薬科大学第一講義室   国名:日本国  

  260. Control of Interface Layers for Selective Atomic Layer Etching, 招待有り 国際会議

    Takayoshi Tsutsumi, R. Vervuurt, N. Kobayashi, and Masaru Hori

    67th AVS International Symposium and Exhibitio  2021年10月24日 

     詳細を見る

    開催年月日: 2021年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:online  

  261. Floating wire assisted plasma with vapor injection of liquid mixtures for etching titanium compounds 国際会議

    Thi-Thuy-Nga Nguyen, Kazunori Shinoda, Hirotaka Hamamura, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, Kenji Ishikawa, and Masaru Hori

    67th AVS International Symposium and Exhibition  2021年10月24日 

     詳細を見る

    開催年月日: 2021年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online  

  262. In-situ Analysis of Surface Reactions for Plasma-Assisted Thermal-Cyclic Atomic Layer Etching of Tantalum Nitride 国際会議

    Kazunori Shinoda, M. Hasegawa, H. Hamamura, K. Maeda, K. Yokogawa, M. Izawa, Kenji Ishikawa, and Masaru Hori

    67th AVS International Symposium and Exhibition  2021年10月24日 

     詳細を見る

    開催年月日: 2021年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online  

  263. Towards lower energy cost of NO production in atmospheric air discharges 招待有り 国際会議

    N. Britun, V. Gamaleev, M. Hori

    5th Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2021)  2021年9月29日 

     詳細を見る

    開催年月日: 2021年9月 - 2021年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:online  

  264. Low-temperature plasma-activated solutions and metabolic modification 招待有り

    Kenji Ishkawa, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya ToyokuniMasaaki Mizuno and Masaru Hor

    5th Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2021)  2021年9月27日 

     詳細を見る

    開催年月日: 2021年9月 - 2021年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:online  

  265. 線維芽細胞増殖促進における大気圧プラズマ中の重要中性活性種の同定

    西田 大河、堀 侑己、岩田 直幸、呉 準席、村田 富保、堀 勝、伊藤 昌文

    第82回 応用物理学会秋季学術講演会  2021年9月12日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン開催  

  266. 窒化ガリウムのプラズマエッチング中その場分光エリプソメトリー観測

    南 吏玖、石川 健治、堤 隆嘉、近藤 博基、関根 誠、小田 修、堀 勝

    第82回 応用物理学会秋季学術講演会  2021年9月12日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン開催  

  267. 原子層エッチングの反応素過程とその設計、制御

    石川 健治、Nguyen Thi-Thuy-Nga、堤 隆嘉、蕭 世男、近藤 博基、関根 誠1、堀 勝

    第82回 応用物理学会秋季学術講演会  2021年9月11日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン開催  

  268. 螺旋状窒素プラズマ中の窒素原子密度計測

    西尾 亮佑、梶田 信、大野 哲靖、田中 宏彦、浅岡 晃次、堤 隆嘉、堀 勝

    第82回 応用物理学会秋季学術講演会  2021年9月12日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語  

    開催地:オンライン開催  

  269. Arパージ下でプラズマ照射した乳酸リンゲル液の抗腫瘍効果の評価

    伊藤 大貴、岩田 直幸、石川 健治、橋爪 博司、中村 香江、ミロン カメリア、田中 宏昌、梶山 広明、豊國 伸哉、水野 正明、堀 勝

    第82回 応用物理学会秋季学術講演会  2021年9月13日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン開催  

  270. C3H6 / H2プラズマを用いたアモルファスカーボン成膜において水素ガス流量比が膜特性に与える影響

    黒川 純平、光成 正、堤 隆嘉、近藤 博基、関根 誠、石川 健治、堀 勝

    第82回 応用物理学会秋季学術講演会  2021年9月14日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン開催  

  271. CNW細胞培養基板上のSiCコートが細胞増殖に与える影響

    小野 浩毅、小出 崇史、石川 健治、田中 宏昌、近藤 博基、鳴瀧 彩絵、金 勇、安原 重雄、堀 勝、竹内 和歌奈

    第82回 応用物理学会秋季学術講演会  2021年9月13日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン開催  

  272. 流液への照射によるプラズマ活性溶液の大量作製と抗腫瘍効果の評価

    柏倉 慧史、岩田 直幸、石川 健治、橋爪 博司、カメリア ミロン、中村 香江、田中 宏昌、梶山 広明、豊國 伸哉、水野 正明、堀 勝

    第82回 応用物理学会秋季学術講演会  2021年9月12日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語  

    開催地:オンライン開催  

  273. プラズマ活性溶液及びプラズマ照射が細胞呼吸に与える影響

    田中 宏昌、前田 昌吾、松村 翔伍、水野 正明、石川 健治、伊藤 昌文、橋爪 博司、伊藤 美佳子、大野 欽司、中村 香江、梶山 広明、吉川 史隆、岡崎 泰昌、豊國 伸哉、堀 勝

    第82回 応用物理学会秋季学術講演会  2021年9月12日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン開催  

  274. ポリエチレンテレフタラートの新しい生分解プラズマ技術の開発

    五藤 大智、岩田 直幸、石川 健治、橋爪 博司、田中 宏昌、伊藤 昌文、堀 勝

    第82回 応用物理学会秋季学術講演会  2021年9月12日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン開催  

  275. 酸素ラジカル照射したl-トリプトファン溶液の殺菌効果

    岩田 直幸、石川 健治、橋爪 博司、田中 宏昌、伊藤 昌文、堀 勝

    第82回 応用物理学会秋季学術講演会  2021年9月12日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン開催  

  276. 酸素ラジカル照射されたL-トリプトファン溶液中の支持脂質二重膜の側方拡散係数変化

    浪崎 高志、岩田 直幸、手老 龍吾、堀 勝、伊藤 昌文

    第82回 応用物理学会秋季学術講演会  2021年9月12日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン開催  

  277. 低温プラズマ照射した養殖餌料アルテミアの成長促進

    山内 拓海、石川 健治、田中 宏昌、秋山 真一、橋爪 博司、堀 勝

    第82回 応用物理学会秋季学術講演会  2021年9月13日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン開催  

  278. Ar/C4F8/SF6を用いたガス変調サイクルプロセスにおける活性種の挙動2

    吉江 泰斗、堤 隆嘉、石川 健治、堀 勝

    第82回 応用物理学会秋季学術講演会  2021年9月12日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン開催  

  279. 高In組成InGaNの分子線エピタキシー成長における高密度窒素ラジカル照射の効果

    近藤 博基、桑原 清、Kumar Dhasiyan Arun、堀 勝

    第82回 応用物理学会秋季学術講演会  2021年9月12日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン開催  

  280. プラズマ誘起欠陥の発生と修復 ~モバイル水素の役割と考察~

    布村 正太、坂田 功、堤 隆嘉、堀 勝

    第82回 応用物理学会秋季学術講演会  2021年9月13日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン開催  

  281. カーボンナノウォールの光透過率に対する壁密度および高さの効果

    射場 信太朗、近藤 博基、石川 健治、堤 隆嘉、平松 美根男、関根 誠、堀 勝

    第82回 応用物理学会秋季学術講演会  2021年9月13日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン開催  

  282. Epitaxial growth of InN film on GaN template by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) 国際会議

    Frank Wilson Amalraj, Arun Kumar Dhasiyan, Naohiro Shimizu, Osamu Oda, Kenji Ishikawa, and Masaru Hori

    Interfinish 2020 World Congress  2021年9月7日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online  

  283. Deformation properties of carbon nanowalls analyzed by nanoindentation 国際会議

    Swapnil Ghodke, Motoyuki Murashima, Dennis Christy, Ngo Van Nong, Osamu Oda, Noritsugu Umehara, Kenji Ishikawa, Masaru Hori

    Interfinish 2020 World Congress  2021年9月7日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online  

  284. Effects of high-density nitrogen radical irradiation on epitaxial growth of high-In content InGaN layer 国際会議

    Hiroki Kondo, Kiyoshi Kuwahara, Arun Kumar Dhasiyan, Osamu Oda, Koji Yamakawa, Shoji Den, Yoshihiro Nakai, and Masaru Hori

    Interfinish 2020 World Congress  2021年9月7日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online  

  285. Development of new biodegradation technology by surface modification of polyethylene terephthalate using atmospheric pressure plasma 国際会議

    Daichi Goto, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, HiromasaTanaka, Masafumi Ito, Masaru Hori

    Interfinish 2020 World Congress  2021年9月7日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online  

  286. Development of the nanocarbon coating process on metal surfaces via in-liquid plasma 国際会議

    Ma. Shanlene D.C. Dela Vega, Hiroki Kondo, Takayoshi Tsutsumi, Thi-Thuy-Nga Nguyen, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    Interfinish 2020 World Congress  2021年9月6日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online  

  287. Nanosecond high-voltage pulse imposed chemical vapor deposition 国際会議

    Tomonori Ichikawa, Kenji Ishikawa, Naohiro Shimizu, Masaru Hori

    Interfinish 2020 World Congress  2021年9月7日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online  

  288. High ionization of analytes for oxygen doped carbon nanowall in surface-assisted laser desorption ionization mass spectrometry (SALDI-MS) 国際会議

    Kenji Ishikawa, Ryusei Sakai, Tomonori Ichikawa, Hiroki Kondo, Takayuki Ohta, Mineo Hiramatsu, and Masaru Hori

    Interfinish 2020 World Congress  2021年9月5日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online  

  289. Improvement of Hydrophilic Treatment of Atmospheric Pressure Plasma and its Oxygen Radical Densities 国際会議

    Seigo Takashima, Takahiro Jindo, Kenji Ishikawa, Masaru Hori

    Interfinish 2020 World Congress  2021年9月7日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:英語   会議種別:ポスター発表  

    開催地:online  

  290. Bactericidal effects of plasma-treated water with ultrasonic vibration on biofilm-forming bacteria 国際会議

    Masafumi Ito, Yumiko Komori, Masaru Hori

    Interfinish 2020 World Congress  2021年9月7日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:英語   会議種別:ポスター発表  

    開催地:online  

  291. High performances of growth of nitride semiconductors in MBE with a high-density nitrogen radical source 国際会議

    Kiyoshi Kuwahara, Hiroki Kondo, Osamu Oda, Koji Yamakawa, Shoji Den, Yoshimoto Naoki, and Masaru Hori

    Interfinish 2020 World Congress  2021年9月7日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:英語   会議種別:ポスター発表  

    開催地:online  

  292. Application of Atmospheric Pressure Plasma to Rapid Dry Etching of Polymer Contamination on Floor 国際会議

    Y Sakamoto, T Tsutsumi, M Hori

    Interfinish 2020 World Congress  2021年9月7日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:英語   会議種別:ポスター発表  

    開催地:online  

  293. Analysis of Cellular Respiration in Plasma-activated Solutions-treated Cancer Cells 国際会議

    H. Tanaka, S. Maeda, M. Mizuno, K. Ishikawa, K. Nakamura, H. Kajiyama, Y. Okazaki, S. Toyokuni, M. Ito, K. Ohno, F. Kikkawa, and M. Hori

    8th International Conference on Plasma Medicine  2021年8月4日 

     詳細を見る

    開催年月日: 2021年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online   国名:大韓民国  

  294. Plasma-activated Solution Promotes Wound Healing in Diabetic Mouse via Keratinocytes Activation 国際会議

    Kae Nakamura, Masaaki Mizuno, Nobuhisa Yoshikawa, Hiromasa Tanaka, Katsumi Ebisawa, Yuzuru Kamei, Shinya Toyokuni, Akihiro Niwa, Takahiro Jindo, Masaru Hori, Fumitaka Kikkawa and Hiroaki Kajiyama

    8th International Conference on Plasma Medicine  2021年8月3日 

     詳細を見る

    開催年月日: 2021年8月

    記述言語:英語   会議種別:ポスター発表  

    開催地:online   国名:大韓民国  

  295. Importance of organic compounds on bactericidal activity of radical-activated phenylalanine solution 国際会議

    Naoyuki Iwata, Hiroshi Hashizume, Jun-Seok Oh, Masaru Hori and Masafumi It

    8th International Conference on Plasma Medicine  2021年8月3日 

     詳細を見る

    開催年月日: 2021年8月

    記述言語:英語   会議種別:ポスター発表  

    開催地:online   国名:大韓民国  

  296. Plasma Activated Medium and its Applications to Medicines 招待有り 国際会議

    Masaru Hori

    8th International Conference on Plasma Medicine  2021年8月2日 

     詳細を見る

    開催年月日: 2021年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:online   国名:大韓民国  

  297. Efficacy for Rice Plant Growth with Plasma Irradiation to Seeds 国際会議

    Hiroshi Hashizume, HidemiKitano, Hiroko Mizuno,Akiko Abe, Genki Yuasa, SatoeTohno, Hiromasa Tanaka, Kenji Ishikawa,Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno and Masaru Hori

    8th International Conference on Plasma Medicine  2021年8月4日 

     詳細を見る

    開催年月日: 2021年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online   国名:大韓民国  

  298. Oxygen-radical Treatment Promotes Enzymatic Cellulose Degradation and Alleviates Lignin-derived Phenolic Toxicity in Yeast: Implications for Biofuel Production 国際会議

    Shou Ito, Kiyota Sakai, Jun-Seok Oh, Vladislav Gamaleev, Masafumi Ito, Masaru Hori, Motoyuki Shimizu, and Masashi Kato

    8th International Conference on Plasma Medicine  2021年8月4日 

     詳細を見る

    開催年月日: 2021年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online   国名:大韓民国  

  299. Dynamical Changes in Free-radical Signals of Seeds during Water Imbibition and Seed Germination: Analysis of Plasma-Irradiation Effects Using an Electron Spin Resonance (ESR) Technique 国際会議

    Kenji Ishikawa, RyoArita, Takamasa Okumura, Pankaj Attri, Kazunori Koga, RyoyaSato, Hayate Tanaka, Masaya Hiromatsu,Kayo Matsuo, DaisukeYamashita, KunihiroKamataki, Naho Itagaki, Masaru Hori and Masaharu Shiratani

    8th International Conference on Plasma Medicine  2021年8月4日 

     詳細を見る

    開催年月日: 2021年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online   国名:大韓民国  

  300. Cell death mechanisms by plasma activated medium and plasma activated Ringer’s lactate solution 招待有り 国際会議

    M. Hori, H. Tanaka, M. Mizuno, K. Ishikawa, K. Nakamura, H. Kajiyama, Y. Okazaki, S. Toyokuni, F. Kikkawa

    8th International Conference on Plasma Medicine  2021年8月6日 

     詳細を見る

    開催年月日: 2021年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:online   国名:大韓民国  

  301. Indirect plasma application 招待有り 国際会議

    Hiromasa Tanaka and Masaru Hori

    7th International Workshop on Plasma for Cancer Treatment (IWPCT-2021)   2021年6月28日 

     詳細を見る

    開催年月日: 2021年6月

    記述言語:英語   会議種別:公開講演,セミナー,チュートリアル,講習,講義等  

    開催地:online  

  302. Numerical analysis of effects of applying voltage polarity on atmospheric pressure argon streamer discharge under pin-to-plane electrode geometry 国際会議

    Y. Sato, K. Ishikawa, T. Tsutsumi, A. Ui, M. Akita, S. Oka and M. Hori

    47th Conference on Plasma Physics  2021年6月22日 

     詳細を見る

    開催年月日: 2021年6月

    記述言語:英語  

    開催地:online  

  303. Graphene-Based Materials: Synthesis, Functionalization, and Applications 招待有り 国際会議

    Mineo Hiramatsu, Keigo Takeda, Hiroki Kondo, Masaru Hori

    Thermec'2021  2021年6月3日 

     詳細を見る

    開催年月日: 2021年5月 - 2021年6月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:online  

  304. In-liquid plasma synthesis of functional carbon nanosheets 招待有り 国際会議

    Hiroki Kondo, Masaru Hori, Mineo Hiramatsu

    Thermec'2021  2021年6月3日 

     詳細を見る

    開催年月日: 2021年5月 - 2021年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:online  

  305. Current status and future prospects of plasma medicine 招待有り

    Masaru Hori

    The Vacuum Society of the Philippines, inc. (VSP) Plasma and Society  2021年4月16日 

     詳細を見る

    開催年月日: 2021年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:online  

  306. 幸田町・豊根村-名古屋大学 内閣府地方創生交付金事業 成果報告 招待有り

    堀 勝

    低温プラズマ技術深化事業講演会  2021年3月26日  幸田町、豊根村、名古屋大学

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン  

  307. 低温プラズマバイオサイエンスの未来 招待有り

    堀 勝

    2021年春季学術講演会シンポジウムプラズマエレクトロニクス分科会 30周年記念シンポジウムニューノーマル時代の躍進に質するプラズマエレクトロニクス  2021年春季学術講演会シンポジウムプラズマエレクトロニクス分科会 30周年記念シンポジウムニューノーマル時代の躍進に質するプラズマエレクトロニクス

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン  

  308. プラズマ誘起超バイオ機能の発現機構の解明

    堀 勝

    プラズマバイオコンソーシアム研究報告会  プラズマバイオコンソーシアム研究報告会

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン  

  309. 扁形動物を用いた低温プラズマによる再生・分化の制御とその機構解明

    田中 宏昌、水野 正明、 堀 勝、梅園 良彦、阿形 清和

    プラズマバイオコンソーシアム研究報告会  プラズマバイオコンソーシアム研究報告会

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン  

  310. 低温プラズマバイオサイエンスの未来

    堀 勝

    2021年第68回応⽤物理学会春季学術講演会  2021年第68回応⽤物理学会春季学術講演会

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン  

  311. 液中プラズマを用いたナノグラフェン合成における活性種の効果[II]

    近藤 博基、濱地 遼、堤 隆嘉、石川 健治、関根 誠、堀 勝

    2021年第68回応⽤物理学会春季学術講演会  2021年第68回応⽤物理学会春季学術講演会

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン  

  312. 気相反応制御によるプラズマ活性溶液の反応と抗腫瘍効果の究明

    伊藤 大貴、石川 健治、橋爪 博司、田中 宏昌、堀 勝

    2021年第68回応⽤物理学会春季学術講演会  2021年第68回応⽤物理学会春季学術講演会

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン  

  313. プラズマ誘起欠陥の発生と修復 ~少数キャリアライフタイムによる定量評価~

    布村 正太、坂田 功、堤 隆嘉、堀 勝

    2021年第68回応⽤物理学会春季学術講演会  2021年第68回応⽤物理学会春季学術講演会

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン  

  314. 酸素ラジカル処理肥料溶液を用いた殺菌でのピロール化合物の重要性

    岩田 直幸、石川 健治、橋爪 博司、田中 宏昌、伊藤 昌文、堀 勝

    2021年第68回応⽤物理学会春季学術講演会  2021年第68回応⽤物理学会春季学術講演会

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン  

  315. Ar/C4F8/SF6を用いたガス変調サイクルプロセスにおける活性種の挙動

    吉江 泰斗、三好 康史、堤 隆嘉、釘宮 克尚、石川 健治、堀 勝

    2021年第68回応⽤物理学会春季学術講演会  2021年第68回応⽤物理学会春季学術講演会

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン  

  316. マイクロ放電ホローカソードプラズマを用いた真空紫外吸収分光用自己吸収光源の分光診断

    竹田 圭吾、堤 隆嘉、平松 美根男、堀 勝

    2021年第68回応⽤物理学会春季学術講演会  2021年第68回応⽤物理学会春季学術講演会

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン  

  317. リモート酸素ラジカルによるグラフェンのエッチング反応の分析

    胡 留剛、堤 隆嘉、蕭 世男、近藤 博基、石川 健治、関根 誠、堀 勝

    2021年第68回応⽤物理学会春季学術講演会  2021年第68回応⽤物理学会春季学術講演会

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン  

  318. 塩素吸着を用いた窒化ガリウムの原子層エッチングプロセス特性のArイオンエネルギー依存性

    堤 隆嘉、長谷川 将希、中村 昭平、谷出 敦、近藤 博基、関根 誠、石川 健治、堀 勝

    2021年第68回応⽤物理学会春季学術講演会  2021年第68回応⽤物理学会春季学術講演会

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン  

  319. Design of removal process of SnO2 on glass by H2/Ar plasma at atmospheric pressure and medium pressure 国際会議

    Thi-Thuy-Nga Nguyen, Minoru Sasaki, Takayoshi Tsutsumi, Kenji Ishikawa and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Conference  

  320. Microwave Nitrogen Plasma Jets under the Moderate Gas Pressure Region 招待有り 国際会議

    Jaeho Kim, Keigo Takeda, Hirotomo Itagaki, Xue-lun Wang, Shingo Hirose, Hisato Ogiso, Tetsuji Shimizu, Naoto Kumagai, Takayoshi Tsutsumi, Hiroki Kondo, Masaru Hori and Hajime Sakakita

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Virtual Conference  

  321. Dependency of Bactericidal Effect in Oxygen-Radical Exposed E. Coli Suspension Containing L-Tryptophan on its Concentration 国際会議

    Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Jun-Seok Oh, Masafumi Ito and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Conference  

  322. Low Temperature Plasma Sciences Create a New Normal Transformation 招待有り 国際会議

    Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Virtual Conference  

  323. IR Spectra of Monosaccharide Treated with Atomospheric Pressure Plasma Using Sum Frequency Generation Spectroscopy 国際会議

    Yuta Yoshida, Takayuki Ohta, Kenji Ishikawa and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Conference  

  324. Crystalline structures and local electrical conductivity at crossing points of carbon nanowalls 国際会議

    Atsushi Ozaki, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Conference  

  325. Atomic Layer Etching of GaN Using Cl2/Ar Plasma at 400℃ 国際会議

    Shohei Nakamura, Atsushi Tanide, Takahiro Kimura, Soichi Nadahara, Kenji Ishikawa and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Conference  

  326. Fablication of Pt-Supported Carbon Nanowalls for Polymer Electrolyte Fuel Cell 国際会議

    Takayuki Ohta, Hiroaki Iwata, Mineo Hiramatsu, Hiroki Kondo and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Conference  

  327. Proliferation-Promotion of Fibroblast Cells as a Function of Exposure Distance Using Nitric-Oxide-Radical Source 国際会議

    Taiga Nishida, Ryota Tsuge, Yuki Hori, Tomiyasu Murata, Masaru Hori and Masafumi Ito

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Conference  

  328. Apoptosis-inducing Activity through Caspase-9 of Radical-Activated Lactate Ringers Solution for Melanoma Cells 国際会議

    Yuki Hori, Tomiyasu Murata, Hiromasa Tanaka, Masaru Hori and Masafumi Ito

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Conference  

  329. Dependence of Nitrogen Concentrations on Cytotoxicity of Air-Free Ar-N2 Mixed Atmospheric Pressure Plasma-activeted Lactated Solutions 国際会議

    Daiki Ito, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Conference  

  330. Analysis of Oxygen-Radical-Treated L-Tryptophan Solution Using High Performance Liquid Chromatography 国際会議

    Shoma Ito, Naoyuki Iwata, Yasuhiro Nishikawa, Masaru Hori and Masafumi Ito

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Conference  

  331. Effect of Oxygen Radical-Treated L-Tryptophan on the Fluidity of Supporting Lipid Bilayer 国際会議

    Nozomi Iio, Naoyuki Iwata, Ryugo Tero, Masaru Hori and Masafumi Ito

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Conference  

  332. Effect of Oxygen-Radical-Treated L-Tryptophan Solutions on Intramembrane Fluidity of Supported Lipid Bilayers 国際会議

    Takashi Namizaki, Nozomi Iio, Naoyuki Iwata, Ryugo Tero, Masaru Hori and Masafumi Ito

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Conference  

  333. Bactericidal Effect of Hydroponic Harmful Bacteria Using Radical-Activated Aromatic Amino Acid Solution 国際会議

    Takahiro Deguchi, Naoyuki Iwata, Hiroshi Hashizume, Motoyuki Shimizu, Masashi Kato, Masaru Hori and Masafumi Ito

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Conference  

  334. Comparison of Effects of Radical-Activated L-Phenylalanine Solution and Radical Activated Deionized Water on Plant-Growth Promotion 国際会議

    Ginji Ito, Naoyuki Iwata, Hiroshi Hashizume, Masaru Hori and Masafumi Ito

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Conference  

  335. Oxygen Radical based on NTAP Converts Vanillin to its Derivatives, Resulting in Reduced Inhibition of Bioethanol Fermentation 国際会議

    Hiroyuki Kato, Shou Ito, Kiyota Sakai, Vladislav Gamaleev, Masafumi Ito, Masaru Hori, Motoyuki Shimizu and Masashi Kato

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Conference  

  336. Comparison of Nitrogen Atom Densities Measured with VUVAS and Actinometry in Spiral Shape Plasma 国際会議

    Ryosuke Nishio, Noriyasu Ohno, Shin Kajita, Hirohiko Tanaka, Koji Asaoka, Takayoshi Tsutsumi and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Conference  

  337. Synthesis of Carbon Nanowalls on different metallic substrates by RI-PECVD 国際会議

    Ngo Van Nong, Dennis Christy, Swapnil Ghodke, Hiroki Kondo, Osamu Oda, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Conference  

  338. Effects of argon and oxygen plasma treatments on bactericidal activity of silverexchanged zeolites 国際会議

    Airah Osonio, Masaru Hori and Magdaleno, Jr. Vasquez

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Conference  

  339. Effects on substrate temperature on the etching behaviors of PECVD- and LPCVD-prepared SiN thin films with CF4/H2 plasmas 国際会議

    Shih-Nan Hsiao, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Conference  

  340. Effect of oxygen plasma on chemical functionalization of multilayered graphene for high-strength graphene-reinforced cement mortars 国際会議

    Ma. Shanlene D.C. Dela Vega,Masaru Hori, and Magdaleno R. Vasquez Jr.

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Conference  

  341. Study of etching process using gas condensed layer at cryogenic temperature 1. Evaluation of CHF3 condensed layer thickness on SiO2 surface 国際会議

    S. Selvaraj, M. Hazumi, S. N. Hsiao, C. Abe, T. Sasaki, H. Hayashi, T. Tsutsumi, K. Ishikawa, M. Sekine, and M. Hori.

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Conference  

  342. On the efficient production of NO radicals in re-pulsing spark/glow flowing gas discharges

    Nikolay Britun, Vladislav Gamaleev, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Conference  

  343. A Comparative Study on InN Growth at Very High Frequencies (VHF) by RadicalEnhanced Metalorganic Chemical Vapor Deposition (REMOCVD). 国際会議

    Frank Wilson Amalraj, Naohiro Shimizu, Osamu Oda, Kenji Ishikawa, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Conference  

  344. Dependence of nitrogen concentrations on cytotoxicity of air-free Ar-N2 mixed atmospheric pressure plasma-activeted lactated solutions 国際会議

    Daiki Ito, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Conference  

  345. Study of etching process using gas condensed layer at cryogenic temperature 2. Evaluation of cycle etching using gas condensed layer 国際会議

    M. Hazumi, S. Selvaraj, S. N. Hsiao, C. Abe, T. Sasaki, H. Hayashi, T. Tsutsumi, K. Ishikawa, M. Sekine and M. Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Conference  

  346. Measurements of negative ion density and plasma parameters in Ar/O2/C4F8 etching plasmas by Langmuir probe-assisted laser photodetachment 国際会議

    B. B. Sahu, S.Hattori, T. Tsutsumi, N. Britun, M. Sekine, K. Ishikawa, H. Tanaka,T. Gohira, Y. Ohya, N. Ohno and M. Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Conference  

  347. Pressure and flow rate dependence of active species in gas modulation cycle process using Ar/C4F8/SF6 国際会議

    Taito Yoshie, Takayoshi Tsutsumi, Kenji Ishikawa, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Conference  

  348. Quality increase of fruits with plasma treatment on strawberry cultivation 国際会議

    Hiroshi Hashizume, Shogo Matsumoto, Kenki Tsubota, Kaoru Sanda, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Masafumi Ito, Hidemi Kitano, Hitoshi Sakakibara, Susumu Nikawa, Takayuki Okuma, Masayoshi Maeshima,Masaaki Mizuno, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Conference  

  349. Reversible change in surface morphology of lipid bilayer induced by indirect plasma irradiation 国際会議

    Hiroki Kondo, Takuya Tonami, Sotaro Yamaoka, Hiromasa Tanaka, Kenji Ishikawa, Makoto Sekine, Masafumi Ito and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Conference  

  350. Quantitative analyses of graphene layer etching using oxygen radicals generated in remote plasma for realization of atomic layer etching 国際会議

    Liugang Hu, Takayoshi Tsutsumi, Thi-Thuy-Nga Nguyen, Shih-Nan Hsiao, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Conference  

  351. Initial growth kinetics of hydrogenated amorphous carbon films observed by real-time ellipsometry 国際会議

    Jumpei Kurokawa, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi,Makoto Sekine and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Conference  

  352. Efficacy of plasma treatment in a paddy field for yield and grain quality of rice 国際会議

    Kaoru Sanda, Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori.

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Conference  

  353. Effects of non-equilibrium atmospheric pressure plasma on aquaculture feed 国際会議

    Takumi Yamauchi, Kenji Ishikawa, Hiromasa Tanaka, Shin'ichi Akiyama, Hiroshi Hashizume and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Conference  

  354. Effect of wall-to-wall distance of carbon nanowalls on survival yield in surfaceassisted laser desorption/ionization mass spectrometry 国際会議

    Ryusei Sakai, Hiroki Kondo, Kenji Ishikawa, Takayuki Ohta, Mineo Hiramatsu, Naohiro Shimizu, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Conference  

  355. Enhancement of alcohol production of budding yeast by direct irradiation of nonequilibrium atmospheric pressure plasma 国際会議

    Shogo Matsumura, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Masafumi Ito and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Conference  

  356. Ion-attachment mass spectrometric analysis of odorous gas decomposition by atmospheric pressure plasma 国際会議

    Tatsuyuki Moriyama, Yosuke Sato, Akio Ui, Shotaro Oka,Kenji Ishikawa, Takayoshi Tsutsumi and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Conference  

  357. Selective killing effects of organics in plasma-activated Ringer’s solutions 国際会議

    Yuki Suda, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Conference  

  358. PLASMA APPLICATIONS FOR AGRICULTURE FROM SEEDS TO FIELD 招待有り 国際会議

    Hiroshi Hashizume, Hiroko Mizuno, Akiko Abe, Kenki Tsubota, Genki Yuasa, Satoe Tohno, Mikiko Kojima, Yumiko Takebayashi3, Hiromasa Tanaka, Kenji Ishikawa, Masafumi Ito, Hidemi Kitano, Shogo Matsumoto, Hitoshi Sakakibara, Takayuki Okuma, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori

    3RD INTERNATIONAL WORKSHOP ON PLASMA AGRICULTURE IWOPA3 (2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Virtual Conference  

  359. IMPORTANCE OF BENZENE RING STRUCTURE FOR BACTERICIDAL EFFICACY IN NEUTRAL pH OF RADICAL-ACTIVATED SOLUTIONS 国際会議

    Naoyuki Iwata, Hiroshi Hashizume, Jun-Seok Oh, Masaru Hori, Masafumi Ito

    3RD INTERNATIONAL WORKSHOP ON PLASMA AGRICULTURE IWOPA3 (2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Conference  

  360. プラズマ医療科学の最先端 招待有り

    堀 勝、田中宏昌

     詳細を見る

    開催年月日: 2021年1月

    記述言語:日本語   会議種別:口頭発表(基調)  

    国名:日本国  

  361. Etching characteristics of PECVD prepared SiN films with CF4/H2 and CF4/D2 plasmas at different substrate temperatures 国際会議

    Shih-Nan Hsiao, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    International Symposium on Semiconductor Manufacturing 2020 (ISSM2020) 

     詳細を見る

    開催年月日: 2020年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Conference  

  362. The current status and progress of plasma medicine in Japan 招待有り 国際会議

    Masaru Hori

    電漿醫療工作坊Plasma Medicine Workshop 

     詳細を見る

    開催年月日: 2020年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Virtual Conference  

  363. Antitumor effect of plasma-activated Ringer's acetate solution 国際会議

    Yuki SudaU, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, Masaru Hori

    第30回日本MRS年次大会 国際シンポジウム プラズマサイエンス 

     詳細を見る

    開催年月日: 2020年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Meeting  

  364. Bactericidal Effect of Oxygen-radical Irradiation to E. coli Suspension with L-tryptophan 国際会議

    Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Jun-seok OH, Masafumi Ito, Masaru Hori

    第30回日本MRS年次大会 国際シンポジウム プラズマサイエンス 

     詳細を見る

    開催年月日: 2020年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Meeting  

  365. Enhancement of alcohol fermentation of budding yeast by direct treatments of non-equilibrium atmospheric pressure plasma 国際会議

    Shogo Matsumura, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Masafumi Ito, Masaru Hori

    第30回日本MRS年次大会 国際シンポジウム プラズマサイエンス 

     詳細を見る

    開催年月日: 2020年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Meeting  

  366. Plasma-activated Amino-acid Solution for Hydroponic Application 招待有り 国際会議

    Masafumi Ito, Naoyuki Iwata, Hiroshi Hashizume, Jun-seok Oh, Masaru Hori

    第30回日本MRS年次大会 国際シンポジウム プラズマサイエンス 

     詳細を見る

    開催年月日: 2020年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Virtual Meeting  

  367. Cell Death Mechanisms of Plasma-Activated Solutions-Treated Cancer Cells 招待有り 国際会議

    Masaru Hori, Hiromasa Tanaka, Kenji Ishikawa, Shinya Toyokuni, Hiroaki Kajiyama, Masaaki Mizuno

    第30回日本MRS年次大会 国際シンポジウム プラズマサイエンス 

     詳細を見る

    開催年月日: 2020年12月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Virtual Meeting  

  368. EFFECT OF RADICAL-ACTIVATED L-PHENYLALANINE SOLUTION ON SUPPORTED LIPID BILAYER 国際会議

    Naoyuki Iwata, Yutaka Kume, Nozomi Iio, Masafumi Ito, Hiroshi Hashizume, Masaru Hori

    47The International Conference on Plasma Science (ICOPS)  

     詳細を見る

    開催年月日: 2020年12月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Meeting  

  369. Plasma Science towards Global Innovations 招待有り 国際会議

    Masaru Hori

    11th India-Japan Symposium on "Innovations in Science & Technology for New Issues and Challenges" 

     詳細を見る

    開催年月日: 2020年12月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Virtual Meeting  

  370. Nitrogen Atom Density Measurements from Vacuum Ultraviolet Absorption Spectroscopy and Actinometry in Spiral Shape Plasma 国際会議

    Ryosuke Nishio, Noriyasu Ohno, Shin Kajita, Hirohiko Tanaka, Koji Asaoka, Takayoshi Tsutsumi and Masaru Hori

    The 29th International Toki Conference on Plasma and Fusion Research 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:ポスター発表  

  371. Time resolved measurements of electron density and temperature by an electrostatic probe with conditional averaging method in pulsed capacitively coupled plasmas 国際会議

    S. Hattori, B. B. Sahu, H. Tanaka, T. Tsutsumi, S. Kajita, M. Sekine, M. Hori, N. Ohno

    The 29th International Toki Conference on Plasma and Fusion Research 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:ポスター発表  

  372. Germination kinetics of plasma-activated seeds 国際会議

    Kenji Ishikawa, Kazunori Koga, Masaru Hori, and Masaharu Shiratani

    4th Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2020) 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Virtual Conference  

  373. Radical controlled plasma processes ~ Basic researches and innovations 招待有り 国際会議

    Masaru Hori

    4th Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2020) 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Virtual Conference  

  374. Penetration of hydrogen atoms and termination of dangling bonds in amorphous carbon films 国際会議

    Hiroki Kondo, Yasuyuki Ohashi, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    73th Annual Gaseous Electronics Virtual Conference 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Conference  

  375. Metabolomic profiles of glioblastoma in plasma-activated solutions 国際会議

    Kenji Ishikawa, Hiromasa Tanaka, Li Jiang, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Camelia Miron, Masaru Hori

    73th Annual Gaseous Electronics Virtual Conference 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Conference  

  376. Proliferation promotion of fibroblast cells using atmospheric-pressure radical source. 国際会議

    Naoyuki Iwata, Yuki Hori, Oh Jun-Seok, Tomiyasu Murata, Kenji Ishikawa, Masaru Hori, Masafumi Ito

    73th Annual Gaseous Electronics Virtual Conference 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Conference  

  377. Plasma activated Ringer's lactate solution affected cellular respiratory system on HeLa cells. 国際会議

    Hiromasa Tanaka, Shogo Maeda, Kae Nakamura, Hiroshi Hashizume, Kenji Ishikawa, Mikako Ito, Kinji Ohno, Masaaki Mizuno, Shinya Toyokuni, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaru Hori

    73th Annual Gaseous Electronics Virtual Conference 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Conference  

  378. Effectiveness of cold plasma treatment during rice cultivation for growth and yield 国際会議

    Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, Masaru Hori

    73rd Annual Gaseous Electronics Virtual Conference 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Conference  

  379. Dynamics of physicochemical reactions in time-modulation of plasmas for advanced semiconductor processes 招待有り 国際会議

    Masaru Hori

    73th Annual Gaseous Electronics Virtual Conference 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Virtual Conference  

  380. Physicochemical investigation of plasma activated liquids 国際会議

    Camelia Miron, Yang Liu, Naoyuki Iwata, Kenji Ishikawa, Hiromasa Tanaka, Shinya Toyokuni, Masaaki Mizuno, Masaru Hori

    73th Annual Gaseous Electronics Virtual Conference 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Conference  

  381. Generation of stable centimeter-scale glow discharge in presence of external gas flow. 国際会議

    Vladislav Gamaleev, Nikolai Britun, Masaru Hori

    73th Annual Gaseous Electronics Virtual Conference 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Conference  

  382. Interactions of floating-wire-assisted atmospheric-pressure H2/Ar plasma with SnO2 film on glass substrate forming spherical Sn particles 国際会議

    Thi-Thuy-Nga Nguyen, Minoru Sasaki, Hidefumi Odaka, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori

    73th Annual Gaseous Electronics Virtual Conference 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Conference  

  383. Apoptosis-inducing activity through caspase pathway in melanoma cells treated by radical-activated lactate Ringer's solution 国際会議

    Yuki Hori, Tomiyasu Murata, Hiromasa Tanaka, Masaru Hori, Masafumi Ito

    73th Annual Gaseous Electronics Virtual Conference 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Conference  

  384. Plant growth promotion using radical-activated L-alanine solution 国際会議

    Ginji Ito, Naoyuki Iwata, Hiroshi Hashizume, Masaru Hori, Masafumi Ito

    73th Annual Gaseous Electronics Virtual Conference 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Conference  

  385. Structure control of self-supporting graphene nanowalls synthesized by plasma enhanced chemical vapor deposition 国際会議

    Keigo Takeda, Motoaki Ishikawa, Mineo Hiramatsu, Hiroki Kondo, Masaru Hori

    73th Annual Gaseous Electronics Virtual Conference 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Conference  

  386. Plasma medicine toward a future medical care 招待有り 国際会議

    Masaru Hori

    The 46th International Congress on Science, Technology and Technology-based Innovation 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Ramkhamhaeng University, Thailand, Bangkok  

  387. 低温プラズマ科学が拓く未来社会 招待有り

    堀 勝

    第140回 八大学工学関連研究科長等会議 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン  

  388. 最先端大規模集積回路における原子層プラズマエッチング に関する研究 招待有り

    堀 勝、関根 誠、石川健治

    第84回半導体・集積回路技術シンポジ ウム 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン   国名:日本国  

  389. Future Technologies Opened by Low-temperature Plasma Sciences 招待有り 国際会議

    Masaru Hori

    第11回エネルギー理工学研究所国際シンポジウム(The 11th International Symposium of Advanced Energy Science) 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:オンライン開催  

  390. 酒米品種イネ栽培における低温プラズマ処理の品質への効果

    橋爪 博司、北野 英己、水野 寛子、阿部 明子、湯浅 元気、東野 里江、田中 宏昌、石川 健治、松本 省吾、榊原 均、仁川 進、前島 正義、水野 正明、堀 勝

    第81回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  391. Influence of temperature on etch rate of PECVD-SiN films with CF4/H2 plasma

    Shihnan Hsiao、Kazuya Nakane、Takayoshi Tsutsumi、Kenji Ishikawa、Makoto Sekine、Masaru Hori

    第81回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  392. 様々なプラズマ活性溶液による細胞死の作用機序 招待有り

    田中 宏昌、堀 勝

    第81回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン   国名:日本国  

  393. ラジカル活性乳酸リンゲル液で処理されたメラノーマ細胞におけるカスパーゼ依存性アポトーシス

    堀 侑己、村田 富保、田中 宏昌、堀 勝、伊藤 昌文

    第81回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  394. 表面支援レーザー脱離/イオン化質量分析法に向けたカーボンナノウォールの壁間隔依存性

    酒井 流星、近藤 博基、石川 健治、清水 尚博、太田 貴之、平松 美根男、堀 勝

    第81回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  395. カーボンナノウォールの局所電気伝導特性の解明

    尾崎 敦士、近藤 博基、石川 健治、堤 隆嘉、平松 美根男、関根 誠、堀 勝

    第81回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  396. ラジカル活性アミノ酸溶液の植物成長促進効果の分析

    岩田 直幸、伊藤 銀二、橋爪 博司、石川 健治、伊藤 昌文、堀 勝

    第81回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  397. ラジカル活性アミノ酸溶液を用いた植物成長促進のベンゼン環の重要性

    伊藤 銀二、岩田 直幸、橋爪 博司、堀 勝、伊藤 昌文

    第81回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  398. 液中プラズマを用いたナノグラフェン合成における活性種の効

    近藤 博基、濱地 遼、堤 隆嘉、石川 健治、関根 誠、堀 勝

    第81回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  399. 大気圧非平衡プラズマを用いた糖鎖機能理解の深化とその利用

    池原 早苗、秋元 義弘、山口 高志、榊田 創、堀 勝、池原 譲

    第81回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  400. 高アスペクトホールエッチングにおけるstriationの発生メカニズム 招待有り

    大村 光広、橋本 惇一、足立 昂拓、近藤 祐介、石川 勝朗、阿部 淳子、酒井 伊都子、林 久貴、関根 誠、堀 勝

    第81回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン   国名:日本国  

  401. プラズマ活性乳酸処理グリオーマのメタボロミクス解析(2)

    石川 健治、田中 宏昌、橋爪 博司、ミロン カメリア、蒋 麗、豊國 伸哉、中村 香江、梶山 広明、吉川 史隆、水野 正明、堀 勝

    第81回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  402. Analysis of Ion Energy Dependence of Depth Profile of GaN by In-situ Surface Analysis 国際会議

    Masaki Hasagawa‚ Takayoshi Tsutsumi, Atsushi Tanide‚ Shohei Nakamura, Hiroki Kondo‚ Kenji Ishikawa‚ Masaru Hori

    20th International Conference on Atomic Layer Deposition 

     詳細を見る

    開催年月日: 2020年6月 - 2020年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Meeting  

  403. 最先端電子デバイスプロセスを拓く原子層エッチングプロセス技術の最前線 招待有り

    堀 勝、関根 誠

    電気化学会第87回大会  

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋工業大学   国名:日本国  

  404. 水素プラズマによる欠陥終端処理後のa-C:H膜に対する 表面増強ラマン分光法による分析

    古橋 未悠、野老山 貴行、大橋 靖之、近藤 博基、上坂 裕之、中島 悠也、古木 辰也、石川 健治、堀 勝、梅原 徳次

    2020年第67回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学 四谷キャンパス   国名:日本国  

  405. プラズマ活性溶液による細胞死の作用機序 招待有り

    田中 宏昌、堀 勝

    2020年第67回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:上智大学 四谷キャンパス   国名:日本国  

  406. プラズマプロセス中の基板温度分布の経時変化の解析

    堤 隆嘉、石川 健治、近藤 博基、関根 誠、堀 勝

    2020年第67回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:上智大学 四谷キャンパス   国名:日本国  

  407. プラズマ表面処理が自己集積化膜の分子配向に及ぼす影 響

    織田 祥成、堤 隆嘉、石川 健治、堀 勝

    2020年第67回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学 四谷キャンパス   国名:日本国  

  408. 極低温領域におけるCHF3ガス凝縮層を用いたエッチン グプロセスの研究

    羽澄 匡広, スガンサマラー セルヴァラジ, 蕭 世男, 関根 誠, 林 久貴, 佐々木 俊行, 阿部 知央, 堤 隆嘉, 石川 健治, 堀 勝

    2020年第67回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学 四谷キャンパス   国名:日本国  

  409. 計算科学による新規エッチングガスの探索

    林 俊雄、関根 誠、石川 健治、堀 勝

    2020年第67回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学 四谷キャンパス   国名:日本国  

  410. プラズマ誘起欠陥の発生と修復 ~Arイオン照射の効果~

    布村 正太、中根 一也、堤 隆嘉、松原 浩司、堀 勝

    2020年第67回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学 四谷キャンパス   国名:日本国  

  411. 液中プラズマ表面改質六方晶BN微粒子の ESR測定

    伊藤 剛仁, 後藤 拓, 井上 健一, 石川 健治, 近藤 博基, 堀 勝, 清水 禎樹, 伯田 幸也, 寺嶋 和夫

    2020年第67回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学 四谷キャンパス   国名:日本国  

  412. 液中プラズマを用いたナノグラフェン合成における照射 時間依存性[Ⅰ]

    濱地 遼、近藤 博基、堤 隆嘉、石川 健治、関根 誠、堀 勝

    2020年第67回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学 四谷キャンパス   国名:日本国  

  413. 液中プラズマを用いたナノグラフェン合成における照射 時間依存性 [II]

    近藤 博基、濱地 遼、 堤 隆嘉,、石川 健治、関根 誠、堀 勝

    2020年第67回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学 四谷キャンパス   国名:日本国  

  414. 環境大気グロープラズマ活性化フェニルアラニンを使用 したFusarium oxysporumの不活性化

    出口 貴大, 岩田 直幸, ウラディス ガマリェエフ, 橋爪 博司, 志水 元亨, 加藤 雅士, 堀 勝, 伊藤 昌文

    2020年第67回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学 四谷キャンパス   国名:日本国  

  415. ラジカル活性リン酸緩衝液による植物成長促進

    伊藤 銀二、岩田 直幸、橋爪 博司、堀 勝、伊藤 昌文

    2020年第67回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学 四谷キャンパス   国名:日本国  

  416. プラズマ照射したカイワレ種子の吸水の時間推移評価

    石川 健治、Pankaj Attri、奥村 賢直、古閑 一憲、有田 涼、佐藤 僚哉、田中 颯、廣松 真弥、松尾 かよ、 山下 大輔、 鎌瀧 晋礼、板垣 奈穂、堀 勝、白谷 正治

    2020年第67回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学 四谷キャンパス   国名:日本国  

  417. イネ圃場における定期的な低温プラズマ処理による生育 や収穫に対する検討 (III)

    橋爪 博司、北野 英己、水野 寛子、阿部 明子、湯浅 元気、東野 里江、田中 宏昌、石川 健治、松本 省吾、榊原 均、仁川 進、前島 正義、水野 正明、堀 勝

    2020年第67回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学 四谷キャンパス   国名:日本国  

  418. 大気圧酸素ラジカル源によって照射された液中における 活性種の輸送経路

    飯尾 望、岩田 直幸、川崎 敏之、堀 勝、伊藤 昌文

    2020年第67回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学 四谷キャンパス   国名:日本国  

  419. ラジカル活性フェニルアラニンを用いた殺菌でのベンゼ ン環の重要性

    岩田 直幸、伊藤 銀二、橋爪 博司、呉 準席、堀 勝、伊藤 昌文

    2020年第67回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学 四谷キャンパス   国名:日本国  

  420. 酸化窒素ラジカル活性乳酸リンゲル液のメラノーマ細胞 に対する選択的不活性効果

    堀 侑己、村田 富保、 田中 宏昌、堀 勝、伊藤 昌文

    2020年第67回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学 四谷キャンパス   国名:日本国  

  421. メラノーマ細胞に対するラジカル活性培養液のストレス 応答MAPK活性誘導

    小川 和馬、堀 侑己、田中 美紀、村田 富保、田中 宏昌、堀 勝、伊藤 昌文

    2020年第67回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学 四谷キャンパス   国名:日本国  

  422. Arイオン照射窒化ガリウム表面の塩素吸着層のイオンエ ネルギー依存性 (2) 招待有り

    長谷川 将希、堤 隆嘉、谷出 敦、近藤 博基、関根 誠、石川 健治、堀 勝

    2020年第67回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:上智大学 四谷キャンパス   国名:日本国  

  423. Enhancement of Bactericidal Efficacy in Phenylalanine Solution Using LowCurrent Arc Discharge 国際会議

    Naoyuki Iwata, Vladislav Gamaleev, Hiroshi Hashizume, Masaru Hori and Masafumi Ito

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya   国名:日本国  

  424. Contribution of Reactive Oxygen Species to Bactericidal Efficacy of Radical-Activated Water 国際会議

    Shoma Ito, Naoyuki Iwata, Masaru Hori and Masafumi Ito

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  425. Dynamic Morphological Change of Lipid Bilayer Induced by Indirect Plasma Irradiation 国際会議

    Hiroki Kondo, Takuya Tonami, Sotaro Yamaoka, Hiromasa Tanaka, Kenji Ishikawa, Makoto Sekine, Masafumi Ito and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  426. Effect of Plasma Treatment in Paddy Field on Growth and Yield of Rice Plants 国際会議

    Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  427. Enhancement of Intracellular Lipids Accumulation in Plasma-Treated Coccomyxa sp. 国際会議

    Takumi Kato, Hiromasa Tanaka, Hiroshi Hashizume, Kenji Ishikawa and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  428. Variation of Hydrogen Peroxide Concentration in Low-Current-Arc Plasma Irradiated Phosphate-Buffered Solution 国際会議

    Takahiro Deguchi, Naoyuki Iwata, Gamaleev Vladislav, Hiroshi Hashizume, Motoyuki Shimizu, Masashi Kato, Masaru Hori and Masafumi Ito

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  429. Non-Equilibrium Atmospheric Pressure Plasma-Activated Organic Solutions 国際会議

    Yang Liu, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Fumitaka Kikkawa, Kae Nakamura, Masaaki Mizuno, Shinya Toyokuni and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya   国名:日本国  

  430. Termination of Dangling Bonds in Amorphous Carbon Films by Hydrogen Atoms 国際会議

    Yasuyuki Ohashi, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya   国名:日本国  

  431. Effect of Non-Equilibrium Atmospheric Pressure Plasma on Alcohol Fermentation of Budding Yeast 国際会議

    Shogo Matsumura, Hiromasa Tanaka, Hiroshi Hashizume, Kenji Ishikawa, Masafumi Ito and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  432. Fluctuation of Local Electrical Conductivity in Carbon Nanowalls Observed by Conductive Atomic Force Microscopy 国際会議

    Atsushi Ozaki, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  433. Ionization Enhancement Using CNWs in Laser Desorption / Ionization Mass Spectrometry 国際会議

    Ryusei Sakai, 1Hiroki Kondo, 1Kenji Ishikawa, 2Takayuki Ohta, 2Mineo Hiramatsu, 1Makoto Sekine and 1Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya   国名:日本国  

  434. Effect of Chamber Pressure on the Crystal Quality of InN Growth by Radical-Enhanced Metalorganic Chemical Vapor Deposition (REMOCVD) 国際会議

    Frank Wilson Amalraj, Naohiro Shimizu, Osamu Oda, Hiroki Kondo, Kenji Ishikawa and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya   国名:日本国  

  435. In-Liquid Plasma Synthesis of Iron-Nitrogen-Doped Carbon Nanoflakes with Highly Catalytic Activity 国際会議

    Ryo Hamaji, Tomoki Amano, Hiroki Kondo, Takayoshi Tsutsumi, 1Kenji Ishikawa, Makoto Sekine, Keigo Takeda, Mineo Hiramatsu and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya   国名:日本国  

  436. Generation of Repulsing Glow Discharge in Centimeter Order Air Gaps 国際会議

    Vladislav Gamaleev, Takayoshi Tsutsumi and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  437. Self-Absorbing Effect of Micro-Discharge Hollow Cathode Plasma as Light Source for Vacuum Ultraviolet Absorption Spectroscopy 国際会議

    Sho Shimizu, Keigo Takeda, Takayoshi Tsutsumi, Mineo Hiramatsu and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  438. Measurement of Spatial Distribution of Absolute Hydrogen Radical Density in Non Equilibrium Atmospheric Pressure Plasma by Vacuum Ultraviolet Absorption Spectroscopy 国際会議

    Kaede Katsuno, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  439. Influence of Temperature on Etch Rate of SiN Films with CF4/H2 Plasma 国際会議

    Shin-Nan Hsiao, Kazuya Nakane, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  440. Synthesis of Copper Nanoparticles Using Gas-Liquid Interface Plasma 国際会議

    Takayuki Ohta, Akira Ito and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  441. Etching Process Using CHF3 Gas Condensed Layer in Cryogenic Region 国際会議

    Masahiro Hazumi, Suganthamalar Selvaraj, Shih-Nan Hsiao, Chihiro Abe, Toshiyuki Sasaki, Hisataka Hayashi, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  442. Formation of Spherical Sn Particles from SnO2 Film by Atmospheric-Pressure Plasma 国際会議

    Thi-Thuy-Nga Nguyen, Minoru Sasaki, Hidefumi Odaka, Takayoshi Tsutsumi, Kenji Ishikawa and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  443. Effect of Lactate Activated with Nitrogen and Oxygen Radicals in Inactivation of Melanoma Cells 国際会議

    Yuki Hori, Tomiyasu Murata, Masaru Hori and Masafumi Ito

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  444. Effects of Fenton Reaction and pH on Fluidity of Supported Lipid Bilayer 国際会議

    Nozomi Iio, Ryugo Tero, Masaru Hori and Masafumi Ito

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  445. Plant-Growth Promotion Using Radical-Activated Tyrosine Solution 国際会議

    Ginji Ito, Naoyuki Iwata, Hiroshi Hashizume, Masaru Hori and Masafumi Ito

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  446. Selective Anti-Cell Proliferation Effect of Radical-Activataed Medium 国際会議

    Kazuma Ogawa, Miki Tanaka, Takahito Mizuno, Kaede Hashimoto, Tomiyasu Murata, Masaru Hori and Masafumi Ito

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  447. Plasma-Activated Medium Induced Oxidative Stress-Dependent Cell Death on Glioblastoma Cells 国際会議

    Masaru Hori, Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Kae Nakamura, Nobuhisa Yoshikawa, Hiroaki Kajiyama, Yasumasa Okazaki, Shinya Toyokuni and Fumitaka Kikkawa

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  448. Activation of Glucoamylase Using Aspergillus Oryzae Spores Exposed to Oxygen Radicals 国際会議

    Takuya Goto, Motoyuki Shimizu, Masashi Kato, Hiroshi Hashizume, Masaru Hori and Masafumi Ito

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  449. Molecular Structure Analysis on Surface of Glucose Film Using Sum Frequency Generation Spectroscopy 国際会議

    Yuta Yoshida, Takayuki Ohta, Kenji Ishikawa and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  450. Extracellular Flux Analysis of Mitochondrial Stress on HeLa Cells in Plasma Activated Ringer's Lactate Solution(PAL) 国際会議

    Shogo Maeda, Hiromasa Tanaka, Kae Nakamura, Hiroshi Hashizume, Kenji Ishikawa, Kinji Ohno, Mikako Ito, Masaaki Mizuno, Fumitaka Kikkawa and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  451. Control of growth, etching processes of graphene materials and their applications. 招待有り 国際会議

    Masaru Hori

    Gaseous Electronics Symposium3 

     詳細を見る

    開催年月日: 2020年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Rogla   国名:スロベニア共和国  

  452. Effect of multiphase plasma irradiation on alcohols for functional nanographene materials 招待有り 国際会議

    Hiroki Kondo and Masaru Hori

    Gaseous Electronics Symposium3 

     詳細を見る

    開催年月日: 2020年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Rogla   国名:スロベニア共和国  

  453. 低温プラズマ科学による産業およびバイオイノベーション 招待有り

    堀 勝

    システムナノ技術に関する特別研究専門委員会 第3回研究会 

     詳細を見る

    開催年月日: 2020年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:東京理科大学   国名:日本国  

  454. Agricultural and biorefinery applications using atmospheric-pressure plasmas 招待有り 国際会議

    Masafumi Ito, Naoyuki Iwata, Vladislav Gamaleeve, Moyotoyuki Shimizu, Masashi Kato, Masaru Hori

    The 1st International Symposium on Applied Plasma Science and Engineering for Agro and Bio Industry together with The 6th Workshop on Applied Plasma and Engineering (APSE2020) 

     詳細を見る

    開催年月日: 2020年1月 - 2020年2月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Chiang Mai Thailand   国名:タイ王国  

  455. In-plane distribution of electrical conductivity of carbon nanowalls perpendicular to substrate measured by conductive atomic force microscopy 国際会議

    Atsushi Ozaki, Hiroki Kondo, Takayoshi Tsutsumi, Makoto Sekine, Masaru Hori, Mineo Hiramatsu

    7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020 4th International Symposium on Energy Research and Application 

     詳細を見る

    開催年月日: 2020年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Gyeong Gi-do, Korea   国名:大韓民国  

  456. Improvement of wool surface charging properties by plasma surface modification process 国際会議

    T. Moriyama, T. Tsutsumi, H. Kondo, M. Sekine, K. Ishikawa, and M. Hori

    7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020 4th International Symposium on Energy Research and Application 

     詳細を見る

    開催年月日: 2020年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Gyeong Gi-do, Korea   国名:大韓民国  

  457. 工学を生きる 招待有り

    堀 勝

    東京エレクトロン(株)社外見識者による講演会”Visionary Talk2019" 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:日本語   会議種別:口頭発表(基調)  

    国名:日本国  

  458. Mitochondrial dysfunction in melanoma cells treated with radical-activated medium 国際会議

    Kazuma Ogawa, Takahito Mizuno, Kaede Hashimoto, Tomiyasu Murata, Masaru Hori and Masafumi Ito

    The 11th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-11) 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:英語   会議種別:ポスター発表  

    開催地:kanazawa, Japan   国名:日本国  

  459. Time evolution of cell viability on melanoma cells treated with nitrogen-oxygen-radical-activated lactate ringer's solution 国際会議

    Yuki Hori, Tomiyasu Murata2, Masaru Hori and Masafumi Ito

    The 11th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-11)  

     詳細を見る

    開催年月日: 2019年12月

    記述言語:英語   会議種別:ポスター発表  

    開催地:kanazawa, Japan   国名:日本国  

  460. Plant-growth promotion using radical-activated phosphate-buffered solution containing tryptophan 国際会議

    Ginji Ito, Nayoyuki Iwata, Hiroshi Hashizume, Masaru Hori, Masafumi Ito

    The 11th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-11)  

     詳細を見る

    開催年月日: 2019年12月

    記述言語:英語   会議種別:ポスター発表  

    開催地:kanazawa, Japan   国名:日本国  

  461. Inactivation of Fusarium oxysporum using arc plasma-activated Phenylalanine 国際会議

    Takahiro Deguchi, Naoyuki Iwata, Gamaleev Vladislav, Hiroshi Hashizume, Motoyuki Shimizu, Masashi Kato, Masaru Hori,and Masafumi Ito

    The 11th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-11) 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:英語   会議種別:ポスター発表  

    開催地:kanazawa, Japan   国名:日本国  

  462. Molecular structure analysis on surface of glucose film using sum frequency generation spectroscopy 国際会議

    Yuta Yoshida, Takayuki Ohta, Kenji Ishikawa, Masaru Hori

    The 11th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-11) 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:英語   会議種別:ポスター発表  

    開催地:kanazawa, Japan   国名:日本国  

  463. Nano-scale pattern formation of organic material with precisely wafer-temperature-controlled plasma etch system 国際会議

    Yusuke Fukunaga, Makoto Sekine, Takayoshi Tsutsumi, Hiroki Kondo, Kenji Ishikawa, and Masaru Hori

    The 11th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-11) 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:英語   会議種別:ポスター発表  

    開催地:kanazawa, Japan   国名:日本国  

  464. Non-thermal plasma as a powerful tool to synthesize bio-effective materials in solutions 国際会議

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Hiroki Kondo, Hiroshi Hashizume, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Nobuhisa Yoshikawa, Hiroaki Kajiyama, Fumitaka Kikkawa, and Masaru Hori

    MATERIALS RESEARCH MEETING 2019 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Yokohama, Japan   国名:日本国  

  465. Atomic hydrogen exposures of radical-injection CH4/H2 plasma-enhanced chemical vapor deposited amorphous carbon films 国際会議

    Yasuyuki Ohashi, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Masaru Hori

    MATERIALS RESEARCH MEETING 2019 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Yokohama, Japan   国名:日本国  

  466. Synthesis of Carbon Nanostrucures using Microwave-Exited Atmospheric Pressure Plasma 招待有り 国際会議

    M. Hiramatsu, K. Miyashita, T. Oyama, K. Takeda, H. Kondo, M. Hori

    MATERIALS RESEARCH MEETING 2019 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Yokohama, Japan   国名:日本国  

  467. Changes of resistive elements during degradation of carbon nanowalls electrodes for fuel cell synthesized employing a CH4/H2 mixture gas plasma 招待有り 国際会議

    Hiroki Kondo, Shun Imai, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

    MATERIALS RESEARCH MEETING 2019 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Yokohama, Japan   国名:日本国  

  468. Growth Promotion Effect of Oxygen-Radical-Treated Phenylalanine Solutions 国際会議

    Naoyuki Iwata, Vladislav Gamaleev, Hashizume Hashizume, Jun-Seok Oh, Takayuki Ohta1, Kenji Ishikawa, Masaru Hori, and Masafumi Ito

    MATERIALS RESEARCH MEETING 2019 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Yokohama, Japan   国名:日本国  

  469. Modification of lipid metabolism in Coccomyxa sp. treated by non-equilibrium atmosphere pressure plasma 国際会議

    Takumi Kato, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    MATERIALS RESEARCH MEETING 2019 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Yokohama, Japan   国名:日本国  

  470. Synthesis and crystallinity of nanographene using in-liquid plasma of ethanol 国際会議

    Ryo Hamaji, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Keigo Takeda, Mineo Hiramatsu, and Masaru Hori

    MATERIALS RESEARCH MEETING 2019 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Yokohama, Japan   国名:日本国  

  471. Advanced Plasma Processes Enabling Green and Life Innovations 招待有り 国際会議

    Masaru Hori

    Seminar at The Jožef Stefan Institute 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:英語   会議種別:公開講演,セミナー,チュートリアル,講習,講義等  

    開催地:Ljubljana, Slovenia   国名:スロベニア共和国  

  472. In-situ analyses of GaN surfaces irradiated by a Cl2 plasma for atomic layer etching

    Masaki Hasegawa, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Hiroki Kondo, Atsushi Tanide, and Masaru Hori

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  473. Advances in plasma science for materials 国際会議

    Masaharu Shiratani, Masaru Hori, Toshiro Kaneko

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  474. Activation of α-amylase using A. oryzae Spores Exposed to Oxygen Radicals 国際会議

    T. Goto, M. Shimizu, M. Kato, H. Hashizume, M. Hori and M. Ito

    29th Annual Meeting of MRS-Japan 2019 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  475. Molecular Structures on lipid bilayer probed by sum frequency generation spectroscopy 国際会議

    Y. Yoshida, T. Ohta, K. Ishikawa, M. Hori

    29th Annual Meeting of MRS-Japan 2019 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  476. Effect of Fenton Reaction on Supported Lipid Bilayer 国際会議

    N. Iio, N. Iwata, M. Hori, and M. Ito

    29th Annual Meeting of MRS-Japan 2019 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  477. Surface functionalization of wool fabrics using plasma process 国際会議

    T. Moriyama, T. Tsutsumi, H. Kondo, M. Sekine, K. Ishikawa, and M. Hori

    29th Annual Meeting of MRS-Japan 2019 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  478. Growth Promotion Effect of Oxygen-Radical-Treated Amino Acids Solutions 国際会議

    N. Iwata, G. Ito, V. Gamaleeve, H. Hashizume, J.-S. Oh, T. Ohta, K. Ishikawa, M. Hori, and M. Ito

    29th Annual Meeting of MRS-Japan 2019 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  479. Mitochondrial stress induced by plasma activated Ringer’s lactate solution on HeLa cells revealed through extracellular flux analysis. 国際会議

    M. Maeda, H. Tanaka, K. Nakamura, H. Hashizume, K. Ishikawa, M. Mizuno, F. Kikkawa and M. Hori

    29th Annual Meeting of MRS-Japan 2019 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  480. Modulation of dispersion stability for serum albumin by through the plasma treatment 招待有り 国際会議

    S. Ikehara, Y. Akimoto, T. Yamaguchi, H. Sakakita, M. Hori, and Y. Ikehara

    29th Annual Meeting of MRS-Japan 2019 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:日本国  

  481. Plasma cancer treatments in the current plasma medical science 招待有り 国際会議

    H. Tanaka, M. Mizuno, K. Ishikawa, S. Toyokuni, H. Kajiyama, F. Kikkawa and M. Hori

    29th Annual Meeting of MRS-Japan 2019 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  482. Effects of nitrogen-radical addition on viability of melanoma cells in oxygen-radical activated medium 国際会議

    K. Ogawa, T. Mizuno, K. Hashimoto, T. Murata, M. Hori and M. Ito

    29th Annual Meeting of MRS-Japan 2019 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  483. Plant growth promotion using radical-treated phenylalanine solution 国際会議

    G. Ito , N. Iwata , H. Hashizume, M. Hori, and M. Ito

    29th Annual Meeting of MRS-Japan 2019 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  484. Time-resolved diagnosis of afterglow phase in synchro-bias of negative direct current on pulsed plasmas 国際会議

    K. Nakane, T. Tsutsumi, S.-N. Hsiao, K. Ishikawa, M. Sekine, T. Gohira, Y. Ohya, M. Hori

    The 41st International Symposium on Dry Process (DPS2019) 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Hiroshima, Japan   国名:日本国  

  485. ALE of SiC by Plasma Modification and F-radical Etching Studied by in-situ Surface Spectroscopy 国際会議

    R. H. J. Vervuurt, K. Nakane, T. Tsutsumi, M. Hori, N. Kobayashi

    The 41st International Symposium on Dry Process (DPS2019) 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Hiroshima, Japan   国名:日本国  

  486. Refinement of roughness and damages of GaN in chlorine plasma etching at high substrate temperature using two-steps at different bias voltages 国際会議

    A. Tanide, S. Nakamura, T. Kimura, K. Kinose, S. Nadahara, K. Ishikawa, O. Oda, M. Hori

    The 41st International Symposium on Dry Process (DPS2019) 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Hiroshima, Japan   国名:日本国  

  487. Etching characteristics of silicon nitride film in plasma of fluoroethane (CH2FCHF2), Ar, and O2 mixture 国際会議

    J. Ni, S.-N. Hsiao, T. Hayashi, K. Ishikawa, T. Tsutsumi, M. Sekine, M. Hori

    The 41st International Symposium on Dry Process (DPS2019) 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Hiroshima, Japan   国名:日本国  

  488. Jahn-Teller effect and dissociative properties of CHF3 obtained using computational chemistry 国際会議

    T. Hayashi, M. Sekine, K. Ishikawa, M. Hori

    The 41st International Symposium on Dry Process (DPS2019) 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Hiroshima, Japan   国名:日本国  

  489. Suppression of etch pit formation in GaN etching using H2-added Cl2 plasma at 400°C 国際会議

    T. Omichi, A. Tanide, K. Ishikawa, T. Tsutsumi, H. Kondo, M. Sekine, M. Hor

    The 41st International Symposium on Dry Process (DPS2019) 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Hiroshima, Japan   国名:日本国  

  490. Effects of functional groups in raw material molecules on synthesis rate and structures of nanographene materials synthesized by in-liquid plasma using alcohols 国際会議

    H. Kondo, A. Ando, T. Tsutsumi, K. Takeda, T. Ohta, K. Ishikawa, M. Sekine, M. Ito, M. Hiramatsu, M. Hori

    The 41st International Symposium on Dry Process (DPS2019) 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Hiroshima, Japan   国名:日本国  

  491. 液中プラズマ法でのナノグラフェン合成における超音波の効果

    長谷川健太、近藤博基、堀勝、安田啓司

    2019年度 第28回 ソノケミストリー討論会 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東北大学 青葉山東キャンパス   国名:日本国  

  492. PN-3DGN-Plasma Nanoscience for 3D Graphene Network and Its Applications to Industry and Medicine 招待有り 国際会議

    Masaru Hori and Mineo Hiramatsu

    International Conference on Nanoscience and Materials World 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Barcelona, Spain   国名:スペイン  

  493. Effects of Plasma Treatment on Plant Cultivation 招待有り 国際会議

    H. Hashizume, H. Kitano, S.Mitsumoto, G. Yuasa, S. Tohno, M.Kojima, Y. Takebayashi, H. Mizuno, S. Kinoshita, K. Tsubota, H.Tanaka, K. Ishikawa, M.Ito, H. Sakakibara, M. Maeshima, T. Okuma, S.Nikawa, M. Mizuno, and M. Hori

    14th Asian Pacific Physics conference 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Sarawak, Malaysia   国名:マレーシア  

  494. 自動車、医療/バイオ産業を変革する 大気圧プラズマ新技術とその活用

    堀 勝

    日経 XTECH ラーニング 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:日本語   会議種別:公開講演,セミナー,チュートリアル,講習,講義等  

    開催地:愛知 ホテル ルブラ王山   国名:日本国  

  495. プラズマ誘起液相ラジカル連鎖反応 招待有り

    石川健治、Geyong s. Hwang 、林俊雄、堀勝、白谷正治

    第35回 九州・山口プラズマ研究会 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:長崎 対馬市交流センター 第3会議室   国名:日本国  

  496. 殺菌と生長促進が可能なプラズマ活性溶液の開発 招待有り

    伊藤昌文,岩田直幸,橋爪博司, 呉準席,堀勝

    第35回 九州・山口プラズマ研究会 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:長崎 対馬市交流センター 第3会議室   国名:日本国  

  497. Improvement of growth and yield of rice plants with plasma treatment 国際会議

    Hiroshi Hashizume, Hidemi Kitano, Satoru Kinoshita, Genki Yuasa, Satoe Tohno, Mikiko Kojima, Yumiko Takebayashi, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, Masaru Hori

    3rd Asia Pacific Conference on Plasma  

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Hefei, China   国名:中華人民共和国  

  498. Electrical, optical, and physicochemical behaviors of atmospheric pressure plasma jet generated in open air 招待有り 国際会議

    Keigo Takeda, Kenji Ishikawa, Takayuki Tsutsumi, Hiroki Kondo, Makoto Sekine, Masaru Hori

    3rd Asia Pacific Conference on Plasma (AAPPA-DPP2019) 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Hefei, China   国名:中華人民共和国  

  499. Low-temperature Plasmas Science Enabling Future Innovations from Material/Devices to Medicine and Agriculture 国際会議

    Masaru Hori

    Taiwan National Tsing Hua University 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:公開講演,セミナー,チュートリアル,講習,講義等  

    開催地:Taiwan National Tsing Hua University   国名:台湾  

  500. Challenge of Plasma Sciences towards Green Innovations 招待有り 国際会議

    Masaru Hori

    Lecture at National Synchrotron Radiation Research Center  

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Taiwan National Synchrotron Radiation Research Center   国名:日本国  

  501. Low-temperature Plasma Science Open Multidisciplinary Applications for a Better and More Sustainable Future 国際会議

    Masaru Hori

    Taiwan vacuum Society (TVS-2019) 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:台湾  

  502. Spectroscopic diagnostics of microwave excited atmospheric pressure Ar plasma jet in open air 国際会議

    Keigo Takeda, Mineo Hiramatsu, Kenji Ishikawa, Masaru Hori

    The 72nd Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2019年10月 - 2019年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Texas,USA   国名:アメリカ合衆国  

  503. Radical activated solutions with bactericidal and plant-growth effects 国際会議

    Masafumi Ito, Naoyuki Iwata, Vladislav Gamaleev, Hiroshi Hashizume, Jun-Seok Oh, Takayuki Ohta, Kenji Ishikawa, Masaru Hori

    The 72nd Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2019年10月 - 2019年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Texas,USA   国名:アメリカ合衆国  

  504. High-aspect-ratio organic-pattern formation with self-limiting manner by controlling plasma process based on substrate temperature measurement. 国際会議

    Makoto Sekine,Yusuke Fukunaga,Takayoshi Tsutsumi,Kenji Ishikawa,Hiroki Kondo,Masaru Hori

    The 72nd Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2019年10月 - 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Texas,USA   国名:アメリカ合衆国  

  505. Synthesis of few-layer graphene using microwave-exited atmospheric pressure plasma 国際会議

    Mineo Hiramatsu,Koki Miyashita,Taishu Oyama,Keigo Takeda,Hiroki Kondo,Masaru Hori

    The 72nd Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2019年10月 - 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Texas,USA   国名:アメリカ合衆国  

  506. Effect of mixing alcohol source on synthesis of nanographene by in-liquid plasma 国際会議

    Hiroki Kondo, Atsushi Ando, Takayoshi Tsutsumi, Keigo Takeda, Takayuki Ohta, Kenji Ishikawa, Makoto Sekine, Masafumi Ito, Mineo Hiramatsu, Masaru Hori

    The 72nd Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2019年10月 - 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Texas,USA   国名:アメリカ合衆国  

  507. 総括:プラズマによる生体・植物の制御技術と将来展望 招待有り

    堀 勝

    (独)日本学術振興会 第153委員会 第142回研究会『医療と農業分野におけるプラズマ応用の新展開』 

     詳細を見る

    開催年月日: 2019年10月

    記述言語:日本語   会議種別:口頭発表(基調)  

    開催地:パナソニックセンター東京   国名:日本国  

  508. Plasma Processing with Feedback Control of Wafer Temperature By Non-Contact Temperature Measurement System 国際会議

    T. Tsutsumi, H. Kondo, K. Ishikawa , K. Takeda, T. Ohta, M. Sekine, M. Ito, and M.

    236th ECS meeting 

     詳細を見る

    開催年月日: 2019年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  509. Carbon Nanowalls Propelling Social Innovations 招待有り 国際会議

    Masaru Hori, Mineo Hiramatsu

    International Association of Advanced material (IAAM) Global Graphene Forum 

     詳細を見る

    開催年月日: 2019年10月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Stockholm, Sweden   国名:スウェーデン王国  

  510. 低温プラズマ医療科学の最前線 招待有り

    田中 宏昌、堀 勝

    大学共同利用機関法人自然科学研究機構核融合科学研究所と 国立大学法人名古屋大学低温プラズマ科学研究センターとの 連携・協力に関する協定書調印式・記念講演会  

     詳細を見る

    開催年月日: 2019年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋大学 減災連携研究センター1 階 減災ホール   国名:日本国  

  511. プラズマ誘起有機酸の前駆体が拓く超バイオ機能 招待有り

    石川 健治、橋爪 博司、田中 宏昌、吉武 淳、柴田 貴広、小鹿 一、伊藤 昌文、古閑 一憲、白谷 正治、豊國 伸哉、吉川 史隆、水野 正明、堀 勝

    2019年 第80回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:北海道大学札幌キャンパス   国名:日本国  

  512. 酸化窒素ラジカル活性乳酸リンゲル液によるメラノーマ細胞の不活化

    堀 侑己、村田 富保、堀 勝、伊藤 昌文

    2019年 第80回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:北海道大学札幌キャンパス   国名:日本国  

  513. ピコ秒レーザPLD法を用いたGaNトンネル接合コンタクトの形成

    児玉 和樹、小笠原 直、内藤 一樹、小田 修、堀 勝、上田 大助

    2019年 第80回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:北海道大学札幌キャンパス   国名:日本国  

  514. プラズマ活性リンゲル液処理による細胞応答と抗腫瘍効果物質の解明

    須田 雄貴、石川 健治、田中 宏昌、橋爪 博司、吉川 史隆、水野 正明、堀 勝

    2019年 第80回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:北海道大学札幌キャンパス   国名:日本国  

  515. 酸素ラジカル照射トリプトファン含有リン酸緩衝液溶液中の大腸菌殺菌効果

    岩田 直幸、ガマリェエフ ウラディスラフ、橋爪 博司、呉 準席、太田 貴之、石川 健治、堀 勝、伊藤 昌文

    2019年 第80回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:北海道大学札幌キャンパス   国名:日本国  

  516. 酸素ラジカル処理フェニルアラニン溶液による支持脂質二重膜の側方拡散性への影響

    久米 寛、岩田 直幸、手老 龍吾、橋爪 博司、近藤 博基、堀 勝、伊藤 昌文

    2019年 第80回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:北海道大学札幌キャンパス   国名:日本国  

  517. プラズマ活性乳酸リンゲル液(PAL)で培養したHeLa細胞の細胞代謝解析

    前田 昌吾、石川 健治、田中 宏昌、中村 香江、橋爪 博司、吉川 史隆、水野 正明、堀 勝

    2019年 第80回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:北海道大学札幌キャンパス   国名:日本国  

  518. 非平衡大気圧Ar プラズマ源における放電形状の経時変化および水素ラジカル密度空間分布計測

    勝野 楓、堤 隆嘉、石川 健治、竹田 圭吾、橋爪 博司、田中 宏昌、近藤 博基、関根 誠、堀 勝

    2019年 第80回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:北海道大学札幌キャンパス   国名:日本国  

  519. イネ圃場における定期的な低温プラズマ処理による生育や収穫に対する検討 (II)

    橋爪 博司、北野 英己、水野 寛子、湯浅 元気、東野 里江、田中 宏昌、石川 健治、松本 省吾、榊原 均、仁川 進、前島 正義、水野 正明、堀 勝

    2019年 第80回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:北海道大学札幌キャンパス   国名:日本国  

  520. プラズマ処理によるイチゴ果実中の抗酸化物質量増加

    橋爪 博司、坪田 憲紀、松本 省吾、伊藤 昌文、湯浅 元気、東野 里江、田中 宏昌、石川 健治、北野 英己、榊原 均、仁川 進、前島 正義、水野 正明、大熊 隆之、堀 勝

    2019年 第80回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:北海道大学札幌キャンパス   国名:日本国  

  521. プラズマ活性化乳酸リンゲル点滴 (PAL) によるイネ幼苗の成長促進効果

    橋爪 博司、北野 英己、水野 寛子、湯浅 元気、東野 里江、田中 宏昌、石川 健治、松本 省吾、榊原 均、仁川 進、前島 正義、水野 正明、堀 勝

    2019年 第80回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:北海道大学札幌キャンパス   国名:日本国  

  522. Mechanism of Aqueous Reactions in Non-equilibrium Atmospheric Pressure Plasma-activated Lactec Solutions

    Yang Liu,Kenji Ishikawa,Hiroshi Hashizumi,Hiromasa Tanaka,Takayoshi Tsutsumi,Hiroki Kondo,Makoto Sekine,Masaru Hori

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  523. 自己吸収型マイクロ放電ホローカソード光源を用いた水素プラズマの真空紫外吸収分光計測

    清水 奨、竹田 圭吾、堤 隆嘉、平松 美根男、堀 勝

    2019年 第80回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:北海道大学札幌キャンパス   国名:日本国  

  524. SiNx の ALE におけるフッ素ラジカルの In-situ エッチング反応解析

    中根 一也、ルネイ ヘリンカス ヨセフ フェーフィート、堤 隆嘉、小林 伸好、堀 勝

    2019年 第80回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:北海道大学札幌キャンパス   国名:日本国  

  525. 酸素ラジカル照射支持脂質二重膜上の液相厚が膜内側方拡散係数に及ぼす影響

    飯尾 望、久米 寛、手老 龍吾、堀 勝、伊藤 昌文

    2019年 第80回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:北海道大学札幌キャンパス   国名:日本国  

  526. ラジカル活性フェニルアラニン含有リン酸緩衝液を用いた植物生長促進

    伊藤 銀二、岩田 直幸、ウラディス ガマリェエフ、橋爪 博司、堀 勝、伊藤 昌文

    2019年 第80回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:北海道大学札幌キャンパス   国名:日本国  

  527. Arイオン照射窒化ガリウム表面の塩素吸着層のイオンエネルギー依存性

    長谷川 将希、堤 隆嘉、近藤 博基、関根 誠、石川 健治、堀 勝

    2019年 第80回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:北海道大学札幌キャンパス   国名:日本国  

  528. CH3Fの電子物性と解離

    林 俊雄、石川 健治、関根 誠、堀 勝

    2019年 第80回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:北海道大学札幌キャンパス   国名:日本国  

  529. 和周波発生分光法を用いたグルコース膜表面の分子構造解析

    吉田 勇太、勝谷 稜也、太田 貴之、石川 健治、堀 勝

    2019年 第80回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:北海道大学札幌キャンパス   国名:日本国  

  530. Challenge of Plasma Nanoprocesses for Industry and Life Innovations 招待有り 国際会議

    M. Hori, K. Ishikawa, M. Sekine and M. Hiramatsu

    The 10th international conference on plasma nanoscience(iPlasmaNano X 2019) 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Poreč Croatia   国名:クロアチア共和国  

  531. Synthesis of nano-materials using gas-liquid interfacial plasma 招待有り 国際会議

    Ohta, T. Saida , M. Hori

    The 10th international conference on plasma nanoscience(iPlasmaNano X 2019) 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Poreč Croatia   国名:クロアチア共和国  

  532. Highly-durable carbon nanowalls electrodes for fuel cell synthesized employing a C2F6/H2 mixture gas plasma 国際会議

    H. Kondo, S. Imai, T. Tsutsumi, K. Ishikawa, M.Sekine, M. Hiramatsu, M.Hori

    International Conference on Solid State Devices and Materials 2019 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語  

    開催地:Nagoya, Aichi   国名:日本国  

  533. In-liquid plasma synthesis of iron-nitrogen-doped carbon nanosheets with highly electro- catalytic activity for fuel cell application 国際会議

    R. Hamaji, T. Amano, H. Kondo, T. Tsutsumi, K. Ishikawa, M. Sekine, K. Takeda, M. Hiramatsu, M. Hori

    International Conference on Solid State Devices and Materials 2019 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya, Aichi   国名:日本国  

  534. Leading Edge of Plasma Process Research 招待有り 国際会議

    Masaru Hori

    International Conference on Solid State Devices and Materials 2019 (Satellite Workshop Current Status and Future of Plasma Process) 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Nagoya, Aichi   国名:日本国  

  535. Challenge of Low-temperature Plasma Sciences towards SDGs 招待有り 国際会議

    Masaru Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Jeju-do, Korea   国名:大韓民国  

  536. Intracellular responses of Coccomyxa sp. during culture in plasma-treated nutrient solution 国際会議

    Takumi Kato, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Jeju-do, Korea   国名:大韓民国  

  537. H2-added Cl2 plasma etching of GaN at high temperature 国際会議

    Takahiro Omichi, Atsushi Tanide, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Jeju-do, Korea   国名:大韓民国  

  538. Intracellular response of HeLa cells treated by plasma- activated Ringer's lactate solution 国際会議

    Shogo Maeda, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, Fumitaka Kikkawa, Masaaki Mizuno and Masaru Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Jeju-do, Korea   国名:大韓民国  

  539. Composition of ion species in pulsed dual frequency CCP with synchronized dc bias using fluorocarbon gases 国際会議

    Kazuya Nakane, Shin-Nan Hsiao , Takayoshi Tsutsumi, Taku Gohira, Kenji Ishikawa, Makoto Sekin, Yoshinobu Ohya and Masaru Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Jeju-do, Korea   国名:大韓民国  

  540. Chemical reaction analysis on surface of glucose-film using sum-frequency generation spectroscopy 国際会議

    Yuta Yoshida, Ryoya Katuya, Takayuki Ohta, Kenji Ishikawa and Masaru Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Jeju-do, Korea   国名:大韓民国  

  541. Apoptosis-inducing activity through caspase-3 and -12 of radical- activated medium for melanoma cells 国際会議

    Kazuma Ogawa, Takahito Mizuno, Kaede Hashimoto, Tomiyasu Murata, Masaru Hori, and Masafumi Ito

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Jeju-do, Korea   国名:大韓民国  

  542. Inactivation of biofilm-forming bacteria using deionized distilled water treated with oxygen radicals or nitric oxide radicals 国際会議

    Tomoyuki Nagase, Yumiko Komori, Masaru Hori, and Masafumi Ito

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Jeju-do, Korea   国名:大韓民国  

  543. Synergetic effects of the nitric oxide radical and ultraviolet light irradiations on the viability of mouse fibroblasts 国際会議

    Yuki Hori, Tomiyasu Murata, Masaru Hori and Masafumi Ito

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Jeju-do, Korea   国名:大韓民国  

  544. Transportation mechanism of reactive oxygen species in liquid irradiated by atmospheric-pressure oxygen-radical source 国際会議

    Nozomi Iio, Naoyuki Iwata, Toshiyuki Kawasaki, Masaru Hori, Masafumi Ito

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Jeju-do, Korea   国名:大韓民国  

  545. Vacuum Ultraviolet Absorption Spectroscopy with Self-absorbing Micro-discharge Hollow Cathode Lamp 国際会議

    S. Shimizu, N. Kishi, K. Takeda, T. Tsutsumi, M. Hiramatsu, and M. Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Jeju-do, Korea   国名:大韓民国  

  546. Lifetime of bactericidal efficacy in oxygen-radical-activated Phenylalanine solution 国際会議

    Naoyuki Iwata, Gamaleev Vladislav, Jun-Seok Oh, Hiroshi Hashizume, Takayuki Ohta, Kenji Ishikawa, Masaru Hori, and Masafumi Ito

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Jeju-do, Korea   国名:大韓民国  

  547. Decomposition of vanillin using atmospheric-pressure oxygen- radical and pulsed low-current-arc plasma sources 国際会議

    Ginji ito, Naoyuki Iwata, Vladislav Gamaleeve, Motoyuki Shimizu, Masashi Kato, Masaru Hori, Masafumi Ito

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Jeju-do, Korea   国名:大韓民国  

  548. Similarities and differences of biological networks between PAM- and PAL-treated glioblastoma cells 国際会議

    Masaru Hori, Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Nobuhisa Yoshikawa, Hiroaki Kajiyama, and Fumitaka Kikkawa

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Jeju-do, Korea   国名:大韓民国  

  549. Effects of radical-treated phenylalanine solution on fluorescent molecules in supported lipid bilayer 国際会議

    Yutaka Kume, Naoyuki Iwata, Ryugo Tero, Hiroshi Hashizume, Hiroki Kondo, Masaru Hori, and Masafumi Ito

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Jeju-do, Korea   国名:大韓民国  

  550. Hydrogen atom exposure for termination of dangling bonds in amorphous carbon films 国際会議

    Yasuyuki Ohashi, Hirotsugu Sugiura, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Masaru Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Jeju-do, Korea   国名:大韓民国  

  551. Disordering in crystallinity induced by intermediates in synthesis of nanographene using in-liquid plasma 国際会議

    Ryo Hamaji, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Keigo Takeda, Mineo Hiramatsu, and Masaru Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Jeju-do, Korea   国名:大韓民国  

  552. Control of Interspace between Carbon Nanowalls grown in Plasma Enhanced Chemical Vapor Deposition 国際会議

    Keigo Takeda, Mineo Hiramatsu, Hiroki Kondo and Masaru Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Jeju-do, Korea   国名:大韓民国  

  553. In situ surface analysis of Ga dangling sites and chlorination layers for determining atomic layer etching properties of GaN 国際会議

    Masaki Hasegawa, Takayoshi Tsutsumi, Atsushi Tanide, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Jeju-do, Korea   国名:大韓民国  

  554. Gene expression analyses revealed the differences of intracellular molecular mechanisms of PAM- and PAL-induced cell death 招待有り 国際会議

    Hiromasa Tanaka, Masaaki Mizuno, Yuko Katsumata, Kenji Ishikawa, Hiroki Kondo, Hiroshi Hashizume, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Nobuhisa Yoshikawa, Hiroaki Kajiyama, Fumitaka Kikkawa, and Masaru Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Jeju-do, Korea   国名:大韓民国  

  555. Yield improvement of rice plants with cold plasma treatment in paddy field during cultivation. 国際会議

    H. Hashizume, H. Kitano, G. Yuasa, S. Tohno, H. Tanaka, K. Ishikawa, S. Matsumoto, H. Sakakibara, S. Nikawa, M. Maeshima, M. Mizuno, and M. Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Jeju-do, Korea   国名:大韓民国  

  556. 未来をつくるプラズマ 招待有り

    堀 勝

    平成31年度 低温プラズマ科学研究センター市民公開講座「のぞいてみよう!プラズマと生物と医療・農業の不思議な世界」 

     詳細を見る

    開催年月日: 2019年8月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋大学東山キャンパス ナショナル・イノベーション・コンプレックス(NIC)   国名:日本国  

  557. 低温プラズマ科学研究センターの概要 招待有り

    堀 勝

    名古屋大学低温プラズマ科学研究センター創立記念式典・講演会 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋大学 豊田講堂ホール   国名:日本国  

  558. Rapid Thermal-Cyclic Atomic Layer Etching of Thin Films with Highly Selective, Self-Limiting, and Conformal Characteristics 国際会議

    Kazunori Shinoda, Hiroyuki Kobayashi, Nobuya Miyoshi, Masaru Izawa, Kenji Ishikawa, Masaru Hori

    AVS 19th International Conference on Atomic Layer Deposition (ALD 2019)/6th International Atomic Layer Etching Workshop (ALE 2019) 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Washington,USA   国名:アメリカ合衆国  

  559. Selectivity during Plasma ALE of Si-Compounds: Reaction Mechanism Studied by in-situ Surface Spectroscopy 国際会議

    René Vervuurt, Kazuya Nakane, Takayoshi Tsutsumi, Masaru Hori, Nobuyoshi Kobayashi

    AVS 19th International Conference on Atomic Layer Deposition (ALD 2019)/6th International Atomic Layer Etching Workshop (ALE 2019) 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Washington,USA   国名:アメリカ合衆国  

  560. Chlorinated Surface Layer of GaN in Quasi Atomic Layer Etching of Cyclic Processes of Chlorine Adsorption and Ion Irradiation 国際会議

    Masaki Hasegawa, Takayoshi Tsutsumi, Atsushi Tanide, Hiroki Kondo, Makoto Sekine, Kenji Ishikawa, Masaru Hori

    AVS 19th International Conference on Atomic Layer Deposition (ALD 2019)/6th International Atomic Layer Etching Workshop (ALE 2019) 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Washington,USA   国名:アメリカ合衆国  

  561. Control of the Interface Layer in ALE Process by Alternating O2 Plasma with Fluorocarbon Deposition for High Selectivity Etching 国際会議

    Takayoshi Tsutsumi, Akiko Kobayashi, Nobuyoshi Kobayashi, Masaru Hori

    AVS 19th International Conference on Atomic Layer Deposition (ALD 2019)/6th International Atomic Layer Etching Workshop (ALE 2019) 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Washington,USA   国名:アメリカ合衆国  

  562. Optimized properties of carbon nanowall surface for laser desorption / ionization mass spectrometry 国際会議

    Ryusei Sakai, Tomonori Ichikawa, Shun Imai, Hiroki Kondo, Kenji Ishikawa, Takayuki Ohta, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    XXXIV International Conference on Phenomena in Ionized Gases(XXXIV ICPIG)/10th International Conference on Reactive Plasmas(ICRP-10) 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Sapporo, Hokkaido   国名:日本国  

  563. Behavior of metastable Ar atom generated in microwave excited atmospheric pressure Ar gas plasma jet in open air 国際会議

    Keigo Takeda, Fumiya Satake, Masaki Kataoka, Mineo Hiramatsu, Kenji Ishikawa, Masaru

    XXXIV International Conference on Phenomena in Ionized Gases(XXXIV ICPIG)/10th International Conference on Reactive Plasmas(ICRP-10) 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Sapporo, Hokkaido   国名:日本国  

  564. Enhancement of alcohol production of budding yeasts by treatment of non-equilibrium atmospheric pressure plasma 国際会議

    Shogo Matsumura, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Masafumi Ito, Masaru Hori

    XXXIV International Conference on Phenomena in Ionized Gases(XXXIV ICPIG)/10th International Conference on Reactive Plasmas(ICRP-10) 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Sapporo, Hokkaido   国名:日本国  

  565. Generation of bactericidal efficacy in neutral pH range using oxygen-radical treatment of organic compound 国際会議

    Naoyuki Iwata, Vladislav Gamaleeve, Jun-Seok Oh, Hiroshi Hashizume, Takayuki Ohta, Kenji Ishikawa, Masaru Hori, Masafumi Ito

    XXXIV International Conference on Phenomena in Ionized Gases(XXXIV ICPIG)/10th International Conference on Reactive Plasmas(ICRP-10) 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Sapporo, Hokkaido   国名:日本国  

  566. Effects of fluorine introduction and termination of CNWs on their electrochemical reactions 国際会議

    Hiroki Kondo, Masakazu Tomatsu, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Mineo Hiramatsu

    XXXIV International Conference on Phenomena in Ionized Gases(XXXIV ICPIG)/10th International Conference on Reactive Plasmas(ICRP-10) 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Sapporo, Hokkaido   国名:日本国  

  567. Effects of Ion Bombardment Energy Flux on Chemical Compositions and Structures of Hydrogenated Amorphous Carbon Films Grown by a Radical-Injection Plasma-Enhanced Chemical Vapor Deposition 国際会議

    Hitotsugu Sugiura, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori

    XXXIV International Conference on Phenomena in Ionized Gases(XXXIV ICPIG)/10th International Conference on Reactive Plasmas(ICRP-10) 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Sapporo, Hokkaido   国名:日本国  

  568. Electrical conductivity for vertical direction of radical injection plasma enhanced chemical vapor deposited carbon nanowalls (RI-PECVD-CNW) 国際会議

    Atsushi Ozaki, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Masaru Hori

    XXXIV International Conference on Phenomena in Ionized Gases(XXXIV ICPIG)/10th International Conference on Reactive Plasmas(ICRP-10) 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Sapporo, Hokkaido   国名:日本国  

  569. Decomposition of vanillin using non-equilibrium atmospheric-pressure oxygen radical source and rotating arc plasma 国際会議

    Ginji Ito, Naoyuki Iwata, Vladislav Gamaleeve, Motoyuki Shimizu, Masashi Kato, Masaru Hori, Masafumi Ito

    XXXIV International Conference on Phenomena in Ionized Gases(XXXIV ICPIG)/10th International Conference on Reactive Plasmas(ICRP-10) 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Sapporo, Hokkaido   国名:日本国  

  570. Differences of intracellular molecular mechanisms of cell death between plasma-activated medium-treated glioblastoma cells and plasma-activated Ringer's lactate solution-treated glioblastoma cells 国際会議

    Hiromasa Tanaka, Masaaki Mizuno, Yuko Katsumata, Kenji Ishikawa, Hiroki Kondo, Hiroshi Hashizume, Shinya Toyokuni, Kae Nakamura, Nobuhisa Yoshikawa, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaru Hori

    XXXIV International Conference on Phenomena in Ionized Gases(XXXIV ICPIG)/10th International Conference on Reactive Plasmas(ICRP-10) 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Sapporo, Hokkaido   国名:日本国  

  571. Cell response in treatment of plasma-activated Ringer's acetate 国際会議

    Yuki Suda, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Shinya Toyokuni, Fumitaka Kikkawa, Masaaki Mizuno, Masaru Hori

    XXXIV International Conference on Phenomena in Ionized Gases(XXXIV ICPIG)/10th International Conference on Reactive Plasmas(ICRP-10) 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Sapporo, Hokkaido   国名:日本国  

  572. Fabrication of graphene-based materials using microwave-exited non-equilibrium atmospheric pressure plasma 国際会議

    Mineo Hiramatsu, Koki Miyashita, Taishu Oyama, Keigo Takeda, Hiroki Kondo, Masaru Hori

    XXXIV International Conference on Phenomena in Ionized Gases(XXXIV ICPIG)/10th International Conference on Reactive Plasmas(ICRP-10) 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Sapporo, Hokkaido   国名:日本国  

  573. Growth promotion of mouse fibroblasts using irradiation of nitric oxide radicals and ultraviolet light 国際会議

    Yuki Hori, Naoyuki Iwata, Tomiyasu Murata, Masaru Hori, Masafumi Ito

    XXXIV International Conference on Phenomena in Ionized Gases(XXXIV ICPIG)/10th International Conference on Reactive Plasmas(ICRP-10) 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Sapporo, Hokkaido   国名:日本国  

  574. Distribution measurement of reactive oxygen species in water irradiated from atmospheric-pressure oxygen-radical source 国際会議

    Nozomi Iio, Naoyuki Iwata, Vladislav Gamaleev, Toshiyuki Kawasaki, Masaru Hori, Masafumi Ito

    XXXIV International Conference on Phenomena in Ionized Gases(XXXIV ICPIG)/10th International Conference on Reactive Plasmas(ICRP-10) 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Sapporo, Hokkaido   国名:日本国  

  575. 低温プラズマの大気圧下での発生・発生源から生体への活性種輸送 招待有り

    堀 勝、石川健治、田中 宏昌、水野 正明、吉川 史隆、豊國 伸哉

    第72回日本酸化ストレス学会学術集会 

     詳細を見る

    開催年月日: 2019年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:北海道立道民活動センター かでる2・7   国名:日本国  

  576. 低温プラズマ活性乳酸中に存在する分子によるがん細胞の選択的殺傷

    石川 健治、吉武 淳、柴田 貴広、田中 宏昌、橋爪 博司、豊國 伸哉、吉川 史隆、水野 正明、堀 勝

    第72回日本酸化ストレス学会学術集会 

     詳細を見る

    開催年月日: 2019年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:北海道立道民活動センター かでる2・7   国名:日本国  

  577. プラズマ支援原子層プロセスにおける表界面反応層制御・診断 招待有り

    堤 隆嘉,近藤 博基,石川 健治,関根 誠,堀 勝

    第4回 Atomic Layer Process (ALP) Workshop 

     詳細を見る

    開催年月日: 2019年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:大阪大学中之島センター   国名:日本国  

  578. Investigation of emission spectrum profile of hydrogen atom in micro-hollow cathode discharge 国際会議

    Keigo Takeda, Sho Shimizu, Seigo Takashima, Mineo Hiramatsu, Masaru Hori

    24th International Symposium on Plasma Chemistry (ISPC24) 

     詳細を見る

    開催年月日: 2019年6月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Naples Italy   国名:イタリア共和国  

  579. Gene expression dynamics of glioblastoma cells in plasma-activated medium and plasma-activated Ringer's lactate solution 国際会議

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Makoto Sekine, Hiroki Kondo, Hiroshi Hashizume, Takayoshi Tsutsumi, Kae Nakamura, Nobuhisa Yoshikawa, Hiroaki Kajiyama, Shinya Toyokuni, Fumitaka Kikkawa, Masaru Hori

    24th International Symposium on Plasma Chemistry (ISPC24) 

     詳細を見る

    開催年月日: 2019年6月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Naples Italy   国名:イタリア共和国  

  580. Bactericidal Activity in oxygen-radical-activated water 国際会議

    Naoyuki Iwata, Vladislav Gamaleeve, Jun-Seok Oh, Kenji Ishikawa, Masaru Hori, Masafumi Ito

    24th International Symposium on Plasma Chemistry (ISPC24) 

     詳細を見る

    開催年月日: 2019年6月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Naples Italy   国名:イタリア共和国  

  581. Surface reaction analysis of plasma-treated bio-molecules using sum frequency generation spectroscopy 国際会議

    Takayuki Ohta, Ryoya Katsuya, Kenji Ishikawa, Masaru Hori

    24th International Symposium on Plasma Chemistry (ISPC24) 

     詳細を見る

    開催年月日: 2019年6月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Naples Italy   国名:イタリア共和国  

  582. Low-temperature plasmas assisted syntheses of carbon nanomaterials 国際会議

    Masaru Hori, Hiroki Kondo, Makoto Sekine, Kenji Ishikawa

    24th International Symposium on Plasma Chemistry (ISPC24) 

     詳細を見る

    開催年月日: 2019年6月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Naples Italy   国名:イタリア共和国  

  583. Precisely wafer-temperature-controlled plasma etching and its application for nano-scale pattern fabrication of organic material 国際会議

    Makoto Sekine, Yusuke Fukunaga, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Masaru Hori

    24th International Symposium on Plasma Chemistry (ISPC24) 

     詳細を見る

    開催年月日: 2019年6月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Naples Italy   国名:イタリア共和国  

  584. Novel bactericidal method for aquaculture using benzoic-compound solutions treated with oxygen radicals 国際会議

    Naoyuki Iwata, Vladislav Gamaleeve, Jun-Seok Oh, Hiroshi Hashizume, Takayuki Ohta, Kenji Ishikawa, Masaru Hori, Masafumi Ito

    24th International Symposium on Plasma Chemistry (ISPC24) 

     詳細を見る

    開催年月日: 2019年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Naples Italy   国名:イタリア共和国  

  585. Effect of plasma treatment on growth and harvest of rice seeds 国際会議

    Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Satoru Kinoshita, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, Masaru Hori

    24th International Symposium on Plasma Chemistry (ISPC24) 

     詳細を見る

    開催年月日: 2019年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Naples Italy   国名:イタリア共和国  

  586. Plasma-assisted Synthesis and Modification of Carbon Nanowalls for Emerging Applications 国際会議

    Hiroki Kondo, Takayoshi Tsutsumi, Keigo Takeda, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Mineo Hiramatsu

    International Conference NANO-M&D2019 Fabrication, Properties and Applications of Nano-Materials and Nano-Devices 

     詳細を見る

    開催年月日: 2019年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Paestum, Italy   国名:イタリア共和国  

  587. Challenge of atomic layer and molecular processes for future plasma chemistry 招待有り 国際会議

    Masaru Hori

    8th Central European Symposium on Plasma Chemistry 

     詳細を見る

    開催年月日: 2019年5月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Gozd Martuljek, Slovenia   国名:スロベニア共和国  

  588. 低温プラズマ科学が拓くイノベーション 招待有り

    堀 勝

    独立技術士交流委員会 

     詳細を見る

    開催年月日: 2019年4月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  589. 低温プラズマ科学研究の取り組みと共同利用・共同研究拠点としての研究推進~低温プラズマ科学による持続可能な地球の実現~ 招待有り

    堀 勝

    企業基調講演(東京エレクトロン宮城株式会社) 

     詳細を見る

    開催年月日: 2019年4月

    記述言語:日本語   会議種別:口頭発表(基調)  

    開催地:東京エレクトロン宮城   国名:日本国  

  590. 大気圧プラズマを用いた医療応用の最前線 招待有り

    堀 勝

    公益社団法人日本産婦人科学会 第71回学術講演会 

     詳細を見る

    開催年月日: 2019年4月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  591. 低温プラズマのレクチャー 招待有り

    堀 勝

    名古屋大学低温プラズマ研究センター交流会 

     詳細を見る

    開催年月日: 2019年4月

    記述言語:日本語   会議種別:口頭発表(基調)  

    開催地:名古屋大学   国名:日本国  

  592. Plasma activated media for cancer treatment 招待有り 国際会議

    H. Tanaka & M. Hori

    6th International Workshop on Plasma for Cancer Treatment (IWPCT2019)  

     詳細を見る

    開催年月日: 2019年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Antwerp, Belgium   国名:ベルギー王国  

  593. Plasma-activated fluids as a novel treatment option for peritoneal metastasis from gastric/pancreatic cancer 招待有り 国際会議

    Y.Kodera, S.Yamada, K.Torii, N.Hattori, S.Takeda, Y.Sato, H.Tanaka, M.Mizuno and M.Hori

    6th International Workshop on Plasma for Cancer Treatment (IWPCT2019) 

     詳細を見る

    開催年月日: 2019年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Antwerp, Belgium   国名:ベルギー王国  

  594. In-liquid plasma formation at low temperature of in situ binding SnO2/Graphene 国際会議

    Kenji Ishikawa, Rajit R.Borude, Hirotsugu Sugiura, Takayoshi Tsusumi, Hiroki Kondo, Nobuyuki Ikarashi, and Masaru Hori

    10th International Workshop on Microplasmas IWM-10 

     詳細を見る

    開催年月日: 2019年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  595. 低温プラズマ科学が拓く破壊的イノベーション 招待有り

    堀 勝

    名古屋大学シリコンフロンティア・特別研究会~特定領域研究『ポストスケール』から10年を超えて~ 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋大学   国名:日本国  

  596. Future Medicine and Innovation for Agriculture and Fisheries Opened by Low-Temperature Plasma Sciences 招待有り 国際会議

    Masari Hori

    The 9th Federations of the Asian and Oceanian Physiological Societies Congress (9th FAOPS CONGRESS) 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  597. Global Conference on Carbon Nanotubes and Graphene Technologies 招待有り 国際会議

    Hiroki Kondo, Keigo Takeda, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

    2nd Global Conference on Carbon Nanotubes and Graphene Technologies 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Milan   国名:イタリア共和国  

  598. Influence of Hypochlorous Acid on Molecular Diffusion of Supported Lipid Bilayer 国際会議

    Yutaka Kume, Ryugo Tero, Hiroki Kondo, Hiroshi Hashizume, Masaru Hori and Masafumi Ito

    ISPlasma2019/IC-PLANTS2019 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya Institute of Technology   国名:日本国  

  599. Aqueous Reactions in Non-equilibrium Atmospheric Pressure Plasma-activated Ringer s Lactate Solutions 国際会議

    Yang Liu, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Takyoshi Tsutsumi, Fumitaka Kikkawa, Kae Nakamura, Masaaki Mizuno, Shinya Toyokuni and Hiroki Kondo

    ISPlasma2019/IC-PLANTS2019 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya Institute of Technology   国名:日本国  

  600. Organic High Aspect Ratio Pattern Formation with Self-Limitation Manner by Plasma-Controlled Process based on Substrate Temperature Measurement 国際会議

    Yusuke Fukunaga, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine and Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya Institute of Technology   国名:日本国  

  601. Synthesis, Characterization, and Study of Electrical Properties of Tin oxide-Graphene Composite Synthesized by Liquid Phase Plasma 国際会議

    Ranjit Borude, Hirotsugu Sugiura, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo and Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya Institute of Technology   国名:日本国  

  602. Growth Effects of Ultraviolet Exposure on Saccharomyces Cerevisiae 国際会議

    Shoma Ito, Hiroshi Hashizume, Motoyuki Shimizu, Masashi Kato, Masaru Hori and Masafumi Ito

    ISPlasma2019/IC-PLANTS2019 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya Institute of Technology   国名:日本国  

  603. Growth Effects of Oxygen-radical Treatment on Trichoderma Reesei-Spores 国際会議

    Takahiro Deguchi, Motoyuki Shimizu, Masashi Kato, Masaru Hori and Masahumi Ito

    ISPlasma2019/IC-PLANTS2019 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya Institute of Technology   国名:日本国  

  604. High-Speed Atomic Force Microscopy of Dynamical Changes of Supported Lipid Bilayers Containing Cholesterol in Plasma-Activated Lactec 国際会議

    Sotaro Yamaoka, Hiroki Kondo, Hiroshi Hashizume, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Hiromasa Tanaka and Masaru Hor

    ISPlasma2019/IC-PLANTS2019 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya Institute of Technology   国名:日本国  

  605. Degradation of Powdered Cellulose using Direct Exposure to Oxygen Radicals 国際会議

    Ginji Ito, Vladislav Gamaleeve, Motoyuki Shimizu, Masashi Kato, Masaru Hori and Masafumi Ito

    ISPlasma2019/IC-PLANTS2019 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya Institute of Technology   国名:日本国  

  606. Mechanism of Selective Killing of Glioblastoma to Astrocytes in PlasmaActivated Lactate 国際会議

    Yugo Hosoi, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Masaaki Mizuno, Fumitaka Kikkawa and Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya Institute of Technology   国名:日本国  

  607. Growth Promotion of Mouse Fibroblasts in DPBS Irradiated with Nitrogen-Oxide Radicals 国際会議

    Yuki Hori, Wataru Sato, Tomiyasu Murata, Masaru Hori and Masafumi Ito

    ISPlasma2019/IC-PLANTS2019 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya Institute of Technology   国名:日本国  

  608. Promotion of Reducing Sugar Production using Spores Treated with Oxygen Radicals 国際会議

    Takuya Goto, Motoyuki Shimizu, Masashi Kato, Hiroshi Hashizume, Masaru Hori and Masafumi Ito

    ISPlasma2019/IC-PLANTS2019 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya Institute of Technology   国名:日本国  

  609. Promotion Effects of Nitric-oxide Radical Irradiation on Purple Photosynthetic Bacteria 国際会議

    Masakazu Takeno, Vladislav Gamaleev, Hiroshi Hashizume, Masaru Hori and Masafumi Ito

    ISPlasma2019/IC-PLANTS2019 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya Institute of Technology   国名:日本国  

  610. Electrochemical Impedance Analysis of Pt Nanoparticles-Supported Carbon Nanowalls for Fuel Cell Application 国際会議

    Shun Imai, Hiroki Kondo, Hyungjun Cho, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya Institute of Technology   国名:日本国  

  611. Intracellular Reactive Oxygen Species Responses of HeLa Cells Treated with PlasmaActivated Organics 国際会議

    Shogo Maeda, Yugo Hosoi, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine and Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya Institute of Technology   国名:日本国  

  612. Distribution of Reactive Oxygen Species in Water Irradiated with Oxygen Radicals 国際会議

    Nozomi Iio, Gamaleev Vladislav, Toshiyuki Kawasaki, Masaru Hori and Masafumi Ito

    ISPlasma2019/IC-PLANTS2019 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya Institute of Technology   国名:日本国  

  613. Activation of Caspase in Melanoma Cells Treated with Nitrogen-oxide-radicalactivated Medium 国際会議

    Kazuma Ogawa, Tomiyasu Murata, Masaru Hori and Masafumi Ito

    ISPlasma2019/IC-PLANTS2019 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya Institute of Technology   国名:日本国  

  614. Ligh Source Wavelength Characteristics for Silicon-Wafer Temperature Measurement by Frequency-Domain Lowcoherence Interferometry 国際会議

    Takayoshi Tsutsumi, Kenji Ishikawa, Takayuki Ohta, Masafumi Ito, Hiroki Kondo, Makoto Sekine and Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya Institute of Technology   国名:日本国  

  615. Effects of Hydroxy Group on Synthesis Rate and Crystallinity of Nanographene by In-liquid Plasma 国際会議

    Hiroki Kondo, Keigo Takeda, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine and Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya Institute of Technology   国名:日本国  

  616. Growth of Ultrathin AlN on Si (111) Substrate by Radical Enhanced Metalorganic Chemical Vapor Deposition (REMOCVD) 国際会議

    Frank Wilson Amalraj, Arun Kumar Dhasiyan, Yi Lu, Naohiro Shimizu, Osamu Oda, Kenji Ishikawa and Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya Institute of Technology   国名:日本国  

  617. Floating-Wire-Assisted Atmospheric Pressure Plasma for High-Speed and Large-Area Glass Treatment 国際会議

    Thi-Thuy-Nga Nguyen, Minoru Sasaki, Hidefumi Odaka, Takayoshi Tsutsumi, Kenji Ishikawa and Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya Institute of Technology   国名:日本国  

  618. A Door of Plasma Chemistry toward Bio Innovations Opened by Professor Riccard D'Agostino 招待有り 国際会議

    Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Nagoya Institute of Technology   国名:日本国  

  619. Carbon Nanoflakes Involving Fe-N-C Bonds by Growth of In-liquid Plasma of Ethanol and Iron Phthalocyanine Mixture, 国際会議

    Ryo Hamaji, Tomoki Amano, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Keigo Takeda, Mineo Hiramatsu and Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya Institute of Technology   国名:日本国  

  620. Carbon Nanoflakes Involving Fe-N-C Bonds by Growth of In-liquid Plasma of Ethanol and Iron Phthalocyanine Mixture 国際会議

    Ryo Hamaji, Tomoki Amano, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Keigo Takeda, Mineo Hiramatsu and Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  621. Simaltaneous Achievement of Bactericidal Efficacy and Plant-growth in Neutral pH Range using Radical-Activated Benzoic Compounds 国際会議

    Naoyuki Iwata, Vladislav Gamaleeve, Jun-SeokOh, Hiroshi Hashizume, Takayuki Ohta, Kenji Ishikawa, Masaru Hori and Masafumi Ito

    ISPlasma2019/IC-PLANTS2019 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya Institute of Technology   国名:日本国  

  622. Regulation of Cell Proliferation Changing Duty Ratio of an Electrical Stimulation on Carbon Nanowalls Scaffold 国際会議

    Tomonori Ichikawa, Hiroki Kondo, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya Institute of Technology   国名:日本国  

  623. Inactivation of Biofilm-forming Pseudomonas Aeruginosa using Deionized Distilled Water Treated with Oxygen Radicals 国際会議

    Tomoyuki Nagase, Yumiko Komori, Masaru Hori and Masafumi Ito

    ISPlasma2019/IC-PLANTS2019 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya Institute of Technology   国名:日本国  

  624. Control of sp2-C Fraction and Hardness of Amorphous Carbon Films by Formation of Precursor Radicals Depending on a Residence Time 国際会議

    Hirotsugu Sugiura, Yasuyuki Ohashi, Lingyun Jia, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Toshio Hayashi, Keigo Takeda, Makoto Sekine and Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya Institute of Technology   国名:日本国  

  625. Lipid Accumulation of Pseudochoricystis Ellipsoidea by Irradiation of Nonequilibrium Atmospheric Pressure Plasma to Nourishing Solution 国際会議

    Takumi Kato, Yugo Hosoi, Sotaro Yamaoka, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine and Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya Institute of Technology   国名:日本国  

  626. Elucidation of Electron Extinction Mechanism during Afterglow of Fluorocarbon Pulsed Plasma with Synchronized DC Bias 国際会議

    Kazuya Nakane, Toshinari Ueyama, Xie Xitong, Takayoshi Tsutsumi, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Yoshinobu Ohya and Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya Institute of Technology   国名:日本国  

  627. Morphological Dynamics of Dying Cells Incubated in Plasma-Activated Medium 国際会議

    Nanami Ito, Maho Yamada, Yugo Hosoi, Hiromasa Tanaka, Kenji Ishikawa and Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya Institute of Technology   国名:日本国  

  628. Cytotoxicity of Plasma-Activated Glucose and Amino Acids 国際会議

    Maho Yamada, Nanami Ito, Yugo Hosoi, Hiromasa Tanaka, Kenji Ishikawa and Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya Institute of Technology   国名:日本国  

  629. GaN film Etching at H-added Chlorine Plasma at High Temperature at 400°C 国際会議

    Takahiro Omichi, Atsushi Tanide, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine and Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya Institute of Technology   国名:日本国  

  630. プラズマ照射溶液で培養したHeLa 細胞の観察

    前田 昌吾、細井 祐吾、石川 健治、橋爪 博司、田中 宏昌、堀 勝

    第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  631. プラズマ活性乳酸のがん細胞の選択的殺傷効果を有する分子

    細井 祐吾、前田 昌吾、石川 健治、吉武 淳、柴田 貴広、田中 宏昌、橋爪 博司、吉川 史隆、水野 正明、堀 勝

    第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  632. プラズマ活性溶液がコレステロール含有脂質二重膜の形状に及ぼす効果

    山岡 壮太郎、近藤 博基、橋爪 博司、石川 健治、田中 宏昌、堀 勝

    第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  633. In-liquid plasma formation at low temperature for in-situ binding of SnO2/Graphene

    Ranjit Borude, Hirotsugu Sugiura, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo, Nobuyuki Ikarashi, and Masaru Hori

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  634. カーボンナノウォール足場上での電気刺激印加細胞培養における負荷率の効果

    市川 知範、近藤 博基、橋爪 博司、田中 宏昌、堤 隆嘉、石川 健治、堀 勝

    第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  635. リモート酸素プラズマ照射によるグラフェンのlayer-by-layerエッチング過程のその場透過電子顕微鏡観察

    杉浦 啓嗣、近藤 博基、樋口 公孝、荒井 重勇、堤 隆嘉、石川 健治、堀 勝

    第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  636. 酸素ラジカル処理芳香族化合物による中性pH領域における細菌不活性化と植物の生長促進

    岩田 直幸、ガマリェエフ ウラディスラフ、呉 準席、橋爪 博司、太田 貴之、石川 健治、堀 勝、伊藤 昌文

    第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  637. 酸素ラジカル処理芳香族化合物による中性pH領域における細菌不活性化と植物の生長促進

    岩田 直幸、ガマリェエフ ウラディスラフ、呉 準席、橋爪 博司、太田 貴之、石川 健治、堀 勝、伊藤 昌文

    第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  638. イネ圃場における定期的な低温プラズマ処理による生育や収穫に対する検討

    橋爪 博司、北野 英己、湯浅 元気、東野 里江、水野 寛子、田中 宏昌、石川 健治、松本 省吾、榊原 均、仁川 進、前島 正義、水野 正明、堀 勝

    第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  639. イネ種子への低温プラズマ照射による成長促進効果

    橋爪 博司、北野 英己、水野 寛子、木下 悟、湯浅 元気、東野 里江、田中 宏昌、石川 健治、松本 省吾、榊原 均、仁川 進、前島 正義、水野 正明、堀 勝

    第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  640. 種子へ低温プラズマ照射したイネ苗の環境制御下における栽培

    橋爪 博司、北野 英己、湯浅 元気、東野 里江、水野 寛子、木下 悟、田中 宏昌、石川 健治、松本 省吾、榊原 均、仁川 進、前島 正義、水野 正明、堀 勝

    第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  641. 青果物栽培における低温プラズマ処理の品質への効果

    堀 勝、橋爪 博司、松本 省吾、坪田 憲紀、伊藤 昌文、湯浅 元気、東野 里江、田中 宏昌、石川 健治、北野 英己、榊原 均、仁川 進、前島 正義、水野 正明、大熊 隆之

    第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  642. BF3及びBCl3化合物の電子物性と解離

    林 俊雄、関根 誠、石川 健治、堀 勝

    第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  643. Etching reactions of Si, SiO2, and SiN films using with hydrofluorocarbon compounds

    Jiawei Ni, Toshio Hayashi, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, and Masaru Hori

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  644. 酸素・窒素ラジカル活性培養液の抗腫瘍効果

    小川 和馬、水野 貴仁、村田 富保、堀 勝、伊藤 昌文

    第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  645. 酸素ラジカル処理芳香族化合物による中性pH領域における細菌不活性化と植物の生長促進

    岩田 直幸、ガマリェエフ ウラディスラフ、呉 準席、橋爪 博司、太田 貴之、石川 健治、堀 勝、伊藤 昌

    第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  646. 酸素ラジカルまたは酸化窒素ラジカルで処理した滅菌水を用いた緑膿菌の不活性化

    長瀬 智之、小森 由美子、堀 勝、伊藤 昌文

    第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  647. 酸素ラジカル照射リン酸緩衝生理食塩中に生成した過酸化水素と次亜塩素酸による支持脂質二重膜の側方拡散性への影響

    久米 寛、手老 龍吾、橋爪 博司、近藤 博基、堀 勝、伊藤 昌文

    第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:東京工業大学   国名:日本国  

  648. 紅色光合成細菌増殖における酸化窒素ラジカル照射量依存性

    嶽野 正和、橋爪 博司、堀 勝、伊藤 昌文

    第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:東京工業大学   国名:日本国  

  649. 酸素ラジカル照射されたコウジカビ胞子のα-アミラーゼ活性化

    後藤 拓也、志水 元亨、加藤 雅士、橋爪 博司、堀 勝、伊藤 昌文

    第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:東京工業大学   国名:日本国  

  650. Plasma-activated solutions with plant-growth promotion and sterilization in neutral pH 招待有り 国際会議

    Masafumi Ito, Naoyuki Iwata, Hiroshi Hashizume, Jun-Seok Oh, and Masaru Hori

    7th International Conference on Advanced Plasma Technologies 

     詳細を見る

    開催年月日: 2019年2月 - 2019年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Hue, Vietonam   国名:ベトナム社会主義共和国  

  651. Nano-composite innovation based on carbon nanowalls and advanced plasma processses 招待有り 国際会議

    Hiroki Kondo, Takayoshi Tsutsumi, Makoto Sekine, Kenji Ishikawa, Masaru Hori and Mineo Hiramatsu

    7th International Conference on Advanced Plasma Technologies 

     詳細を見る

    開催年月日: 2019年2月 - 2019年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Hue, Vietonam   国名:ベトナム社会主義共和国  

  652. Synthesis of carbon nanomaterials employing in-liquid plasma 招待有り 国際会議

    Makoto Sekine, Hiroki Kondo, Kenji Ishikawa, Masaru Hori and Mineo Hiramatsu

    7th International Conference on Advanced Plasma Technologies 

     詳細を見る

    開催年月日: 2019年2月 - 2019年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Hue, Vietonam   国名:日本国  

  653. Synthesis of carbon nanomaterials employing in-liquid plasma 招待有り 国際会議

    Makoto Sekine, Hiroki Kondo, Kenji Ishikawa, Masaru Hori and Mineo Hiramatsu

    7th International Conference on Advanced Plasma Technologies 

     詳細を見る

    開催年月日: 2019年2月 - 2019年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Hue, Vietonam   国名:ベトナム社会主義共和国  

  654. フルオロカーボンガスを用いた2周波容量結合型パルスプラズマにおける粒子密度のDC重畳効果

    中根 一也、植山 稔正、解 錫同、堤 隆嘉、武田 圭吾、近藤 博基、石川 健治、関根 誠、大矢 欣伸、堀 勝

    応用物理学会シリコンテクノロジー分科会 第215回 研究集会 

     詳細を見る

    開催年月日: 2019年2月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京大学 本郷   国名:日本国  

  655. Low Temperature Plasma Surface Interactions Among Multiple Phase 招待有り 国際会議

    Kenji Ishikawa, Masaru Hori

    The 5th Asian Workshop on Applied Plasma Science and Engineering 

     詳細を見る

    開催年月日: 2019年1月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Kuala Lumpur, Malaysia   国名:マレーシア  

  656. Bactericidal Effects And Reactive Species In Oxygen-Radical-Activated Water 招待有り 国際会議

    Masafumi Ito, Naoyuki Iwata, Vladislv Gamaleeve, Jun-Seok Oh, Masaru Hori

    The 5th Asian Workshop on Applied Plasma Science and Engineering 

     詳細を見る

    開催年月日: 2019年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Kuala Lumpur, Malaysia   国名:マレーシア  

  657. Bactericidal Effects And Reactive Species In Oxygen-Radical-Activated Water 招待有り 国際会議

    Masafumi Ito, Naoyuki Iwata, Vladislv Gamaleeve, Jun-Seok Oh, Masaru Hori

    The 5th Asian Workshop on Applied Plasma Science and Engineering  

     詳細を見る

    開催年月日: 2019年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Kuala Lumpur, Malaysia   国名:マレーシア  

  658. Low Temperature Plasma Surface Interactions Among Multiple Phase 招待有り 国際会議

    Kenji Ishikawa, Masaru Hori

    The 5th Asian Workshop on Applied Plasma Science and Engineering  

     詳細を見る

    開催年月日: 2019年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Kuala Lumpur, Malaysia   国名:マレーシア  

  659. 高精度基板温度制御による有機材料のナノパターン形成

    福永 祐介, 関根誠, 堤隆義, 近藤博基, 石川健治, 堀勝

    第36回プラズマプロセシング研究会/第31回プラズマ材料科学シンポジウムテクニカルプログラム 

     詳細を見る

    開催年月日: 2019年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:高知城ホール   国名:日本国  

  660. 酸素ラジカル活性培養液で処理したメラノーマ細胞における酸化ストレスに基づく

    小川和馬, 村田富保, 堀勝, 伊藤昌文

    第36回プラズマプロセシング研究会/第31回プラズマ材料科学シンポジウムテクニカルプログラム 

     詳細を見る

    開催年月日: 2019年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:高知城ホール   国名:日本国  

  661. 浮遊細菌とバイオフィルム形成細菌に対する超音波振動印加酸素ラジカル照射水

    長瀬智之, 小森由美子, 堀勝, 伊藤昌文

    第36回プラズマプロセシング研究会/第31回プラズマ材料科学シンポジウムテクニカルプログラム 

     詳細を見る

    開催年月日: 2019年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:高知城ホール   国名:日本国  

  662. がん細胞の選択的殺傷効果を有するプラズマ活性乳酸内の反応分子の解明

    細井祐吾, 石川健治, 田中宏昌, 吉武淳, 柴田貴広, 橋爪博司, 吉川史隆, 水野正明, 堀勝

    第36回プラズマプロセシング研究会/第31回プラズマ材料科学シンポジウムテクニカルプログラム 

     詳細を見る

    開催年月日: 2019年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:高知城ホール   国名:日本国  

  663. プラズマ活性乳酸リンゲル液処理をしたHeLa 細胞の細胞内応答解析

    前田 昌吾, 細井祐吾, 石川健治, 橋爪博司, 田中宏昌, 近藤博基, 関根誠,

    第36回プラズマプロセシング研究会/第31回プラズマ材料科学シンポジウムテクニカルプログラム 

     詳細を見る

    開催年月日: 2019年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:高知城ホール   国名:日本国  

  664. 酸素ラジカル処理フェニルアラニンを用いた殺菌における基底状態酸素原子の効果

    岩田直幸, ガマリーヴブラディスラーブ, 呉準席, 橋爪博司, 太田貴之, 石川健治, 堀勝, 伊藤昌文

    第36回プラズマプロセシング研究会/第31回プラズマ材料科学シンポジウムテクニカルプログラム 

     詳細を見る

    開催年月日: 2019年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  665. ラジカル処理されたコウジカビ胞子による還元糖生成促進

    後藤拓也, 志水元亨, 加藤雅士, 橋爪博司, 堀勝, 伊藤昌文

    第36回プラズマプロセシング研究会/第31回プラズマ材料科学シンポジウムテクニカルプログラム 

     詳細を見る

    開催年月日: 2019年1月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:高知城ホール   国名:日本国  

  666. ラジカル照射リン酸緩衝生理食塩水中の次亜塩素酸による支持脂質二重膜への影響

    久米寛, 手老龍吾, 橋爪博司, 近藤博基, 堀勝, 伊藤昌文

    第36回プラズマプロセシング研究会/第31回プラズマ材料科学シンポジウムテクニカルプログラム 

     詳細を見る

    開催年月日: 2019年1月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:高知城ホール   国名:日本国  

  667. 酸化窒素ラジカル照射による紅色光合成細菌の成長促進条件の調査

    嶽野正和, 橋爪博司, 堀勝, 伊藤昌文

    第36回プラズマプロセシング研究会/第31回プラズマ材料科学シンポジウムテクニカルプログラム 

     詳細を見る

    開催年月日: 2019年1月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:高知城ホール   国名:日本国  

  668. Chemical reaction system on Carbon nanowalls for future nanoelectronics devices 招待有り 国際会議

    Hiroki Kondo, Masaru Hori, Mineo Hiramatsu

    International Workshop on Plasma-Tailored Nanostructures and Applications 

     詳細を見る

    開催年月日: 2019年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Rogla, Slovenia   国名:スロベニア共和国  

  669. Fe-N-C bonds in carbon nanoflakes grown by plasma of ethanol and iron phthalocyanine 国際会議

    R. Hamaji, T. Amano, H. Kondo, K. Ishikawa, M. Hori

    28th Annual Meeting of MRS-J, International Symposium, Plasma Lifesciences, Frontier of Nano-Materials Based on Advanced Plasma Technologies 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  670. Cell responses of Pseudochoricystis ellipsoidea on atmospheric pressure plasma treatments 国際会議

    T. Kato, Y. Hosoi, S.Yamaoka, H.Hashizume, K.Ishikawa, H.Tanaka, T.Tsutsumi, H.Kondo, M.Sekine and M.Hori

    28th Annual Meeting of MRS-J, International Symposium, Plasma Lifesciences, Frontier of Nano-Materials Based on Advanced Plasma Technologies 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  671. Anti-proliferative effect of glyoxylate generated in plasma-activated lactate solution 国際会議

    Y. Hosoi, K. Ishikawa, H. Tanaka, H. Hashizume, F. Kikkawa, M. Mizuno and M. Hori

    28th Annual Meeting of MRS-J, International Symposium, Plasma Lifesciences, Frontier of Nano-Materials Based on Advanced Plasma Technologies 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  672. Trimming Process with Feedback Control of Wafer Temperature for Organic Material 国際会議

    T. Tsutsumi, Y. Fukunaga, K. Ishikawa, H. Kondo, M. Sekine, and M. Hori

    28th Annual Meeting of MRS-J, International Symposium, Plasma Lifesciences, Frontier of Nano-Materials Based on Advanced Plasma Technologies 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  673. カーボンナノウォール足場と電気刺激による遺伝子発現制御

    市川 知範、近藤 博基、橋爪 博司、田中 宏昌、堤 隆嘉、石川 健治、堀 勝

    第28回 日本MRS年次大会 カーボンナノマテリアル研究の最前線 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  674. Cell response mechanisms of HeLa cells cultured with plasma-activated lactate Ringer's solution (PAL) 国際会議

    S. Maeda, Y. Hosoi, K. Ishikawa, H. Hashizume, H. Tanaka, T. Takayoshi, H. Kondo, M. Sekine, and M.Hori

    28th Annual Meeting of MRS-J, International Symposium, Plasma Lifesciences, Frontier of Nano-Materials Based on Advanced Plasma Technologies 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  675. Real-time control of a wafer temperature for uniform plasma process 招待有り 国際会議

    T. Tsutsumi, Y. Fukunaga, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    International Symposium on Semiconductor Manufacturing ISSM2018 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  676. プラズマが誘起する細胞内の生体反応と生化学反応 招待有り

    石川健治、田中宏昌、橋爪博司、豊國伸哉、水野正明、吉川史隆、堀勝

    プラズマ・核融合学会 第35回年会 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:大阪大学吹田キャンパス内コンベンションセンター   国名:日本国  

  677. プラズマ科学が拓く未来 招待有り

    堀 勝

    研究討論会 特別講演 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:日本語   会議種別:口頭発表(基調)  

    開催地:株式会社デンソー本社(刈谷)    国名:日本国  

  678. Towards a Comprehensive Understanding of Plasma Activated Medium Treated Cells 国際会議

    Masaru Hori, Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Shinya Toyokuni, Hiroaki Kajiyama, Fumitaka Kikkawa

    A Meeting of the Materials Research Society 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Boston Massachusetts   国名:アメリカ合衆国  

  679. Effects and Mechanism of Electric Stimulation Through Carbon Nanowalls Scaffold on Proliferation and Differentiation of Cultured Cells 国際会議

    Hiroki Kondo, Tomonori Ichikawa, Kenji Ishikawa, Hiromasa Tanaka, Takayoshi Tsutsumi, Keigo Takeda, Makoto Sekine, Masaru Hori, Mineo Hiramatsu

    A Meeting of the Materials Research Society 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Boston Massachusetts   国名:アメリカ合衆国  

  680. Effectiveness and Safety of Plasma Activated Medium 招待有り 国際会議

    Hiromasa Tanak, Masaaki Mizuno, Kenji Ishikawa, Shinya Toyokuni, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaru Hori

    A Meeting of the Materials Research Society 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Boston Massachusetts   国名:アメリカ合衆国  

  681. 大気圧低温プラズマによるガン治療~プラズマ活性培養液によるがん治療への挑戦~ 招待有り

    田中 宏昌、堀 勝

    第34回 九州・山口プラズマ研究会 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  682. Cell viability measurement of melanoma cells treated with nitrogen-oxide-radical activated medium 国際会議

    K. Ogawa, T. Murata, M. Hori and M. Ito

    40th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  683. In situ analysis of ion-irradiated and chlorinated GaN surface during cyclic etching processes 国際会議

    M. Hasegawa, T. Tsutsumi, A. Tanide, H. Kondo, M. Sekine, K. Ishikawa, M. Hori

    40th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya   国名:日本国  

  684. Effects of synchronized DC bias on densities of charged species in pulsed plasmas of fluorocarbon gases 国際会議

    K. Nakane, T. Ueyama, T. Tsutsumi, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, Y. Ohya, and M. Hori

    40th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya   国名:日本国  

  685. Floating-Wire-Assisted Atmospheric Pressure Plasma for High-Speed Glass Etching 国際会議

    Thi-Thuy-Nga Nguyen, M. Sasaki, H. Odaka, T. Tsutsumi, K. Ishikawa and M. Hori

    40th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  686. Dissociative properties of C2HF5 and C2F6 obtained using computational chemistry 国際会議

    T. Hayashi, M. Sekine, K. Ishikawa, and M. Hori

    40th International Symposium on Dry Proces 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  687. Fundamental study of the interaction of plasma species with organic materials by experimental and computational approaches 国際会議

    Y. Fukunaga, P. Ventzek, B. Lane, A. Ranjan, G. S. Hwang, G. Hartmann, R. Upadhyay, L. L. Raja, T. Tsutsumi, K. Ishikawa, H. Kondo, M. Sekine, and M. Hori

    40th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  688. High temperature etching of GaN with H2-added Cl2 plasma 国際会議

    T. Omichi, A. Tanide, K. Ishikawa, T. Tsutsumi, H. Kondo, M. Sekine, M. Hori

    40th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  689. Measurement of water cluster ions in plasma plume of an atmospheric pressure He plasma jet 国際会議

    J. S.Oh, Y. Nakai, T. Kawasaki, T. Shirafuji, M. Hori, M. Hiramatsu and M.i Ito

    40th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  690. Defect termination mechanism in amorphous carbon films by atomic hydrogen radicals 国際会議

    Y. Ohashi, H. Sugiura, H. Kondo, K. Ishikawa, T. Tsutsumi, M. Sekine, M. Hori

    40th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  691. Epitaxial growth of GaN films with the argon-based sputtering method using additive H2 and Cl2 gases 国際会議

    A. Tanide, S. Nakamura, A. Horikoshi, S. Takatsuji, M. Kohno, K. Kinose, S. Nadahara, M. Nishikawa, A. Ebe, K. Ishikawa and M. Hori

    40th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  692. Effect of reactive species on the structure of carbon nanowalls grown in CH4/H2/Ar mixture plasma 国際会議

    T. Suzuki, H. Nozaki, K. Takeda, M. Hiramatsu, H. Kondo, M. Hori

    40th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  693. Plasma Modification of Si-compound Surfaces: Opportunities for Atomic Layer Etching 国際会議

    R.H.J. Vervuurt, K. Nakane, T. Tsutsumi, A. Kobayashi, M. Hori and N. Kobayashi

    40th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  694. Etch Characteristics of Atomic Layer Etching by Alternating Fluorocarbon Deposition and Oxygen Plasma Etching 国際会議

    T. Tsutsumi, A. Kobayashi, T. Nozawa, N. Kobayashi and M. Hori

    40th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  695. Analysis of radical-treated amino acid using UV absorption spectroscopy for plasma-medical application 国際会議

    N. Iwata, H. Hashizume, J.-S. Oh, Masaru Hori, and Masafumi Ito

    40th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  696. Effect of Solutions Irradiated with Oxygen Radicals on Molecular Mobility of Supported Lipid Bilayer 国際会議

    Y. Kume, H.Kondo, R.Tero, H.Hashizume, M.Hori, M.Ito

    40th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  697. Synthesis of nanographene by in-liquid plasma and its application to catalyst layer of polymer electrolyte fuel cell 国際会議

    K. Ito, K. Kajikawa, V. Gamaleev, K. Takeda, M. Hiramatsu, H. Kondo, M. Hori

    40th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  698. Effects of electrical and spectroscopic properties of nonequilibrium atmospheric pressure plasma source on adhesion strengths of a polymeric resin 国際会議

    K. Katsuno, T. Tsutsumi, K. Ishikawa, K. Takeda, T. Jindo, S. Takikawa, A. Niwa, S. Takashima, Y. Nonoyama, H. Hashizume, H. Tanaka, H. Kondo, M. Sekine, and M. Hori

    40th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  699. Synthesis of composite of tin oxide particles and graphene sheets employing the in-liquid plasma process 国際会議

    Ranjit R. Borude1 , Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo and Masaru Hori

    40th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  700. Nonequilibrium atmospheric pressure plasma activates organic substances for antitumor effects 国際会議

    Kenji Ishikawa, Yugo Hosoi, Hiromasa Tanaka, Hiroshi Hashizume, Shinya Toyokuni, Fumitaka Kikkawa, Masaaki Mizuno, and Masaru Hori

    40th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  701. Effect of radical irradiation on purple photosynthetic bacteria in various solutions 国際会議

    M. Takeno, H.Hashizume, M.Hori, and M.Ito

    40th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  702. Promotion of Aspergillus-spore germination through radical irradiation 国際会議

    T. Goto, M. Shimizu, M. Kato, H. Hashizume, M. Hori and M. Ito

    40th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  703. Influence of Chamber Pressure on the Crystal Quality of Homo-Epitaxial GaN Grown by Radical Enhanced MOCVD (REMOCVD) 国際会議

    A. F. Wilson, D. Arun Kumar, N. Shimizu, O. Oda, H. Kondo, K. Ishikawa, M. Sekine and M. Hori

    40th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  704. Inactivation of biofilm-forming Pseudomonas aeruginosa using water treated with oxygen radicals 国際会議

    T. Nagase, Y. Komori, M. Hori and M. Ito

    40th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  705. Challenges to the systematization of the biological interaction by plasmas 招待有り 国際会議

    Masaru Hori and Kenji Ishikawa

    2nd Asia-Pacific Conference on Plasma Physics 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:日本国  

  706. Spatial diagnostics of reactive species in AC-excited atmospheric pressure Ar plasma jet generated in open air 国際会議

    Keigo Takeda, Ren Kuramashi, Kenji Ishikawa,Takayuki Tsutsumi, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, Masaru Hori

    2nd Asia-Pacific Conference on Plasma Physics 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Kanazawa   国名:日本国  

  707. 低温プラズマ科学が拓く未来 招待有り

    堀 勝

    プラズマナノ工学研究センター最終記念シンポジウム 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  708. Influence of N2/H2 Plasma Irradiation to GaN Substrates for Improving the Interface of the Homoepitaxial GaN Grown by Radical Enhanced MOCVD(REMOCVD) 国際会議

    Frank Wilson Amalraj, Arun Kumar Dhasiyan, Naohiro Shimizu, Osamu Oda, Nobuyuki Ikarashi, Hirotaki Kondo, KenjiIshkawa, and Masaru Hori

    International Workshop on Nitride Semiconductors 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  709. Plasma Processing for Graphene-Based Materials 国際会議

    Mineo Hiramatsu, Keigo Takeda, Hiroki Kondo, Masaru Hori

    71st Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Portland, Oregon   国名:アメリカ合衆国  

  710. Spatiotemporal behavior of OH radical in AC-excited atmospheric pressure Ar plasma jet generated in open air 国際会議

    Keigo Takeda, Ren Kuramashi, Kenji Ishikawa ,Takayuki Tsutsumi, Masaru Hori

    71st Annual Gaseous Electronics Conference  

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Portland, Oregon   国名:アメリカ合衆国  

  711. Floating-wire-assisted remote generation of high-density atmospheric pressure inductively coupled plasma 国際会議

    Thi-Thuy-Nga Nguyen, Minoru Sasaki, Hidefumi Odaka, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori

    71st Annual Gaseous Electronics Conference  

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Portland, Oregon   国名:アメリカ合衆国  

  712. Effects of in-situ irradiation of nitrogen-hydrogen plasma on flatness and composition of GaN surfaces before epitaxial growth by a radical-enhanced metalorganic chemical vapor deposition 国際会議

    Hiroki Kondo, Amalraj Frank Wilson, Dhasiyan Arun Kumar, Yi Lu, Naohiro Shimizu, Osamu Oda, Kenji Ishikawa, Masaru Hori

    71st Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Portland, Oregon   国名:アメリカ合衆国  

  713. 未来を創る低温プラズマ科学技術 招待有り

    堀 勝

    金沢工業会 東海支部総会 

     詳細を見る

    開催年月日: 2018年10月

    記述言語:日本語   会議種別:口頭発表(基調)  

    開催地:D-SQUARE スクエアプラザ(BF)   国名:日本国  

  714. プラズマ活性溶液の合成・分析の現状と今後の展望 招待有り

    田中 宏昌、堀 勝

    学振136委員会 第138回研究会 『プラズマ誘起による液中化学反応技術の現状と将来展望」 

     詳細を見る

    開催年月日: 2018年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:東京理科大学 森戸記念会館 第1フォーラム   国名:日本国  

  715. Fundamental Studies of Plasma Species with Organic Materials of Varying Hydrogen and Oxygen Composition by Computational and Experimental Approaches

    Yuske. Fukunaga, P.L.G Ventzek, A.Ranjan, M.sekine, T. Tsutsumi, H.Kondo, K.Ishikawa, L.L.Raja, G Hartmann, G.S.Hwang, M.Hori

    AVS 65th International Symposium & Exhibition 

     詳細を見る

    開催年月日: 2018年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Long Beach CA   国名:アメリカ合衆国  

  716. Behaviors of Charged Species in Afterglow of Dual Frequency Pulsed Capacitively Coupled Plasma with a Synchronous Negative DC-bias 国際会議

    Takayoshi Tsutsumi, T Ueyama, K. Ishikawa, H.Kondo, M.Sekine, Y. Ohya, M.Hori

    AVS 65th International Symposium & Exhibition 

     詳細を見る

    開催年月日: 2018年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Long Beach CA   国名:アメリカ合衆国  

  717. Biological Effects of Plasma-Irradiated Oraganic Molecules in Plasma-Treated Liquids. 国際会議

    Kenji Ishikawa, Y. Hosoi, D.Kanno, Y. Kurokawa, H. Tanaka, M. Mizuno, F.Kikkawa, M.Hori

    AVS 65th International Symposium & Exhibition 

     詳細を見る

    開催年月日: 2018年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Long Beach CA   国名:アメリカ合衆国  

  718. Mechanisms for Atomic Layer Etching of Metal Films by the Formation of Beta-diketonate Metal Complexes 国際会議

    Kazunori Shinoda, H.Kobayashi, N.Miyoshi, K.Kawamura, M.Izawa, K.Ishikawa, M.Hori

    AVS 65th International Symposium & Exhibition 

     詳細を見る

    開催年月日: 2018年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Mechanisms for Atomic Layer Etching of Metal Films by the Formation of Beta-diketonate Metal Complexes   国名:アメリカ合衆国  

  719. プラズマが拓く未来社会

    堀 勝

    NAGOYA UNIVERSITY HOMECOMING DAY2018 

     詳細を見る

    開催年月日: 2018年10月

    記述言語:日本語   会議種別:公開講演,セミナー,チュートリアル,講習,講義等  

    開催地:名古屋大学 ES総合館   国名:日本国  

  720. The Mechanism of Surface Modifications of Photoresist Material in Plasma Etching Nano Processes 招待有り 国際会議

    Masaru Hori, Kenji Ishikawa, Yan Zhang

    AIMES2018 

     詳細を見る

    開催年月日: 2018年9月 - 2018年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Cancun Mexico   国名:メキシコ合衆国  

  721. Fine Temperature Monitoring of Si Wafer for Plasma Processing 招待有り 国際会議

    Masafumi Ito, Takayuki Ohta, Takayoshi Tsutsumi, Masaru Hori

    AIMES2018 

     詳細を見る

    開催年月日: 2018年9月 - 2018年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Cancun Mexico   国名:メキシコ合衆国  

  722. Spectroscopic Measurement of RONS in Interaction of Plasma with Liquid 招待有り 国際会議

    Masaru Hori, Timothy R. Brubaker, Kenji Ishikawa, Keigo Takeda, Jun-Seok Oh, Masafumi Ito, Hiromasa Tanaka, Sean D.Knecht, Sven G. Bilen, Fumitaka Kikkawa and Masaaki Mizuno

    The 8th Internationa Workshop on Plasma Spectroscopy 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Worcester College Oxford UK   国名:グレートブリテン・北アイルランド連合王国(英国)  

  723. ガス滞在時間に依存した成膜前駆体の生成に基づくアモルファスカーボン膜中のsp2炭素結合の制御 国際会議

    杉浦 啓嗣、大橋 靖之、賈 凌雲、近藤 博基、石川 健治、堤 隆嘉、竹田 圭吾、関根 誠、堀 勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋国際会議場   国名:日本国  

  724. プラズマ活性ラクテックの抗腫瘍作用物質の解明 招待有り

    細井 祐吾, 石川 健治, 橋爪 博司, 田中 宏昌, 吉川 史隆, 水野 正明, 堀 勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋国際会議場   国名:日本国  

  725. コレステロール含有脂質二重膜形状のプラズマ活性溶液中観察

    山岡壮太郎、橋爪博司、近藤博基、石川健治、田中宏昌、堀勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋国際会議場   国名:日本国  

  726. カーボンナノウォール足場上での電気刺激下細胞培養における分化制御機構

    市川 知範, 近藤 博基, 橋爪 博司, 田中 宏昌, 堤 隆嘉, 石川 健治, 堀 勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋国際会議場   国名:日本国  

  727. In-situ表面解析手法による水素プラズマのSiNx表面改質機構

    中根一也、ルネイ ヘリンカス ヨセフ フェーフィート、堤隆嘉、小林明子、小林伸好、堀勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋国際会議場   国名:日本国  

  728. シュードコリシスティス藻培養への大気圧プラズマ処理効果

    加藤拓海,細井祐吾, 山岡壮太郎, 橋爪博司, 石川健治, 田中宏昌, 堤隆嘉,近藤博基, 関根誠, 堀勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  729. 原子状水素によるアモルファスカーボン膜の欠陥終端機構

    大橋 靖之, 杉浦 啓嗣, 近藤 博基, 石川 健治, 堤 隆嘉, 関根 誠, 堀 勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  730. Cl2プラズマによるGaN高温エッチングのH2添加効果

    大道貴裕、 谷出敦、 石川健治、 堤隆嘉、 近藤博基、 関根誠、 堀勝

    名古屋国際会議場 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018)   国名:日本国  

  731. 液中プラズマを用いて合成したカーボンナノフレークの酸素還元特性における分散溶媒効果

    濱地遼, 天野 智貴, 今井 駿, 近藤 博基,石川 健治, 堀 勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  732. Si系材料のフルオロカーボンプラズマ支援原子層エッチング

    堤 隆嘉、近藤 博基、石川 健治、関根 誠、小林 伸好、堀 勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋国際会議場   国名:日本国  

  733. 高電位試験時における白金微粒子担持カーボンナノウォール電極の分極特性の変化

    今井 駿, 近藤 博基, 石川 健治, 堤 隆嘉, 平松 美根男, 関根 誠, 堀 勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋国際会議場   国名:日本国  

  734. プラズマ工学の過去・現在・未来 招待有り

    堀 勝

    2018年 第79回 応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋国際会議場   国名:日本国  

  735. 様々なプラズマ活性溶液による抗腫瘍効果とその細胞内分子機構 国際会議

    田中 宏昌、黒川 幸宏、水野 正明、石川 健治、竹田 圭吾、橋爪 博司、中村 香江、梶山 広明、豊國 伸哉、吉川 史隆、堀 勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋国際会議場   国名:日本国  

  736. プラズマ活性ラクテック(PAL)で培養したHeLa細胞応答メカニズム

    前田 昌吾、細井 祐吾、石川 健治、橋爪 博司、田中 宏昌、堤 隆嘉、近藤 博基、関根 誠、堀 勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋国際会議場   国名:日本国  

  737. プラズマ活性乳酸処理グリオーマのメタボロミクス解析(2) 国際会議

    石川 健治、細井 祐吾、橋爪 博司、田中 宏昌、豊國 伸哉、吉川 史隆、水野 正明、堀 勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋国際会議場   国名:日本国  

  738. 蓚酸カルシウム結晶化過程のプラズマ照射単糖溶液中活性有機物質

    岡部 萌、石川 健治、田中 宏昌、橋爪 博司、堤 隆嘉、近藤 博基、関根 誠、堀 勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋国際会議場   国名:日本国  

  739. 液中プラズマによるナノグラフェン合成におけるヒロドキシル基の効果

    近藤 博基、安藤 睦、石川 健治、堤 隆嘉、平松 美根男、関根 誠、堀 勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋国際会議場   国名:日本国  

  740. プラズマプロセス制御による線幅10 nmの高アスペクト有機薄膜パターン形成

    福永 裕介、堤 隆嘉、石川 健治、近藤 博基、関根 誠、堀 勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋国際会議場   国名:日本国  

  741. Aqueous Reactions of Radicals in Non-equilibrium Atmospheric Pressure Plasma-activated Lactec Solutions

    Yang Liu,Kaede Katsuno,Yugo Hosoi,Kenji Ishikawa,Hiroshi Hashizume,Hiromasa Tanaka,Takayoshi Tsutsumi,Hiroki Kondo,Makoto Sekine,Masaru Hori

     詳細を見る

    開催年月日: 2018年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  742. 和周波発生分光法を用いたプラズマと生体分子の反応解析

    勝谷 稜也、太田 貴之、石川 健治、堀 勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋国際会議場   国名:日本国  

  743. 紫外・可視吸収分光によるラジカル処理したアミノ酸の分析

    岩田直幸, 呉準席, 堀勝, 伊藤昌文

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋国際会議場   国名:日本国  

  744. 酸化窒素ラジカル活性培養液で処理したメラノーマ細胞の不活性化

    小川和馬, 村田富保, 堀勝, 伊藤昌文

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋国際会議場   国名:日本国  

  745. 久米寛, 手老龍吾, 橋爪博司, 近藤博基, 堀勝, 伊藤昌文

    久米寛, 手老龍吾, 橋爪博司, 近藤博基, 堀勝, 伊藤昌文

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  746. ラジカル照射によるコウジカビ胞子の発芽促進

    後藤拓也, 志水元亨, 加藤雅士, 橋爪博司, 堀勝, 伊藤昌文

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋国際会議場   国名:日本国  

  747. 酸素ラジカルで処理した滅菌水を用いた緑膿菌の不活性化

    長瀬智之, 小森由美子, 堀勝, 伊藤昌文

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  748. 各種溶液中の紅色光合成細菌に対するラジカル照射の効果

    嶽野正和, 橋爪博司, 呉準席, 堀勝, 伊藤昌文

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋国際会議場   国名:日本国  

  749. C2HxFy化合物の電子物性と解離(III)

    林 俊雄, 関根 誠, 石川 健治, 堀 勝

    名古屋国際会議場 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  750. Liquid phase plasma assisted synthesis of Tin oxide - Graphene composite 国際会議

    Ranjit Borude, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo, Masaru Hori

     詳細を見る

    開催年月日: 2018年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  751. GaN結晶のスパッタリング成長方式におけるプラズマ-基板間距離の効果

    谷出 敦, 河野元宏, 高辻茂, 堀越章, 中村 昭平, 木瀬一夫, 灘原 壮一, 西川正純, 江部明憲, 石川健治, 堀勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  752. 高速熱サイクルALEのランプ加熱工程におけるSelf-limiting特性およびW/TiN選択性制御 国際会議

    篠田和典, 小林 浩之, 三好 信哉, 川村剛平, 伊澤勝, 石川健治, 堀勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋国際会議場   国名:日本国  

  753. Etching of glass by floating-wire assisted atmospheric pressure plasma

    Thi-Thuy-Nga Nguyen, Minoru Sasaki, Hidefumi Odaka, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori

     詳細を見る

    開催年月日: 2018年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  754. Surface Treatment Method of GaN Substrates for Homoepitaxial GaN Growth by REMOCVD

    Frank Wilson Amalraj, Arun Kumar Dhasiyan, Naohiro Shimizu, Osamu Oda, Hiroki Kondo, Kenji Ishikawa, Masaru Hori

     詳細を見る

    開催年月日: 2018年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  755. Arイオン照射窒化ガリウム表面の塩素吸着挙動

    長谷川将希,堤隆嘉,谷出敦,近藤博基,関根誠,石川健治,堀勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋国際会議場   国名:日本国  

  756. 科学とイノベーションとプラズマのアンサンブルで創る未来 招待有り

    堀 勝

    プラズマバイオコンソーシアムワークショップ(第1回) 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(基調)  

    開催地:九州大学伊都キャンパス   国名:日本国  

  757. プラズマ活性ラクテック(PAL)培養したHeLa細胞応答メカニズム

    前田昌吾、細井祐吾、石川健治、橋爪博司、田中宏昌、堤隆嘉、近藤博基、関根誠、堀勝

    第12回プラズマエレクトロニクスインキュベーションホール 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:静岡県 国立中央青少年交流の家   国名:日本国  

  758. Low temperature plasma surface interactions for their future basic researches and applications 招待有り 国際会議

    K.Ishikawa, M.Itou, M.Hori

    22nd International Conference Gas Discharges and Their Applications 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Serbia   国名:セルビア共和国  

  759. Novel Epitaxial Growth Methods for Nitride Materials by Using Plasma Technology 国際会議

    O.Oda, F.W.Amlraj,N. Shimizu, H.Kondo, M.Sekine, Y.Tsutumi, K.Ishikawa, H.Kano, N.Ikarashi, H.Amano and M.Hori

    iMiD 2018 The 18th International Meeting on Information Display 

     詳細を見る

    開催年月日: 2018年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Busan, Korea   国名:大韓民国  

  760. A New Scheme of Plasma Science and Technology for the Future: Plasma Programmed Processing 招待有り 国際会議

    Masaru Hori, Kenji Ishikawa

    2018 Asia-Pacific Conference on Plasma and Terahertz Science 

     詳細を見る

    開催年月日: 2018年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Xi'an China   国名:中華人民共和国  

  761. Plasma Agriculture 招待有り 国際会議

    2018 Plasma Processing Science GRC  

     詳細を見る

    開催年月日: 2018年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  762. 未来をつくるプラズマ 招待有り

    堀 勝

    ひらめきときめきサイエンス 

     詳細を見る

    開催年月日: 2018年8月

    記述言語:日本語   会議種別:口頭発表(基調)  

    国名:日本国  

  763. In situ Quantitative Analysis of Chlorine Adsorption on Ion-irradiated GaN for Atomic Layer Etching 国際会議

    Masaki Hasegawa, T. Tsutsumi. H. Kondo, K. Ishikawa, M.Hori

    ALD 2018 

     詳細を見る

    開催年月日: 2018年7月 - 2018年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  764. Novel cancer treatments based on syntheticapproaches of plasma-activated liquids 招待有り 国際会議

    Masaru Hori, Hiromasa Tanaka, Kenji Ishikawa, Fumitaka Kikkawa, and Masaaki Mizuno

    ICMAP 2018 

     詳細を見る

    開催年月日: 2018年7月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Songdo ConvensiA, Incheon, Korea   国名:大韓民国  

  765. Long -Term Investigation of Radical-Activated Water 招待有り 国際会議

    Jun-Seok Oh, Naoyuki Iwata, Takayuki Ohta, Masaru Hori, and Masafumi Ito

    ICMAP 2018 

     詳細を見る

    開催年月日: 2018年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Songdo ConvensiA, Incheon, Korea   国名:大韓民国  

  766. Novel Surface Assisted Laser Desorption/lonization Mass Spectrometry Using Carbon Nanowalls for Analyzing Bio Molecule 招待有り 国際会議

    Takayuki Ohta, Kenji ishikawa, Hiroki Kondo, Mineo

    ICMAP 2018 

     詳細を見る

    開催年月日: 2018年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  767. Time-Dependence Monitoring of Sterilization Effects and RONS Concentration in Radical-Activated Water 国際会議

    Naoyuki Iwata, Jun-Seok Oh, Takayuki Ohta, Masaru Hori, and Masafumi Ito

    ICMAP 2018 

     詳細を見る

    開催年月日: 2018年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  768. プラズマ科学による持続可能な地球の創生 招待有り

    堀 勝

    プラズマバイオコンソーシアム発足記念式典 

     詳細を見る

    開催年月日: 2018年7月

    記述言語:日本語   会議種別:口頭発表(基調)  

    開催地:名古屋大学坂田・平田ホール   国名:日本国  

  769. 自動車、医療/バイオ分野で急拡大するプラズマ技術の最前線 招待有り

    堀 勝

    日経BP技術者塾 

     詳細を見る

    開催年月日: 2018年7月

    記述言語:日本語   会議種別:公開講演,セミナー,チュートリアル,講習,講義等  

    開催地:HOTELルブラ王山   国名:日本国  

  770. Controlled synthesis of carbon nanomaterials and nanocomposites employing in-liquid plasma 招待有り 国際会議

    Hiroki Kondo, Hori Masaru, Hiramatsu Mineo

    THERMEC' 2018 INTERNATIONAL CONFERENCE on PROCESSING & MANUFACTURING OF ADVANCED MATERIALS 

     詳細を見る

    開催年月日: 2018年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:CDS, Paris, France   国名:フランス共和国  

  771. Challenges of Plasma Sciences to the Creation of a Future Society 招待有り 国際会議

    Hori Masaru

    MPS School 

     詳細を見る

    開催年月日: 2018年7月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Jožef Stefan Institute   国名:スロベニア共和国  

  772. Challenges of Plasma Sciences to the Creation of a Future Society 招待有り 国際会議

    Hori Masaru

    Lectures of Sciences Creation of a Future Society and discussions on the possibilities of mutual cooperation in the field of plasma physics. 

     詳細を見る

    開催年月日: 2018年6月

    記述言語:英語   会議種別:公開講演,セミナー,チュートリアル,講習,講義等  

    開催地:University of West Bohemia   国名:チェコ共和国  

  773. KEY CHALLENGES TO BIO AND MEDICAL APPLICATIONS OF PLASMA SCIENCE 招待有り 国際会議

    M.Hori, H.Tanaka, K.Ishikawa

    SPPT2018 

     詳細を見る

    開催年月日: 2018年6月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Czech Technical University   国名:日本国  

  774. Similarities and Differences in Gene Transcription in Plasma-activated Medium 国際会議

    H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, H. Hashizume, H. Kondo, M. Sekine, K. Nakamura, H. Kajiyama, S. Akiyama, S. Maruyama, S. Toyokuni, F. Kikkawa, M. Hori

    ICPM7 

     詳細を見る

    開催年月日: 2018年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Drexekl University Philadelphia   国名:アメリカ合衆国  

  775. Intracellular responses in apoptotic cells to reactive species in plasma treated liquids 招待有り 国際会議

    K. Ishikawa, H. Tanaka, T. Kondo, S. Toyokuni, F. Kikkawa, M. Mizuno, and M. Hori

    ICPM7 

     詳細を見る

    開催年月日: 2018年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Drexekl University Philadelphia   国名:アメリカ合衆国  

  776. Mechanisms of plasma jets impinging upon liquids 国際会議

    T. Brubaker, K. Ishikawa, H. Hashizume, H. Tanaka, T. Tsutsumi, H. Kondo,

    ICPM7 

     詳細を見る

    開催年月日: 2018年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Drexekl University Philadelphia   国名:アメリカ合衆国  

  777. Evaluation of severity of inflammation after hemostasis with non-thermal plasma 招待有り 国際会議

    M. Ueda, D. Yamagami, K. Watanabe, K. Sano, A. Mori, H. Kimura, H. Saji,

    ICPM7 

     詳細を見る

    開催年月日: 2018年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Drexekl University Philadelphia   国名:アメリカ合衆国  

  778. Circulating atumor cells (CTC) filtration of non-fluorescent 国際会議

    Masaru Hori, Naoto Kihara, Kenji Ishikawa, Hidefumi Odaka, Daisuke Onoshima, Yoshinobu Baba

    ICCG12 

     詳細を見る

    開催年月日: 2018年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Congress Centrum Würzburg (CCW)   国名:ドイツ連邦共和国  

  779. アトミックレイヤーエッチングの基礎 招待有り

    堀 勝, 石川 健治

    第28回シンポジウム「アトミックレイヤープロセッシングの基礎と最新技術動向」 

     詳細を見る

    開催年月日: 2018年6月

    記述言語:日本語   会議種別:口頭発表(基調)  

    開催地:東京大学 弥生キャンパス 弥生講堂 一条ホール   国名:日本国  

  780. 低温プラズマ科学研究へのアプローチ 招待有り

    堀 勝

    第1回 IWOPA2サテライト研究会 

     詳細を見る

    開催年月日: 2018年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:大分県日田温泉 ひなの里 山陽館   国名:日本国  

  781. プラズマ活性ラクテック(PAL)による抗腫瘍効果

    石川健治 細井圭吾 田中宏昌 橋爪博司 豊國伸哉 吉川史隆 水野正明 堀勝

    日本酸化ストレス学会 日本NO学会 合同学術集会 

     詳細を見る

    開催年月日: 2018年5月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:京都ホテルオークラ   国名:日本国  

  782. プラズマ科学に基づいたダイナミックエッチング~パルスプラズマ技術の新しい展開とALE技術の応用~ 招待有り

    堀 勝

    名古屋大-TML共同研究 特別講演会 

     詳細を見る

    開催年月日: 2018年5月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:東京エレクトロン宮城 大和事業所   国名:日本国  

  783. Novel Epitaxial Growth Methods for Nitride Materials with Using Plasma Techonology 招待有り 国際会議

    O.Oda, N.Shimizu. H.Kondo, M.Sekine, Y.Ttsutumi, K.Ishikawa, H.Kano, N.Ikarashi, H.Amano and M. Hori

    EMN Greece Meeting 2018 

     詳細を見る

    開催年月日: 2018年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Heraklion-Crete, Greece   国名:ギリシャ共和国  

  784. Plasma-Activated Medium Inhibites Metastatic Activivies Of Ovarian Cancer Cells In Vitro Via Repressing Mapk Pathway 国際会議

    Yang Peng,Hiroki Kajiyama, Kae Nakamura, Fumi Utsumi, Nobuhisa Yoshikawa, Hiromasa Tanaka, Masaaki Mizuno, Shinya Toyokuni, Masaru Hori, Fumitaka Kikkawa

    5th International Workshop on Plasma for Cancer Treatment  

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Greifswald   国名:ドイツ連邦共和国  

  785. Similarities And Differences In The Cellular Resposnes Between Plasma-Activated Medium-Treated Glioblastomas And Plasma-Activated Ringer's Lactate Solution-Treated Glioblastomas 国際会議

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Yasumasa Okazaki, Shinya Toyokuni, Shinichi Akiyama, Shoichi Maruyama, Fumitaka Kikkawa, Masaru Hori

    5th International Workshop on Plasma for Cancer Treatment  

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:ドイツ連邦共和国  

  786. Intraperitoneal Treatment With Plasma-Activated Liquid Inhibits Peritoneal Metastasis In Ovarian Cancer Mouse Model 国際会議

    Kae Nakamura,Hiroaki Kajiyama, Yang Peng, Fumi Utsumi, Nobuhisa Yoshikawa, Hiromasa Tanaka, Masaki Mizuno, Shinya Toyokuni, Masaru Hori, Fumitaka Kikkawa

    5th International Workshop on Plasma for Cancer Treatment  

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Greifswald   国名:ドイツ連邦共和国  

  787. AN INTERACTION OF PLASMA WITH LIQUID FOR MEDICINE AND SYNTHESIS OF NANO-MATERIAL 招待有り 国際会議

    Masaru Hori

    International workshop on plasma synthesis of nanomaterials and its applications for sensor devices 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  788. IN-LIQUID PLASMA SYNTHES AND STRUCTURAL CONTROL OF NANOGRAPHENE MATERIALS 招待有り 国際会議

    Hiroki Kondo, Masaru Hori, Mineo Hiramatsu

    IInternational workshop on plasma synthesis of nanomaterials and its applications for sensor devices 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  789. A Novel Method for the Growth of Nitrides-Radical Enhanced MOCVD (REMOCVD) 国際会議

    Dhasiyan Arun Kumar, Osamu Oda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Yuri Trsutumi and Masaru Hori

    International workshop on plasma synthesis of nanomaterials and its applications for sensor devices 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  790. High detection of H2O2 by electrochemical sensor using carbon nanowalls 国際会議

    M. Tomatsu, M. Hiramatsu, H. Kondo, K. Ishikawa, and M.Hori

    International workshop on plasma synthesis of nanomaterials and its applications for sensor devices 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  791. Time-evolution measurements of the bactericidal ability in radical -activated water 国際会議

    Naoyuki Iwata, Jun-Seok Oh, Takayuki Ohta, Masaru Hori, Masafumi Ito

    International workshop on plasma synthesis of nanomaterials and its applications for sensor devices 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  792. Effects of deposition conditions and atmospheric pressure plasma surface treatment on optical and electrical properties of carbon films 国際会議

    Ranjit R.Borude, Hirotsugu Sugiura, Kenji Ishikawa, Takayoshi Tsutsmi, Hiroki Kondo, and Masaru Hori

    International workshop on plasma synthesis of nanomaterials and its applications for sensor devices 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  793. GROWTH CONTROL OF PURPLE PHOTOSYTHETIC BACTERIA USING OXYGEN OR NITRIC OXIDE RADICAL TREATMENT 国際会議

    Masakazu Takeno,Jun-Seok Oh, Hiroshi Hashizume, Masaru Hori, Masafumi Ito

    International workshop on plasma synthesis of nanomaterials and its applications for sensor devices 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  794. Effects of Surface State of Carbon Nanowalls and Fuel Cell Application 国際会議

    Shun Imai, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori

    International workshop on plasma synthesis of nanomaterials and its applications for sensor devices 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  795. Cellulose degradation is enhanced by oxygen-radical pretreatment 国際会議

    Saran Kimoto, Kiyota Sakai, Saki Kojiya, Junya Kamijo, Masahiro Maebayashi, Jun-Seok Oh, Masafumi Ito, Masaru Hori, Motoyuki Shimizu, and Masashi Kato

    IWOPA2 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  796. BEHAVIOR OF THE ELECTRON AND RONS IN GAS AND LIQUID PHASE OF LASER GENERATED PLASMA ACTIVATED MEDIUM 国際会議

    Takayoshi Tsutsumi,Yukihiro Kurokawa, Keigo Takeda, Kenji Ishikaw, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, and Masaru Hori

    IWOPA2 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  797. Applications of Atmospheric-Pressure Plasma in Agriculture 国際会議

    Masaru Hori, Hiroshi Hashizume, Yuta Tanaka, Masashi Okachi, Jun-Seok Oh, Takayuki Ohta, Masafumi Ito

    IWOPA2 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  798. Application of Non-thermal Atmospheric Pressure Plasma Technology to Enzyme Degradation of Biomass 国際会議

    Kiyota Sakai, Kiyota Sakai, Saki Kojiya, Junya Kamijo, Yuta Tanaka, Kenta Tanaka, Masahiro Maebayashi, Jun-Seok Oh, Masafumi Ito, Masaru Hori, Motoyuki Shimizu, Masashi Kato

    IWOPA2 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  799. GROWTH CONTROL OF PURPLE PHOTOSYNTHETIC BACTERIA THROUGH RADICAL IRRADIATION 国際会議

    Masakazu Takeno,Jun-Seok Oh, Hiroshi Hashizume, Masaru Hori, Masafumi Ito

    IWOPA2 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  800. Inhomogeneity of nitrite anion concentrations in depth and time by convection during and after plasma-liquid interactions 国際会議

    Kenji Ishikawa, Timothy R. Brubaker, Keigo Takeda, Jun-Seok Oh, Masafumi Ito, Hiroki Kondo, Hiroshi Hashizume, Hiromasa Tanaka, Sean D. Knecht, Sven G. Bilén, Masaru Hori

    IWOPA2 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  801. A Bactericidal Techniquie for Food Hygeine of Raw Horse Meat Using NO and H-radical Irradiation 国際会議

    Yuto Kitada, Timothy R. Brubaker, Keigo Takeda, Jun-Seok Oh, Masafumi Ito, Hiroki Kondo, Hiroshi Hashizume, Hiromasa Tanaka, Sean D. Knecht, Sven G. Bilén, Masaru Hori

    IWOPA2 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  802. IN-SITU FLUORESCENCE OBSERVATION OF SUPPORTED LIPID BILAYER DUARING OXYGEN-RADICAL IRRADIATION 国際会議

    Yuto Kitada, Jun-Seok Oh, Toshiya Hayashi, Kenji Ishikawa, Masaru Hori, Masafumi Ito

    IWOPA2 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  803. UV-VIS SPECTROSCOPY STUDY OF RADICAL-ACTIVATED WATER 国際会議

    Jun-Seok Oh,Naoyuki Iwata, Takayuki Ohta, Hiroshi Hashizume, Masaru Hori, Masafumi Ito

    IWOPA2 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  804. Sum frequency generation spectroscopy for analyzing molecular dynamics of lipid bilayer treated by atmospheric pressure cold plasma 国際会議

    Ryoya Katsuya, Takayuki Ohta, Kenji Ishikawa and Masaru Hori

    IWOPA2 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  805. MOLECULAR MOBILITY DECREASE OF SUPPORTED LIPID BILAYER USING OXYGEN RADICAL IRRADIATED DDW 国際会議

    Yutaka Kume, Taisei Kondo, Jun-Seok Oh, Ryugo Tero, Hiroshi Hashizume, Hiroki Kondo, Masaru Hori, Masafumi Ito

    Yutaka Kume, Taisei Kondo, Jun-Seok Oh, Ryugo Tero, Hiroshi Hashizume, Hiroki Kondo, Masaru Hori, Masafumi Ito 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  806. Inactivation of Pseudomonas aeruginosa through direct or indirect irradiation of oxygen radicals 国際会議

    Tomoyuki Nagase,Yumiko Komori, Masaru Hori, Masafumi Ito

    IWOPA2 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  807. REACTIVE SPECIES DENSITY PROFILES IN EFFULUENT OF THE ATMOSPHERIC PRESSURE PLASMA SOURCE 国際会議

    Ren Kuramashi, Keigo Takeda, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine and Masaru Hori

    IWOPA2 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  808. A Novel Designed High-density Atomospheric Pressure Inductively Coupled Plasma Remotely Generated at the Downstream Side of a Quartz Tube Using a Floating Wire 国際会議

    Thi-Thuy-Nga Nguyen, Minoru Aasaki, Hidefumi Odaka, Takayoshi Tsutsumi, Kenji Ishikawa and Masaru Hori

    ISPlasma 2018/IC-PLANTS2018 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  809. Understanding Morphology and Chemical Bonds of Si Surface in Cyclic CF4/Ar Plasma Process by Surface Analysis without Air Exposure 国際会議

    Atsuki Asano, Takayoshi Tsutsumi, Hiroki Kondo, Kenji Ishikawa and Masaru Hori

    ISPlasma 2018/IC-PLANTS2018 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  810. High Temperature Damegeless Etching of GaN Employing BCl3-Cl2 plasma 国際会議

    Masato Imamura, Atsushi Tanide, Kenji Ishikawa, Takayoshi Tsustmi, Hiroki Kondo, Osamu Oda, and Masaru Hori

    ISPlasma 2018/IC-PLANTS2018 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  811. Cytotoxic Substances in the Laser Generated Plasma Activated Medium (LPAM) 国際会議

    Yukihiro Kurokawa, Keigo Takeda, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo and Masaru Hori

    ISPlasma 2018/IC-PLANTS2018 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  812. Polycarbonate Surface after Atmospheric Pressure Plasma Treatments 国際会議

    Mika Takahashi, Kenji Ishikawa, Naoyuki Kurake, Shingo Kondo, Takahashi Aoki, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine and Masaru Hori

    ISPlasma 2018/IC-PLANTS2018 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  813. Antitumor Agents in the Plasma-activated Lactate in Ringer's Solution (PAL) 国際会議

    Yugo Hosoi, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Fumitaka Kikkawa, Masaaki Mizuno and Masaru Hori

    ISPlasma 2018/IC-PLANTS2018 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  814. High Quality GAN Crystal Growth Using Radical-Enhanced Metalorganic Chemical Vapor Deposition (REMOCVD)with Optimizing a Shield of Plasma Regions 国際会議

    Yasuhiro Isobe, Takayuki Sakai, Naoharu Sugiyama, Ichiro Mizushima, Kyoichi Suguro, Naoto Miyashita, Yi Lu, Amalraj Frank Wilson, Dhasiyan Arun Kumar, Hiroki Kondo, Kenji Ishikawa, Naohiro shimizu , Osamu Oda, Makoto Sekine and Masaru Hori.

    ISPlasma 2018/IC-PLANTS2018 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  815. Plasma-enhanced CVD growth of GaN films 国際会議

    Atsushi Tanide, Shohei Nakamura, Akira Horikoshi, Shigeru Takatsuji, Motohiro Kohno, Kazuo Kinose, Soichi Nadahara, Masazumi Nishikawa, Akinori Ebe, Kenji Ishikawa, Masaru Hori

    ISPlasma 2018/IC-PLANTS2018 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  816. Synthesis of Vertical Graphene Network for Emerging Applications 招待有り 国際会議

    K. Takeda,T. Suzuki, H.Iwata, H. Nozaki, T. Ohata, M Ito, M. Hiramatsu, H. Kondo, M. Hori

    ISPlasma 2018/IC-PLANTS2018 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  817. Structure Control of Carbon Nanowallsgrown using in Ductively Coupled Plasma Enhanced Chemical Vapor Deposition 国際会議

    Takuya Suzuki, Hitoshi Nozaki, Keigo Takeda, Mineo Hiramatsu, Hiroki Kondo, Masaru Hori

    ISPlasma 2018/IC-PLANTS2018 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  818. Development of Exosome Isolation by Porous Glass Device 国際会議

    Keita Aoki, Hiroshi Yukawa, Daisuke Onoshima, Shuji Ymazaki, Naoto Kihara, Ryohwi Koguchi, Kumiko Takahashi, Hidefumi Odaka, Kenji ishikawa, Masaru Hori and Yoshinobu Baba

    ISPlasma 2018/IC-PLANTS2018 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  819. Activation of Caspase Signaling Pathway in Melanoma Cells using Oxygen-radical-irradiated Medium 国際会議

    Takayoshi Koizumi, Tomiyasu Murata, Masaru Hori and Masafumi Ito

    ISPlasma 2018/IC-PLANTS2018 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  820. Development of Single Cell Separation and Detection Device for Rapid Microbiologial Analysis 国際会議

    Kentaro Uchida, Daisuke Onoshima, Hiroshi Yukawa, Kenji Ishikawa, Masaru Hori and Yoshinobu Baba

    ISPlasma 2018/IC-PLANTS2018 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  821. Evaluation of Fungal -spore Activity using Oxygen-radical Treatment 国際会議

    Yuta Tanaka, Takuya Goto, Jun-Seok Oh, Masashi Kato, Hiroshi Hashizume, Takayuki Ohta, Masaru Hori and Masafumi Ito

    ISPlasma 2018/IC-PLANTS2018 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  822. Long-term Bactericidal Activity of Plasma-Activated Water 国際会議

    Naoyuki Iwata, Yuto Kitada, jin-Seok Oh, Hiroshi Hashizume, Masaru Hori and Masafumi Ito

    ISPlasma 2018/IC-PLANTS2018 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  823. EPITAXIAL GROWTH OF GaN BY RADICAL -ENHANCED METALORGANIC CHEMICAL VAPOR DEPOSITION(REMOCED)- EFFECT OF InN NUCLEATION LAYER 国際会議

    Dhasiyan Arun Kumar, Amalraj Frank Wilson, Yi Lu, Shinnosuke Takai, Naohiro Shimizu, Osamu Oda, keido Takeda, Hiroki Kondo, Kenji Ishikawa, makoto Sekine and Masaru Hori

    ISPlasma 2018/IC-PLANTS2018 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  824. Plasma Surface Treatment of Carbon Films Deposited by Magnetron Sputtering 国際会議

    Ranjit R. Borude, Hirotsugu Sugiura, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo and Masaru Hori

    ISPlasma 2018/IC-PLANTS2018 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  825. Cytotoxic Effects of Fullerenol Enhanced by Atmospheric Pressure Plasma 国際会議

    Daiki Kanno,Hirotsugu Sugiura, Hiromasa Tanaka, Kenji Ishikawa, Hiroshi Hashizume, Hiroki Kondo and Masaru Hori

    ISPlasma 2018/IC-PLANTS2018 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  826. Visualization of Advection in Plasma-liquid Interactions: Flow Due to an Impinging Plasma Jet 国際会議

    Timothy R. Brubaker, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsustumi, Hiroki Kondo and Masaru Hori

    ISPlasma 2018/IC-PLANTS2018 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  827. プラズマ科学の新たな潮流とその未来展望 招待有り

    堀 勝

    各融合エネルギーフォーラム 改組10周年記念 

     詳細を見る

    開催年月日: 2018年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋大学東山キャンパス   国名:日本国  

  828. 低温プラズマによる高品質イチゴの作出~低温プラズマ技術と産学連携事業~ 招待有り

    堀 勝

    平成29年度 東山会西三支部研修会 

     詳細を見る

    開催年月日: 2018年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:刈谷市産業振興センター   国名:日本国  

  829. プラズマ誘起表面反応の基礎 招待有り

    堀 勝

    プラズマ材料科学第153委員会 

     詳細を見る

    開催年月日: 2018年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:金沢大学大会議室   国名:日本国  

  830. Challenge to future innovations with plasma life sciences. 招待有り 国際会議

    ISVSP 2018 

     詳細を見る

    開催年月日: 2018年1月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Philippine   国名:日本国  

  831. 先進プラズマ技術によるカーボンナノウォールの制御合成とナノバイオ応用

    第66回CVD研究会 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  832. Application of plasmas to biology 招待有り 国際会議

    Post ITC OlasmaBio workshop 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  833. Challenge to Medical Treatment by a Low Temperature Plasma 招待有り 国際会議

    7th NU-SKKU Joint Symposium on Bio-Medical Engineering 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  834. Plasma medical science and Plasma-activated medium 招待有り 国際会議

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Shinya Toyokuni, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaru Hori

    MRS-Japan 2017 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  835. Intracellular responses during incubation in plasma-activated cell culture medium(PAM) 国際会議

    Kenji Ishikawa,Ryo Furuta, Naoyuki Kurake, Keigo Takeda, Hiroshi Hashizume, Hiromasa Tanaka, Takayuki Ohta, Masafumi Ito, Hiroki Kondo, Makoto Sekine, Masaru Hori

    MRS-Japan 2017 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  836. Dynamic of Supported Lipid Bilayers in Plasma-Activated Liquids Observed by High-Speed Atomic Force Microscopy 国際会議

    Sotaro Yamaoka, Takuya Tonami, Hiroshi Hashizume, Hiroki Kondo, Kenji Ishikawa, Hiromasa Tanaka, Masaru Hori

    MRS-Japan 2017 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  837. Plasma-enhanced CVD growth of GaN films 国際会議

    Atsushi Tanide, Shohei Nakamura, Akira Horikoshi, Shigeru Takatsuji, Motohiro Kohno, Kazuo Kinose, Soichi Nadahara, Masazumi Nishikawa, Akinori Ebe, Kenji Ishikawa, Masaru Hori

    MRS-Japan 2017 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  838. Rapid Thermal Cyclic ALE for Conformal Removal of Thin Films 招待有り 国際会議

    Masaru Izawa, Kazunori Shinoda, Nobuyuki Miyoshi, Hiroyuki Kobayashi, Yoshihide Yamaguchi, Satoshi Sakai, Kenji Ishikawa, Masaru Hori

    The 82nd IUVSTA Workshop on Plasma-based Atomic Layer Processes 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  839. Growth promotion for agriculture stimulated by atmospheric pressure plasma 招待有り 国際会議

    Takayuki Ohta, Masafumi Ito, Masaru Hori

    The 10th EU-Japan Joint Symposium on Plasma Processing  

     詳細を見る

    開催年月日: 2017年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  840. Electronic properties of HFC-245fa (1,1,1,3,3-pentafluoropropane) and dissociation paths obtained using computational chemistry 国際会議

    Toshio Hayashi, Makoto Sekine, Kenji Ishikawa, Masaru Hori

    The 10th EU-Japan Joint Symposium on Plasma Processing  

     詳細を見る

    開催年月日: 2017年12月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  841. An Atomic Layer Etching of SiO2 Film Employing Fluorocarbon and O2 Plasma Chemistry 招待有り 国際会議

    Masaru Hori, Takayoshi Tsusumi, Masaru Zaitsu, Akiko Kobayashi, Nobuyoshi Kobayashi

    The 82nd IUVSTA Workshop on Plasma-based Atomic Layer Processes 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  842. Effects of ion energies on damages and surface morphologies in a high-temperature Cl2 plasma etching of GaN 国際会議

    Masaru Hori, Kenji Ishikawa, Hiroki Kondo

    15th Aakasaki Reserch Center Symposium  

     詳細を見る

    開催年月日: 2017年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  843. プラズマ材料科学学振153委員会におけるプラズマ研究の歩みと今後の展開 招待有り

    堀 勝

    プラズマカンファレンス2017 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  844. DDWとPBS中での脂質二重膜蛍光像への酸素ラジカル照射の影響のその場観察

    近藤 大成 呉 準席 手老 龍吾 橋爪 博司 近藤 博基 堀 勝 伊藤 昌史

    プラズマカンファレンス2017 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  845. 各種ラジカル照射された馬肉水抽出液の可視吸光分光測定

    北田 悠人 呉 準席 林 利哉 石川 健治 堀 勝 伊藤 昌史 

    プラズマカンファレンス2017 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  846. 未来の健康長寿社会を実現するプラズマ医療技術への挑戦 招待有り

    石川 健治

    プラズマカンファレンス2017 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  847. プラズマ活性ラクテック(PAL)内成分の物理化学解析と抗腫瘍効果の解明

    細井 祐吾 石川 健治 田中 宏昌 橋爪 博司 水野 正明 堀 勝

    プラズマカンファレンス2017 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  848. 酸素ラジカル照射培養液で処理したメラノーマ細胞におけるアポトーシス誘導因子の活性化

    小泉 貴義 村田 富保 堀 勝 伊藤 昌文

    プラズマカンファレンス2017 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  849. 酸素ラジカル処理されたコウジカビ胞子群の成長制御

    田中 優太 呉 準席 加藤 雅士 橋爪 博司 太田 貴之 堀 勝 伊藤 昌史

    プラズマカンファレンス2017 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  850. 酸化窒素ラジカル照射溶液中での出芽酵母増殖因子の解明

    岡地 正嗣 呉 準席  橋爪 博司 堀 勝 伊藤 昌史

    プラズマカンファレンス2017 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  851. Behaviors of electrons and fluorocarbon radicals in synchronized dc-imposed pulsed plasma 国際会議

    T.Ueyama,T.Tsutsumi, K.Takeda, H.Kondo, K.shikawa, M.Sekine, Y.Ohya, M.Hori

    International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Tokyo Tech Front   国名:日本国  

  852. Carbon nanowall (CNW) electrochemical H2O2 sensor 国際会議

    T.Tomatsu,M.Hiramatsu, K.Ishsikawa, H.Kondo, M.Hori

    International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Tokyo Tech Front   国名:日本国  

  853. Fluoropolymer membrane filters for a cell filtration 国際会議

    Naoto Kihara, Hidefumi Odaka, Daiki Kuboyama, Daisuke Onoshima, Ryohei Koguchi, Hiromasa Tanaka, Tesumari Hase, Hiroshi Yukawa, Kenji Isikawa, Yoshinori Hasegawa, Yoshinobu Baba, Masaru Hori.

    International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Tokyo Tech Front   国名:日本国  

  854. Dissociative properties of 1,1,1,2-tetrafluoroethane(HFC-134a)obtained using computational chemistry 国際会議

    T.hayashi, M.Sekine, K.Ishikawa, M.Hori

    International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Tokyo Tech Front   国名:日本国  

  855. Ag film etching by halogen gas plasma 国際会議

    T.Sasaki, A.Asano, Y.Miyawaki, K.Yoshikawa, K.Furumoto, K.Kikutani, I.Sakai, H.Hayashi,M.Sekine,M.Hori

    T.Sasaki, A.Asano, Y.Miyawaki, K.Yoshikawa, K.Furumoto, K.Kikutani, I.Sakai, H.Hayashi,M.Sekine,M.Hori 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Tokyo Tech Front   国名:日本国  

  856. Behavior of negative ions in asymmetric capacitively coupled plasma discharge produced in Ar/O2/C4F8 gas mixture at 100MHz 国際会議

    T.Tsutsumi, N.Sirse, M.Sekine, A.R.Ellingbo, M.Hori

    International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Tokyo Tech Front   国名:日本国  

  857. Characterization of arc plasma for syntheses of highly crystalline single-walled carbon nanotubes(SWNTs) 国際会議

    International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Tokyo Tech Front   国名:日本国  

  858. Effects of residence time on dissociation of precursors at deposition of amorphous carbon film by H2/CH4 plasma 国際会議

    Hirotsugu Sugiura, Lingyun Jia, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Masaru Hori

    International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Tokyo Tech Front   国名:日本国  

  859. Spatial distribution of ionic species in plasma plume of an atmospheric pressure He plasma jet 国際会議

    Jun-Seok Oh, Yoshihiro Nakai, Mineko Hiramatsu, Masaru Hori, Masafumi Ito

    International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Tokyo Tech Front   国名:日本国  

  860. Fabrication of fuel cell electrocatalytic layer using nanographene synthesized by in-liquid plasma 国際会議

    K.Kajikawa, K,Takeda, M.Hiramatsu, H.Kondo, M.Hori

    International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:International Symposium on Dry Process   国名:日本国  

  861. Plasma-induced reactions and damage reduction in high temperature chlorine plasma etching of GaN 国際会議

    Kenji Ishikawa, Plasma-induced reactions and damage reduction in high temperature chlorine plasma etching of GaN

    International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:International Symposium on Dry Process   国名:日本国  

  862. PS+AS+SS-MoA9 Effect of Temporal Variation of Discharge on Photon-induced Interface Defects in Pulse-modulated Inductively Coupled Plasma 国際会議

    Yasufumi Miyoshi, M.Fukasawa, K.Nagahata, K.Ishikawa, M.Sekine, M.Hori, T.Tatsumi

    The AVS 64th International Symposium &Exhibition 

     詳細を見る

    開催年月日: 2017年10月 - 2017年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Tampa   国名:アメリカ合衆国  

  863. PB+BI+PS-TuM5 Multiplex Coherent Anti-Stokes Raman Scattering (CARS) Observations of HeLa Cells Cultured in Non-equilibrium Atmospheric Pressure-Plasma-Activated Medium(PAM) 国際会議

    Kenji Ishikawa, R.Furuto, K.Takeda, T.Ohta, M.ito, H.hashizume, H.Tanaka, H.Kondo, M Sekine, M.Hori

    The AVS 64th International Symposium &Exhibition 

     詳細を見る

    開催年月日: 2017年10月 - 2017年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Tampa   国名:アメリカ合衆国  

  864. プラズマ利用の現状(半導体プロセスから医療応用までの概観) 招待有り

    堀 勝

    芝浦メカトロニクス株式会社 

     詳細を見る

    開催年月日: 2017年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:芝浦メカトロニクス 横浜事業所 54K 小ホール   国名:日本国  

  865. プラズマ精密加工と医療科学への応用~癌治療とその実際~ 招待有り 国際会議

    堀 勝

    光部品生産技術部会 

     詳細を見る

    開催年月日: 2017年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:機械振興会館別館4階   国名:日本国  

  866. Selective atomic-level etching of nitride films using infrared annealing for next-generation semiconductor device manufacturing 招待有り 国際会議

    N. Miyoshi, H. Kobayashi, K. Shinoda, M. Kurihara, T. Watanabe, Y. Kouzuma, K. Yokokawa, K. Kawamura, M. Izawa, K. Ishikawa, and M. Hori

    Advanced Metallization Conference plus 2017, 27th Assian session 

     詳細を見る

    開催年月日: 2017年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Japan   国名:日本国  

  867. An Utrahigh Rate Synthesis of Nanographenes Employing a Triple Phase Plasma 招待有り 国際会議

    Masaru Hori

     詳細を見る

    開催年月日: 2017年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:National Dong Hwa University   国名:台湾  

  868. 材料・医療・農業を革新するプラズマ最新技術 招待有り

    堀 勝

    企業向け人材育成講座技術者塾 

     詳細を見る

    開催年月日: 2017年10月

    記述言語:日本語   会議種別:口頭発表(基調)  

    開催地:ホテルルブラ王山(愛知県 名古屋)   国名:日本国  

  869. プラズマが牽引する医療革命・農業革命・水産革命 招待有り

    堀 勝

    第3回EAJ中部レクチャー 

     詳細を見る

    開催年月日: 2017年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋大学減災連携研究センター 減災ホール   国名:日本国  

  870. 最先端プラズマ科学に基づいたプロセス制御と未来産業への展望

    堀 勝 石川 健治 関根 誠

    化学工業会 第49回秋季大会(2017) 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学 東山キャンパズ   国名:日本国  

  871. プラズマ科学とその医療への展開

    堀 勝

    日本ハイパーサーミア学会 第34回大会 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:京都テルサ   国名:日本国  

  872. Process Plasma Diagnostics for PECVD and Etching 招待有り 国際会議

    M.Hori

    The 11th Anniversary Asian-European International Conference on Plasma Surface Engineering (AEPSE 2017)  

     詳細を見る

    開催年月日: 2017年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Korea   国名:大韓民国  

  873. Novel laser desorption / inoization method using carbon nanowalls for mass spectrometry 国際会議

    Hironori Ito, Takayuki Ohta, Kenji Ishikawa, Hiroki Kondo, Mineo Hiramatsu, Masaru Hori

    The 11th Anniversary Asian-European International Conference on Plasma Surface Engineering (AEPSE 2022) 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Korea   国名:日本国  

  874. Synthesis of copper oxide nanoparticles using gas-liquid interface plasma reduction 国際会議

    Akira Ito, Takayuki Ohta, Masaru Hori

    The 11th Anniversary Asian-European International Conference on Plasma Surface Engineering (AEPSE 2022) 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Korea   国名:大韓民国  

  875. Oxygen radical treatment of Aspergillus flavus spores 国際会議

    Yuta Tanaka, Jun-Seok Oh, Hiroshi Hashizume, Masashi Kato, Masaru Hori, and Masafumi Ito

    The 11th Anniversary Asian-European International Conference on Plasma Surface Engineering (AEPSE 2017)  

     詳細を見る

    開催年月日: 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Korea   国名:大韓民国  

  876. In-situ observation of molecular diffusion supported lipid bilayer irradiated oxygen radicals 国際会議

    Taisei Kondo, Jun-Soek Oh, Ryugo Tero, Hiroshi Hashizume, Hiroki Kondo, Masaru Hori, Masafumi Ito

    The 11th Anniversary Asian-European International Conference on Plasma Surface Engineering (AEPSE 2017)  

     詳細を見る

    開催年月日: 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Korea   国名:大韓民国  

  877. In-situ observation of molecular diffusion supported lipid bilayer irradiated oxygen radicals 国際会議

    Taisei Kondo, Jun-Soek Oh, Ryugo Tero, Hiroshi Hashizume, Hiroki Kondo, Masaru Hori, Masafumi Ito

    The 11th Anniversary Asian-European International Conference on Plasma Surface Engineering (AEPSE 2017)  

     詳細を見る

    開催年月日: 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Korea   国名:大韓民国  

  878. Elucidation of electrochemical and polarization characteristics of Pt-nanoparticles supported carbon nanowalls for fuel cell application 国際会議

    Shun Imai, Kenichi Naito, Hiroki Kondoondo, Hyungjun Cho, Hiroyuki Kano, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, Masaru Hori

    The 11th Anniversary Asian-European International Conference on Plasma Surface Engineering (AEPSE 2017)  

     詳細を見る

    開催年月日: 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Korea   国名:大韓民国  

  879. A Novel Controlling Method of differentiation of Cultured Cells on Carbon Nanowalls Scaffold with an Electric Stimulationan 国際会議

    Tomonori Ichikawa, Suiki Tanaka, Hiroki Kondo, Kenji Ishikawa, Kenji Ishikawa, Hiroshi Hasizume, Hiromasa Tanaka and Masaru Hori

    The 11th Anniversary Asian-European International Conference on Plasma Surface Engineering (AEPSE 2018) 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Korea   国名:大韓民国  

  880. Fullerenol-addition effects on Plasma-Activated Medium 国際会議

    Daiki Kanno, Hiromasa Tanaka, Kenji Ishikawa, Hiroshi Hashizume, Hiroki Kondo, Makoto Sekine, Masaru Hori

    The 11th Anniversary Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Korea   国名:大韓民国  

  881. "Visible light absorption spectrometry of aqueous extract of raw horse meat irradiated with oxygen radicals. 国際会議

    Yuto Kitada, Toshiya Hayashi, Kenji Ishikawa, Masaru Hori, Masafumi Ito

    The 11th Anniversary Asian-European International Conference on Plasma Surface Engineering (AEPSE 2020) 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Korea   国名:大韓民国  

  882. Effects of oxygen radical treatment on purple photosynthetic bacteria 国際会議

    Masakazu Takeno,Jun-Seok Oh, Hiroshi Hashizume, Masaru Hori, and Masafumi Ito

    The 11th Anniversary Asian-European International Conference on Plasma Surface Engineering (AEPSE 2021) 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Korea   国名:大韓民国  

  883. Apoptosis induction of melanoma cells treated with oxygen radical-irrated medium 国際会議

    Takayoshi Koizumi, Tomiyasu Murata, Masaru Hori, Masafumi Ito

    The 11th Anniversary Asian-European International Conference on Plasma Surface Engineering (AEPSE 2022) 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:korea   国名:大韓民国  

  884. Ambient control during preparations of the plasma-activated medium (PAM) for antitumor effects 国際会議

    Yugo Hosoi, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, Masaru Hori

    The 11th Anniversary Asian-European International Conference on Plasma Surface Engineering (AEPSE 2022) 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Korea   国名:大韓民国  

  885. Proliferative effects of budding yeast cells irradiated with nitric oxide radicals and oxygen radicals 国際会議

    Masashi Okachi, Jun-Seok Oh, Hiroshi Hashizume, Masaru Hori, Masafumi Ito

    The 11th Anniversary Asian-European International Conference on Plasma Surface Engineering (AEPSE 2022) 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Korea   国名:大韓民国  

  886. Laser diagnostics of properties of atmospheric pressure

    YuChun Lin, Atsushi Ando, Kenji Ishikawa, Masaru Hori, Meng-Jiy Wang

     詳細を見る

    開催年月日: 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  887. プラズマ照射有機溶液を用いたシュウ酸カルシウム結晶の生成機構

    岡部 萌、倉家 尚之、石川 健治、田中 宏昌、橋爪 博司、堤 隆嘉、近藤 博基、関根 誠、堀 勝

    第78回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡国際会議場 国際センター 福岡サンパレス   国名:日本国  

  888. ポリカーボネート表面のプラズマ処理による接着力増強効果

    高橋 美香、倉家 尚之、石川 健治、近藤 真悟、青木 孝司、堤 隆嘉、近藤 博基、関根 誠、堀 勝

    第78回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡国際会議場 国際センター 福岡サンパレス   国名:日本国  

  889. 非平衡大気圧プラズマ源の構造検討

    勝野 楓、石川 健治、堤 隆嘉、竹田 圭吾、橋爪 博司、田中 宏昌、近藤 博基、関根 誠、堀 勝

    第78回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡国際会議場 国際センター 福岡サンパレス   国名:日本国  

  890. "ラジカル注入型プラズマ励起化学気相堆積法においてRF

    杉浦 啓嗣、近藤 博基、石川 健治、堤 隆嘉、竹田 圭吾、関根 誠、堀 勝

    第78回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡国際会議場 国際センター 福岡サンパレス   国名:日本国  

  891. ガラスの絶縁破壊微細貫通加工と絶縁性液体塗布の効果

    村上 開士、吉武 尚樹、石川 健治、裏地 啓一郎、龍腰 健太郎、堤 隆嘉、近藤 博基、堀 勝

    第78回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡国際会議場 国際センター 福岡サンパレス   国名:日本国  

  892. "ハイドロフルオロカーボンガスプラズマ活性種と絶縁膜

    武田 直己、張 彦、林 俊雄、関根 誠、近藤 博基、石川 健治、堀 勝

    第78回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡国際会議場 国際センター 福岡サンパレス   国名:日本国  

  893. 高温での窒化ガリウム低損傷塩素エッチング

    石川 健治、劉 沢成、今村 真人、堤 隆嘉、近藤 博基、小田 修 、関根 誠、堀 勝

    第78回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡国際会議場 国際センター 福岡サンパレス   国名:日本国  

  894. A Challenge of Plasma Activated Medium to the Medical Care 国際会議

    H. Tanaka, M. Mizuno, F. Kikkawa, and M. Hori

    ESB2017 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ギリシャ共和国  

  895. A Challenge for Future Carbon Devices by Advanced Plasma Nano-Processes 招待有り 国際会議

    M.Hori

    The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017) 

     詳細を見る

    開催年月日: 2017年8月 - 2017年9月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Japan   国名:日本国  

  896. Carrying Knowledge into a New Vision 招待有り 国際会議

    M.Hori

    23rd International Symposium on Plasma chmistry  

     詳細を見る

    開催年月日: 2017年7月 - 2017年8月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Montreal, Canada   国名:カナダ  

  897. 低温プラズマ材料科学のマイルストーン

    堀 勝

    プラズマ材料科学153委員会 30周年記念講演会 プラズマ材料科学の未来 

     詳細を見る

    開催年月日: 2017年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:東京工業大学 蔵前会館「くらまえホール」   国名:日本国  

  898. Profile Control of ALD-SiO2 Film Assisted by Alternating ALE Process of Fluorocarbon Depositionn and O2 Plasma Etching 国際会議

    Masaru Zaitsu, T.Tsutsumi, A. Kobayashi, H.Kondo, M.Hori, T.Nozawa, N. Kobayashi

    ALD 2017 

     詳細を見る

    開催年月日: 2017年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  899. Plasma-material interactions; diagnostics and control 招待有り 国際会議

    M. Hori

     詳細を見る

    開催年月日: 2017年7月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Lisbon   国名:ポルトガル共和国  

  900. Measurement of nitrogen and oxygen atom density in N2/Ar sputtering plasma for fabrication of high-mobility amorphous in 2O3: Sn films 国際会議

    Masaharu Sshiratani, Toshiyuki aTakasaki, Han Wang, Koichi Matsushima, Hyunwoong Seo, Kazunori Koga, Keigo Takeda, Masaru Hori and Naho Itagaki

     詳細を見る

    開催年月日: 2017年7月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Lisbon   国名:ポルトガル共和国  

  901. Effect of nitric oxide radicals on the proliferation of budding yeast 国際会議

    Masafumi Ito, Masashi Okachi, Jun-Seok Oh, Hiroshi Hashizume, M. Hori

    International Conference On Phenomena In Ionized Gases 2017 

     詳細を見る

    開催年月日: 2017年7月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Lisbon   国名:ポルトガル共和国  

  902. In-liquid plasma processing for nano-material and bio applications 招待有り 国際会議

    Hori Masaru

    JSPS-ARRS 

     詳細を見る

    開催年月日: 2017年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:スロベニア共和国  

  903. Programmed Process For Synthesis of Calsium Oxalate Crystals Ina Bufferd Glucose Solution By Irradiation With Non-Equilibrium Atomosphereic-Pressure Plasma 国際会議

    Kenji Ishikawa, N.Kurake, H. Tanaka, K. Nakamura, H. Kajiyama, F. Kikkawa, M. Mizuno, Y.Yamanishi, Y.Ikehara and M. Hori

    8th International Conference on Plasma Nanoscience 

     詳細を見る

    開催年月日: 2017年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ベルギー王国  

  904. 大気圧プラズマによるがん治療への挑戦

    堀 勝

    平成29年度名古屋大学協力会総会・講演会 『がん治療開発の最前線』 

     詳細を見る

    開催年月日: 2017年7月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学 ES総合館 ESホール   国名:日本国  

  905. Interactions of Plasma Activated Medium with Cells 招待有り 国際会議

    M. Hori, Hiromasa Tanaka and Kenji Ishikawa

    ISPB2017 

     詳細を見る

    開催年月日: 2017年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Jeju, Korea   国名:大韓民国  

  906. 医療を革新するプラズマ技術 招待有り

    堀 勝

    日経BP技術者塾 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:エッサム神田ホール(東京都)   国名:日本国  

  907. Structure Control of State of The Arts in Plasma Medical Science 招待有り 国際会議

    M.Hori, H.Tanaka, K.Ishikawa, K.Takeda, H.Hashizume, H.Kondo,M.Sekine, M.Mizuno, S.Toyokuni, F.Kikkawa

    The 1st International Conference on Plasma medical Science Innovation 

     詳細を見る

    開催年月日: 2017年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Nagoya University, AICHI   国名:日本国  

  908. Intracellular Changes of Reactive Oxygen and Nitrogen Species in HeLa Cells Induced by Plasma-Activated Medium 国際会議

    R.Furuta, K.Ishikawa, H.Hashizume, H.Tanaka, K.Takeda, T.Ohta, H.Kondo, M.Ito, M.Sekine, M.Hori

    The 1st International Conference on Plasma medical Science Innovation 

     詳細を見る

    開催年月日: 2017年2月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya University, AICHI   国名:日本国  

  909. Antitumor Effect of Culture Medium Irradiated with Non-Equilibrium Atmospheric Pressure Plasmas under Purge of Ambient by N2 and O2 Mixtures 招待有り 国際会議

    Y.Hosoi, R.Furuta, N.Kurake, K.Ishikawa, H.Hashizume,H.Tanaka, K.Takeda, H.Kondo, M.Sekine, M.Hor

    The 1st International Conference on Plasma medical Science Innovation 

     詳細を見る

    開催年月日: 2017年2月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya University, AICHI   国名:日本国  

  910. Synthesis and Crystallization of oxalates in Glucose-Containing Liquid Irradiated with Non-Equilibrium Atmospheric Pressure Plasmas 国際会議

    N.Kurake, H.Tanaka, K.Ishikawa, K.Nakamura, H.Kajiyama. F.Kikkawa, M.Mizuno, K.takeda,H.Kondo, M.Sekine, M.Hori

    The 1st International Conference on Plasma medical Science Innovation 

     詳細を見る

    開催年月日: 2017年2月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya University, AICHI   国名:日本国  

  911. A Mechanism on Egneration of H2O2 and NO2- in Plasma Activated Medium 国際会議

    N.Kurake, H.Tanaka, K.Ishikawa, K.Nakamura, H.Kajiyama, F.Kikkawa, T.Kondo, M.Mizuno,K.Takeda, H.Kondo, M.Sekine, M.Hori

    The 1st International Conference on Plasma medical Science Innovation 

     詳細を見る

    開催年月日: 2017年2月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya University, AICHI   国名:日本国  

  912. Enhancement of Cancer Cell Killing Effect of Hydrogen Peroxide by Nitrite Existence in Plasma Activate Medium 国際会議

    N.Kurake, H.Tanaka, K.Ishikawa, K.Nakamura, H.Kajiyama, F.Kikkawa, M.Mizuno, K.Takeda, H.Kondo, M.Sekine, M.Hori

    The 1st International Conference on Plasma medical Science Innovation 

     詳細を見る

    開催年月日: 2017年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya University, AICHI   国名:日本国  

  913. Time Evolution of Reactive Oxygen Nitrogen Species in Plasma-Activated Liquids 国際会議

    Timothy R. Brubaker, K.Ishikawa, K.Takeda, H.Hashizume, H.Tanaka, H.Kondo, M.Sekine, M.Hori

    The 1st International Conference on Plasma medical Science Innovation 

     詳細を見る

    開催年月日: 2017年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya University, AICHI   国名:日本国  

  914. Effects of Dielectric walls on Atmospheric-Pressure Plasma Discharges 招待有り 国際会議

    K.Katsuno, N.Kurake, K.Ishikawa, K.Takeda, H.Hashizume, H.Tanaka, H.Kondo, M.Sekine, M.Hori

    The 1st International Conference on Plasma medical Science Innovation 

     詳細を見る

    開催年月日: 2017年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya University, AICHI   国名:日本国  

  915. Dynamic Observations on Morphology of HeLa Cell Membranes in Plasma Activated Medium by high-speed Atomic Force Microscopy 国際会議

    S.Yamaoka, Y.Tonami, H.Hashizume, H.Kondo, K.Ishikawa, K.Takeda, H.Tanaka, M.Sekine, M.Ito, M.Hor

    The 1st International Conference on Plasma medical Science Innovation 

     詳細を見る

    開催年月日: 2017年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya University, AICHI   国名:日本国  

  916. Measurement of Activate Oxygen/Nitrogen Species in Plasma Treated Water for Promoting Growth of Crops 国際会議

    K.Yamamasu, K.Yamashita, T.Ohta, M.Ito, M.Hori

    The 1st International Conference on Plasma medical Science Innovation 

     詳細を見る

    開催年月日: 2017年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya University, AICHI   国名:日本国  

  917. Apoptosis Induction of Melanoma Cells Treated with Radical-Irradiated Medium 国際会議

    T.Koizumi, T.Murata, M.Hori, M.Ito

    The 1st International Conference on Plasma medical Science Innovation 

     詳細を見る

    開催年月日: 2017年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya University, AICHI   国名:日本国  

  918. Decomposition of Arginine by Atomic Oxygen Radical Observed with Surface assisted Laser Desorption/Ionization mass Spectrometry 国際会議

    H.Ito, T.Ohta, K.Ishikawa, M.Hiramatsu, M.Hori

    The 1st International Conference on Plasma medical Science Innovation 

     詳細を見る

    開催年月日: 2017年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya University, AICHI   国名:日本国  

  919. Efficacy of Intraperitoneal Therapy with Plasma-Activated Medium (PAM) Targeting Micrometastasis in Gastric Cancer in Nude Mice 国際会議

    H.Nakamishi, H.Tanaka, K.Ishikawa, M.Hori, Y.Ikehara

    The 1st International Conference on Plasma medical Science Innovation 

     詳細を見る

    開催年月日: 2017年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya University, AICHI   国名:日本国  

  920. Dynamic Observations on Morphology of HeLa Cell Membranes in PlaPlasma Activated Tedium Stimulation may Affect Low Serum Culture ASCs Cytokine Secretion sma Activated Medium by high-speed Atomic Force Microscopy 国際会議

    Y.Kamimura, M.Tsuboi, H.Tanaka, M.Hori

    The 1st International Conference on Plasma medical Science Innovation 

     詳細を見る

    開催年月日: 2017年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya University, AICHI   国名:日本国  

  921. Anti-Cancer Effect on Cancer-Initiating Cells Treated with Plasma-Activated Medium(PAM) in Cancer Cells 国際会議

    J.Ikeda, H.Tanaka, K.Ishikawa, H.Sakakita, Y.Ikehara, M.Hori

    The 1st International Conference on Plasma medical Science Innovation 

     詳細を見る

    開催年月日: 2017年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya University, AICHI   国名:日本国  

  922. Mechanism of Blood Coagulation Induced by Low Temperature Plasma Treatment 国際会議

    Y.Ikehara, S.Ikehara, Y.Akimoto, H.Sakakita, K.Ishiakawa, M.Ueda, J.Ikeda, J.Kim, T.Yamaguchi,H.nakanishi, T.Shimizu, N.Shimizu, M.Hori

    The 1st International Conference on Plasma medical Science Innovation 

     詳細を見る

    開催年月日: 2017年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya University, AICHI   国名:日本国  

  923. Molecular Morphological Study of Healing Wounded Skin Treated with Low-Temperature Plasma: Comparison with Treatment by Electronical Coagulation 国際会議

    Y.Akimoto, S.Ikehara, T.Yamaguchi, J.Kim, H.kawakami, N.Shimizu, M.Hori, H.Sakakita, Y.Ikehara

    The 1st International Conference on Plasma medical Science Innovation 

     詳細を見る

    開催年月日: 2017年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya University, AICHI   国名:日本国  

  924. Elucidation of the Effects of Plasma-Activated Medium on Supported Lipid Bilayers by employing High-Speed Atomic Force Microscopy 国際会議

    T.Tonami, N.Kurake, K.Takeda, K.Ishikawa, H.Hashizume, H.Tanaka, K.Takeda, T.Ohta, H.Kondo, M.Ito, M.Sekine, M.Hori

    The 1st International Conference on Plasma medical Science Innovation 

     詳細を見る

    開催年月日: 2017年2月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya University, AICHI   国名:日本国  

  925. Effect of Plasma-Treatment of The In Vitro Growth Of Trypanosoma Brucei 国際会議

    N.Yokoyama, H.Sakakita, Y.Akimoto, T.Sivalumar, M.Inoue, K.Ishikawa, M.Hori, Y.Ikehara

    The 1st International Conference on Plasma medical Science Innovation 

     詳細を見る

    開催年月日: 2017年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya University, AICHI   国名:日本国  

  926. Application of Non-Thermal Atmospheric Pressure Plasma Irradiation to Spontaneously Developed Melanoma in Mice 国際会議

    M.Iida, U.Omata, T.Kajiwara, I.Yajima, M.Hori

    The 1st International Conference on Plasma medical Science Innovation 

     詳細を見る

    開催年月日: 2017年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya University, AICHI   国名:日本国  

  927. Comparison of Inflammation after Hemostasis with Non-Thermal Plasma and thermal Coagulation by Using Molecular Imagining Technique 国際会議

    M.Ueda, D.Yamagami, A.Morioka, K.Watanabe, K.Sano, A.Mori, H.Kimura, H.Saji, H.Sakakita, Y.Ikehara, K.Ishikawa, M.Hori, S.Enomoto

    The 1st International Conference on Plasma medical Science Innovation 

     詳細を見る

    開催年月日: 2017年2月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya University, AICHI   国名:日本国  

  928. ehavior of OH Radical in Gas-Liquid Interface Region on Ac Excided Non-Equilibrium Atmospheric Pressure Plasma Jet Irradiation to Liquid 国際会議

    R. Kuramashi, K.Takeda, K.Ishikawa, H.tanaka, H.Kondo, M.Sekine, M.Hori

    The 1st International Conference on Plasma medical Science Innovation 

     詳細を見る

    開催年月日: 2017年2月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya University, AICHI   国名:日本国  

  929. Anti-Tumor Effects of Plasma-Activated Medium Which Contains Fullerenol 国際会議

    D.Kanno, N.Kurake, H.Tanaka, H.Hashizume, K.Takeda, H.Kondo, K.Ishikawa, M.Sekine, M.Hori

    The 1st International Conference on Plasma medical Science Innovation 

     詳細を見る

    開催年月日: 2017年2月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya University, AICHI   国名:日本国  

  930. Antitumor Effects of Laser-Generated Plasma Activated Medium (LPAM) with and without Catalase 国際会議

    Y.Kurokawa, N.Kurake, K.Takeda, K.Ishikawa, H.Hashizume, H.Tanaka, H.Kondo, M.Sekine, M.Hori

    The 1st International Conference on Plasma medical Science Innovation 

     詳細を見る

    開催年月日: 2017年2月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya University, AICHI   国名:日本国  

  931. 医療、生体、バイオの表面技術 招待有り

    堀 勝

    ASTEC 2017 第12回先端表面技術展・会議 

     詳細を見る

    開催年月日: 2017年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:東京ビッグサイト(東京国際展示場)   国名:日本国  

  932. Summarization of Plasma Medical Science and Future Prospective 招待有り 国際会議

    Masaru Hori

    34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science 

     詳細を見る

    開催年月日: 2017年1月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Hokkaido University, Sapporo   国名:日本国  

  933. Analysis of Apoptosis Induction in Melanoma Cells by Treatment with Oxygen Radical Irradiated-medium 国際会議

    Takayoshi Koizumi, Tomiyasu Murata, Masaru Hori, Masafumi Ito

    34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science 

     詳細を見る

    開催年月日: 2017年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Hokkaido University, Sapporo   国名:日本国  

  934. Effect of Hydrophilic Treatment of Carbon Nanowalls on Surface Assisted Laser Desorption / Ionization Mass Spectrometry 招待有り 国際会議

    Hironori Ito1, Takayuki Ohta1, Kenji Ishikawa2, Mineo Hiramatsu1, Masaru Hori

    34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science 

     詳細を見る

    開催年月日: 2017年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Hokkaido University, Sapporo   国名:日本国  

  935. Hydrogen Peroxide Sensor Based on Carbon Nanowalls Grown by Plasma Enhanced Chemical Vapor Deposition 招待有り 国際会議

    Masakazu Tomatsu, Mineo Hiramatsu, Hiroki Kondo, Masaru Hori

    34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science 

     詳細を見る

    開催年月日: 2017年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Hokkaido University, Sapporo   国名:日本国  

  936. Analysis of Morphological Change of Supported Lipid Bilayers Induced by Reactive Oxygen and Nitrogen Species in Plasma-Activated Medium Employing High-Speed Atomic Force Microscopy 招待有り 国際会議

    Takuya Tonami, Naoyuki Kurake, Hiroshi Hashizume, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Hiromasa Tanaka, Makoto Sekine, Masafumi Ito, Ryugo Tero, Masaru Hori

    34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science 

     詳細を見る

    開催年月日: 2017年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Hokkaido University, Sapporo   国名:日本国  

  937. Efficient Production of Meter-scale Atmospheric-pressure Line Plasma Using Modified Waveguide and cw Microwave Power ( 招待有り 国際会議

    Haruka Suzuki, Yuto Tamura, Yaoki Inomata, Hitoshi Itoh, Makoto Sekine, Masaru Hori, Hirotaka Toyoda

    34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science 

     詳細を見る

    開催年月日: 2017年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Hokkaido University, Sapporo   国名:日本国  

  938. Influence of pH on Inactivation of E-coli in Oxygen-Radical Treated Water 招待有り 国際会議

    Tsuyoshi Kobayashi, Jun-Seok Oh, Hiroshi Hashizume, Takayuki Ohta, Kenji Ishikawa, Masaru Hori, Masafumi Ito

    34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science 

     詳細を見る

    開催年月日: 2017年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Hokkaido University, Sapporo   国名:日本国  

  939. Power Generation Characteristics of Polymer Electrolyte Fuel Cell Using Carbon Nanowalls 招待有り 国際会議

    Hiroaki Iwata, Takayuki Ohta, Masafumi Ito, Mineo Hiramatsu, Hiroki Kondo, Masaru Hori

    34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science 

     詳細を見る

    開催年月日: 2017年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Hokkaido University, Sapporo   国名:日本国  

  940. Effects of Additive Gases on Characteristics of High Temperature Plasma Etching of GaN In Cl2 Based Plasma 国際会議

    Masato Imamura, Zecheng Liu, Jialin Pan, Atsushi Tanide, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Osamu Oda, Makoto Sekine, Masaru Hori

    34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science 

     詳細を見る

    開催年月日: 2017年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Hokkaido University, Sapporo   国名:日本国  

  941. Proliferative Effects of Nitric Oxide Radical Irradiation on Budding Yeast Cell 国際会議

    Masashi Okachi, Jun-Seok Oh, Hiroshi Hashizume, Masaru Hori, Masafumi Ito

    34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science 

     詳細を見る

    開催年月日: 2017年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Hokkaido University, Sapporo   国名:日本国  

  942. Fluorescence Microscopy of Penicillium Digitatum Spores Irradiated with Oxygen Radicals 国際会議

    Yuta Tanaka, Jun-Seok Oh, Hiroshi Hashizume, Takayuki Ohta, Masaru Hori, Masafumi Ito

    34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science 

     詳細を見る

    開催年月日: 2017年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Hokkaido University, Sapporo   国名:日本国  

  943. Electromagnetic Field Simulation of Modified Ridge Waveguide for Long Line Plasma Production 招待有り 国際会議

    Yuto Tamura, Haruka Suzuki, Yaoki Inomata, Hitoshi Itoh, Makoto Sekine, Masaru Hori, Hirotaka Toyoda

    34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science 

     詳細を見る

    開催年月日: 2017年1月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Hokkaido University, Sapporo   国名:日本国  

  944. Effect of Dispersing Agent on the Synthesis of Copper Nanoparticles Using Gas-liquid Interface Plasma 招待有り 国際会議

    Akira Ito, Takayuki Ohta, Masaru Hori

    34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science 

     詳細を見る

    開催年月日: 2017年1月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Hokkaido University, Sapporo   国名:日本国  

  945. Structure Control of Carbon Nanowalls Formed Using Inductively Coupled Plasmaenhanced Chemical Vapor Deposition 招待有り 国際会議

    Takuya Suzuki, Hitoshi Nozaki, Mineo Hiramatsu, Masakazu Tomatsu, Hiroki Kondo, Masaru Hori

    34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science 

     詳細を見る

    開催年月日: 2017年1月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Hokkaido University, Sapporo   国名:日本国  

  946. Interaction of Plasma with Cells for Agriculture and Biomedical Applications 招待有り 国際会議

    M.Hori, M.Sekine, K.Ishikawa, H.Kondo, K.Takeda, H,Hashizume, H.Tanaka,F.Kikkawa,M.Mizuno, M.Ito

    AJC-APSE 

     詳細を見る

    開催年月日: 2017年1月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Nong Lam Univsersity, Hochiminh city, Vietnum   国名:ベトナム社会主義共和国  

  947. Characterization of a moderate-sized Multi-Electrodes Atmospheric Pressure Plasma Jet Aiming Plasma Applications 招待有り 国際会議

    B.B.Sahu, J.G.Han, Y.Yin, J.S.Lee, S.B.Jim, M.Hori

    AJC-APSE 

     詳細を見る

    開催年月日: 2017年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nong Lam Univsersity, Hochiminh city, Vietnum   国名:ベトナム社会主義共和国  

  948. A new concept and high performances of GaN etching employing the halogen gas-free plasma chemistry 招待有り

    Takashi Kako, Kenji Ishikawa, Osamu Oda, Makoto Sekine, Masaru Hori

    The 26th annual meeting of MRS-J  

     詳細を見る

    開催年月日: 2016年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Yokohama   国名:日本国  

  949. Advanced Plasma Etching Processing with Feedback Control of Wafer Temperature for Fabrication of Atomic-Scale Organic Devices 招待有り

    Takayoshi TSUTSUMI, Yusuke FUKUNAGA, Keigo TAKEDA, Kenji ISHIKAWA, Hiroki KONDO, Makoto SEKINE, Masaru HORI

    The 26th annual meeting of MRS-J  

     詳細を見る

    開催年月日: 2016年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Yokohama   国名:日本国  

  950. GaN epitaxial growth by Plasma assisted RF Sputter deposition 招待有り

    Atsushi TANIDE, Shohei NAKAMURA, Akira HORIKOSHI, Shigeru TAKATSUJI, Motohiro KOHNO, Kazuo KINOSE, Soichi NADAHARA, Masazumi NISHIKAWA, Akinori EBE, Takashi KAKO, Kenji ISHIKAWA, Masaru HORI

    The 26th annual meeting of MRS-J  

     詳細を見る

    開催年月日: 2016年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Yokohama   国名:日本国  

  951. Plasma-activated Medium (PAM) and Metabolic Analysis of Glioblastoma 招待有り

    Kenji ISHIKAWA, Naoyuki KURAKE, Hiroshi HASHIZUME, Hiromasa TANAKA, Keigo TAKEDA, Hiroki KONDO, Makoto SEKINE, Takashi KONDO, Shoko OHNUMA, Masashi KATO, Kae NAKAMURA, Hiroaki AJIYAMA, Fumitaka KIKKAWA, Masaaki MIZUNO, Shinya TOYOKUNI, Masaru HORI

    The 26th annual meeting of MRS-J  

     詳細を見る

    開催年月日: 2016年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Yokohama   国名:日本国  

  952. A Novel Controlling Method of Proliferation of Cultured Cells on Carbon Nanowalls Scaffold with an Electric Stimulation 招待有り

    Suiki TANAKA, Tomonori ICHIKAWA, Hiroki KONDO, Kenji ISHIKAWA, Hiroshi HASHIZUME, Hiromasa TANAKA, Makoto SEKINE, Masaru HORI

    The 26th annual meeting of MRS-J  

     詳細を見る

    開催年月日: 2016年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Yokohama   国名:日本国  

  953. Synthesis of Oxalic Acids and Crystallization of Calcium Oxalate Dihydrates in Culture Medium Irradiated with Non-equilibrium Atmospheric-pressure Plasma 招待有り

    Kenji ISHIKAWA, Naoyuki KURAKE, Hiromasa TANAKA, Kae NAKAMURA, Hiroaki KAJIYAMA, Fumitaka KIKKAWA, Masaaki MIZUNO, Yoko YAMANISHI,Masaru HORI

    The 26th annual meeting of MRS-J  

     詳細を見る

    開催年月日: 2016年12月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Yokohama   国名:日本国  

  954. Synthesis of copper nanoparticles using gas-liquid interface plasma 招待有り

    A. Ito, T.Ohta, M.Hori

    The 26th annual meeting of MRS-J  

     詳細を見る

    開催年月日: 2016年12月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Yokohama   国名:日本国  

  955. Improvement of power generation efficiency on polymer electrolyte fuel cell using carbon nanowalls 招待有り

    H. Iwata, T. Ohta, M.Ito, M.Hiramatsu, H.Kondo, M.Hori

    The 26th annual meeting of MRS-J  

     詳細を見る

    開催年月日: 2016年12月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Yokohama   国名:日本国  

  956. Plasma spectroscopy in a gas, a liquid and cells forbiomedical applications 招待有り 国際会議

    Masaru Hori

    6th International Conference on Advanced Plasma Technologies (ICAPT-6) / Workshop on Industrial Application of Plasma Solutions 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Siem Reap, Cambodia   国名:カンボジア王国  

  957. Effects of Residence Time on Growth Characteristics and Properties of Amorphous Carbon Films Grown by radical-Injection Plasma-enhanced Chemical Vapor Deposition 招待有り 国際会議

    H.Sugiura, L.Jia, S.Sato, H.Kondo, K.Takeda, K.Ishiakawa, M.Sekine,M.Hori

    MRS Fall Meeting & Exhibit  

     詳細を見る

    開催年月日: 2016年11月 - 2016年12月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Boston   国名:アメリカ合衆国  

  958. Functionalization of Plasma Activated medium and its Application to Cancer therapy and Regenerative Medicine 招待有り 国際会議

    M.Hori

    MRS Fall Meeting & Exhibit  

     詳細を見る

    開催年月日: 2016年11月 - 2016年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Boston   国名:アメリカ合衆国  

  959. Electrochemical Durability of Pt-Supported Carbon Nanowalls synthesized Using C2F6/H2 Mixture Plasma 招待有り 国際会議

    S.Imai, H.Kondo, H.Cho, K.Kano, K.Ishikawa, M.Sekine, M.Hiramatsu, M.Hori

    MRS Fall Meeting & Exhibit  

     詳細を見る

    開催年月日: 2016年11月 - 2016年12月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Boston   国名:アメリカ合衆国  

  960. Measurements of Absolute N Atom Density in Ar/N2 Sputtering Plasma during Heteroepitaxial Growith of Single Crystalline ZnO Films on Sapphire Substrates 招待有り 国際会議

    K.Iwasaki, T. Iwasaki, T.Ide, K.Matsushima, T. Takasaki, K.Takeda, M.Hori, S.Yamashita, Hyunwoong Seo, K.Koga, M.Shiratani, N.Itagaki

    MRS Fall Meeting & Exhibit  

     詳細を見る

    開催年月日: 2016年11月 - 2016年12月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Boston   国名:アメリカ合衆国  

  961. Phase-resolved measurement of electron density afterglow of synchronized dc-imposed pulsed plasmas of fluorocarbon based gases 国際会議

    T.Ueyama, M.Iwata, Y.Fukunaga, T.Tsusumi, K.Takeda, K.Ishikawa, M.Sekine, Y.Ohya, M.Hori,H.Sugai

    DPS 2016 

     詳細を見る

    開催年月日: 2016年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Hokkaido Univ.   国名:日本国  

  962. Unveiling aspects of alternative refrigerants involving HFO-1234ze from computational chemistry 国際会議

    T.Hayashi, M.Sekine, K. Ishikawa M.Hori

    DPS 2016 

     詳細を見る

    開催年月日: 2016年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Hokkaido Univ.   国名:日本国  

  963. Ambient Mass spectrometric Investigation of Atomic Oxygen radicals in Afterglow Plasma 招待有り 国際会議

    Jun-Seok Oh, T. Kobayashi, T.Ohta, M. Hiramatsu, M.Hori, M. Ito

    DPS 2016 

     詳細を見る

    開催年月日: 2016年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Hokkaido Univ.   国名:日本国  

  964. Hydrogen peroxide sensor based on carbon nanowalls grown by plasma enhanced chemical vapor deposition 国際会議

    M.Tomatsu, M.Hiramatsu, H.Kondo, M.Hori

    DPS 2016 

     詳細を見る

    開催年月日: 2016年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Hokkaido Univ.   国名:日本国  

  965. Growth of InN at a low-temperature by radical enhanced chemical vapor deposition 国際会議

    S.Takai, Y.Lu, A.F. Wilson, O.Oda, K.takeda, H.Kondo, K.Ishikawa, M.Sekine, M.Hori

    DPS 2016 

     詳細を見る

    開催年月日: 2016年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Hokkaido Univ.   国名:日本国  

  966. プラズマ医療科学の推進と展望 招待有り

    堀 勝

    第32回 九州・山口プラズマ研究会 

     詳細を見る

    開催年月日: 2016年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:佐賀大学理工学部   国名:日本国  

  967. プラズマの生成と制御

    堀 勝

    第27回プラズマエレクトロニクス講習会 

     詳細を見る

    開催年月日: 2016年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:東京大学 本郷キャンパス   国名:日本国  

  968. RE‐MOCVD法によるAlInN/GaN 系次世代半導体

    堀 勝

    愛知地域 スーパークラスタプログラム 成果報告会 

     詳細を見る

    開催年月日: 2016年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋国際センター   国名:日本国  

  969. Selective Cyclic Plasma Etching of Thin Films in Two heating way, Ion Bombardment and infrared Irradiation 国際会議

    N.Miyoshi, H.Kobayashi, N.Yasui, M.tanaka, Y.Sonoda, K.Kuwahara, K.Ishikawa, M.Hori

    AVS 63rd International Symposium & Exhibition 

     詳細を見る

    開催年月日: 2016年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地: Nashville, Tennessee   国名:アメリカ合衆国  

  970. Transport Mechanism of Reactive Species in Downflow Reactors for F-based Etch 招待有り 国際会議

    K.Ishikawa, T.Tsusumi, Y.Zzhang, M.Sekine, T.Hayashi, M.Hori, Y.Horiike

    AVS 63rd International Symposium & Exhibition 

     詳細を見る

    開催年月日: 2016年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地: Nashville, Tennessee   国名:アメリカ合衆国  

  971. Isotropic Atomic Layer etching of Titanium Nitride Using Formation and Desorption of Ammonium Salt 招待有り 国際会議

    K. Shinoda, N.Miyoshi, M.Kurihara, S.sakai, M.Izawa, K.Ishikawa, M.Hori

    AVS 63rd International Symposium & Exhibition 

     詳細を見る

    開催年月日: 2016年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地: Nashville, Tennessee   国名:アメリカ合衆国  

  972. Generation of Reactive Species In Medium Irradiated laser-Induced-Plasmas 国際会議

    Y.Kurokawa, N.Kurake, K.takeda, K.Ishikawa, H.Hashizume, H.tnaka, H.Kondo, M.Sekine, M.Hori

    AVS 63rd International Symposium & Exhibition 

     詳細を見る

    開催年月日: 2016年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地: Nashville, Tennessee   国名:アメリカ合衆国  

  973. Plasma diagnostics for thin silicon film deposition 招待有り 国際会議

    Kenji Ishikawa, Masaru Hori, Jeon Geon Han

    AVS 63rd International Symposium & Exhibition 

     詳細を見る

    開催年月日: 2016年10月 - 2016年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Soul   国名:大韓民国  

  974. Advanced Plasma Surface science and Technologies for Future Industry and Medicine 招待有り 国際会議

    M.Hori

    7th International Conference of Surface Engineering 

     詳細を見る

    開催年月日: 2016年10月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:中華人民共和国  

  975. Challenge towards controlling atomic level interactions of plasma with surfaces 招待有り 国際会議

    M.Hori

    69th Annual Gaseous electronics Conference  

     詳細を見る

    開催年月日: 2016年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Bochum   国名:ドイツ連邦共和国  

  976. Oxygen reduction reaction on highly-durable Pt/nanographene fuel cell catalyst synthesized employing in-liquid plasma 招待有り 国際会議

    T. AMANO, H. KONDO, K.TAKEDA, K.ISHIKAWA, H.KANO, M.HIRAMATSU, M. SEKINE, M.HORI

    69th Annual Gaseous electronics Conference  

     詳細を見る

    開催年月日: 2016年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Bochum   国名:ドイツ連邦共和国  

  977. Structure Control of Vertical Nanographene toward Electrochemical and Bio Applications 招待有り 国際会議

    MINEO HIRAMATSU, HIROKI KONDO, MASARU HORI,

    69th Annual Gaseous electronics Conference  

     詳細を見る

    開催年月日: 2016年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Bochum   国名:ドイツ連邦共和国  

  978. Calcium oxalate syntheses in a solution containing glucose by the atmospheric pressure plasma irradiation 招待有り 国際会議

    NAOYUKI KURAKE,HIROMASA TANAKA, KENJI ISHIKAWA, KAE NAKAMURA, HIROAKI KAJIYAMA, FUMITAKA KIKKAWA, MASAAKI MIZUNO,YOKO YAMANISHI, MASARU HORI

    69th Annual Gaseous electronics Conference  

     詳細を見る

    開催年月日: 2016年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Bochum   国名:ドイツ連邦共和国  

  979. Atomic oxygen behavior at downstream of AC excited atmospheric pressure He plasma jet 招待有り 国際会議

    KEIGO TAKEDA, KENJI ISHIKAWA, HIROMASA TANAKA, MAKOTO SEKINE, MASARU HORI

    69th Annual Gaseous electronics Conference  

     詳細を見る

    開催年月日: 2016年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Bochum   国名:ドイツ連邦共和国  

  980. 100% N2 atmospheric-pressure microwave-line-plasma production with a modified waveguide structure 招待有り 国際会議

    HARUKA SUZUKI, YUTO TAMURA, HITOSHI ITOH, MAKOTO SEKINE, MASARU HORI, HIROTAKA TOYODA

    69th Annual Gaseous electronics Conference  

     詳細を見る

    開催年月日: 2016年10月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Bochum   国名:ドイツ連邦共和国  

  981. Promotion of cell proliferation using atmospheric-pressure radical source 招待有り 国際会議

    M. Ito, M.Okachi, T. Koizumi, Jun-Seo Oh, H.Hashizume, T. Murata, M.Hori

    69th Annual Gaseous electronics Conference  

     詳細を見る

    開催年月日: 2016年10月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Bochum   国名:ドイツ連邦共和国  

  982. Electron and negative ion densities in a CW and pulsed 100 MHz capacitively coupled plasma discharge 招待有り 国際会議

    N. SIRSE, B. ELLINGBOE, T.TSUTSUMI, S. MAKOTO, M.HORI

    69th Annual Gaseous electronics Conference  

     詳細を見る

    開催年月日: 2016年10月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Bochum   国名:ドイツ連邦共和国  

  983. Variation in photon-induced interface defects due to transient behavior of pulse modulated inductively coupled plasma 招待有り 国際会議

    Y. MIYOSHI, M. FUKASAWA, K. NAGAHATA,T. TATSUMI, Z. LIU, Y. ZHANG, A. ANDO, K. TAKEDA, K. ISHIKAWA, M. SEKINE, M. HORI

    69th Annual Gaseous electronics Conference  

     詳細を見る

    開催年月日: 2016年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Bochum   国名:ドイツ連邦共和国  

  984. Unobtrusively Medical and Health Monitoring of Older Adults in Every-day Life Mobility with a Vehicle 国際会議

    K.Ishikawa, S.Onoshima, H.Yukiwa, H.tanaka,H.Hashizume, Y.Baba, M.Hori, T.Hase, M.Kondo, Y.Hasegawa, M.Mizuno, N. Kihara, K.tatsukoshi, H.Odaka

    The 3rd International Conference on Universal Village (UV 2016) 

     詳細を見る

    開催年月日: 2016年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  985. Healthcare innovations for Future Society 招待有り 国際会議

    M.Hori

    The 3rd International Conference on Universal Village (UV 2016) 

     詳細を見る

    開催年月日: 2016年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Nagoya   国名:日本国  

  986. Control of internal Plasma Parameters Toward Atomic Level Processing 招待有り 国際会議

    M.Sekine, T.Tsutumi, U.Fukunaga, K.Takeda, H.Kondo, K.Ishikawa, M.Hori

    PRiME 2016 

     詳細を見る

    開催年月日: 2016年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  987. Radical-Controlled Plasma Nano Processing for Green and Life Innovations 招待有り 国際会議

    M.Hori

    PRiME 2016 

     詳細を見る

    開催年月日: 2016年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  988. Plasma-Assisted Deposition of Carbon Nanowalls for Detection of Organic 招待有り 国際会議

    U. Cvelbar, P. Slobodian, H.Kondo, M.Sekine, M.Hori

    PRiME 2016 

     詳細を見る

    開催年月日: 2016年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  989. プラズマ照射活性化培地を用いた残存未分化ヒトiPS細胞の選択的除去

    長島 拓則、清水 一憲、松本 凌、田中 宏昌、水野 正明、吉川 .史隆、堀 勝、本多 裕之

    第68回日本生物工学会大会 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:富山国際会議場   国名:日本国  

  990. Plasma nanoInnovations for Future Industry, Medicine and Agriculture 国際会議

    M.Hori

    Nano Innovation Conference and Exhibition 2016 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:イタリア共和国  

  991. フォトリソグラフィとUV キュア処理によるナノギャップ電極アレイ形成

    粂内真子,熊谷慎也,趙享峻,近藤博基, 石川健治,堀勝,佐々木実

    第77回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:朱鷺メッセ 新潟市    国名:日本国  

  992. C2F6/H2プラズマにより成長したカーボンナノウォールの電気化学的耐久性能,

    今井 駿, 近藤 博基, 石川 健治, 平松 美根男, 関根 誠, 堀 勝

    第77回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:朱鷺メッセ 新潟市    国名:日本国  

  993. レーザー誘起プラズマによる培養液中の活性種生成と細胞応答

    黒川幸宏、倉家尚之、竹田圭吾、石川健治、橋爪博司、田中宏昌、近藤博基、関根誠、堀勝

    第77回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:朱鷺メッセ 新潟市    国名:日本国  

  994. DCシンクロパルスプラズマを用いた最先端絶縁膜エッチングプロセスにおける電子の電極間密度分布およびアフターグローでの挙動

    植山稔正、岩田学、福永裕介、堤隆嘉、竹田圭吾、近藤博基、石川健治、関根誠、大矢欣伸、堀勝、菅井秀郎

    第77回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:朱鷺メッセ 新潟市    国名:日本国  

  995. HFC-1234yfの電子物性と解離

    林 敏雄、関根 誠、石川 健治、堀 勝

    第77回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:朱鷺メッセ 新潟市    国名:日本国  

  996. Development of high-density radical source and its application for plasma-assisted MBE of nitride semiconductors 国際会議

    M.Sekine, H.Kondo, H.Cho, K.Takeda,K.Ishikawa, H.Kano, M.Hori

    5th International Conference on Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ドイツ連邦共和国  

  997. Effect of Plasma-treatment on the in vitro growth of Trypanosoma brucei 国際会議

    N.Yokoyama, H.Sakakida, Y.Akimoto, T.Sivakumar, K.Ishikawa, M.Hori, Y.Ikehara

    6th International Conference on Plasma Medicine 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Bratislava, Slovakia   国名:スロバキア共和国  

  998. Diagnostics of gas- and liquid-phase reactive species generated by AC excited atmospheric pressure Ar Plasma 国際会議

    K.Takeda, K.Ishikawa, H.Tanaka, M.Sekine, M.Hori

    6th International Conference on Plasma Medicine 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Bratislava, Slovakia   国名:スロバキア共和国  

  999. Health Effect of repeated intraperitoneal injections of plasma-activated medium in mice 国際会議

    A.Tanaka, M.Hirata, Y.Ikehara, Y.Akimoto, H.Nakanishi, H.Tanaka, M.Hori

    6th International Conference on Plasma Medicine 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Bratislava, Slovakia   国名:スロバキア共和国  

  1000. Plasma-irradicated liquid therapy suppresses intraperitioneal metastasis of chemoreesistant ovarian cancer 国際会議

    H.Kajiyama, F.Utsumi, K.Nakamura, H.Tanaka, M.Hori, F.Kikkawa

    6th International Conference on Plasma Medicine 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Bratislava, Slovakia   国名:スロバキア共和国  

  1001. Plasma-activated medium (PAM) inhibits peritoneal metastasis in ovarian cancer mouse model 国際会議

    K.Nakamura, H.Kajiyama, F.Utsumi, Y.Peng, H.Tanaka, M.Mizuno, S.Toyokuni, M.Hori, F.Kikkawa

    6th International Conference on Plasma Medicine 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Bratislava, Slovakia   国名:スロバキア共和国  

  1002. Effect of non-equilibrium atmospheric pressure plasmas irradication on spontaneously developed melanoma in RET-mice 国際会議

    M.Iida, Y.Omata, I.Yajima, Y.Kato, M.Yosihnaga, M.Hori, M.Kato

    6th International Conference on Plasma Medicine 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Bratislava, Slovakia   国名:スロバキア共和国  

  1003. Efficacy of intraaperitoneal therapy with plasma-activated medium targeting peritoneal micrometastasis as revealed by GFP-tagged human gastric cancer cell lines in nude mice 国際会議

    H. Nakanishi, H.Tanaka, Y. Akimoto, A.Tanaka, M.Hori, Y. Ikehara

    6th International Conference on Plasma Medicine 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Bratislava, Slovakia   国名:スロバキア共和国  

  1004. Particulates generation in the plasma activated medium 国際会議

    N.Kurake, H.Tanaka, K.Ishikawa, H.hashizume, K.Nakamura, H.Kajiyama, F.Kikkawa, T.Kondo, M.Mizuno, K.Takeda, H.Kondo, M.Sekine, M.Hori

    6th International Conference on Plasma Medicine 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Bratislava, Slovakia   国名:スロバキア共和国  

  1005. Generation of active species in laser-induced-plasma activated medium 国際会議

    Y.Kurokawa, N.Kurake, K.Takeda, K.Ishikawa, H.Hashizume, H.Tanaka, H.Kondo, M.Sekine, M.Hori

    6th International Conference on Plasma Medicine 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Bratislava, Slovakia   国名:スロバキア共和国  

  1006. Selective killing of human breast cancer cell by plasma-activated medium 国際会議

    H.Hashizume, H.Tanaka, K.Nakaura, H.Kano, K.Ishikawa, F.Kikkawa, M.Mizuno, M.Hori

    6th International Conference on Plasma Medicine 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Bratislava, Slovakia   国名:スロバキア共和国  

  1007. Plasma-activated medium change ASCs cytokine profile 国際会議

    Y.Kamimura, N.tsuboi, H.Tanaka, M.Hori, .S.Maruyama

    6th International Conference on Plasma Medicine 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Bratislava, Slovakia   国名:スロバキア共和国  

  1008. Plasma-activated medium inhibits metastatic tendency of ovarian cancer cells in vitro 国際会議

    Y.Peng, H.Kajiyama, K.Nakamura, F.Ustumi, H.Tanaka, M.Mizuno, S.Toyokuni, M.Hori, F.Kikkawa

    6th International Conference on Plasma Medicine 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Bratislava, Slovakia   国名:スロバキア共和国  

  1009. Metabolic profiles on glioblastoma (U251SP) modified in plasma-activated medium (PAM) cultivation 国際会議

    K.Ishikawa, N.Kurake, H.Tanaka, H.Hashizume, K.Takeda, K.Nakamura, H.Kajiyama, H.Kondo, M.Sekine, M.Kato, M.Mizuno, F.Kikkawa, M.Hori

    6th International Conference on Plasma Medicine 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Bratislava, Slovakia   国名:スロバキア共和国  

  1010. Dynamic behavior of HeLa cells in plasma-activated medium 国際会議

    R.Furuta, H.hashizume, K.Ishikawa, H.Tanaka, K.Takeda, T. Ohta, H.Kondo, M.Ito, M.Sekine, M.Hori

    6th International Conference on Plasma Medicine 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Bratislava, Slovakia   国名:スロバキア共和国  

  1011. Mechanisum of blood coagulation induced by plasma treatment in addition to the acceleration of the natural blood coagulation process 国際会議

    Y.Ikehara, S.Ikehara, Y,.Akimoto, H.sakakita, K.Ishikawa, M.Ueda, J.Ikeda, J.Kim, Y.Yamaguchi, H.Nakanishi, T.Shimizu, N.Shimizu, M. Hori

    6th International Conference on Plasma Medicine 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Bratislava, Slovakia   国名:スロバキア共和国  

  1012. Plasma-activated medium and cancer 国際会議

    H.Tanaka, M.Mizuno, K.Ishikawa, K.Takeda, H.Kondo, H.Hashizume, K.Nakamura, H.Kajiyama, H.Kano, Y.Okazaki< S.Toyokuni, S.Maruyama, F.Kikkawa, M.Hori

    6th International Conference on Plasma Medicine 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Bratislava, Slovakia   国名:スロバキア共和国  

  1013. Expression of galectins and alpha smooth muscle actin in low-temperature plasma-treated healing skin 国際会議

    Y.Akimoto, S.Ikehara, T.Yamaguchi, J.Kim, H.Kawakami, N.Shimizu, M.Hori, H.Sakakita, Y.Ikehara

    6th International Conference on Plasma Medicine 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Bratislava, Slovakia   国名:スロバキア共和国  

  1014. Comparison of Inflammation after hemostasis with non-thermal plasma or thermal coagulation: A histological and nuclear medical evaluation 国際会議

    M. Ueda, D.Yamagami, A.Morioka, K.Watanabe, K.Sano, A.Mori, H.Kimra, H.Saji, H.Sakakita, Y.Ikehara, K.Ishikawa, M.Hori, S.Enomoto

    6th International Conference on Plasma Medicine 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Bratislava, Slovakia   国名:スロバキア共和国  

  1015. Comprehensive model on interactions among plasmas, liquids, intracellular molecules and animals towards a plasma medical innovation 国際会議

    M.Hori

    6th International Conference on Plasma Medicine 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Bratislava, Slovakia   国名:スロバキア共和国  

  1016. Inactivation factors of microorganisms in solutions treated with neutral oxygen radical source 国際会議

    M.Ito, T.Kobayashi, H.Hashizume, T.Ohta, K.Ishikawa, M.Hori

    6th International Conference on Plasma Medicine 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Bratislava, Slovakia   国名:スロバキア共和国  

  1017. プラズマ活性化溶液(PAM)培養した脳腫瘍細胞(U251SP)の代謝プロファイル

    石川 健治、倉家 尚之、田中 宏昌、橋爪 博司、竹田 圭吾、中村 香江、梶山 広明、 近藤 博基、関根 誠、加藤 昌志、水野 正明、吉川 史隆、堀 勝

    第69回日本酸化ストレス学会学術集会 

     詳細を見る

    開催年月日: 2016年8月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:仙台国際センター 会議棟   国名:日本国  

  1018. Plasma Science towards Next-generation Healthcare Innovations 国際会議

    Masaru Hori

    International Symposium on the Physics of Ionized Gases (28th) 

     詳細を見る

    開催年月日: 2016年8月 - 2016年9月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Belgrade, Serbia   国名:セルビア共和国  

  1019. Synthesis of nanostructured platform based on 3-dimensional graphene network for biosinsing and energy applications 国際会議

    Mineo Hiramatsu, Hiroki Kondo, Masaru Hori

    20th International Vacuum Congess IVC-20 

     詳細を見る

    開催年月日: 2016年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1020. Plasma etching of gallium nitride at high temperature 国際会議

    Zecheng Liu, Jialin Pan, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Osamu Oda, Masaru Hori

    20th International Vacuum Congess IVC-20 

     詳細を見る

    開催年月日: 2016年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1021. Plasma-activated medium as a novel cancer therapeutic approach 国際会議

    Hiromasa Tanaka, Masaaki Mizuno, Fumitaka Kikkawa, Masaru Hori

    20th International Vacuum Congess IVC-20 

     詳細を見る

    開催年月日: 2016年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1022. Carbon nanowalls for sustaionable future 国際会議

    Masaru Hori, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Makoto Sekine, Mineo Hiramatsu

    20th International Vacuum Congess IVC-20 

     詳細を見る

    開催年月日: 2016年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  1023. Latent chemistry in plasma activetied medium (PAM) 国際会議

    Kenji Ishikawa, Naoyuki Kurake, Hiromasa Tanaka, Hiroko MIzuno, Hiroshi Hashizume, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Takeshi Kondo, Shoko Ohnuma, Masashi, Kato, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Masaru Hori

    20th International Vacuum Congess IVC-20 

     詳細を見る

    開催年月日: 2016年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1024. プラズマ医療科学の創成による未来医療への挑戦

    堀 勝

    第30回東海ハイパーサーミア研究会 

     詳細を見る

    開催年月日: 2016年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1025. 大気圧プラズマプロセスの基礎とそのデバイスプロセス応用 招待有り

    堀 勝

    透明参加物光・電子材料第166委員会 第72研究会 

     詳細を見る

    開催年月日: 2016年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:田町キャンパスイノベーションセンター(東京)   国名:日本国  

  1026. Carrying Knowledge into a New Vision of Plasma Science 国際会議

    Masaru Hori

    The 1st Innovative Global GRDC Workshop 

     詳細を見る

    開催年月日: 2016年7月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:アメリカ合衆国  

  1027. Synthesis of nanographenes for catalytic effects by advanced plasma process 国際会議

    M.Hori

    ISPCEM-2016 

     詳細を見る

    開催年月日: 2016年6月 - 2016年7月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:中華人民共和国  

  1028. UV absorbance of DDW treated with oxygen radicals for inactivating Escherichia coli 国際会議

    T.Kobayashi, J.Oh, H.Hashizume, T.Ohta, K.Ishikawa, M.Hori, M.Ito

    7th International workshop on Plasma Spectroscopy (IPS 2016) 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1029. Vertical Distribution measurement of electron Density and Optical emission in aftergrow of pulsed fluorocarbon plasma 国際会議

    T. Ueyama, M.Iwata, U.FUkunaga, T.Tsutumi, K. Takeda, K.Ishikawa, M.Sekine, Y.Ohya, M.Hori, H.Sugai

    7th International workshop on Plasma Spectroscopy (IPS 2016) 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1030. Behaviors of OH radical generated by irradiation of AC excited atmospheric pressure Ar jet to medium 国際会議

    K.Takeda, K.Ishikawa, H.Tanaka, M.Sekine, M. Hori

    7th International workshop on Plasma Spectroscopy (IPS 2016) 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1031. Gas flow effect on transport of O(3Pj) atoms in ac power excited atmospheric pressure O2/Ar Plasma jet 国際会議

    K.Takeda, K.Ishikawa, H.Tanaka, M.Sekine, M.Hori

    7th International workshop on Plasma Spectroscopy (IPS 2016) 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1032. Utility of plasma diagnostics for the radical and plasma control for low-temperature Deposition of Si Thin Films in Hybrid Plasma Processes (General) 国際会議

    B.B.Sahu, J.G.Han, K.Takeda, M.Hori

    7th International workshop on Plasma Spectroscopy (IPS 2016) 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1033. Molecular vibrational imaging of plasma-induced biological samples 国際会議

    R.Furuta, H.Hashizume, K.Ishikawa, H.Tanaka, T.Ohta, H.Kondo, M.Ito, M.Sekine, M.Hori

    7th International workshop on Plasma Spectroscopy (IPS 2016) 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1034. High-Speed-Camera Observation of Plasma Behavior in Atmospheric-Pressure Microwave Line Plasma 国際会議

    H. Suzuki, H.Itoh, M.Sekine, M.Hori, H.Toyoda

    7th International workshop on Plasma Spectroscopy (IPS 2016) 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1035. Diagnostics of activated species generated by non-equilibrium atmospheric pressure plasmas for plasma biomedical applications 招待有り 国際会議

    K.Ishikawa, K.Takeda, H. Hashizume, H.Tanaka, H.Kondo, M.Sekine, M.Hori

    7th International workshop on Plasma Spectroscopy (IPS 2016) 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1036. Inactivation of Asperigillus spores using oxygen-radical sources quantified by VUVAS 国際会議

    Y.Tanaka, H.Hashizume, T.Ohta, K.takeda, M.Hori, M.Ito

    7th International workshop on Plasma Spectroscopy (IPS 2016) 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1037. Impact of Synergism of nitrate and hydrogen Preroxide on cell survivals in plasma-activated-medium(PAM) 国際会議

    N.Kurake, H.Tanaka, K.Ishikawa, K.Nakamura, H.Kajiyama, F.Kikkawa, T.Kondo, M.Mizuno, H.Kondo, M.Sekine, M.Hori

    43rd IEEE International Conference on Plasma Science 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:カナダ  

  1038. Increase in Galectin expression In Healing Wounded Skin Treated with Low-Temperature Plasma: Comparison with Treatment by Electronical Coagulation 国際会議

    Y. Akimoto, S. Ikehara, T. Yamaguchi, J. Kim, H. Kawakami, N. Shimizu, M. Hori, H. Sakakita, Y. Ikehara

    43rd IEEE International Conference on Plasma Science 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:カナダ  

  1039. PLASMATREATMENT INDUCES BLOOD CLOT FORMATION; PROTEIN AGGREGATION AND HEMOLYSIS 国際会議

    Y. Ikehara, S. Ikehara, T. Yamaguchi, Y. Akimoto, H. Sakakita, J. Kim, K. Ishikawa, M. Hori, H. Nakanishi, N. Shimizu

    43rd IEEE International Conference on Plasma Science 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:カナダ  

  1040. Main bacterical factors of escherichia coli in solutions treated with neutral oxygen radicals 国際会議

    M. Ito, T. Kobayashi, T. Ohta, H. Hashizume, K. Ishiykawa, M. Hori

    43rd IEEE International Conference on Plasma Science 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:カナダ  

  1041. Plasma-Activated Medium and its Medical and Biological Applications (General) 国際会議

    H.Tanaka, M.Mizuno, F.Kikkawa, M.Hori

    43rd IEEE International Conference on Plasma Science 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:カナダ  

  1042. Plasma Medical Innovation Using Non-Thermal Atmospheric Pressure Plasma 国際会議

    M.Hori

    43rd IEEE International Conference on Plasma Science 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:カナダ  

  1043. Plasma Medical Scienece Innovation Towards A Fututre Therapy 国際会議

    Maastu HOri

    Advanced Coastings for Large -Area or High-Volume Products 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ドイツ連邦共和国  

  1044. プラズマによって創成された新しい医療科学(プラズマ医療) 招待有り

    堀 勝

    プラズマライフサイエンスイノベーション研究体シンポジウム「プラズマと医学の融合が拓く未来」 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:東京都市大学 世田谷キャンパス   国名:日本国  

  1045. Plasma Synthesis and Structual Control for Carbon Nanowalls for Novel Nano-Bio Devices 国際会議

    M. Hori, H.Kondo, M.Hiramatsu

    229th ECS MEETING 

     詳細を見る

    開催年月日: 2016年5月 - 2016年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  1046. 『食糧難を救う! プラズマ技術が農業・水産業を変える』

    堀勝

    日経BP技術者塾 

     詳細を見る

    開催年月日: 2016年4月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:化学会館 (東京・御茶ノ水)   国名:日本国  

  1047. Plasma Activated Medium for Cancer Therapy 国際会議

    Masaru Hori, H.Tanaka, K.Ishikawa, M.Mizuno, F.Kikkawa

    EMN Meeting on Biomaterials  

     詳細を見る

    開催年月日: 2016年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:タイ王国  

  1048. Chemically surface-modified Carbon nanowalls for nano-bio application 国際会議

    Hiroki Kondo, Masaru Hori, M.Hiramatsu

    EMN Meeting on Biomaterials  

     詳細を見る

    開催年月日: 2016年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:タイ王国  

  1049. Bond Scission and Corss-linking during Exposure of Atomic Hydorgen to Poly(methulmethacrylate) 国際会議

    Kenji Ishiakwa,Hideo Horibe, Keigo takeda, Hiroki Kondo , Makoto Sekine, Masaru Hori

    EMN Meeting on Biomaterials  

     詳細を見る

    開催年月日: 2016年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:タイ王国  

  1050. Overview and progress of Plasma Medicine Innovation in PLANT 国際会議

    M Hori

    The Workshop of NU-SKKU Joint Institute for Plasma Nano Materials 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  1051. Panel Discussion on New Plasmas,Diagnostics and Nano Materials 国際会議

    M Hori,Jeon G Han

    The Workshop of NU-SKKU Joint Institute for Plasma Nano Materials 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  1052. Plasma Medicine:Fundamental to Future Vision 国際会議

    M Hori

    The Workshop of NU-SKKU Joint Institute for Plasma Nano Materials 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  1053. Effects of radicals and ions on electronic properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition 国際会議

    Hirotsugu Sugiura, Lingyun Jia, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Makoto Sekine, Masaru Hori

    8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1054. Low damage etching of Gallium Nitride with Cl2 plasma at high temperature 国際会議

    Z. Liu, J. Pan, A. Asano, K. Ishikawa, K. Takeda, O. Oda, H. Kondo, M. Sekine and M. Hori

    8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1055. Plasma Induced Reactions on Budding Yeasts Observed by Multiplex Coherent Anti-Stokes Raman Scattering(CARS)

    M Hori

    IS Plasma2016/IC-PLANT2016 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1056. Degradation mechanism of Pt nanoparticles-supported carbon nanowalls for fuel cell application

    Shun Imai, Hiroki Kondo, Naitou Kenichi, Hyungjun Cho, Hiroyuki Kano, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu Masaru Hori

    IS Plasma2016/IC-PLANT2016 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1057. Study on crystallographic and electronic properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition

    Lingyun Jia, Hirotsugu Sugiura, Hiroki Kondo, Keigo Takeda, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    IS Plasma2016/IC-PLANT2016 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1058. Effects of fluorine termination on nanostructures and electrical proeprties of carbon nanowalls

    H. Cho, S. Tajima, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hiramatsu, M. Hori

    IS Plasma2016/IC-PLANT2016 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1059. Control of Radial Distribusion of Wafer Temperature during Plasma Process

    T. Tsutsumi, K. Ishikawa, K. Takeda, T. Ohta, M. Ito, H. Kondo, M. Sekine, and M. Hori

    IS Plasma2016/IC-PLANT2016 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1060. Low-temperature Growth of InN Films on Si(111) Substrates by Radical-Enhanced Metal-Organic Chemical Vapor Deposition

    S. Takai, Y. Lu, K. Iwamoto, O. Oda, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine and M. Hori

    IS Plasma2016/IC-PLANT2016 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1061. Vacuum ultraviolet (VUV) light effects on Polyethylene Terephthalate (PET) surfaces in Plasma Modification

    Y. Zhang, K. Ishikawa, M. Mozetic, K. Takeda, H. Kondo, M. Sekine and M. Hori

    IS Plasma2016/IC-PLANT2016 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1062. Oxygen reduction reaction of fuel cell catalytic electrodes using nanographene materials synthesized by in-liquid plasma

    T. Amano, H. Kondo, K. Takeda, K. Ishikawa, T. Ohta, M. Ito, H. Kano, M. Hiramatsu, M. Sekine and M. Hori

    IS Plasma2016/IC-PLANT2016 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1063. Induction of human breast cancer cells to selective death by plasma-activated medium

    Hiroshi Hashizume, Hiromasa Tanaka, Kae Nakamura, Hiroyuki Kano, Kenji Ishikawa, Fumitaka Kikkawa, Masaaki Mizuno, Masaru Hori

    IS Plasma2016/IC-PLANT2016 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1064. Measurement of reactive species in neutral-pH solutions treated with neutral oxygen radicals

    Tsuyoshi Kobayashi, Hiroshi Hashizume, Takayuki Ohta, Kenji Ishikawa, Masaru Hori, Masafumi Ito

    IS Plasma2016/IC-PLANT2016 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1065. Inactivation effects of various kinds of solutions irradiated with oxygen radicals on yeast cells

    Jun Kobayashi, Masashi Okachi, Hiroshi Hashizume, Takayuki Ohta, Masaru Hori, Masafumi Ito

    IS Plasma2016/IC-PLANT2016 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1066. Behaviors of gas- and liquid-phase reactive oxygen species generated by AC excited atmospheric pressure He plasma

    K. Takeda, T. Kumakura, K. Ishikawa, H. Tanaka, Y. Nakai, M. Sekine, M. Hori

    IS Plasma2016/IC-PLANT2016 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1067. Liquid transport of micro-sized holes for isolated trapping of single cell, 07pE05O 国際会議

    Takumi Itoh, Kenji Ishikawa, Daisuke Onoshima, Naoto Kihara, Kentaro Tatsukoshi, Hidefumi Odaka, Hiroshi Hashizume, Hiromasa Tanaka, Hiroshi Yukawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Yoshinobu Baba, and Masaru Hori

    IS Plasma2016/IC-PLANT2016 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1068. Gas-Phase Diagnostics of AC Excited Non-equilibrium Atmospheric Pressure Plasma Jet for Biomedical Application 国際会議

    T. Kumakura, K. Takeda, K. Ishikawa, H. Tanaka, H. Kondo, Y. Nakai, M. Sekine, M. Hori

    IS Plasma2016/IC-PLANT2016 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1069. Evaluation of Substrate Temperature Effect on the Sidewall Surface Reactions by Intermittent Plasma Generation for Control Substrate Temperature

    Yusuke Fukunaga, Takayoshi Tsutsumi, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo Makoto Sekine, Masaru Hori

    IS Plasma2016/IC-PLANT2016 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1070. Plasma medical innovations for cancer therapy 国際会議

    M Hori

    The 5th International Conference on Advanced Plasma Technologies 

     詳細を見る

    開催年月日: 2016年2月 - 2016年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:スロベニア共和国  

  1071. プラズマ精密加工と医療科学への応用 ~がん治療とその実際~

    堀 勝

    第31回 精密加工プロセス研究会講演会 

     詳細を見る

    開催年月日: 2016年2月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  1072. Behaviors of gas-and liquid-phase reactive oxygen species generated by AC excited atmospheric pressue He plasma jet 国際会議

    Keigo Takeda,Takumi Kumakura,Kenji Ishikawa,Hiromasa Tanaka,Yoshihiro Nakai,Makoto Sekine,Masar Hori

    The 2nd Asian International Workshop 2016 

     詳細を見る

    開催年月日: 2016年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:タイ王国  

  1073. A novel strategy for cancer therapy using plasma-activated medium 国際会議

    Hiromasa Tanaka,Masaaki Mizuno,Kenji Ishikawa,Keigo Takeda ,Hiroshi Hashizume,Kae Nakamura,Hiroaki Kajiyama,Hiroyuki Kano,Yasumasa Okazaki,Shinya Toyokuni, Shoichi Maruyama, Yasuhiro Kodera, Hiroko Terasaki, Tetsuo Adachi, Masashi Kato, Fumitaka Kikkawa, Masaru Hori,

    The 2nd Asian International Workshop 2016 

     詳細を見る

    開催年月日: 2016年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:タイ王国  

  1074. Fungicidal process of P.digitatum spores through neutral oxygen radicals 国際会議

    M Hori

    The 2nd Asian International Workshop 2016 

     詳細を見る

    開催年月日: 2016年2月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:タイ王国  

  1075. Plasma-enhanced synthesis and chemical termination of carbon nanomaterials for application 国際会議

    Hirotsugu Sugiura,L. Jia, H. Kondo, H. Hashizume, K. Ishikawa, K. Takeda, M. Hiramatsu, M. Sekine, M. Hori

    The Asian Joint Committee International Workshop 2016 on Advanced Plasma Technology and Applications 

     詳細を見る

    開催年月日: 2016年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:タイ王国  

  1076. Plasma-enhanced synthesis and chemacal termination of carbon nanomaterials for application as cell culturing scaffold 国際会議

    H Sugiura,L Jia,H Kondo,H hashizume,K Takeda,K Ishikawa,M Hiamatsu,M Sekine,M Hori

    The 2nd Asian International Workshop 2016 

     詳細を見る

    開催年月日: 2016年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:タイ王国  

  1077. Influence of ion bombardment on electronic properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition 国際会議

    Hirotsugu Sugiura, Lingyun Jia , Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Makoto Sekine, Masaru Hori

    The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells 

     詳細を見る

    開催年月日: 2016年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1078. Atomic-scale Plasma process based on substrate-temperature control 国際会議

    T tsutsumi,Y Fukunaga,K Takeda,K Ishikawa,H Kondo,M Sekine,M Hori

    Asian International Workshop on Plasma Science 

     詳細を見る

    開催年月日: 2016年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1079. Effects of Edge Terminations on nanostructure and Electrical Properties of Carbon Nanowalls 国際会議

    H Cho,H Kondo,K Ishikawa,K Takeda,M Sekine,M Hiramatsu,M Hori

    Asian International Workshop on Plasma Science 

     詳細を見る

    開催年月日: 2016年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1080. A novel radical-enhanced metalorganic chemical vapor deposition(REMOCVD)for the eqitaxial growth of gallium nitride(GaN) 国際会議

    Y Lu,O Oda,H Kondo,K Ishikawa M Sekine,M Hori

    Asian International Workshop on Plasma Science 

     詳細を見る

    開催年月日: 2016年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1081. Advanced Plasma Etching Technologies with Controlling Internal Paramenters 国際会議

    Masaru Hori

    SEMICON korea 2016 

     詳細を見る

    開催年月日: 2016年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  1082. プラズマ医療の展望

    堀 勝

    日本学術振興会プラズマ材料科学第153委員会 プラズマ材料科学スクール 

     詳細を見る

    開催年月日: 2016年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1083. プラズマ照射培養液による乳がん細胞への選択的殺傷効果についての検討

    橋爪博司、田中宏昌、中村香江、吉川史隆、石川健治、加納浩之、水野正明、堀勝

    日本酸化ストレス学会東海支部 第4回学術集会 

     詳細を見る

    開催年月日: 2016年2月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  1084. 「医療を変える!プラズマ医療応用技術最新動向」 国際会議

    堀 勝、石川 健治、田中 宏昌、節原裕一、池原 譲、金子 俊郎、平田 孝道

    技術者塾 「プラズマ技術が医療を変える~低負担がん治療、止血、遺伝子導入、再生医療の次世代革新技術の確立に向けて~」 

     詳細を見る

    開催年月日: 2016年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  1085. プラズマ技術が医療を変える~低負担がん治療、止血、遺伝子導入、再生医療の次世代革新技術の確立に向けて

    堀 勝

    技術者塾 

     詳細を見る

    開催年月日: 2016年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1086. プラズマの技術革新によって創成された新しい医療科学とその発展に向けて

    池原 譲、榊田 創、鎮西 清行、藤代 尚武 、林 秀樹、清水 鉄司、森 雅彦、岡崎 俊也、堀 勝、浜口 智志、長谷川 靖洋

    第7回 プラズマ医療・健康産業シンポジウム 

     詳細を見る

    開催年月日: 2015年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:国)産業技術総合研究所・臨海副都心センター   国名:日本国  

  1087. プラズマの技術革新によって創成された新しい医療科学とその展開に向けて(仮)

    堀 勝

    第7回 プラズマ医療・健康産業シンポジウム 

     詳細を見る

    開催年月日: 2015年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1088. Inactivation Effects of Liquids Treated with Oxygen Radicals on Saccharomyces Cerevisiae 国際会議

    Jun Kobayashi (Meijo University, Japan), Hiroshi Hashizume (Nagoya University, Japan),Takayuki Ohta (Meijo University, Japan), Masaru Hori (Nagoya University, Japan),Masafumi Ito (Meijo University, Japan)

     詳細を見る

    開催年月日: 2015年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1089. Inactivation Effects of Neutral-pH Solutions Treated with Oxygen Radicals 国際会議

    Tsuyoshi Kobayashi, Takayuki Ohta, Masafumi Ito, Hiroshi Hashizume, Kenji Ishikawa, and Masaru Hori

    Technology and the 28th Symposium on Plasma Science for Materials (APSPT-9/SPSM-28) 

     詳細を見る

    開催年月日: 2015年12月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1090. Effect of Plasma-Treated Water to Grow the Sprout 国際会議

    Kyoshiro Yamashita, Takayuki Ohta, Masahumi Ito (Meijo University, Japan),Masaru Hori (Nagoya University, Japan)

     詳細を見る

    開催年月日: 2015年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1091. Atmospheric Pressure Plasma Processes of High-Aspect-Ratio, Micro-Sized Hole Inside 国際会議

    Takumi Itoh, Kenji Ishikawa, Daisuke Onoshima (Nagoya University, Japan),Naoto Kihara, Kentaro Tatsukoshi, Hidefumi Odaka (Asahi Glass Co., Ltd, Japan),Hiroshi Hashizume, Hiromasa Tanaka, Hiroshi Yukawa,Yoshinobu Baba, Masaru Hori (Nagoya University, Japan)

     詳細を見る

    開催年月日: 2015年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1092. Reduction Effects in Solutions Irradiated by H2/He Atmospheric-Pressure Plasma 国際会議

    Yosuke Mori, Tomiyasu Murata (Meijo University, Japan),Masaru Hori (Nagoya University, Japan), Masafumi Ito (Meijo University, Japan)

     詳細を見る

    開催年月日: 2015年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1093. O Atom Density at Downstream of a High Density Atmospheric Pressure Plasma Source 国際会議

    Masaru Hori, Takumi Kumakura, Keigo Taked, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi,Hashizume, Hiroki Kondo, Makoto Sekine (Nagoya University, Japan)

     詳細を見る

    開催年月日: 2015年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1094. Power Generation Property of Polymer Electrolyte Fuel Cell Using Carbon Nanowalls 国際会議

    Ryosuke Okei, Takayuki Ohta, Masafumi Ito, Mineo Hiramatsu (Meijo University, Japan),Hiroki Kondo, Masaru Hori (Nagoya University, Japan)

     詳細を見る

    開催年月日: 2015年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1095. Nanocarbon Platform based on Vertical Nanographene and its Electrochemical Application 国際会議

    Mineo Hiramatsu, Masakazu Tomatsu (Meijo University, Japan),Hiroki Kondo, Masaru Hori (Nagoya University, Japan)

     詳細を見る

    開催年月日: 2015年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1096. Plasma-Activate Medium and Its Application for Diseases 国際会議

    Masaru Hori, Masaaki Mizuno, Fumitaka Kikkawa, Masahiro Tanaka.

    2015 MRS Fall Metting & Exhibit 

     詳細を見る

    開催年月日: 2015年11月 - 2015年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  1097. 大気圧マイクロ波放電による長尺ラインプラズマの生成と基礎特性

    鈴木陽香,中野 優,伊藤 仁,関根 誠,堀 勝, 豊田浩孝

    プラズマ・核融合学会 第32回年会 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:名古屋大学 豊田講堂   国名:日本国  

  1098. Damage-free Plasmaetching of nitride semiconductors 国際会議

    第14回 赤崎記念研究センターシンポジウム2015 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(指名)  

    国名:日本国  

  1099. Advanced Plasma Processing on Thin Films for Future Industries 国際会議

    Y.Ohya,M.Tomura,K.Ishikawa,M.Sekine and M.hori

    TACT 2015 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:台湾  

  1100. プラズマエレクトロニクスと医療

    鳥本司(名大),速水真也(熊本大),山田豊和(千葉大),土射津昌久(名大),堀 勝 (名大),中里和郎(名大),高村禅(JAIST),宮内雄平(京大)

    第19回 VBLシンポジウム(2015) 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:日本語   会議種別:シンポジウム・ワークショップ パネル(指名)  

    国名:日本国  

  1101. Clarification of siof layer formed on sio2 under fluorocarbon plasma etching 国際会議

    T. Tatsumi (Sony Corp.) Japan,N. Fujiwara (Mitsubishi Electric Corp.) Japan, S. Hamaguchi (Osaka University) Japan , H. Hayashi (Toshiba Corp.) Japan , M. Honda (TOKYO ELECTRON MIYAGI LTD.) Japan , M. Hori (Nagoya University) Japan , T. Ichiki (The University of Tokyo) Japan , K. Ishikawa (Nagoya University ) Japan , M. Izawa (Hitachi High-Technologies Corp.) Japan , N. Itabashi (Hitachi, Ltd.) Japan , K. Kinoshita (PETRA) Japan , H. Kokura (Samsung Electronics Co., Ltd) Korea , K. Kinoshita (PETRA) Japan , H. Kokura (Samsung Electronics Co., Ltd) Korea , T. Koshizawa (Applied Materials Inc.) USA , K. Nojiri (Lam Research Corp.) Japan , T. Ohiwa (TOKYO ELECTRON LIMITED) Japan , T. Okumura (Panasonic Corp.) Japan , T. Ohiwa (TOKYO ELECTRON LIMITED) Japan ,T. Okumura (Panasonic Corp.) Japan, K. Ono (Kyoto University) Japan , K. Sasaki (Hokkaido University) Japan ,M. Sekine (Nagoya University) Japan, Other tens of people

    DPS 2015 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1102. Chemical properties of gases used in highly selective etching of Si3N4 国際会議

    T. Hayashi, L. Ishikawa, M. Sekine, and M. Hori

    37th International Symposium on Dry Process, Awaji Yumebutai International Conference Center 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1103. Chemical properties of gases used in highly selsective etching of Si3N4 国際会議

    T.Hayashi,K.Ishikawa,M.Sekine,and M.Hori

    TACT 2015 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:日本国  

  1104. Low plasma-induced damage on GaN etched by a CL2 Plasma at high temperatures 国際会議

    Z.Liu, J.Pan, A.Asano,T.Kako,K.Ishikawa,K.Takeda, H.Kondo,O.Oda, M.Sekine and M.hori

    TACT 2015 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:台湾  

  1105. Wafer temparature dependence of organic film etch reaction in H2/N2 Plasma 国際会議

    Y.Fukunaga,T.Tutsumi,H.Kondo,K.Ishikawa,M.Sekine and M.Hori

    TACT 2015 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1106. Effects of irradiation on electronic properties of amorphous carbon films grown by plasama-enhanced chemical vapor deposition 国際会議

    H.Sugiura,L.Jia,H.Takeda,K.Ishikawa,M.Sekine,and M.Hori

    TACT 2015 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1107. Fabrication of Antireflactive Nanostructures Using Carbon Nanowalls as Etch Mask 国際会議

    M.Tomatsu,M.Hiramatsu,H.Kondo and M.Hori

    TACT 2015 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1108. Effect of transient behavior of pulse modulated inductively coupled plasma on photon-induced interface defects 国際会議

    Y.Miyoshi,M.fukasawa,TShigetoshi,Y.Miyawaki,K.Ishikawa,K.Nagahata,K.Ogawa,Y.Enomoto,M.Sekine,M.Hori,T.Tutsumi

    TACT 2015 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1109. Responses of cells in plasma-activated medium 国際会議

    Hiromasa Tanaka,Masaaki Mizuno,Kenji Ishikawa,Keigo Takeda ,Hiroshi Hashizume,Kae Nakamura,Hiroaki Kajiyama,Hiroyuki Kano,Yasumasa Okazaki,Shinya Toyokuni, Shoichi Maruyama, Yasuhiro Kodera, Hiroko Terasaki, Tetsuo Adachi, Masashi Kato, Fumitaka Kikkawa, Masaru Hori,

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing  

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1110. Effects of Fluorine Termination of Carbon Nanowall Edges on Their Electrical Properties by Ar/NO/F 2 Mixture Gas Treatments 国際会議

    Hyung Jun Cho, Satomi Tajima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, Masaru Hori

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1111. Fabrication of Nanoplatform Based on Vertical Nanographene 国際会議

    Mineo Hiramatsu, Masakazu Tomatsu, Hiroki Kondo, Masaru Hori

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1112. Interaction of AC excited Atmospheric Pressure Ar Plasma Jet with Medium 国際会議

    Keigo Takeda, Sijie Liang, Takumi Kumakura, Kenji Ishikawa, Hiromasa Tanaka, Makoto Sekine, Masaru Hori

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1113. Investigation of selective induction of breast cancer cells to death with treatment of plasma-activated medium 国際会議

    Masaru Hori ,Hiroshi Hashizume,Hiromasa Tanaka,Kae Nakamura,Hiroyuki Kano,Kenji Ishikawa ,Fumitaka Kikkawa, Masaaki Mizuno

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1114. Antitumor effect of synergistic contribution of nitrite and hydrogen peroxide in the plasma activated medium 国際会議

    Naoyuki Kurake , Hiromasa Tanaka,Kenji Ishikawa , Kae Nakamura ,Hiroaki Kajiyama, Fumiaki Kikkawa , Takashi Kondo ,Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine , Masaru Hori

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing  

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1115. Primary dissociation channels of SiH4 国際会議

    Toshio Hayashi, Kenji Ishikawa ,Makoto Sekine ,Masaru Hori

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1116. Raman Spectroscopy of $a$-C:H Films Deposited Using Ar $+$ H$_{2}+$ C$_{7}$H$_{8}$ Plasma CVD 国際会議

    Xiao Dong , Kazunori Koga ,Daisuke Yamashita , Hyunwoong Seo , Naho Itagaki , Masaharu Shiratani , Yuichi Setsuhara, Makoto Sekine,Masaru Hori

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing  

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1117. Measurements of absolute densities of nitrogen and oxygen atoms in sputtering plasma for fabrication of ZnInON films 国際会議

    Hiromasa Tanaka,Masaaki Mizuno,Kenji Ishikawa,Keigo Takeda ,Hiroshi Hashizume,Kae Nakamura,Hiroaki Kajiyama,Hiroyuki Kano,Yasumasa Okazaki,Shinya Toyokuni, Shoichi Maruyama, Yasuhiro Kodera, Hiroko Terasaki, Tetsuo Adachi, Masashi Kato, Fumitaka Kikkawa, Masaru Hori,

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing  

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1118. Application of Atmospheric-Pressure Microwave Line Plasma for Low Temperature Process 国際会議

    Haruka Suzuki ,Suguru Nakano , Hitoshi Itoh ,Makoto Sekine,Masaru Hori ,Hirotaka Toyoda

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing  

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1119. Modeling molecules responsible for the sidewall protection during the chemical dry etching of silicon related materials using F$_{2} + $ NO$_{x} \to $ F $+$ FNO$_{x}$ 国際会議

    Satomi Tajima, Toshio Hayashi ,Koji Yamakawa ,Minoru Sasaki ,Kenji Ishikawa ,Makoto Sekine ,Masaru Hori

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing  

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1120. Effects of ion bombardments on electronic properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition 国際会議

    Hirotsugu Sugiura ,Lingyun Jia ,Hiroki Kondo, Kenji Ishikawa ,Keigo Takeda ,Makoto Sekine ,Masaru Hori

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing  

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1121. Soluble Proteins Form Film by the Treatment of Low Temperature Plasma 国際会議

    Sanae Ikehara ,Hajime Sakakita,Kenji Ishikawa,Yoshihiro Akimoto ,Hayao Nakanishi,Nobuyuki Shimizu,Masaru Hori ,Yuzuru Ikehara

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing  

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1122. Glutamate biosensor based on carbon nanowalls grown using plasma enhanced chemical vapor deposition 国際会議

    Masakazu Tomatsu,Mineo Hiramatsu ,Hiroki Kondo ,Masaru Hori

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing  

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1123. Measurement of absolute density of N atom in sputtering plasma for epitaxial growth ZnO films via nitrogen mediated crystallization 国際会議

    Tomoaki Ide ,Koichi Matsushima ,Toshiyuki Takasaki ,Keigo Takeda ,Masaru Hori ,Daisuke Yamashita ,Hyuwoong Seo ,Kazunori Koga ,Masaharu Shiratani, Naho Itagaki

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing  

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1124. Development of high-density radical source and its application to high-speed growth of nitride semiconductors by plasma-assisted molecular beam epitaxy 国際会議

    Hiroki Kondo, Yukinori Kiheida, Hiroyuki Kano, Yvon Cordier, Phannara Aing, Olivier Grange, Yuri Tsutsumi, Osamu Oda, Masaru Hori, Hiroshi Amano

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1125. Measurements of nitrogen atom density in N2/Ar sputtering plasma for fabrication of high-mobility amorphous In2O3:Sn films 国際会議

    64. Toshiyuki Takasaki, Tomoaki Ide, Koichi Matsushima, Keigo Takeda, Masaru Hori, Daisuke Yamashita, Hyumwoon Seo, Kazunori Koga, Masaharu Shiratani, and Naho Itagaki

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing  

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  1126. Multi-electrodes Atmospheric Pressure Plasma Jet Aiming Bio-applications 国際会議

    Jeon G. Han, B.B. Sahu, K.S. Shin, J.S. Lee, M. Hori

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing  

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  1127. Proliferation enhancement of budding yeast and mammalian cells with periodic oxygen radical treatment 国際会議

    Yosuke Mori, Jun Kobayashi, Tomiyasu Murata, Hiroshi Hahizume, Masaru Hori, Masafumi Ito

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing  

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  1128. Effects of solutions treated with oxygen radicals in neutral pH region on inactivation of microorganism 国際会議

    Tsuyoshi Kobayashi, Hiroshi Hashizume, Takayuki Ohta, Kenji Ishikawa, Masaru Hori, Masafumi Ito

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  1129. Effect of medium treated with neutral oxygen radicals on growth of \textit{Saccharomyces cerevisiae} 国際会議

    Jun Kobayashi, Hiroshi Hashizume, Takayuki Ohta, Masaru Hori, Masafumi Ito

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  1130. The Fourth Industry and Life Revolutions Employing Plasma Science and Technologies 国際会議

    M Hori

    The 21st Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & The Workshop for NU-SKKU joint Instultute for Plasma-Nano Materials 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  1131. Optimization of stage temperatures on etch-reactions of GaN films 国際会議

    Z. Liu, J. Pan, T. Kako, K. Ishikawa, K. Takeda, O. Oda, H. Kondo, M. Sekine, M. Hori

    The 21st Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & The Workshop for NU-SKKU joint Instultute for Plasma-Nano Materials 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1132. Highly Precise Control of Substrate Temperature During Plasma Etching and the Effect on Etched Profile 国際会議

    40. Yusuke Fukunaga, Takayoshi Tsutsumi, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    The 21st Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & The Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1133. Plasma Medical Science Innovation 国際会議

    M.Hori,T.Nozaki,T.Kaneko,M.Ito,H.Kondo,K.Koga,Y.Setsuhara,J.G.H.Han,E.H.Choi,J.H.Boo,C.Song,Y.Kim,J.H.Lee,J.Y.Choi,Y.Kim

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1134. lnactivation of budding yeast cells in liquids treated with oxygen radicals 国際会議

    M.Hori,T.Nozaki,T.Kaneko,M.Ito,H.Kondo,K.Koga,Y.Setsuhara,J.G.H.Han,E.H.Choi,J.H.Boo,C.Song,Y.Kim,J.H.Lee,J.Y.Choi,Y.Kim

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1135. The Fourth Industry and Life Revolutions Employing Plasma Science and Technologies 国際会議

    M.Hori,T.Nozaki,T.Kaneko,M.Ito,H.Kondo,K.Koga,Y.Setsuhara,J.G.H.Han,E.H.Choi,J.H.Boo,C.Song,Y.Kim,J.H.Lee,J.Y.Choi,Y.Kim

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:スロベニア共和国  

  1136. Catalytic property and durability of Pt nanoparticles-supported carbon nanowalls for a high performance fuel cell electrode 国際会議

    Imai Shun, Hiroki Kondo, Hiroyuki Kano, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, Masaru Hori

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:スロベニア共和国  

  1137. Growth enhancement of mouse fibroblast cells using solutions treated with oxygen radicals 国際会議

    M.Hori,Y.Mori,T.Murata,H.Hashizume,T.Nozaki,T.Kaneko,M.Ito,H.Kondo,K.Koga,Y.Setsuhara,J.G.H.Han,E.H.Choi,J.H.Boo,C.Song,Y.Kim,J.H.Lee,J.Y.Choi,Y.Kim

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:スロベニア共和国  

  1138. Controlled synthesis and fuel cell application of nanographene materials employing in-liquid plasma 国際会議

    M.Hori,T.Nozaki,T.Kaneko,M.Ito,H.Kondo,K.Koga,Y.Setsuhara,J.G.H.Han,E.H.Choi,J.H.Boo,C.Song,Y.Kim,J.H.Lee,J.Y.Choi,Y.Kim

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:スロベニア共和国  

  1139. Diagnostics of AC excited Atmospheric Pressure Ar Plasma Jet with Laser Scattering spectroscopy 国際会議

    K. Takeda, F. Jia, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    17th International Symposium on Laser-Aided Plasma Diagnostics 

     詳細を見る

    開催年月日: 2015年9月 - 2015年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1140. Laser Absorption Spectroscopy of SiH4/H2 Plasma for Deposition of Silicon Shin Films 国際会議

    K. Takeda, Y. Abe, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    17th International Symposium on Laser-Aided Plasma Diagnostics 

     詳細を見る

    開催年月日: 2015年9月 - 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1141. Diagnostics of AC Excited Atmospheric Pressure He Plasma Jet With Vacuum Ultraviolet Absorption Spectroscopy 国際会議

    Masaru Hori ,Keigo Takeda ,Takumi Kumakura ,Kenji Ishikawa, hiromasa Tanaka ,Makoto Sekine

    AEPSE 2015 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1142. Measurements of active species in solutions treated with quantified oxygen-atoms, 国際会議

    T. Kobayashi, T. Towatari, T. Ohta, H. Hashizume, K. Ishikawa, M. Hori, M. Ito

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  1143. Stable structure analysis of the fuel cell electrode using the nanographene synthesized by in-liquid plasma 国際会議

    T. Amano, H. Kondo, K. Takeda, K. Ishikawa, T. Ohta, M. Ito, H. Kano, M. Hiramatsu, M. Sekine and M. Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1144. Prediction of Radial Distribution from Temporal Variation of Wafer Temperature in a Plasma Reactor 国際会議

    T. Tsutsumi, K. Ishikawa, K. Takeda, T. Ohta, M. Ito, H. Kondo, M. Sekine, and M. Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1145. Influences of wafer temperature on etch rates and profiles of organic films in H2/N2 plasma 国際会議

    T. Tsutsumi, Y. Fukunaga, K. Takeda, K. Ishikawa, T. Ohta, M. Ito, H. Kondo, M. Sekine, and M. Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  1146. Hydrogen peroxide and nitrous ion generated in culture media by irradiation of non-equilibrium atmospheric pressure plasmas 国際会議

    Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Fumiaki Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  1147. Effects of Radical Species on Crystallographic Properties of Amorphous Carbon Films Synthesized by Radical Injection Plasma Enhanced Chemical Vapor Deposition 国際会議

    Lingyun Jia, Hirotsugu Sugiura, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1148. Dependence of CCP power on crystallographic and electronic properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition 国際会議

    Hirotsugu Sugiura, Lingyun Jia, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  1149. Effects of Plasma-Activated Medium on Surface Morphologies of Lipid Bilayers 国際会議

    Takuya Tonami, Naoyuki Kurake, Hiroshi Hashizume, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Hiromasa Tanaka, Makoto Sekine, Masaru Hori, Masafumi Ito and Ryugo Tero

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  1150. Development of High Density Radical Source 国際会議

    Yukinori Kiheda, Hiroyuki Kano, Koji Yamakawa, Shoji Den, Hiroki Kondo, Osamu Oda and Masaru Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1151. Effect of Ambient Air on Reactive Species Generation in Atmospheric Pressure Ar Plasma 国際会議

    Keigo Takeda, Kenji Ishikawa, Hiromasa Tanaka, Makoto Sekine, and Masaru Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1152. Resonant Floating Electrode in Inductively Coupled Micro-Plasma Source for Power Efficiency 国際会議

    Katsuki Tsukasaki, Daisuke Yasumatsu, Shinya Kumagai,Keigo Takeda, Masaru Hori and Minoru Sasaki

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1153. Nanoplatform Based on Vertical Nanographene 国際会議

    Mineo Hiramatsu, Hiroki Kondo and Masaru Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1154. Surface Reactions Of Oxygen Species On Carbon Nanowalls 国際会議

    Hiroki Kondo, Hironao Shimoeda, Kenji Ishikawa, Makoto Sekine, Masaru Hori and Mineo Hiramatsu

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1155. Cellular And Molecular Responses Of Plasma-activated Medium Treated Cells 国際会議

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Fumitaka Kikkawa, and Masaru Hori Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1156. Modification of chemical bonding structures and electrical properties of carbon nanowalls by Ar/F2 post-treatments 国際会議

    Hyung Jun Cho, Satomi Tajima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1157. Poration Process of Artificial Cell Membranes Induced by Plasma-Generated Active Species 国際会議

    Ryugo Tero, Ryuma Yamashita, Yoshiyuki Suda, Hirofumi Takikawa, Kyohei Fukuda, Hachiro Yasuda, Akira Mizuno, Hiroshi Hashizume, Masaru Hori and Masafumi Ito

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1158. Control of fragmental ratio of CH2F+ and CHF2 + by argon- or krypton-diluted CH2F2 plasmas 国際会議

    Yusuke Kondo, Yudai Miyawaki, Kenji Ishikawa, Toshio Hayashi, Keigo Takeda, Hiroki Kondo, Makoto Sekine and Masaru Hori Hashizume, Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Fumitaka Kikkawa, and Masaru Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  1159. Durability and Elucidation of Degradation Mechanism of Pt nanoparticles supported carbon nanowalls 国際会議

    Shun Imai, Hiroki kondo, Hiroyuki Kano, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu,and Masaru Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  1160. The Enhancement of Saos-2 Cell Growth by Neutral Species Extracted from the Ar/O2 +N2 Plasma 国際会議

    Satomi Tajima, Kayo Yamada, Kyoko Nanki, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Keigo Takeda, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  1161. Effect of Ambient Air on Reactive Species Generation in Atmospheric Pressure Ar Plasma Jet 国際会議

    Keigo Takeda, Kenji Ishikawa, Hiromasa Tanaka, Makoto Sekine, and Masaru Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  1162. Multiplex Coherent Anti-Stokes Raman Scattering Microscopic Observation Of Plasma-induced Budding Yeast 国際会議

    Ryo Furuta, Hiroshi Hashizume, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Takayuki Ohta, Masafumi Ito, Makoto Sekine, and Masaru Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  1163. Surface-assisted laser desorption/ionization mass spectrometry using carbon nanowalls 国際会議

    Takayuki Ohta, Hidehiko Fujita, Kenji Ishikawa, Keigo Takeda, Masaru Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  1164. Plasma Nitriding Process For Aluminium Alloy Of Al-Mg 国際会議

    Shoji Den, Hiroyuki Yamamoto, Koji Yamakawa, Masahiro Hayakawa, Etsuo Asami, Seigo Takashima, Hitoshi Wada and Masaru Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  1165. Silicon Substrate Temperature Measurement In Sputtering Processes Using Optical Low-coherence Interferometry 国際会議

    Katsuhiro Hattori, Takayuki Ohta, Masafumi Ito, Takayoshi Tsutsumi, Keigo Takeda and Masaru Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  1166. Multiplex coherent anti-Stokes Raman scattering microscopic observation of plasma-induced budding yeast 国際会議

    Ryo Furuta, Hiroshi Hashizume, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Takayuki Ohta, Masafumi Ito, Makoto Sekine and Masaru Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  1167. Collision-induced dissociative ionization of Ar diluted CH2F2 plasma 国際会議

     詳細を見る

    開催年月日: 2015年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1168. Advanced Plasma Diagnostics of Interactions among Plasmas, Liquids and Cell 国際会議

     詳細を見る

    開催年月日: 2015年7月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:台湾  

  1169. プラズマ医療の挑戦~高効率遺伝子導入~

    堀勝

    新学術領域「プラズマ医療科学の創成」(金三会) 

     詳細を見る

    開催年月日: 2015年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1170. Dynamic behaviour of glioblastoma cells in plasma-activated medium 国際会議

    Masaru Hori

    ISPC2015 22nd International Symposium on Plasma Chemistry 

     詳細を見る

    開催年月日: 2015年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:ベルギー王国  

  1171. 科学的性質

    堀勝

    第32回薄膜スクール 

     詳細を見る

    開催年月日: 2015年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:サンパーク犬山   国名:日本国  

  1172. Toward plasma cancer theraphy:Interactions among plasmas, Liquids and cells 国際会議

     詳細を見る

    開催年月日: 2015年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:ポルトガル共和国  

  1173. プラズマ医療の挑戦~加齢黄斑変性の治療

    堀勝

    新学術領域「プラズマ医療科学の創成」(金三会) 

     詳細を見る

    開催年月日: 2015年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1174. 常温常圧プラズマを用いた未来の医療と健康社会への展望

    堀勝

    核融合科学研究所 特別講演会 

     詳細を見る

    開催年月日: 2015年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:核融合科学研究所   国名:日本国  

  1175. 「プラズマ医療」の現状と未来

    堀勝

    プラズマ・エネルギー応用学寄付講座開設記念 公研究会 再生医療とプラズマ医療~プラズマと生体界面の反応~ 

     詳細を見る

    開催年月日: 2015年5月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:愛媛大学   国名:日本国  

  1176. プラズマの生命科学研究

    堀勝

    所長招聘セミナー 

     詳細を見る

    開催年月日: 2015年5月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:基礎生物学研究所   国名:日本国  

  1177. 最先端プラズマ科学による医療・健康デバイス開発

    堀勝

    第54回日本生体医工学会大会 

     詳細を見る

    開催年月日: 2015年5月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1178. 最先端研究センター2最先端プラズマ医療科学研究

    堀勝

    第104回日本病理学会総会 

     詳細を見る

    開催年月日: 2015年4月 - 2015年5月

    記述言語:日本語   会議種別:口頭発表(基調)  

    国名:日本国  

  1179. Electrocatalytic Property and Durability of Pt Nanoparticles-Supported Nanographene Synthesized by In-Liquid Plasma 国際会議

    2015 MRS Spring Meeting & Exhibit 

     詳細を見る

    開催年月日: 2015年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1180. Electrocatalytic Characteristic of Pt Nanoparticles-Supported Carbon Nanowalls for Fuel Cell Applications 国際会議

    Hiroki Kondo, Shun Imai, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Mineo Hiramatsu

    2015 MRS Spring Meeting and Exhibit  

     詳細を見る

    開催年月日: 2015年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1181. Selective effect of plasma-activated medium on human breast normal and cancer cells 国際会議

    Hiroshi Hashizume, Hiromasa Tanaka, Kae Nakamura, Fumitaka Kikkawa, Kenji Ishikawa, Hiroyuki Kano, Masaaki Mizuno, Masaru Hori

    The 2nd International Workshop on Plasma for Cancer Treatment (IWPCT-2) 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1182. Growth control of cells using periodic oxygen-radical treatments 国際会議

    Jun Kobayashi, Hiroshi Hashizume, Takayuki Ohta, Masaru Hori, Masafumi Ito

    The 2nd International Workshop on Plasma for Cancer Treatment (IWPCT-2) 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1183. パルスプラズマの特性とCVDプロセスへの応用可能性

    堀勝

    東京エレクトロン社内講演会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:東京エレクトロン    国名:日本国  

  1184. プラズマ精密加工と医療科学への応用~癌治療とその実際~ 国際会議

    土肥 俊郎、江刺 正喜、澤田 廉士、堀 勝、佐野 泰久、木下 正治、山口 直宏

    第31回 精密加工プロセス研究会講演会/第2回 3次元積層造形精密加工技術WG講演会 

     詳細を見る

    開催年月日: 2015年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1185. 低温大気圧プラズマによる接合と表面加工

    堀 勝

    先端プラズマ技術研究会(金三会) 

     詳細を見る

    開催年月日: 2015年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋大学 ES総合館1F ES小会議室   国名:日本国  

    低温大気圧プラズマの生成方法から装置までを分かりやすく解説し、大気圧プラズマによる接合と表面加工に関する科学技術の事例を紹介する。

  1186. 低温プラズマとTED×NagoyaUは、聴衆を熱くする

    堀勝

    第27回中部科学技術交流会 研究交流クラブ 第167回定例会 

     詳細を見る

    開催年月日: 2015年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1187. High-density nitrogen plasma source for growing high In content InGaN by plasma-assited MBE 国際会議

    Hiroki Kondo, Masaru Hori, Hiroshi Amano

    SPIE Photonics West 2015 

     詳細を見る

    開催年月日: 2015年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1188. High Density Plasma Coating and Surface Modification for Material Processes 国際会議

    Masaru Hori

    2015 Taiwan High-density Plasma Coating Forum 

     詳細を見る

    開催年月日: 2015年2月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:台湾  

  1189. Advanced Process Control of High Density Plasma Coating and Surface Modification Processing 国際会議

    Masaru Hori

    Tatung University 

     詳細を見る

    開催年月日: 2015年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:台湾  

  1190. 次世代窒化ガリウム系デバイスのためのラジカル励起MOCVDによるGaN-ヘテロエピタキシャル成長に関する研究

    盧翌、岩本一希、小田修、石川健治、関根誠、堀勝(名大)

    ゲートスタック研究会ー材料・プロセス・評価の物理ー (第20回) 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:東レ研修センター   国名:日本国  

  1191. Challenge for Plasma Green and Life Innovations 国際会議

    Masaru Hori

    The 20th Workshop on Advanced Plasma Processes and Diagnostics & The 7th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1192. Recent achievements on plasma-nano materials and processes in IPNM 国際会議

    Jeon G.Han,Bibhuti B.Sahu,Su B. Jin,Kyung S.shin,Jun s.Lee and Masaru Hori

    The 20th Workshop on Advanced Plasma Processes and Diagnostics & The 7th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:日本国  

  1193. Measurement of atomic oxygen generated by AC excited atmospheric pressure O2/Ar plasma with vacuum ultraviolet absorption spectroscopy 国際会議

    Keigo Takeda,Kenji Ishikawa,Makoto Sekine,Masaru Hori

    The 20th Workshop on Advanced Plasma Processes and Diagnostics & The 7th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1194. Etching of SiOCH in direct current superposed dual-frequency capactively coupled plasmas with a sheath modification 国際会議

    Masaru Hori

    The 75th IUVSTA Workshop on Sheath Phenomena Plasma Processing of Advanced Materials 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:スロベニア共和国  

  1195. 地域イノベーションプラットフォーム概略紹介

    堀勝

    大気圧プラズマによる超高速・超機能化異種材料接合オープンフラットフォーム 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1196. 医療イノベーション創出にむけたプラズマ技術への期待

    堀 勝

    第6回プラズマ医療・健康産業シンポジウム 

     詳細を見る

    開催年月日: 2014年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:(独)産業技術総合研究所・臨海副都心センター 別館11階   国名:日本国  

  1197. Electron spin Resonance Study of Plasma-Activated-Media (PAM) 国際会議

    Masaru Hori, Naoyuki Kurake, Kenji Ishikawa, Hiromasa Tanaka, Takashi Kondo, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo and Makoto Sekine

    2014 MRS Fall Meeting & Exhibit 

     詳細を見る

    開催年月日: 2014年11月 - 2014年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1198. Plasma-Enhanced Synthesis and Edge-Modification of Carbon Nanowalls for Nano-Bio Applications 国際会議

    Hiroki Kondo, Mineo Hiramatsu, Makoto Sekine and Masaru Hori

    2014 MRS Fall Meeting & Exhibit 

     詳細を見る

    開催年月日: 2014年11月 - 2014年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1199. プラズマ生命科学が拓く未来社会

    堀 勝

    第30回九州・山口プラズマ研究会 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:ラグナガーデンホテル   国名:日本国  

  1200. プラズマ医療科学イノベーションと今後の展望 国際会議

    堀 勝

     詳細を見る

    開催年月日: 2014年11月

    記述言語:日本語   会議種別:口頭発表(基調)  

    開催地:朱鷺メッセ(新潟)    国名:日本国  

  1201. Plasma Science and Technology Enabling Disruptive Innovations Towards Global Creation 国際会議

    Masaru Hori

    The GRDC Symposium 2014 Innovative Science and Engineering for Creative Economic Ecosystems 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:大韓民国  

  1202. Controlled synthesis and electrocatalytic characteristics of Pt nanoparticles-supported nanographene synthesized by in-liquid plasma 国際会議

    Hiroki Kondo, Tomoki Amano, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Mineo Hiramatsu

    67th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1203. Growth of graphene-based films using aftergrow of inductively coupled plasma 国際会議

    Mineo Hiramatsu, Masakazu Tomatsu, Hiroki Kondo, Masaru Hori

    67th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1204. 最先端プラズマ科学研究が拓く未来医療と健康~工学と医学と農水産学の融合~

    堀 勝

    学部学科研究会ー最前線で活躍する研究者に聞く「学問・研究」- 

     詳細を見る

    開催年月日: 2014年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:長野高校   国名:日本国  

  1205. 最先端プラズマプロセスにおける計測・制御

    堀 勝

    2014年度第1回研究会 非線形現象とプラズマの計測・制御 

     詳細を見る

    開催年月日: 2014年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:京都工芸繊維大学    国名:日本国  

  1206. プラズマで「がん」を治すはなし

    堀 勝

    あいちサイエンスフェスティバル2014 

     詳細を見る

    開催年月日: 2014年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋テレビ塔2階大会議室   国名:日本国  

  1207. Radical-Controlled Plasma Nanoprocesses towards Global Innovations 国際会議

    Hori Masaru

    The Fifth International Symposium on Plasma Nanoscience (iPlasmaNano-V) 

     詳細を見る

    開催年月日: 2014年9月 - 2014年10月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:スペイン  

  1208. 最先端プラズマ科学が拓く電子デバイス・機能材料プロセッシング

    堀 勝

    第2回エレクトロニクス薄膜材料研究会「最先端電子・情報素子と機能材料研究の動向」 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋大学東山キャンパス全学教育棟4階(C40)   国名:日本国  

  1209. プラズマ誘起ナノプロセスの進展と放射光実験への期待

    近藤博基、堀勝

    平成26年度前期短期研究会「真空紫外・軟X戦放射光物性研究のパラダイムシフトに向けて」 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京大学物性研究所   国名:日本国  

  1210. 計算化学を用いたプロセスプラズマ中の反応解析

    林 俊雄,石川健治,関根 誠,堀  勝

    第75回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:北海道大学札幌キャンパス   国名:日本国  

  1211. プラズマCVDによって形成したカーボンナノウォールを用いた燃料電池の作製

    大慶 亮佑,岩本 翔太,太田 貴之,伊藤 昌文,平松 美根男,近藤 博基,堀 勝

    第75回秋季応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:北海道大学   国名:日本国  

  1212. Anisotropic etching and structural modification of carbon nanowalls by oxygen-related radicals 国際会議

    HIROKI KONDO, Hironao Shimoeda, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    14th International Conference on Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:ドイツ連邦共和国  

  1213. Surface modification of carbon nanowalls for their nano-bio applications 国際会議

    Masaru Hori, HIROKI KONDO, Mineo Hiramatsu

    14th International Conference on Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:ドイツ連邦共和国  

  1214. Discharge Properties and Radical-Generation Chracteristics in DBD Plasma Jet 国際会議

    Giichiro Uchida, Kosuke Takenaka, Kazufumi Kawabata, Atsushi Miyazaki, Yuichi Setsuhara, Keigo Takeda, Kenji Ishikawa, Masaru Hori

    14th International Conference on Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:ドイツ連邦共和国  

  1215. Diagnostic studies for synthesis of Al doped ZnO film by magnetron sputtering 国際会議

    Jun Suck Lee, JayBum Kim, Su B. Jin, B.B. Sahu, Jeon G. Han, H. Kondo, M. Hori

    14th International Conference on Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:ドイツ連邦共和国  

  1216. Advanced Plasma Diagnostics in Plasma Processing Science and Technology ~Comprehensively understanding of plasma processes from gas phase to surface reactions~ 国際会議

    Masaru Hori

    14th International Conference on Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:ドイツ連邦共和国  

  1217. Challenge of Carbon Nanowalls for Future Nanodevices 国際会議

    Masaru Hori

    ISMEN2014 (International Symposium on Materials for Enabling Nanodevices 2014) 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:台湾  

  1218. Controlled Synthesis of Carbon Nanomaterials Employing Plasma-Enhanced Chemical Vapor Deposition for Future Green Energy Applications 国際会議

    Hiroki Kondo, Mineo Hiramatsu, Masaru Hori

    IUMRS-ICA 2014  

     詳細を見る

    開催年月日: 2014年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1219. Dawning of Plasma Life Sciences ~ Diagnostics and Control of Reactive Species in Plasma Bio Processing ~ 国際会議

    Masaru Hori, Masahiro Tanaka, Kenji Ishikawa, Hiroki Kondo, Keigo Takeda, Makoto Sekine, Fumitaka Kikkawa, Kae Nakamura, Hiroaki Kajiyama, Masaaki Mizuno, Hiroyuki Ohta, Masafumi Ito and Hiroyuki Kano

    The 4th International Symposium for Plasma Biosciences (ISPB 2014) 

     詳細を見る

    開催年月日: 2014年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  1220. Interactions between Plasma and Biological Material: Analysis by Electron spin resonance (ESR) technique 国際会議

    Hiromasa Tanaka, Keigo Takeda, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiroki Kondo, Makoto Sekine, Masaru Hori

    Plasma Processing Science, Gordon Research Conference 

     詳細を見る

    開催年月日: 2014年7月 - 2014年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  1221. 高密度窒素ラジカル源を用いたRF-MBE法による(111)Si基板上GaNナノワイヤ高速成長

    堤裕理, 水谷駿介, 木津良祐, 近藤博基, 堀勝, 本田善央, 天野浩

    日本結晶成長学会 ナノ構造・エピタキシャル成長分科会 2014春季講演会 第6回 窒化物半導体結晶成長講演会 

     詳細を見る

    開催年月日: 2014年7月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名城大学天白キャンパス   国名:日本国  

  1222. Temporal Changes in H and N Atom Densities in Plasmas Caused by Reactor Surface Modifications 国際会議

    Toshiya Suzuki, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    The XXII Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG) 

     詳細を見る

    開催年月日: 2014年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:ドイツ連邦共和国  

  1223. Plasma-Biological Material Interactions Studied by Employing Electron Spin Resonance (ESR) Technique 国際会議

    Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    The XXII Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG) 

     詳細を見る

    開催年月日: 2014年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:ドイツ連邦共和国  

  1224. Plasma-Biological Material Interactions Studied by Employing Electron Spin Resonance (ESR) Technique 国際会議

    Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    The XXII Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG) 

     詳細を見る

    開催年月日: 2014年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:ドイツ連邦共和国  

  1225. 先端エッチング技術の最新動向徹底解説

    堀 勝

     詳細を見る

    開催年月日: 2014年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:連合会館(東京・御茶ノ水)   国名:日本国  

  1226. Optical method for radical density measurement 国際会議

    Masaru Hori

    International Conference on Microelectronics and Plasma Technology 2014 (ICMAP 2014) 

     詳細を見る

    開催年月日: 2014年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  1227. Synthesis and electrocatalytic properties of Pt nanoparticles-supported nanographene synthesized employing in-liquid plasma 国際会議

    Hiroki Kondo, Tomoaki Amano, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Masafumi Ito, and Mineo Hiramatsu

    International Conference on Microelectronics and Plasma Technology 2014 (ICMAP 2014) 

     詳細を見る

    開催年月日: 2014年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1228. Advanced transparent conductive Al doped ZnO film deposition using ICP assisted facing target sputtering 国際会議

    Masaru Hori, Jaybum Kim, Subong Jin, Bibhuti Bhusan Sahu, and Jeongeon Han

    International Conference on Microelectronics and Plasma Technology 2014 (ICMAP 2014) 

     詳細を見る

    開催年月日: 2014年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1229. Cancer Treatments using Plasma-Activated Medium 国際会議

    Masaru Hori, Hiromasa Tanaka, Masaaki Mizuno, Kae Nakamura, Hiroaki Kajiyama, Keigo Takeda, Kenji Ishikawa, Hiroyuki Kano, Fumitaka Kikkawa

    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     詳細を見る

    開催年月日: 2014年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  1230. Nano-Bio applications of carbon-nano materials synthesized and modified by plasma 国際会議

    Hiroki Kondo, Mineo Hiramatsu, and Masaru Hori

    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     詳細を見る

    開催年月日: 2014年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  1231. Modifications of Photoresists Surface on Photon Irradiations in HBr Plasmas 国際会議

    Yan Zhang, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     詳細を見る

    開催年月日: 2014年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1232. Growth-activation of budding yeast cells using oxygen radical source 国際会議

    Jun Kobayashi, Keisuke Yamauchi, Hiroshi Hashizume, Takayuki Ohta, Masaru Hori, Masafumi Ito

    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     詳細を見る

    開催年月日: 2014年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1233. Diagnostics of Non-equilibrium Atmospheric Pressure Plasma for Agricultural Application 国際会議

    Takumi Kumakura, Keigo Takeda, Kenji Ishikawa, Hiromasa Tanaka, Hiroki Kondo, Hiroyuki Kano, Yoshihiro Nakai, Makoto Sekine, Masaru Hori

    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     詳細を見る

    開催年月日: 2014年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1234. Chemical analysis of plasma-activated-medium for understanding mechanism of its antitumor effect 国際会議

    Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Fumiaki Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     詳細を見る

    開催年月日: 2014年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1235. Inactivation of Aspergillus Niger and flavus spores using atmospheric-pressure radical source 国際会議

    Yosuke Mori, Keisuke Nishida, Hiroshi Hashizume, Takayuki Ohta, Masaru Hori, Masafumi Ito

    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     詳細を見る

    開催年月日: 2014年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1236. High Density Radical Source 国際会議

    Y. Kiheda, H. Kano, H. Kondo, M. Hori, H. Amano, M. Hiramatsu

    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     詳細を見る

    開催年月日: 2014年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1237. The History and Research Activity of Plasma Nano Technology in Nagoya University 国際会議

    Hori Masaru

    1st International Workshop on Plasma-enhanced Atomic Layer Deposition 

     詳細を見る

    開催年月日: 2014年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1238. Study on Reaction Mechanism of Plasma-Enhanced Atomic Layer Deposition of SiO2 Films by In-Situ Fourier Transform Infrared Spectroscopy 国際会議

    Yi Lu, Akiko Kobayashi, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    14th International Conference on Atomic Layer Deposition (ALD 2014) 

     詳細を見る

    開催年月日: 2014年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1239. In situ analysis of the surface reations in PE-ALD SiO2 films for advanced litho applications 国際会議

    Masaru Hori, Hiroki Kondo, and Akiko Kobayashi

    14th International Conference on Atomic Layer Deposition (ALD 2014) 

     詳細を見る

    開催年月日: 2014年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1240. プラズマ医療科学の潮流

    堀勝

     『プラズマが拓く新学術領域と今後の展開』 

     詳細を見る

    開催年月日: 2014年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋大学東山キャンパスIB情報電子館 中棟2階大講義室 及び 中棟1階   国名:日本国  

  1241. 独創的研究が創出するプラズマ材料イノベーション

    堀勝

    プラズマ材料科学第153委員会、第117回研究会『プラズマ材料科学のフロンティア』 

     詳細を見る

    開催年月日: 2014年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:弘済会館   国名:日本国  

  1242. プラズマナノ工学研究センターにおけるナノ・バイオプロセス研究と支援事例

    堀勝

    名古屋大学微細加工ナノテクノロジープラットフォーム施設見学会 

     詳細を見る

    開催年月日: 2014年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1243. Quantitative evaluation of the inactivation process of P. digitatum spores on the basis of dose of ground-state atomic oxygen 国際会議

    H. Hashizume, T. Towatari, T. Ohta, K. Takeda, K. Ishikawa, M. Hori, M. Ito

    International Workshop on Diagnostics and Modelling for Plasma Medicine (DMPM2014) 

     詳細を見る

    開催年月日: 2014年5月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1244. Diagnostics of intracellular signaling systems of glioblastoma brain tumor cells treated with plasma-activated medium 国際会議

    H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, Y. Okazaki, S. Toyokuni, S. Maruyama, F. Kikkawa, M. Hori

    International Workshop on Diagnostics and Modelling for Plasma Medicine (DMPM2014) 

     詳細を見る

    開催年月日: 2014年5月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1245. The Effect of Neutral Species on Modification of the A549 and Saos-2 Growth and Proliferation 国際会議

    S. Tajima, H. Hashizume, M. Ito, T. Ohta, K. Takada, K. Ishikawa, M. Sekine, M. Hori

    International Workshop on Diagnostics and Modelling for Plasma Medicine (DMPM2014) 

     詳細を見る

    開催年月日: 2014年5月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1246. Bridge the Gap between Plasma and Medical Sciences toward Future Medical Care 国際会議

    Masaru Hori

    5th International Conference on Plasma Medicine (ICPM5) 

     詳細を見る

    開催年月日: 2014年5月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:日本国  

  1247. Signaling circuits that are affected by plasma-activated medium in brain tumor cells 国際会議

    H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, Y. Okazaki, S. Toyokuni, S. Maruyama, F. Kikkawa, M. Hori

    5th International Conference on Plasma Medicine 

     詳細を見る

    開催年月日: 2014年5月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1248. Charactaristics of AC excited Non-equilibrium Atomospheric Pressure Helium Plasma Jet for Medical Application 国際会議

    K. Takeda, T. Kumakura, K. Ishikawa, H. Tanaka, H. Kondo, H. Kano, Y. Nakai, M. Sekine, M. Hori

    5th International Conference on Plasma Medicine 

     詳細を見る

    開催年月日: 2014年5月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1249. Free Radical Generation by Cold Atmospheric Argon Plasma in Aqueous Solutions. An ESR Spin Trapping Study 国際会議

    H. Uchiyama, Z. Qing-Li, N. Nojima, K. Takeda, K. Ishikawa, M. Hori. T. Kondo

    5th International Conference on Plasma Medicine 

     詳細を見る

    開催年月日: 2014年5月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1250. Histological comparison of the wound healing process between non-thermal plasma hemostasis and thermal coagulation hemostasis 国際会議

    D. Yamagami, M. Ueda, S. Kamino, K. Watanabe, M. Hori, K. Ishikawa, Y. Ikehara, H. Sakakita, S. Enomoto

    5th International Conference on Plasma Medicine 

     詳細を見る

    開催年月日: 2014年5月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1251. Inactivation of microorganism in liquid treated with neutral reactive oxygen species 国際会議

    T. Towatari, H. Hashizume, T. Ohta, K. Takeda, K. Ishikawa, M. Hori, M. Ito

    5th International Conference on Plasma Medicine 

     詳細を見る

    開催年月日: 2014年5月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1252. Molecular structure of microorganisms measured by multiplex coherent anti-Stokes Raman scattering microspectroscopy 国際会議

    T. Ohta, H. Kato, K. Takeda, M. Ito, M. Hori

    5th International Conference on Plasma Medicine 

     詳細を見る

    開催年月日: 2014年5月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1253. Non-equilibrium atmospheric pressure plasma (NEAPP) generates oxidative injury 国際会議

    Y. Okazaki, Y. Wang, H. Tanaka, M. Mizuno, K. Nakamura, H. Kajiyama, H. Kano, F. Kikkawa, M. Hori, S. Toyokuni

    5th International Conference on Plasma Medicine 

     詳細を見る

    開催年月日: 2014年5月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1254. Charactaristics of Reactive Particle Production in Atmospheric Pressure DBD Plasma Jet 国際会議

    G. Uchida, K. Takenaka, A. Miyazaki, K.Kawabata, Y. Setsuhara, K. Takeda, K. Ishikawa, M. Hori

    5th International Conference on Plasma Medicine 

     詳細を見る

    開催年月日: 2014年5月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1255. Proliferation mechanism of budding yeast cells eith oxygen radical treatment 国際会議

    H. Hashizume, T. Ohta, M. Hori, M. Ito

    5th International Conference on Plasma Medicine 

     詳細を見る

    開催年月日: 2014年5月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1256. Electron Spin Resonance Study of Plasma-Biological Surface Interactions under Atmospheric Pressure Plasmas 国際会議

    K. Ishikawa, H. Hashizume, T. Ohta, M. Ito, H. Tanaka, K. Takeda, S. Tajima, H. Kondo, M. Sekine, M. Hori

    5th International Conference on Plasma Medicine 

     詳細を見る

    開催年月日: 2014年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1257. Behaviors of Atmospheric-Pressure Discharge and its Interaction with Soft Materials as a Basis for Plasma Medicine 国際会議

    Y. Setsuhara, G. Uchida, K. Takenaka, A. Miyazaki, K. Kawabata, K. Takeda, K. Ishikawa, M. Hori

    5th International Conference on Plasma Medicine 

     詳細を見る

    開催年月日: 2014年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1258. Inactivation process of P. digitatumspores evaluated by dose of ground-state oxygen 国際会議

    H. Hashizume, T. Towatari, T. Ohta, K. Takeda, K.Ishikawa, M.Hori, M. Ito

    5th International Conference on Plasma Medicine 

     詳細を見る

    開催年月日: 2014年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1259. New strategic plasma therapy for advanced and/or refractory epithelial ovarian cancer 国際会議

    H. Kajiyama, K. Nakamura, F. Utsumi, H. Tanaka, M. Hori, F. Kikkawa

    5th International Conference on Plasma Medicine 

     詳細を見る

    開催年月日: 2014年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1260. Electron Spin Resonance Study of Plasma-Activated-Medium 国際会議

    K. Ishikawa, N. Kurake, H. Tanaka, T. Kondo, K. Nakamura, H. Kajiyama, F. Kikkawa, M. Mizuno, K. Takeda, H. Kondo, M. Sekine, and M. Hori

    The 5th International Conference on Plasma Medicine (ICPM5) 

     詳細を見る

    開催年月日: 2014年5月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1261. Diagnostics of SiH4/H2 Plasma and Surface Reaction in Microcrystalline Silicon Deposition 国際会議

    Kenji Ishikawa, Yusuke Abe, Atsushi Fukushima, Ya Lu, Sho Kawashima, Keita Miwa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    The International Conference on Metallurgical Coatings and Thin Films (ICMCTF) 

     詳細を見る

    開催年月日: 2014年4月 - 2014年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  1262. プラズマ医療・分子システム科学

    堀勝

    第39回電子システムデバイスセミナー 

     詳細を見る

    開催年月日: 2014年4月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:九州大学、伊都: 総合学習プラザ110   国名:日本国  

  1263. Challenge for Plasma Life Science and Innovations 国際会議

    Masaru Hori

    Sungkyunkwan University, International Seminar 

     詳細を見る

    開催年月日: 2014年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  1264. Control of Etching Process based on Real-time Monitoring of Atomic Radical Density and Wafer Temperature 国際会議

    Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, Masaru Hori

    The International Symposium on Plasma-Nano Materials and Processes 

     詳細を見る

    開催年月日: 2014年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  1265. Advanced plasma-nano processes for controls of crystallographic and electrical properties of carbon nanomaterials 国際会議

    Hiroki Kondo, Masaru Hori, Mineo, Hiramatsu

    The International Symposium on Plasma-Nano Materials and Processes 

     詳細を見る

    開催年月日: 2014年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  1266. Ultra high density atmospheric plasma source 国際会議

    Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    The International Symposium on Plasma-Nano Materials and Processes 

     詳細を見る

    開催年月日: 2014年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  1267. Challenge of plasma nanotechnology for future industry 国際会議

    Masaru Hori

    The International Symposium on Plasma-Nano Materials and Processes 

     詳細を見る

    開催年月日: 2014年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  1268. Intracellular Molecular Mechanisms of Apoptosis in Cancer Cells by Plasma-Activated Medium 国際会議

    H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, Y. Okazaki, S. Toyokuni, S. Maruyama, F. Kikkawa, M. Hori

    The International Workshop on Plasmas for Cancer Treatment (IWPCT) 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  1269. Future Perspective of Strategic Plasma Therapy for Refractory Epithelial Ovarian Cancer 国際会議

    H. Kajiyama, K. Nakamura, F. Utsumi, H. Tanaka, M. Hori, F. Kikkawa

    The International Workshop on Plasmas for Cancer Treatment (IWPCT) 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  1270. Challenge for plasma medical science and innovations 国際会議

    Masaru Hori

    17th Biennial Meeting of Society for Free Radical Research International (SFRRI 2014) 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1271. Electron spin resonance study of radical generation during non-thermal plasma blood coagulation 国際会議

    Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, Masaru Hori

    17th Biennial Meeting of Society for Free Radical Research International (SFRRI 2014) 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1272. Microscopic observation of inactivation process of fungal spores treated with oxygen radicals produced from an atmospheric-pressure plasma 国際会議

    Hiroshi Hashizume, Takuya Towatari, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, Masafumi Ito

    17th Biennial Meeting of Society for Free Radical Research International (SFRRI 2014) 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1273. Non-equilibrium atmospheric pressure plasma (NEAPP) generates oxidative injury 国際会議

    Yasumasa Okazaki, Yue Wang, Hiromasa Tanaka,, Masaaki Mizuno, Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Fumitaka Kikkawa, Masaru Hori, Shinya Toyokuni

    17th Biennial Meeting of Society for Free Radical Research International (SFRRI 2014) 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1274. Microscopic observation of inactivation process of fungal spores treated with oxygen radicals produced from an atmospheric-pressure plasma 国際会議

    Hiroshi Hashizume, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, and Masafumi Ito

    The 17th Biennial Meeting for the Society for Free Radical Research International (SFRRI 2014) 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1275. Electron spin resonance study of radical generation during non-thermal plasma blood coagulation 国際会議

    Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    The 17th Biennial Meeting for the Society for Free Radical Research International (SFRRI 2014) 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1276. プラズマでがんを治すはなし

    堀勝

    名古屋大学オープンレクチャー2014 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語  

    国名:日本国  

  1277. カーボンナノウォールの結晶構造に対するラジカル酸化効果(Ⅲ)

    近藤博基,下枝弘尚,石川健治,平松美根男,関根誠,堀勝

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学相模原キャンパス   国名:日本国  

  1278. 大気圧プラズマ照射培養液の電子スピン共鳴(ESR)による解析

    倉家尚之, 田中宏昌, 石川健治, 中村香江, 梶山広明, 吉川史隆, 近藤隆, 水野正明, 竹田圭吾, 近藤博基, 関根誠, 堀勝

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学相模原キャンパス   国名:日本国  

  1279. 中性酸素ラジカル照射による液中殺菌

    唐渡卓也,橋爪博司,太田貴之,堀勝,伊藤昌文

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学相模原キャンパス   国名:日本国  

  1280. プラズマ照射した食肉血液成分の電子スピン共鳴解析

    坂倉崚亮,石川健治,田中宏昌,橋爪博司,太田貴之,伊藤昌文,竹田圭吾,近藤博基,関根誠,堀勝

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学相模原キャンパス   国名:日本国  

  1281. 酸素ラジカル照射による出芽酵母の増殖制御の解析

    橋爪博司,太田貴之,堀勝,伊藤昌文

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学相模原キャンパス   国名:日本国  

  1282. 酸素ラジカル殺菌におけるミドリカビ胞子の細胞内構造の観察

    橋爪博司,岡有也,唐渡卓也,太田貴之,竹田圭吾,石川健治,堀勝,伊藤昌文

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学相模原キャンパス   国名:日本国  

  1283. プロセス履歴のチャンバー壁表面損失確率への影響とH2/N2プラズマ中のラジカル密度の時間変化

    鈴木俊哉,福永裕介,竹田圭吾,近藤博基,石川健治,関根誠,堀勝

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学相模原キャンパス   国名:日本国  

  1284. CF4-x(x=0-3)化合物の超励起状態とイオン化

    林俊雄,石川健治,関根誠,堀勝

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学相模原キャンパス   国名:日本国  

  1285. MEMS大気圧プラズマ光源の省電力化

    佐藤龍仁,熊谷慎也,堀勝,佐々木実

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学相模原キャンパス   国名:日本国  

  1286. NOとF2を用いたSiケミカルドライエッチング中のF失活過程の解析(I)

    田嶋聡美,林俊雄,石川健治,関根誠,佐々木実,山川晃司,堀勝

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学相模原キャンパス   国名:日本国  

  1287. CHxFyプラズマにおける活性種生成過程とエッチング機構の解明(IV)

    近藤祐介,宮脇雄大,竹田圭吾,近藤博基,田嶋聡美,石川健治,林俊雄,関根誠,堀勝

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学相模原キャンパス   国名:日本国  

  1288. CH4/H2プラズマによるGaNの高温エッチング

    加古隆,劉沢セイ,石川健治,小田修,竹田圭吾,近藤博基,関根誠,堀勝

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学相模原キャンパス   国名:日本国  

  1289. プラズマプロセス中のポリメタクリル酸メチル(PMMA)表面の実時間・その場電子スピン共鳴法による反応解析

    宮脇雄大,王浩然,石川健治,近藤祐介,竹田圭吾,近藤博基,堀邊英夫,関根誠,堀勝

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学相模原キャンパス   国名:日本国  

  1290. 非接触基板温度計測システムを用いたプラズマプロセス中の基板温度および熱流速計測

    堤隆嘉, 竹田圭吾,石川健治,近藤博基,太田貴之,伊藤昌文,関根誠,堀勝

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学相模原キャンパス   国名:日本国  

  1291. 液中プラズマで合成したナノグラフェンを用いた燃料電池用電極の安定性

    天野智貴,近藤博基,竹田圭吾,石川健治,太田貴之,伊藤昌文,平松美根男,加納浩之,関根誠,堀勝

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学相模原キャンパス   国名:日本国  

  1292. 医療・バイオ用AC励起大気圧非平衡プラズマジェットの気相診断

    熊倉匠, 竹田圭吾, 石川健治, 田中宏昌, 近藤博基, 加納浩之, 中井義浩, 関根誠, 堀勝

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学相模原キャンパス   国名:日本国  

  1293. 高温における窒化ガリウム(GaN)のエッチング機構(4)

    劉沢セイ,加古 隆,石川健治,小田 修,竹田圭吾,近藤博基,関根 誠,堀勝

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学相模原キャンパス   国名:日本国  

  1294. プラズマ光と表面の相互作用の解明

    張 彦, 石川 健治, 関根 誠, 深沢 正永, 長畑 和典, 冨谷 茂隆, 辰巳 哲也, 竹田 圭吾, 近藤 博基, 堀 勝

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学相模原キャンパス   国名:日本国  

  1295. Mechanism of Surface Roughness of ArF Photoresist During HBr PlasmaEtching Processes (2)

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  1296. AC励起アルコール液中プラズマにおけるナノグラフェン合成機構の解析

    安藤 睦,小島 和晃,竹田 圭吾,近藤 博基,石川 健治,関根 誠,太田 貴之,伊藤 昌文,平松 美根男,加納 浩之,堀 勝

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学相模原キャンパス   国名:日本国  

  1297. AC 励起大気圧非平衡 Ar プラズマ生成における 大気ガス巻込みの影響

    梁 思潔, 竹田 圭吾, 近藤 博基, 加納 浩之, 石川 健治 , 関根 誠, 堀 勝

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学相模原キャンパス   国名:日本国  

  1298. コヒーレントアンチストークスラマン散乱分光法を用いたナノグラフェン合成用液中プラズマの診断

    小島和晃,安藤睦,竹田圭吾,石川健治,太田貴之,伊藤昌文,堀勝

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学相模原キャンパス   国名:日本国  

  1299. パルスマイクロ波励起大気圧ラインプラズマの空間分解計測

    鈴木陽香,中野優,伊藤仁,関根誠,堀勝,豊田浩孝

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学相模原キャンパス   国名:日本国  

  1300. メートル級マイクロ波大気圧ラインプラズマの均一性評価

    中野優,鈴木陽香,伊藤仁,関根誠,堀勝,豊田浩孝

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学相模原キャンパス   国名:日本国  

  1301. 大気圧プラズマ化学気相堆積法による超撥水薄膜の形成

    孫昿達,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学相模原キャンパス   国名:日本国  

  1302. 大気圧誘電体バリア放電プラズマジェットにおける反応性粒子生成挙動 - 放電周波数に対す効果 -

    内田儀一郎,竹中弘祐,宮崎敦史,川端一史,節原裕一,竹田圭吾,石川健治,堀勝

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学相模原キャンパス   国名:日本国  

  1303. Challenge to Plasma Medical Science towards the Fourth Treatment of Cancers 国際会議

    Masaru Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 7th International Conference on Plasma-Nano Technology & Science (ISPlasma2014/IC-PLANTS2014) 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:日本国  

  1304. Electro catalytic properties of Pt-supported nanographene synthesis using 国際会議

    T. Amano, K. Takeda, H. Kondo, K. Ishikawa, T. Ohta, M. Ito, H. Kano, M. Hiramatsu, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1305. Study on a novel radical-enhanced metalorganic chemical vapor deposition (REMOCVD) for GaN epitaxial growth 国際会議

    Y. Lu, K. Ishikawa, H. Kondo, O. Oda, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1306. Length Effect of Floating Wire Electrode in Transportable 144MHz Inductively Coupled Micro-Plasma Source 国際会議

    D. Yasumastu, H. Matsuyama, S. Kumagai, K. Takeda, M. Hori, M. Sasaki

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1307. Production of High-Uniform Microwave Line Plasma at Atmospheric Pressure 国際会議

    H. Suzuki, S. Nakano, H.Itoh, M. Sekine, M. Hori, H. Toyoda

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1308. Spatiotemporal Resolved Investigations of Atmospheric Pressure Line Plasma 国際会議

    S. Nakano, H. Suzuki, H. Itoh, M. Sekine, M. Hori, H. Toyoda

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1309. Fabrication of graphene films on Ni layer by microwave plasma-enhanced CVD 国際会議

    Y. Kashima, M. Hiramatsu, H. Kondo, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1310. Nucleation control of carbon nanowalls using plasma-enhanced CVD with re-duced ion bombardment 国際会議

    R. Tsukada, M. Hiramatsu, H. Kondo, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1311. Biosensing properties of carbon nanowalls grown using plasma enhanced CVD 国際会議

    M. Tomatsu, R. Tsukada, M. Nagashima, M. Hiramastu, H. Kondo, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1312. Plasma-activated medium downregulated signaling pathways that control proliferation and survival in glioblastoma brain tumor cells 国際会議

    H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, S. Maruyama, F. Kikkawa, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1313. Vibrational analysis of biological samples treated with atmospheric pressure plasma using multiplex coherent anti-Stokes Raman scattering microspectroscopy 国際会議

    H. Kato, T. Ohta, K. Takeda, H. Hashizume , M. Hori M. Ito

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1314. Emission charateristics of metallic elements in solution using non-equilibrium atmospheric pressure microplasma 国際会議

    J. Kularatne, T. Ohta, J. Jolibois, M. Ito, H. Takemura, H. Kano, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1315. Effects of Oxygen Radical Treatment in Liquid Phase on Inactivation of E. coli 国際会議

    T. Towatari, H. Hashizume, T. Ohta, M. Hori, M. Ito

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1316. Fluoescent analysis of inactivation mechanism of P. digitatum spores treated with oxygen radicals 国際会議

    H. Hashizume, T. Towatari, T. Ohta, K. Takeda, K. Ishikawa, M. Hori, M. Ito

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1317. Nanostructural observation of P. digitatum spores on the inactivation process with oxygen radical treatment 国際会議

    H. Hashizume, T. Ohta, K. Takeda, K. Ishikawa, M. Hori, M. Ito

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1318. Promotion and repression effects of oxygen radical treatment on budding yeast cells 国際会議

    H. Hashizume, T. Ohta, M. Hori, M. Ito

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1319. Spatial profiles of emission spectra from atmospheric-pressure plasma jet for plasma medicine 国際会議

    G. Uchida, K. Takenaka, A. Miyazaki, K. Kawabata, Y. Setsuhara, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1320. Synthesis of nanographene/Pt composite using alcohol in-liquid plasma 国際会議

    K. Yoshida, M. Ito, M. Hiramatsu, T. Saida, H. Kano, H. Kondo, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1321. Silicon thin film solar cells fabricated by H radical injection plasma 国際会議

    K. Miwa, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1322. Deposition of AZO Thin Film using RF and ICP Plasma at Facing Magnetron Sputtering System 国際会議

    H. R. Kim, J. B. Kim, Y. Choi, M. Hori, J. G. Han

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1323. Measurement of Heat Fluxes of Si Substrate from Plasma by Using Frequency Low CoherenceInterferometer 国際会議

    T. Tsutsumi, K. Ishikawa, K. Takeda, T. Ohta, M. Ito, H. Kondo, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1324. Effects of residence time on electronic and electricalthe optical properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition 国際会議

    L. Jia, D. Xu, M. Nakamura,K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1325. Observation of Dangling Bonds in Silicon Nitride Films during Exposure of F Atoms At Down-Flow of CF4 Plsmas Using Real-time / in-situ Electron Spin Resonance 国際会議

    Y. Miyawaki, K. Ishikawa, Y. Kondo, K. Takeda, S. Tajima, H. Kondo, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1326. MEMS Nozzle for Localized Irradiation of Atmospheric Pressure Plasma Trapping Micro-Samples 国際会議

    R. Shimane, S. Kumagai, H. Hashizume, T. Ohta, M. Ito, M. Hori, M. Sasaki

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1327. Synthesis of Durable Hydrophobic Film by Control of Radicals in PECVD 国際会議

    J. S. Lee, J. X. Piao, Y. S. Choi, K. Takeda, J. G. Han, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1328. A high temperature etching of GaN employing CH4/H2 gases 国際会議

    T. Kako, Z. Liu, K. Ishikawa, K. Takeda, H. Kondo, O. Oda, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1329. Effect of Ar flow rate on the synthesis of nanographene produced from in-liquid plasma 国際会議

    J. Jolibois, J. Kularatne, H. Kondo, M. Ito, H. Kano, K. Ishikawa, M. Hiramatsu, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1330. Detection Method for Metallic Elements in Fishes using Non-Equilibrium Atmospheric Pressure Microplasma 国際会議

    H. Takemura, T. Ohta , M. Ito , H. Kano, Y. Higashijima, G. Piao, O. Oda, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1331. The relationship between the pressure and the Si etch rate using the reaction of F2+NO--->F+FNO 国際会議

    63.S. Tajima, T. Hayashi, K. Ishikawa, M. Sekine, M. Sasaki, K. Yamakawa, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1332. Electrical, Optical, and Structural properties of Al doped ZnO films prepared by mirror type facing target sputtering 国際会議

    J. B. Kim, K. S. Shin, J. S. Lee, H. Suzuki, M. Hori, J. G. Han

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1333. Effect of Gas Flow Rate on Crystalline Structures of Amorphous Carbon Films Employing Radical-Injection Plasma-Enhanced Chemical Vapor Deposition 国際会議

    D. Xu, L. Jia, M. Nakamura, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1334. Spectroscopic analysis of gas-liquid plasma for nanographene synthesis 国際会議

    A. Ando, T. Takeda, H. Kondo, K. Ishikawa, M. Sekine T. Ohta, M. Ito, M. Hiramatsu, H. Kano, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1335. Control of Edge and Surface Oxidation of Carbon Nanowalls by Argon Ion Irradiation 国際会議

    H. Shimoeda, H. Kondo, K. Takeda, K. Ishikawa, M. Hiramatsu, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1336. GaN etching at high temperature employing N2 added Cl2 Plasma 国際会議

    Z. Liu, T. Kako, K. Ishikawa, O. Oda, K. Takeda, H. Kondo, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1337. Effect of Ambient Air Engulfment on Generation of Activated Species in 60 Hz Non-Equilibrium Atmospheric Pressure Ar Plasma Jet 国際会議

    S. Liang, T. Tsutsumi, A. Ando, K. Sun, K. Takeda, H. Kondo, K. Ishikawa, H. Kano, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1338. Effects of Low RF Bias Power on Optoelectrical Properties of Amorphous Carbon Films Grown byPlasma-Enhanced Chemical Vapor Deposition 国際会議

    M. Nakamura, L. Jia, D. Xu, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1339. Mechanism of Generating Active Species and Etch Reaction in CHxFy Plasma 国際会議

    Y. Kondo, Y. Miyawaki, K. Takeda, S. Tajima, H. Kondo, K. Ishikawa, T. Hayashi, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1340. Plasma Induced Surface Roughness of Polymeric Materials 国際会議

    K. Ishikawa, T. Takeuchi, Y. Zhang, Y. Setsuhara, K. Takeda, H. Kondo, M. Sekine, M. Hori

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1341. Behaviors of activated species in SiH4/H2 plasma for µc-Si:H thin film deposition 国際会議

    K. Takeda, Y. Abe, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1342. Dynamics and Reactive Particle Generation in Atmospheric-Pressure Discharge as a Basis for Plasma Medicine 国際会議

    Y. Setsuhara, G. Uchida, K. Kawabata, A. Miyazaki, K. Takenaka, K. Takeda, K. Ishikawa, M. Hori

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1343. Challenge to Plasma Medical Science towards the Fourth Treatment of Cancers 国際会議

    M. Hori

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1344. Electron spin resonance analysis of plasma-biological material interactions in atmospheric pressure plasma 国際会議

    K. Ishikawa, H. Hashizume, T. Ohta, M. Ito, H. Tanaka, K. Takeda, S. Tajima, H. Kondo, M. Sekine, M. Hori

    International workshop on control of fluctuation of plasma processes -Joint International Workshop between "Frontier science of interactions between plasmas and nano-interfaces" and "Plasma medical innovation" 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1345. Diagnostics of activated species generated by AC excited non-equilibrium atmospheric pressure Ar plasma jet for plasma medical and bio applications 国際会議

    K. Takeda, K. Ishikawa, H. Tanaka, H. Kano, M. Sekine, M. Hori

    International workshop on control of fluctuation of plasma processes -Joint International Workshop between "Frontier science of interactions between plasmas and nano-interfaces" and "Plasma medical innovation" 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1346. Control of cellular activities by oxygen radical treatment 招待有り 国際会議

    T. Ohta, H. Hashizume, K. Takeda, K. Ishikawa, M. Hori, M. Ito

    International workshop on control of fluctuation of plasma processes -Joint International Workshop between "Frontier science of interactions between plasmas and nano-interfaces" and "Plasma medical innovation" 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1347. Radical-controlled plasma processing towards global innovations 国際会議

    M. Hori

    8th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:日本国  

  1348. Evaluation of the loss of F during the Si chemical dry etching using the reaction of F2+NO--->F+FNO 国際会議

    S. Tajima, T. Hayashi, K. Yamakawa, K. Ishikawa, M. Sasaki, S. Den, M. Sekine, M. Hori

    8th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1349. Control of cellular activities by oxygen radical treatment 国際会議

    T. Ohta, H. Hashizume, K. Takeda, K. Ishikawa, M. Hori, M. Ito

    8th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1350. Chemical analyses of edible meat irradiated atmospherics-pressure-plasmas 国際会議

    K. Ishikawa, H. Hashizume, T. Ohta, M. Ito, H. Tanaka, K. Takeda, S. Tajima, H. Kondo, M. Sekine, M. Hori

    8th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1351. Diagnostics of activated species generated by AC excited non-equilibrium atmospheric pressure Ar plasma jet for plasma medical and bio applications 国際会議

    K. Takeda, K. Ishikawa, H. Tanaka, H. Kano, M. Sekine, M. Hori

    8th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1352. Survival and proliferation signaling pathways are downregulated by plasma-activated medium in glioblastoma brain tumor cells 国際会議

    H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, S. Maruyama, F. Kikkawa, M. Hori

    8th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1353. Effect of HBr plasma cure for reduction of roughness formation on ArF photoresist surface during plasma etching process 国際会議

    M. Sekine, Y. Zhang, T. Takeuchi, H. Nagano, K. Ishikawa, K. Takeda, H. Kondo, M. Hori

    8th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1354. Fluorescent and structural observations of P. digitatum spores inactivated with oxygen radical treatment 国際会議

    H. Hashizume, T. Ohta, K. Takeda, K. Ishikawa, M. Hori, M. Ito

    8th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1355. Effect of spiral microwave antenna configuration on the production of nano-crystalline film by chemical sputtering in ECR plasma 国際会議

    H. Kondo, L. Jia, D. Xu, M. Nakamura, K. Ishikawa, M. Sekine, M. Hori

    8th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1356. Effect of gas flow on spatial distribution of O (3Pj) atoms in ac power excited on-equilibrium atmospheric pressure O2/Ar plasma jet 国際会議

    K. Takeda, F. Jia, K. Ishikawa, H. Kano, M. Sekine, M. Hori

    8th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1357. Recovery of Plasma-induced Damage in GaN by In situ Radical Exposure 国際会議

    M. Sekine, Z. Liu, S. Chen, Y. Lu, R. Kometani, K. Ishikawa, H. Kano, K. Takeda, H. Kondo, M. Hori

    8th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1358. Isolation of neutral species generated from the Ar/O2 non-equilibrium atmospheric-pressure micro hollow-cathode discharge for the modification of the A549 cells 国際会議

    S. Tajima, M. Sekine, H. Hashizume, M. Ito, T. Ohta, K. Takeda, K. Ishikawa, M. Hori

    8th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1359. Large-scale synthesis of nanographene using in-liquid plasma and chemical reduction process 国際会議

    J. Jolibois, J. Kularatne, H. Kano, M. Ito, M. Hiramatsu, H. Kondo, K. Ishikawa, M. Hori

    8th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1360. Effect of Power supply on metal emission intensity induced by non-equilibium atmospheric pressure plasma 国際会議

    J. Kularatne, J. Jolibois, Ohta, M. Ito, H. Kano, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    8th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1361. Carbon nanowalls: plasma synthesis and applications 国際会議

    M. Hiramatsu, H. Kondo, M. Hori

    8th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1362. Nucleation control of carbon nanowalls for device application 国際会議

    R. Tsukada, M. Hiramatsu, H. Kondo, M. Hori

    8th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1363. Pressure dependence of carbon film deposition using H-assisted plasma CVD 国際会議

    X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, K. Takenaka, Y. Setsuhara, M. Sekine, M. Hori

    8th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1364. Vibrational analysis of biological samples using multiplex coherent anti-stokes ramanscattering microspectroscopy using multiplex coherent anti-Stokes Raman scattering microspectroscopy 国際会議

    H. Kato, T. Ohta, K. Takeda, H. Hashizume, M. Hori, M. Ito

    8th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1365. Effects of oxygen radical treatment in liquid phase on inactivation of microorganism 国際会議

    T. Towatari, H. Hashizume, T. Ohta, M. Hori, M. Ito

    8th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1366. Meter-Scale Production of Atmospheric Pressure Microwave Plasma by Travelling Wave 国際会議

    H. Suzuki, S. Nakano, H. Itoh, M. Sekine, M. Hori, H. Toyoda

    8th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1367. Fabrication of graphene films using microwave plasma-enhanced CVD in surface wave mode 国際会議

    Y. Kashima, M. Hiramatsu, H. Kondo, M. Hori

    8th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1368. Optical emission characteristics of atmospheric-pressure plasma jet for plasma biomedicine 国際会議

    G. Uchida, K. Takenaka, A. Miyazaki, K. Kawabata, Y. Setsuhara, M. Hori

    8th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1369. Accuracy of substrate temperature measurements using optical low coherence interferometry 国際会議

    T. Ohta, Hiroki Kato, T. Tsutsumi, K. Takeda, M. Hori, M. Ito

    8th International Conference on Reactive Plasmas 31st Symposium on Plasma Processing 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1370. Electron spin resonance analyses of plasma-biological material interactions in atmospheric pressure plasmas 国際会議

    K. Ishikawa, H. Hashizume, T. Ohta, M. Ito, H. Tanaka, K. Takeda, S. Tajima, H. Kondo, M. Sekine, M. Hori

    8th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1371. Rapid non-contact measurements of heat fluxes to substrate in nitorogen plasmas 国際会議

    T. Tsutsumi, K. Ishikawa, K. Takeda, T. Ohta, M. Ito, H. Kondo, M. Sekine, M. Hori

    8th International Conference on Reactive Plasmas 31st Symposium on Plasma Processing 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1372. Diagnostics of AC Excited Non-equlibrium Atmospheric Pressure Ar PlasmaJet for Plasma Biomedical Applications 国際会議

    K. Takeda, M. Hori

    The 9th EU-Japan Joint Symposium on Plasma Processing 

     詳細を見る

    開催年月日: 2014年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:スロベニア共和国  

  1373. Plasma nano-interface with organic materials for surface-roughness formation 国際会議

    M. Sekine, Y. Zhang, K. Ishikawa, K. Takeda, H. Kondo, M. Hori

    The 9th EU-Japan Joint Symposium on Plasma Processing 

     詳細を見る

    開催年月日: 2014年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:スロベニア共和国  

  1374. プラズマ診断技術の最新動向

    堀勝

    プラズマ材料科学第153委員会 第115回研究会 

     詳細を見る

    開催年月日: 2014年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:やすらぎ伊王島 海の見えるホテル1F「中ホール」   国名:日本国  

  1375. Plasma Medical Science for Cancer Therapy 国際会議

    Masaru Hori

    8th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology 

     詳細を見る

    開催年月日: 2013年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:台湾  

  1376. Carbon Nanowalls: Growth Control and their Clean Applications 国際会議

    M. Hiramatsu, H. Kondo, M. Hori

    8th Asia-Pacfic International Symposium on the Basics and Applications of Plasma Technology 

     詳細を見る

    開催年月日: 2013年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:台湾  

  1377. Molecular Stracture Analysis of Microorganisms by Raman Spectroscopy 国際会議

    H. Kato, T. Ohta, H. Hashizume, M. Hiramatsu, M. Hori, M. Ito

    8th Asia-Pacfic International Symposium on the Basics and Applications of Plasma Technology 

     詳細を見る

    開催年月日: 2013年12月

    記述言語:英語   会議種別:ポスター発表  

    国名:台湾  

  1378. Gas phase diagnostics of atmospheric oxygen radical sourse on inactivation of P. digitatum spores 国際会議

    T. Ohta, H. Hashizume, M. Ito, T. Takeda, K. Ishikawa, M. Hori

    8th Asia-Pacfic International Symposium on the Basics and Applications of Plasma Technology 

     詳細を見る

    開催年月日: 2013年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:台湾  

  1379. Inactivation of Escherichia coli in liquid phase treated with neutral oxygen radical sourse 国際会議

    T. Towatari, H. Hashizume, T. Ohta, M. Hori, M. Ito

    8th Asia-Pacfic International Symposium on the Basics and Applications of Plasma Technology 

     詳細を見る

    開催年月日: 2013年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:台湾  

  1380. ナノグラフェン合成液中プラズマの分光学的診断

    安藤睦、竹田圭吾、近藤博基、石川健治、関根誠、太田貴之、伊藤昌文、平松美根男、加納浩之、堀勝

    第23回日本MRS年次大会 

     詳細を見る

    開催年月日: 2013年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:横浜市開港記念会館、神奈川県   国名:日本国  

  1381. アルコール液中プラズマによるグラフェン合成 国際会議

    石川健治、近藤博基、竹田圭吾、関根誠、堀勝

    第23回日本MRS年次大会 

     詳細を見る

    開催年月日: 2013年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:横浜市開港記念会館、神奈川県   国名:日本国  

  1382. 液中プラズマを用いて合成したナノグラフェンの結晶構造制御と燃料電池応用

    天野智貴、竹田圭吾、近藤博基、石川健治、太田貴之、伊藤昌文、加納浩之、平松美根男、関根誠、堀勝

    第23回日本MRS年次大会 

     詳細を見る

    開催年月日: 2013年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:横浜市開港記念会館、神奈川県   国名:日本国  

  1383. Localized Microplasma Generation in MEMS Gas Channel 国際会議

    Ryoto Sato, Daisuke Yasumatsu, Shinya Kumagai, Masaru Hori and Minoru Sasaki

     詳細を見る

    開催年月日: 2013年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1384. 最先端プラズマプロセス

    堀勝

    成膜プロセス技術の最前線 

     詳細を見る

    開催年月日: 2013年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:産総研つくば中央第2事業所12棟 第6会議室   国名:日本国  

  1385. 常温常圧プラズマを用いた未来医療と健康社会

    堀 勝

    尚和会総会 

     詳細を見る

    開催年月日: 2013年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:ホテルグランコート名古屋 七階「ザ・グランコート」   国名:日本国  

  1386. プラズマで第四の癌治療を目指す

    堀勝

    TEDxNagoyaU 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学ES総合館ESホール   国名:日本国  

  1387. CHxFyプラズマにおける活性種生成過程の解明

    近藤祐介,宮脇雄大,竹田圭吾,近藤博基,田嶋聡美,石川健治,林俊雄,関根誠,堀勝

    電気学会プラズマ研究会 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名城大学名駅サテライト, 愛知県   国名:日本国  

  1388. 低コヒーレンス干渉計を用いた基板温度計測における計測精度の検討

    宇佐美拓也、加藤寛人、太田貴之、堤 隆嘉、堀 勝、伊藤昌文

    電気学会プラズマ研究会 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名城大学名駅サテライト、愛知県   国名:日本国  

  1389. 中圧力領域マイクロホローカソード放電のスペクトルプロファイルの検討

    藤田英彦、太田貴之、伊藤昌文、堀 勝

    電気学会プラズマ研究会 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名城大学名駅サテライト、愛知県   国名:日本国  

  1390. カーボンナノウォールの核発生制御に関する研究

    塚田僚介、平松美根男、近藤博基、堀 勝

    電気学会プラズマ研究会 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名城大学名駅サテライト、愛知県   国名:日本国  

  1391. マイクロ波プラズマCVD法を用いたグラフェンの作製

    加島洋平、平松美根男、近藤博基、堀 勝

    電気学会プラズマ研究会 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名城大学名駅サテライト、愛知県   国名:日本国  

  1392. ナノグラフェン合成のための気-液プラズマの分光計測

    安藤 睦、竹田圭吾、近藤博基、石川健治、関根 誠、太田貴之、伊藤昌文、平松美根男、加納浩之、堀 勝

    電気学会プラズマ研究会 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名城大学名駅サテライト、愛知県   国名:日本国  

  1393. 酸素ラジカルの密度測定に基づいた真菌胞子のプラズマ殺菌

    橋爪博司、太田貴之、竹田圭吾、石川健治、堀 勝、伊藤昌文

    電気学会プラズマ研究会 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名城大学名駅サテライト、愛知県   国名:日本国  

  1394. Carbon nanowalls: synthesis and application 国際会議

    M. Hiramatsu, H. Kondo, M. Hori

    The 1st International Conference on Surface Engineering (ICSE2013) 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1395. Inactivation of microorganism in liquid phase treated with neutral oxygen radical source 国際会議

    T. Towatari, H. Hashizume, T. Ohta, M. Hori, M. Ito

    The 1st International Conference on Surface Engineering (ICSE2013) 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1396. Vibration analysis of biological samples treaated with plasma using multiplex coherent anti- Stokes Raman scattering spectroscopy 国際会議

    H. Kato, T. Ohta, K. Takeda, H. Hashizume, M. Hiramatsu, M. Hori, M. Ito

    The 1st International Conference on Surface Engineering (ICSE2013) 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1397. Rapid precise measurements of film-covered-substrate temperatures during plasma processes 国際会議

    M. Ito, T. Tsutsumi, T. Ohta, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, and M. Hori

    The 1st International Conference on Surface Engineering (ICSE2013) 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  1398. Advanced Surface Engineering on Material Processing Employing Ultrahigh Density Atmospheric Pressure Plasma 国際会議

    Masaru Hori, K. Takeda, H. Kondo, K. Ishikawa, M.Sekine

    The 1st International Conference on Surface Engineering (ICSE2013) 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  1399. 酸素ラジカル源を用いた液中の微生物の不活性化

    唐渡卓也、橋爪博司、太田貴之、堀勝、伊藤昌文

    応用物理学会学SC東海地区学術講演会 2013 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学IB電子情報館   国名:日本国  

  1400. マイクロ波プラズマCVD法を用いたグラフェンの作成

    加島洋平、平松美根男、近藤博基、堀勝

    応用物理学会学SC東海地区学術講演会 2013 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学IB電子情報館   国名:日本国  

  1401. カーボンナノウォールの核発生制御に関する研究

    塚田僚介、平松美根男、近藤博基、堀勝

    応用物理学会学SC東海地区学術講演会 2013 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学IB電子情報館   国名:日本国  

  1402. ラマン散乱分光法による生体試料の分子構造解析

    加藤寛人、太田貴之,橋爪博司,平松美根男、堀勝,伊藤昌文

    応用物理学会学SC東海地区学術講演会 2013 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学IB電子情報館   国名:日本国  

  1403. 燃料電池用途のためのアルコールを溶媒とする液中プラズマを用いたナノグラフェンの合成

    吉田圭佑、伊藤昌文,平松美根男、加納浩之,J. Jolibois,J. Kularantne,近藤博基,堀勝

    応用物理学会学SC東海地区学術講演会 2013 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学IB電子情報館   国名:日本国  

  1404. 反応性プラズマ(プラズマCVD を中心として)

    伊藤仁

    第7回名古屋大学プラズマナノ工学スクール:プラズマプロセスの基礎講義と実践的なプラズマ計測実習 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学VBL3階ベンチャーホール   国名:日本国  

  1405. 高密度大気圧低温プラズマの生成方法と計測、その応用

    堀勝

    第7回名古屋大学プラズマナノ工学スクール:プラズマプロセスの基礎講義と実践的なプラズマ計測実習 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学VBL3階ベンチャーホール   国名:日本国  

  1406. 実践的プラズマ計測手法

    石川健治、竹田圭吾

    第7回名古屋大学プラズマナノ工学スクール:プラズマプロセスの基礎講義と実践的なプラズマ計測実習 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学VBL3階ベンチャーホール   国名:日本国  

  1407. 次世代医療を指向したプラズマ生命分子科学の幕開け

    堀勝

    第29回九州・山口プラズマ研究会 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:クレドホテル臼杵   国名:日本国  

  1408. Non-contact measurements of substrate-temperature by frequency-domain low coherence interferometry 国際会議

    T. Tsutsumi, T. Ohta, K. Ishikawa, K Takeda, H. Kondo, M. Sekine, M. Hori, M. Ito

    AVS 60th International Symposium & Exhibition 

     詳細を見る

    開催年月日: 2013年10月 - 2013年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1409. Reaction Mechanism at the Sidewall of Through Si via (TSV) Etching by SF6/O2/SiF4 Plasma 国際会議

    I. Sakai, S. Amasaki, T. Takeuchi, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine N. Sakurai, H. Hayashi, T. Ohiwa, M. Hori

    AVS 60th International Symposium & Exhibition 

     詳細を見る

    開催年月日: 2013年10月 - 2013年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1410. High Temperature Etching of GaN Preserving Smooth and Stoichiometric GaN Surface 国際会議

    R. Kometani, K. Ishikawa, K Takeda, H. Kondo, M. Sekine, M. Hori

    AVS 60th International Symposium & Exhibition 

     詳細を見る

    開催年月日: 2013年10月 - 2013年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1411. Etching Characterristics of AlGaN and GaN in Inductively Coupled Cl2 Prasma 国際会議

    D. Cao, Y. Lu, R. Kometani, J. Park, K. Ishikawa, K Takeda, H. Kondo, M. Sekine, M. Hori

    AVS 60th International Symposium & Exhibition 

     詳細を見る

    開催年月日: 2013年10月 - 2013年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  1412. PlasmaInduced Surface Roughness of ArF Photoresist Examined by Plasma-Beam Processes 国際会議

    T. Takeuchi, Y. Zhang, K. Ishikawa, M. Sekine, Y. Setsuhara, K. Takeda, H. Kondo, M. Hori

    AVS 60th International Symposium & Exhibition 

     詳細を見る

    開催年月日: 2013年10月 - 2013年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1413. Collaboration program of PLANT for IPNM 国際会議

    M. Hori

    The Workshop of the Joint Institute for Plasma Nano Materials (IPNM) 

     詳細を見る

    開催年月日: 2013年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1414. Advanced Thin Film Coating Based on Plasma Material Science 国際会議

    M. Hori

    International Thin Films Conferance 2013, Taiwan Association for Coatings and Thin Films Technology 

     詳細を見る

    開催年月日: 2013年10月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:台湾  

  1415. Measurement of actived species generated by AC power excited non-equilibrium atmospheric pressure Ar plasma jet with Air engulfment 国際会議

    K. Takeda, K. Ishikawa, H. Tanaka, H. Kano, M. Sekine, M. Hori

    66th Annual Gaseous Electronic Conference (GEC2013) 

     詳細を見る

    開催年月日: 2013年9月 - 2013年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1416. Reduction of Mechanism of Surface Roughness on ArF-Photoresist Using C5HF7 Gas Plasma 国際会議

    Y. Miyawaki, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, A. Ito, H. Matsumoto, M. Hori

    66th Annual Gaseous Electronic Conference (GEC2013) 

     詳細を見る

    開催年月日: 2013年9月 - 2013年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1417. Plasma-activated medium induced apoptosis on tumor cells 国際会議

    M. Hori, H. Tanaka, M. Mizuno, K. Nakamura, H. Kajiyama, K. Takeda, K. Ishikawa, H. Kano, F. Kikkawa

    66th Annual Gaseous Electronic Conference (GEC2013) 

     詳細を見る

    開催年月日: 2013年9月 - 2013年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1418. Growth control of budding yeast cells by oxygen-radical treatment 国際会議

    T. Ohta, H. Hashizume, M. Ito, K. Takeda, M. Hori

    66th Annual Gaseous Electronic Conference (GEC2013) 

     詳細を見る

    開催年月日: 2013年9月 - 2013年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1419. Electromagnetic Simulation of Long-Slotted Waveguide Antenna for Production of Meter-Scale Plasma under Atmospheric Pressure 国際会議

    H. Suzuki, S. Nakano, H. Itoh, M. Sekine, M. Hori, H. Toyada

    66th Annual Gaseous Electronic Conference (GEC2013) 

     詳細を見る

    開催年月日: 2013年9月 - 2013年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  1420. Reaction mechanism between cell membranes of P. digitatum spores and oxygen radicals 国際会議

    M. Ito, H. Hashizume, T. Ohta, K. Takeda, K. Ishikawa, M. Hori

    66th Annual Gaseous Electronic Conference (GEC2013) 

     詳細を見る

    開催年月日: 2013年9月 - 2013年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  1421. プラズマ医療科学創成のための戦略的国際研究とグローバルイノベーションの推進

    堀勝

    新学術領域研究「プラズマ医療科学の創成」公開シンポジウム  

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学   国名:日本国  

  1422. Future industry created by plasma science

    Masaru Hori

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:日本国  

  1423. CH4 /H2 plasma etching on gallium nitride at high temperature

    Takashi Kako, Ze-cheng Liu, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Osamu Oda, Makoto Sekine and Masaru Hori

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1424. Electrical properties of microcrystalline silicon thin films deposited by employing hydrogen radical-injection plasma-enhanced CVD method

    Keita Miwa, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1425. Proliferation activity of budding yeast cells controlled by dose of neutral oxygen species

    H. Hashizume, T. Ohta, M. Hori, M. Ito

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  1426. In-situ fluorescent observation of oxidation of P. digitatum spores treated by neutral oxygen radicals

    H. Hashizume, T. Towatari, T. Ohta, K. Takeda, K. Ishikawa, M. Hori, M. Ito

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  1427. Etching Characteristics of Gallium Nitride based compound semiconductors with Inductively Coupled Cl2 Plasma

    Jia-dong Cao, Yi Lu, Jong-yun Park, Ze-cheng Liu, Takashi Kako,Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine and Masaru Hori

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1428. 大気圧プラズマを用いたミスト化学気相堆積法によるシリコン酸化膜の低温形成

    孫昿達,竹田圭吾,伊藤仁,近藤博基,石川健治,関根誠,堀勝

    平成25年秋季第74回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:同志社大学京田辺キャンパス   国名:日本国  

  1429. Real-time / In-situ Electron Spin Resonance Analysis of Chemical Reactions on Silicon-Nitride with CF4 Gas Plasma

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1430. カーボンナノウォールの表面化学修飾とナノバイオ応用

    近藤博基,渡邊 均,石川健治,関根 誠,堀  勝,平松美根男

    平成25年秋季第74回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:同志社大学京田辺キャンパス   国名:日本国  

  1431. プラズマ-表面相互作用の実時間その場電子スピン共鳴分光研究

    石川健治,鷲見直也,河野昭彦,堀邊英夫,竹田圭吾,近藤博基,関根 誠,堀  勝

    平成25年秋季第74回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:同志社大学京田辺キャンパス   国名:日本国  

  1432. AC励起非平衡大気圧Arプラズマジェットにおける活性種生成への大気巻き込みの影響

    竹田圭吾,石川健治,田中宏昌,加納浩之,関根誠,堀勝

    平成25年秋季第74回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:同志社大学京田辺キャンパス   国名:日本国  

  1433. ラジカル注入型プラズマ励起化学気相堆積法で成長したアモルファスカーボン膜の結晶構造及びラジカル密度に対するガス流量効果

    徐達,賈凌雲,中村将之,近藤博基,石川健治,関根誠,堀勝

    平成25年秋季第74回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:同志社大学京田辺キャンパス   国名:日本国  

  1434. プラズマ励起化学気相堆積法で成長したアモルファスカーボン膜の膜構造に対する基板バイアス印加効果

    中村将之,賈凌雲,徐達,近藤博基,石川健治,関根誠,堀勝

    平成25年秋季第74回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:同志社大学京田辺キャンパス   国名:日本国  

  1435. 新規アンテナ構造を用いたメートル級マイクロ波大気圧ラインプラズマの生成

    鈴木陽香,中野優,伊藤仁,関根誠,堀勝,豊田浩孝

    平成25年秋季第74回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:同志社大学京田辺キャンパス   国名:日本国  

  1436. An Inhibition Mechanism for Surface Roughening of Photoresist During Plasma Etching Process with Plasma Cure

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1437. CHxFyプラズマにおける活性種生成過程とエッチング機構の解明(III)

    近藤祐介,宮脇雄大,竹田圭吾,近藤博基,石川健治,林俊雄,関根誠,堀勝

    平成25年秋季第74回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:同志社大学京田辺キャンパス   国名:日本国  

  1438. CHxF4-x(x=0-3)化合物の電子衝突解離(III)

    林俊雄,石川健治,関根誠,堀勝

    平成25年秋季第74回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:同志社大学京田辺キャンパス   国名:日本国  

  1439. NOx(x=1 or 2) / F2 混合ガスの高温下Si ケミカルドライエッチング(II)

    田嶋聡美,林俊雄,石川健治,関根誠,堀勝

    平成25年秋季第74回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:同志社大学京田辺キャンパス   国名:日本国  

  1440. プラズマプロセス中における有機薄膜表面反応の実時間・その場観察電子スピン共鳴(ESR)解析(5)

    王浩然,石川健治,堀邉英夫,竹田圭吾,近藤博基,関根誠,堀勝

    平成25年秋季第74回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:同志社大学京田辺キャンパス   国名:日本国  

  1441. プラズマによるHとNラジカルの表面損失確率の変化

    鈴木俊哉,竹田圭吾,近藤博基,石川健治,関根誠,堀勝

    平成25年秋季第74回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:同志社大学京田辺キャンパス   国名:日本国  

  1442. カーボンナノウォールの表面化学修飾とナノバイオ応用

    近藤博基,渡邊均,石川健治,関根誠,堀勝,平松美根男

    平成25年秋季第74回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:同志社大学京田辺キャンパス   国名:日本国  

  1443. 液中プラズマで合成したナノグラフェンを用いた燃料電池用白金触媒電極の特性評価

    天野智貴,加納浩之,竹田圭吾,近藤博基,太田貴之,伊藤昌文,平松美根男,石川健治,関根誠,堀勝

    平成25年秋季第74回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:同志社大学京田辺キャンパス   国名:日本国  

  1444. ナノグラフェン合成中の液中プラズマの分光診断

    安藤睦,竹田圭吾,近藤博基,石川健治,関根誠,太田貴之,伊藤昌文,平松美根男,加納浩之,堀勝

    平成25年秋季第74回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:同志社大学京田辺キャンパス   国名:日本国  

  1445. AC励起非平衡大気圧プラズマの放電機構の解明に向けた時空間計測

    梁思潔,孫昿達,竹田圭吾,近藤博基,加納浩之,石川健治,関根誠,堀勝

    平成25年秋季第74回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:同志社大学京田辺キャンパス   国名:日本国  

  1446. 塩素ガスプラズマによるGaNエッチングにおける窒素ガス添加の効果

    劉沢,朴鐘胤,曹佳棟,加古隆,石川健治,小田修,竹田圭吾,近藤博基,関根誠,堀勝

    平成25年秋季第74回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:同志社大学京田辺キャンパス   国名:日本国  

  1447. カーボンナノウォールに対する酸素原子及びアルゴンイオンの同時照射効果

    下枝弘尚,近藤博基,石川健治,平松美根男,関根誠,堀勝

    平成25年秋季第74回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:同志社大学京田辺キャンパス   国名:日本国  

  1448. 低温大気圧プラズマの開発と医療・健康・福祉への応用

    堀 勝

    テクノ・フェア名大2013 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋大学豊田講堂・シンポジオンホール   国名:日本国  

  1449. 先進プラズマ技術による産業イノベーション

    堀 勝、関根 誠、近藤 博基、竹田 圭吾

    テクノ・フェア名大2013 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学豊田講堂・シンポジオンホール   国名:日本国  

  1450. 非平衡大気圧プラズマによる先端グリーン・ライフイノベーション

    豊田 浩孝、石川 健治、堀 勝、関根 誠、近藤 博基、竹田 圭吾

    テクノ・フェア名大2013 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学豊田講堂・シンポジオンホール   国名:日本国  

  1451. がん治療に向けたプラズマ装置及びプラズマ照射溶液の研究開発

    田中 宏昌、水野 正明、石川 健治、竹田 圭吾、中村 香江、梶山 広明、加納 浩之、吉川 史隆、堀 勝

    テクノ・フェア名大2013 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学豊田講堂・シンポジオンホール   国名:日本国  

  1452. Analysis of Fluorocarbon Gas Plasma Surface Interactions Using Real-time/In-situ Electron Spin Resonance 国際会議

    Y. Miyawaki, H. Wang, Y. Kondo, K. Ishikawa, K. Takeda, S. Tajima, H. Kondo, M. Sekine, H. Horibe, M. Hori

    Dry Process Symposium 2013 (DPS2013) 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1453. Non-contact Temperature Monitoring of Substrates Using Optical Interferometry on Plasma Processing 国際会議

    T. Ohta, T. Takayoshi, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori, M. Ito

    Dry Process Symposium 2013 (DPS2013) 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1454. Fabricating the Smooth Chemically Dry Etched Si Surface for MEMS Devices 国際会議

    S. Tajima, T. Hayashi, M. Sasaki, K. Ishikawa, M. Sekine, M. Hori

    Dry Process Symposium 2013 (DPS2013) 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  1455. Effect of Hydrogen Peroxide on Carbon Materials Produced by Gas-liquid Plasma 国際会議

    J. Jolibois, J. Kularatne, H. Kano, M. Ito, H. Kondo, K. Ishikawa, M. Hori

    Dry Process Symposium 2013 (DPS2013) 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  1456. Low-temperature Insulating Film Formation with Plasma Enhanced Mist Chemical Vapor Deposition 国際会議

    K. Sun, K. Takeda, H. Itoh, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    Dry Process Symposium 2013 (DPS2013) 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  1457. Control of Gas Phase Reaction in Etching Plasmas Employing Hydro-fluorocarbon Gases 国際会議

    Y. Kondo, Y. Miyawaki, K. Takeda, H. Kondo, K. Ishikawa, T. Hayashi, M. Sekine, M. Hori

    Dry Process Symposium 2013 (DPS2013) 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  1458. Quantum Chemical Investigations for Dissociation Paths of Fluoro-methane Compounds 国際会議

    T. Hayashi, K. Ishikawa, M. Sekine, M. Hori

    Dry Process Symposium 2013 (DPS2013) 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  1459. Plasma Etching of Ga-based Compound Semiconductor 国際会議

    J. Park, J. Cao, Z. Liu, T. Kako, K. Ishikawa, Y. Setsuhara, K. Takeda, H. Kondo, M. Sekine, M. Hori

    Dry Process Symposium 2013 (DPS2013) 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  1460. Analysis of Fluorocarbon Gas Plasma Surface Interactions Using Real-time/In-situ Electron Spin Resonance 国際会議

    Y. Miyawaki, H. Wang, Y. Kondo, K. Ishikawa, K. Takeda, S. Tajima, H. Kondo, M. Sekine, H. Horibe, M. Hori

    Dry Process Symposium 2013 (DPS2013) 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1461. Non-contact Temperature Monitoring of Substrates Using Optical Interferometry on Plasma Processing 国際会議

    T. Ohta, T. Takayoshi, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori, M. Ito

    Dry Process Symposium 2013 (DPS2013) 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  1462. Fabricating the Smooth Chemically Dry Etched Si Surface for MEMS Devices 国際会議

    S. Tajima, T. Hayashi, M. Sasaki, K. Ishikawa, M. Sekine, M. Hori

    Dry Process Symposium 2013 (DPS2013) 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  1463. Effect of Hydrogen Peroxide on Carbon Materials Produced by Gas-liquid Plasma 国際会議

    J. Jolibois, J. Kularatne, H. Kano, M. Ito, H. Kondo, K. Ishikawa, M. Hori

    Dry Process Symposium 2013 (DPS2013) 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  1464. Low-temperature Insulating Film Formation with Plasma Enhanced Mist Chemical Vapor Deposition 国際会議

    K. Sun, K. Takeda, H. Itoh, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    Dry Process Symposium 2013 (DPS2013) 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  1465. Control of Gas Phase Reaction in Etching Plasmas Employing Hydro-fluorocarbon Gases 国際会議

    Y. Kondo, Y. Miyawaki, K. Takeda, H. Kondo, K. Ishikawa, T. Hayashi, M. Sekine, M. Hori

    Dry Process Symposium 2013 (DPS2013) 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  1466. Quantum Chemical Investigations for Dissociation Paths of Fluoro-methane Compounds 国際会議

    T. Hayashi, K. Ishikawa, M. Sekine, M. Hori

    Dry Process Symposium 2013 (DPS2013) 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  1467. Plasma Etching of Ga-based Compound Semiconductor 国際会議

    J. Park, J. Cao, Z. Liu, T. Kako, K. Ishikawa, Y. Setsuhara, K. Takeda, H. Kondo, M. Sekine, M. Hori

    Dry Process Symposium 2013 (DPS2013) 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  1468. Plasma Medical Innovation : Addressing to a New Science and Technology 国際会議

    Masaru Hori

    9th Asian-european International Conference On Plasma Surface Enginnering, Conference and Exhibition 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  1469. Effect of Neutral Species Generated by the micro Hollow-cathode Discharge Radical Source On the Modification of A549 Cell Viability 国際会議

    S. Tajima and M. Hori

    9th Asian-european International Conference On Plasma Surface Enginnering (AEPSE), Conference and Exhibition 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  1470. Recovery of Plasma-Damaged GaN by in situ Radical Exposure 国際会議

    Makoto Sekine, Zecheng Liu, Shang Chen, Ryosuke Kometani, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, and Masaru Hori

    10th International Conference on Nitride Semiconductors (ICNS10) 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  1471. Surface Analysis of GaN at Elevated Substrate Temperature 国際会議

    Kenji Ishikawa, Ryosuke Kometani, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    10th International Conference on Nitride Semiconductors (ICNS10) 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  1472. Effects of High Temperature Etching on GaN using by Cl2 Plasma 国際会議

    Hiroko Iguchi, Ryosuke Kometani, Michael Liu, Kenji Nakashima, Takahiro Kozawa, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    10th International Conference on Nitride Semiconductors (ICNS10) 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  1473. In-situ ESR measurements for Plasma Materials Interractions 国際会議

    K. Ishikawa, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Sekine, and M. Hori

    9th Asian-european International Conference On Plasma Surface Enginnering, Conference and Exhibition 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  1474. Hydrogen radical-injection plasma fabricated microcrystalline silicon thin film for solar cells 国際会議

    Masaru Hori, Yusuke Abe, Atsushi Fukushima, Ya Lu, Sho Kawashima, Keita Miwa, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, and Makoto Sekine

    21th International Symposium on Plasma Chemistry (ISPC) 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:オーストラリア連邦  

  1475. Plasma-activated medium induced apoptosis on glioblastoma brain tumor cells by inhibiting growth/survival signaling 国際会議

    73.Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Fumitaka Kikkawa, and Masaru Hori

    21th International Symposium on Plasma Chemistry (ISPC) 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:オーストラリア連邦  

  1476. Detection of metal elements in soil using atmospheric pressure plasma 国際会議

    J. Kularatne, J. Jolibois, H. Kano, M. Ito, T. Ohta, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    The 12th Asia Pacific Physics Conference (APPC12) 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1477. Crystallographic and chemical modification of carbon nanowalls by radical oxidation 国際会議

    H. Shimoeda, H. Kondo, K. Ishikawa, M. Hiramatsu, M. Sekine, M. Hori

    The 12th Asia Pacific Physics Conference of AAPPS and The third Asia-Europe Physics Summit (APPP) 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1478. Effect of Hexane on the synthesis of nanographene using liquid plasma 国際会議

    J. Jolibois, J. Kularatne, H. Kano, M. Ito, H. Kondo, K. Ishikawa, M. Hori

    The 12th Asia Pacific Physics Conference of AAPPS and The third Asia-Europe Physics Summit (APPP) 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1479. Detection of metal elements in soil using atmospheric pressure plasma 国際会議

    J. Kularatne, J. Jolibois, H. Kano, M. Ito, T. Ohta, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    The 12th Asia Pacific Physics Conference of AAPPS and The third Asia-Europe Physics Summit (APPP) 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1480. Cell-culturing scaffold application of carbon nanowall (CNW) 国際会議

    M. Hori, H. Watanabe, H. Kondo, Y. Okamoto, M. Hiramatsu, M. Sekine, Y. Baba

    XXXI International Conference on Phenomena in Ionized Gases (ICPIG), Granada Congress Centre, Spain 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:スペイン  

  1481. Inactivation of microorganisms in liquid exposed by oxygen radical source 国際会議

    T. Ohta, H. Hashizume, M. Ito, K. Takeda, K. Ishikawa, M. Hori

    XXXI International Conference on Phenomena in Ionized Gases (ICPIG) 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:スペイン  

  1482. Effect of thin films on wafer temperature during plasma processes investigated by non-contact temperature measurement technique 国際会議

    T. Tsutsumi, K Takeda, K. Ishikawa, T. Ohta, M. Ito, H. Kondo, M. Sekine, M. Hori

    XXXI International Conference on Phenomena in Ionized Gases (ICPIG) 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:スペイン  

  1483. Optical emission spectroscopy of arc plasma using Ni-Y catalyst for synthesizing single-walled carbon nanotubes 国際会議

    A. Ando, T. Ohta, K. Takeda, M. Ito, M. Hiramatsu, T. Suzuki, S. Inoue, Y Ando, M. Hori

    The 12th Asia Pacific Physics Conference of AAPPS and The third Asia-Europe Physics Summit (APPP) 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1484. Effect of Hexane on the synthesis of nanographene using liquid plasma 国際会議

    J. Jolibois, J. Kularatne, H. Kano, M. Ito, H. Kondo, K. Ishikawa, M. Hori

    The 12th Asia Pacific Physics Conference (APPC12) 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1485. Crystallographic and chemical modification of carbon nanowalls by radical oxidation 国際会議

    H. Shimoeda, H. Kondo, K. Ishikawa, M. Hiramatsu, M. Sekine, M. Hori

    The 12th Asia Pacific Physics Conference (APPC12) 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1486. Cell-culturing scaffold application of carbon nanowall(CNW) 国際会議

    M. Hori, H. Watanabe, H. Kondo, Y. Okamoto, M. Hiramatsu, M. Sekine, Y. Baba

    XXXI International Conference on Phenomena in Ionized Gases 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:スペイン  

  1487. Inactivation of microorganisms in liquid exposed by oxygen radical source 国際会議

    T. Ohta, H. Hashizume, M. Ito, K. Takeda, K. Ishikawa, M. Hori

    XXXI International Conference on Phenomena in Ionized Gases 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:スペイン  

  1488. Effect of thin films on wafer temperature during plasma processes investigated by non-contact temperature measurement technique 国際会議

    T. Tsutsumi, K Takeda, K. Ishikawa, T. Ohta, M. Ito, H. Kondo, M. Sekine, M.Hori

    XXXI International Conference on Phenomena in Ionized Gases 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:スペイン  

  1489. 最先端プラズマナノ科学によるグローバルイノベーションの展開

    堀 勝

    第4回ナノエレクトロニクス懇話会・講演会 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:早稲田大学理工学術院 西早稲田キャンパス   国名:日本国  

  1490. 化学的性質

    掘 勝

    日本学術振興会 薄膜第131委員会 第30回 薄膜スクール 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:たがわ龍泉閣   国名:日本国  

  1491. 薄膜の結晶学的構造

    堀勝

    日本学術振興会 薄膜第131委員会 第30回薄膜スクール 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:たがわ龍泉閣   国名:日本国  

  1492. 大気圧・液中プラズマの医療応用におけるフリーラジカル

    堀 勝

    第66回日本酸化ストレス学会学術集会  

     詳細を見る

    開催年月日: 2013年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:WINCあいち,名古屋   国名:日本国  

  1493. ミドリカビ胞子からの実時間その場ESR 信号観察

    石川 健治、水野 寛子、田中 宏昌、橋爪 博司、太田 貴之、伊藤 昌文、竹田 圭吾、近藤 博基、堀 勝

    第66回日本酸化ストレス学会学術集会  

     詳細を見る

    開催年月日: 2013年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:WINCあいち,名古屋   国名:日本国  

  1494. 非平衡大気圧プラズマより発生する活性酸素種の数密度測定とミドリカビ胞子の殺菌効果の評価

    橋爪 博司,太田 貴之,賈 鳳東,竹田 圭吾,石川 健治, 堀 勝,伊藤 昌文

    第66回日本酸化ストレス学会学術集会  

     詳細を見る

    開催年月日: 2013年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:WINCあいち,名古屋   国名:日本国  

  1495. 低温酸素プラズマを用いたミドリカビ胞子の殺菌

    太田貴之, 橋爪 博司,伊藤 昌文,石川 健治, 竹田 圭吾,堀 勝

    第66回日本酸化ストレス学会学術集会  

     詳細を見る

    開催年月日: 2013年6月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:WINCあいち,名古屋   国名:日本国  

  1496. 卵巣癌細胞におけるプラズマの抗腫瘍効果とROSの関与

    中村 香江, 梶山 広明, 内海史, 田中 宏昌, 水野 正明, 石川 健治, 近藤 博基, 加納 浩之, 堀 勝, 吉川 史隆

    第66回日本酸化ストレス学会学術集会  

     詳細を見る

    開催年月日: 2013年6月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:WINCあいち,名古屋   国名:日本国  

  1497. Leading-edge diagnostic techniques and fundamental researches for plasma processing innovations 国際会議

    Masaru Hori

    ASM INTERNATIONAL N.V. 

     詳細を見る

    開催年月日: 2013年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:オランダ王国  

  1498. Leading-edge diagnostic techniques and fundamental research for plasma processing innovations 国際会議

    M. Hori

    ASM SEMINAR 

     詳細を見る

    開催年月日: 2013年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1499. Analysis of fungal spore inactivation based on measurements of reactive-oxygen-species densities 国際会議

    H. Hashizume, T. Ohta, F. Jia, K. Takeda, K. Ishikawa, M. Hori, M. Ito

    17th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 4th Workshop for NU-SKKU  

     詳細を見る

    開催年月日: 2013年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  1500. Global collaboration for advanced plasma R&D of PLANT 国際会議

    M. Hori, J. G. Han, and H. Toyoda

    17th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 4th Workshop for NU-SKKU  

     詳細を見る

    開催年月日: 2013年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1501. Chemical modification and nano-bio applications of carbon nanowalls 国際会議

    H. Kondo, M. Hiramatsu, M. Sekine, M. Hori

    17th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 4th Workshop for NU-SKKU  

     詳細を見る

    開催年月日: 2013年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  1502. Development of optical diagnostics method for interaction between plasma and surface using femtosecond laser technique 国際会議

    T. Ohta, M. Ito, and M. Hori

    17th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 4th Workshop for NU-SKKU 

     詳細を見る

    開催年月日: 2013年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  1503. Effect of boron doping on amorphous carbon films grown by radical-injection plasma-enhanced chemical vapor deposition 国際会議

    L. Jia, J. Kuki, L. Yu, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    17th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 4th Workshop for NU-SKKU  

     詳細を見る

    開催年月日: 2013年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1504. Optical emission spectroscopy of arc plasma using Ni-Y containing carbon electrode 国際会議

    A. Ando, T. Ohta, K. Takeda, M. Ito, M. Hiramatsu, T. Suzuki, Y. Ando, M. Hori

    17th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 4th Workshop for NU-SKKU  

     詳細を見る

    開催年月日: 2013年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1505. Reduction of surface roughness on ArF-photoresist by C5HF7 gas plasma 国際会議

    Y. Miyawaki, Y. Kondo, K. Takeda, S. Tajima, H. Kondo, K. Ishikawa, T. Hayashi, M. Sekine, A. Ito, H. Matsumoto, M. Hori

    17th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 4th Workshop for NU-SKKU  

     詳細を見る

    開催年月日: 2013年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  1506. Plasma Interactions with Soft Materials in Air and Liquid 国際会議

    Y. Setsuhara, A. Miyazaki, K. Takenaka, H. Abe, M. Hori

    17th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 4th Workshop for NU-SKKU  

     詳細を見る

    開催年月日: 2013年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  1507. Precise Rapid Measurement of Substrate Temperature by Frequency-Domain Optical Low-Coherence Interferometry 国際会議

    M. Ito, T. Ohta, T. Tsutsumi, K. Takeda, M. Hori

    17th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 4th Workshop for NU-SKKU  

     詳細を見る

    開催年月日: 2013年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  1508. Trawienie fotorezystu ArF 193 nm za pomocą wiązki rodników wodoru 国際会議

    Arkadiusz MALINOWSKI, Andrzej JAKUBOWSKI, Linda ŁUKASIAK, Takuya TAKEUCHI, Kenji ISHIKAWA, Makoto SEKINE, Masaru HORI

    ELTE'2013 in Poland 

     詳細を見る

    開催年月日: 2013年4月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1509. 窒化ガリウム(GaN)向けたサファイア基板の周波数領域型低コヒーレンス干渉計による温度計測(Ⅱ)

    堤隆嘉、竹田圭吾、石川健治、近藤博基、太田貴之、伊藤昌文、関根誠、堀勝

    第60回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2013年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  1510. 大口径、高密度MBE用ラジカルソースの開発

    NUエコエンジニアリング(株)、名古屋大学

    第4回愛知ナノテクものづくりクラスター成果活用促進事業成果発表会 

     詳細を見る

    開催年月日: 2013年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:「知の拠点あいち」あいち産業科学技術総合センター 1階 講習会室   国名:日本国  

  1511. 高精度超広帯域超短パルスファイバーレーザー光源の開発

    NUシステム(株)、名古屋大学

    第4回愛知ナノテクものづくりクラスター成果活用促進事業成果発表会 

     詳細を見る

    開催年月日: 2013年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:「知の拠点あいち」あいち産業科学技術総合センター 1階 講習会室   国名:日本国  

  1512. カーボンナノグラフェンの常温での高速合成技術の開発

    堀 勝

    高分子学会研究会 

     詳細を見る

    開催年月日: 2013年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:(社)高分子学会会議室   国名:日本国  

  1513. 低温プラズマの医療への応用

    堀 勝

    ミッドランドフォーラム2013 

     詳細を見る

    開催年月日: 2013年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:ミッドランドフォーラム   国名:日本国  

  1514. システム生物学的プラズマ医療科学の幕開け

    田中 宏昌、堀 勝、吉川 史隆、水野 正明

    平成24年度 ものづくり交流フォーラム 

     詳細を見る

    開催年月日: 2013年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋市工業研究所 管理棟1F ホール及び展示場   国名:日本国  

  1515. ガスデザインに基づいた高選択絶縁膜エッチングの検討

    宮脇雄大、浅野高平、近藤祐介、竹田圭吾、田嶋聡美、近藤博基、石川健治、林俊雄、関根誠、伊東安曇、松本裕一、堀勝

    第156回シリコンテクノロジー研究集会 

     詳細を見る

    開催年月日: 2013年2月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京大学本郷キャンパス   国名:日本国  

  1516. 先進プラズマナノ科学研究拠点形成プログラム

    堀 勝

    東海広域ナノテクものづくりクラスター最終成果発表会 

     詳細を見る

    開催年月日: 2013年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:「知の拠点」あいち産業科学技術総合センター   国名:日本国  

  1517. 先進プラズマナノ基盤技術の開発

    堀 勝

    東海広域ナノテクものづくりクラスター最終成果発表会 

     詳細を見る

    開催年月日: 2013年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:「知の拠点」あいち産業科学技術総合センター 1階 講習会室   国名:日本国  

  1518. 超精密vs 超高速:プラズマプロセスの新展開によるナノ構造材料の合成 国際会議

    堀 勝

    日本真空学会 2013 年2 月研究例会 

     詳細を見る

    開催年月日: 2013年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1519. Transportable Micro-plasma VUV Light Source System 国際会議

    Minoru Sasaki, D. Yasumatsu, H. Matsuyama, S. Kumagai, K. Takeda, N. Ebizuka, M. Ho

    The 6th International Conference on PLAsma Nanotechnology & Science (IC-PLANTS 2013) 

     詳細を見る

    開催年月日: 2013年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1520. Vacuum ultraviolet radical monitor ~plasma diagnostics by opticaltechnology~ 国際会議

    N. Ebizuka, M. Hori, Y. Higashijima

    The 6th International Conference on PLAsma Nanotechnology & Science (IC-PLANTS 2013) 

     詳細を見る

    開催年月日: 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1521. Characterization of anti-tumor effect of glioblastoma brain tumor cellsby plasma-activated medium 国際会議

    H. Tanaka, M. Mizuno, K. Ishikawa, K.Nakamura, H. Kajiyama, H. Kano, F. Kikkawa, M. Hori

    The 6th International Conference on PLAsma Nanotechnology & Science (IC-PLANTS 2013) 

     詳細を見る

    開催年月日: 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1522. Raman spectra variation of microorganisms treated, by atmospheric-pressure oxygen-radical source 国際会議

    H. Kato, H. Hashizume, T. Ohta, M.Hiramatsu, M. Hori, M. Ito,

    The 6th International Conference on PLAsma Nanotechnology & Science (IC-PLANTS 2013) 

     詳細を見る

    開催年月日: 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1523. Local micro-plasma irradiation using MEMS nozzles 国際会議

    R. Shimane, H.Asano, S. Kumagai, M. Hori, M. Sasaki

    The 6th International Conference on PLAsma Nanotechnology & Science (IC-PLANTS 2013) 

     詳細を見る

    開催年月日: 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1524. Temperature measurement of carbon nanowall / silicon substrateusing super-continuum light source on low-coherence interferometry 国際会議

    T. Hiraoka, H. Kato, T. Tsutsumi, T. Ohta, M. Ito, K. Takeda, H. Kondo,M. Hori,

    The 6th International Conference on PLAsma Nanotechnology & Science (IC-PLANTS 2013) 

     詳細を見る

    開催年月日: 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1525. Effects of Reactive Oxygen Species on Inactivation of Penicillium Digitatum Spores Dependent on Exposure Distance using an Atmospheric-pressureOxygen Radical Source 国際会議

    Hiroshi Hashizume, T. Ohta, M. Ito, F. Jia, K. Takeda, K. Ishikawa, M. Hori

    The 6th International Conference on PLAsma Nanotechnology & Science (IC-PLANTS 2013) 

     詳細を見る

    開催年月日: 2013年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1526. Influence of N2 inclusion to Ar AP plasma in atomization 国際会議

    J. S.Kularatne, H. Kano, M. Ito, T. Ohta, K. Takeda, H. Kondo, K. Ishikawa,M. Sekine, M. Hori

    The 6th International Conference on PLAsma Nanotechnology & Science (IC-PLANTS 2013) 

     詳細を見る

    開催年月日: 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1527. Effects of hydrogen contained in fluorocarbon gas molecules fordielectric film etching process 国際会議

    Y. Kondo, Y. Miyawaki, K. Takeda, K.Ishikawa, H. Kondo, T. Hayashi, M. Sekine, M. Hori,

    The 6th International Conference on PLAsma Nanotechnology & Science (IC-PLANTS 2013) 

     詳細を見る

    開催年月日: 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1528. Dissociative properties of etching gases 国際会議

    The 6th International Conference on PLAsma Nanotechnology & Science (IC-PLANTS 2013) 

     詳細を見る

    開催年月日: 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1529. Chlorine plasma etching of GaN at high temperature 国際会議

    R. Kometani, L.Michael, K. Ishikawa, K. Takeda, H. Kondo, H. Amano, M. Sekine, M.Hori,

    The 6th International Conference on PLAsma Nanotechnology & Science (IC-PLANTS 2013) 

     詳細を見る

    開催年月日: 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1530. Knowledge Cluster Lecture 国際会議

    M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1531. High temperature plasma etching of GaN 国際会議

    R. Kometani, S. Chen, M. Liu, K. Ishikawa, H. Kondo, K. Takeda, T. Egawa, H. Amano, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1532. Application of atmospheric pressure plasma in element analysis of agricultural products 国際会議

    J. Kularatne, H. Kano, M. Ito, T. Ohta, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1533. High H radical density produced by 1-m-length atmospheric pressure microwave plasma system 国際会議

    H. Itoh, Y. Kubota, Y. Kashiwagi, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, H. Toyoda, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1534. The atmospheric pressure plasmas 国際会議

    H. Kano, K. Takeda, H. Kondo, S. Den, Y. Higashijima, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1535. Real time temperature measurements of film-covered-substrate employing fourier domain low coherence interferometer during plasma processes 国際会議

    T. Tsutsumi, T. Hiraoka, K. Takeda, K. Ishikawa, T. Ohta, M. Ito, H. Kondo, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1536. Measurement of carbon nanowalls / silicon substrate temperature by fourier-domain low-coherence interferometry 国際会議

    T. Hiraoka, T. Tsutsumi, H. Kato, K. Takeda, T. Ohta, H. Kondo, K. Ishikawa, M. Ito, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1537. Absolute density of fluorine atom in capacitively coupled plasma employing hydro-fluorocarbon gases for highly selective SiO2 etching 国際会議

    T. Komuro, K. Takeda, K. Ishikawa, M. Sekine, H. Kondo, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1538. Atomic hydrogen density measurement in toroidal plasma device NAGDIS-T by vacuum ultraviolet absorption spectroscopy 国際会議

    S. Kajita, K. Takeda, K. Sakata, N. Ohno, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1539. Cavity ring down measurements of SiH3-behavior in SiH4/H2 plasma 国際会議

    Y. Abe, A. Fukushima, Y. Kim, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1540. Measurement of species in non-equilibrium atmospheric pressure plasma using near infrared absorption spectroscopy with supercontinuum light 国際会議

    M. Hori, K. Takeda, T. Ohta, M. Ito, N. Nishizawa

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1541. Effects of carbon nanowall scaffold and their chemical termination on cell culturing 国際会議

    H. Watanabe, H. Kondo, Y. Okamoto, M. Sekine, M. Hiramatsu, Y. Baba, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1542. Formation and characteristics of Pt-Au nanoparticles supported on carbon nanowalls (CNWs) employing a supercritical fluid 国際会議

    T. Horibe, H. Kondo, H. Kano, K. Ishikawa, M. Sekine, M. Hiramatsu, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1543. Effects of morphological changes induced by hydrogen peroxide treatment on electrical properties of carbon nanowalls 国際会議

    H. Shimoeda, H. Kondo, K. Takeda, K. Ishikawa, M. Hiramatsu, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1544. Crystallographic and electrical properties of vertically-grown graphene sheets by CH4/H2 plasma 国際会議

    H. Cho, H. Kondo, K. Ishikawa, M. Sekine, M. Hiramatsu, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1545. Effect of Ar gas addition on photoconductive characteristics of amorphous carbon films synthesized by plasma-enhanced chemicalvapor deposition 国際会議

    L. Yu, J. Kuki, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1546. Superior properties of carbon nanowalls as cell scaffolds 国際会議

    Y. Okamoto, H. Watanabe, K. Kubo, H. Kondo, N. Kaji, M. Tokeshi, M. Hori,Y. Baba

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1547. Novel diffraction gratings fabricated by plasma nano-technologies 国際会議

    N. Ebizuka, M. Sasaki, A. Bianco, F. Zerbi, Y. Hirahara, W. Aoki, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1548. Construction of atmospheric pressure mist chemical vapor deposition technology for the all-printed electronic technology 国際会議

    K. Sun, K. Takeda, S. Tajima, H. Kondo, K. Ishikawa, M. Sekine, M. Hori, H. Itoh

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1549. Relation between gaseous radicals and μc-Si film property in SiH4/H2 plasma CVD 国際会議

    A. Fukushima, Y. Lu, Y. Abe, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1550. Hydrogen radical injection plasma deposition of (110)-preferentially oriented microcrystalline silicon films 国際会議

    L. Ya, A. Fukushima, Y. Abe, Y. Kim, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1551. Study on precursor adsorption and reaction within SiO2 growth cycle of low temperature plasma-enhanced atomic layer deposition using in-situ ATR-FTIR 国際会議

    Y. Lu, A. Kobayashi, Y. Kim, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1552. Effect of boron doping on crystalline structures and electrical properties of amorphous carbon films grown by radical-injection plasma-enhanced chemical vapor deposition 国際会議

    J. Kuki, L. Yu, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1553. Rapid and low-temperature nitridation of austenitic stainless steel using electron beam excited plasma (EBEP) 国際会議

    K. Yamakawa, H. Yamamoto, S. Takahashi, S. Den, S. Takashima, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1554. Investigation of Si etch reaction with F and O radicals using SF6/O2 plasma 国際会議

    M. Sekine, S. Amasaki, T. Takeuchi, K. Ishikawa, K. Takeda, H. Kondo, M.Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1555. Sequential exposure of N and H atoms for recovery of plasma-damaged GaN 国際会議

    Z. Liu, S. Chen, Y. Lu, R. Kometani, K. Ishikawa, H. Kano, K. Takeda, H. Kondo, M. Sekine, T. Egawa, H. Amano, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1556. Real-time variation of sputtering yield of Ar ion for ArF photoresist during Ar plasma exposure 国際会議

    T. Takeuchi, C. Corbella, S. Grosse-Kreul, A. Keudell, K. Ishikawa, H. Kondo, K. Takeda, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1557. The change in surface morphology of Si at elevated temperature during the plasmaless Si etching with NO/F2 gas mixture 国際会議

    S. Tajima, T. Hayashi, K. Ishikawa, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1558. Subsequent temporal change of gaseous H and N radical density in H2/N2 plasma after air exposure and its control 国際会議

    T. Suzuki, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1559. Gas-phase reaction model of Ar-diluted CHxFy plasmas 国際会議

    Y. Kondo, Y. Miyawaki, K. Takeda, H. Kondo, K. Ishikawa, T. Hayashi, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1560. Mechanism for degradation of porous SiOCH low-K films by O2 plasma 国際会議

    K. Asano, K. Ishikawa, M. Sekine, K. Takeda, H. Kondo, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1561. Excitation dissociations of c-C5F8 and c-C5HF7 etching gases 国際会議

    T. Hayashi, K. Ishikawa, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1562. Low-damage, high-accuracy plasma etching of Ga-compound semiconductors 国際会議

    J. Cao, R. Kometani, J. Park, K. Ishikawa, K. Takeda, H. Kondo, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1563. Plasma-activated medium selectively killed glioblastoma brain tumor cells and indueced apoptosis 国際会議

    H. Tanaka, M. Mizuno, K. Ishikawa, K. Nakamura, H. Kajiyama, H. Kano, F. Kikkawa, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1564. Electron spin resonance study of plasma-biological surface interaction for food hygiene 国際会議

    K. Ishikawa, H. Mizuno, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1565. Plasma-biological surface interaction investigated by electron spin resonance 国際会議

    H. Mizuno, K. Ishikawa, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1566. Plasma-biomaterials interaction analysis as a basis of fundamental processes in plasma medicine 国際会議

    Y. Setsuhara, K. Takenaka, M. Shiratani, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1567. Plasma-mediated modulation of element distribution in skin and skin cancer 国際会議

    I. Yajima, M. Iida, K. Nakagawa, H. Kondo, M. Kumasaka, K. Takeda, M. Hori, H. Kano, M. Kato

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1568. Nonequilibrium atmospheric pressure plasma selectively killed ovarian cancer cells and induced apoptosis 国際会議

    H. Tanaka, S. Iseki, K. Nakamura, M. Hayashi, H. Kondo, H. Kajiyama, H. Kano, F. Kikkawa, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1569. Effect of biological solution on generation of radical species induced by nonequilibrium atmospheric pressure plasma 国際会議

    J. Jolibois, K. Takeda, H. Tanaka, K. Ishikawa, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1570. Nonequilibrium atmospheric pressure plasma application for surface treatment of high density package substrate 国際会議

    Y. Iwata, H. Sakamoto, K. Takeda, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1571. Research on durability of the hydrophilc property of gas diffusion layer for polymer electrolyte fuel cell adapting atmospheric-pressure plasma treatment 国際会議

    M. Suzuki, E. Murakami, K. Kawata, K. Takeda, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1572. Study on mechanism of ultrahigh speed synthesis of high crystallinity nanographene employing in-liquid plasma 国際会議

    H. Kondo, T. Hagino, K. Ishikawa, H. Kano, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1573. Effect of activated species on plane-direction dependence of Si oxidation in O2/Ar mixture plasma 国際会議

    K. Takeda, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1574. Application of atmospheric pressure plasma in element analysis of agricultural products 国際会議

    J. Kularatne, H. Kano, M. Ito, T. Ohta, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, and M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1575. High H radical density produced by 1-m-length atmospheric pressure microwave plasma system 国際会議

    H. Itoh, Y. Kubota, Y. Kashiwagi, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, H. Toyoda, and M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1576. The atmospheric pressure plasmas 国際会議

    H. Kano, K. Takeda, H. Kondo, S. Den, Y. Higashijima, and M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1577. Real time temperature measurements of film-covered-substrate employing fourier domain low coherence interferometer during plasma processes 国際会議

    T. Tsutsumi, T. Hiraoka, K. Takeda, K. Ishikawa, T. Ohta, M. Ito, H. Kondo, M. Sekine, and M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1578. Measurement of carbon nanowalls / silicon substrate temperature by fourier-domain low-coherence interferometry 国際会議

    T. Hiraoka, T. Tsutsumi, H. Kato, K. Takeda, T. Ohta, H. Kondo, K. Ishikawa, M. Ito, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1579. Absolute density of fluorine atom in capacitively coupled plasma employing hydro-fluorocarbon gases for highly selective SiO2 etching 国際会議

    T. Komuro, K. Takeda, K. Ishikawa, M. Sekine, H. Kondo, and M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1580. Atomic hydrogen density measurement in toroidal plasma device NAGDIS-T by vacuum ultraviolet absorption spectroscopy 国際会議

    S. Kajita, K. Takeda, K. Sakata, N. Ohno, and M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1581. Cavity ring down measurements of SiH3-behavior in SiH4/H2 plasma 国際会議

    Y. Abe, A. Fukushima, Y. Kim, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, and M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1582. Radical Density Control in H2/N2 Plasma Based on In-situ Monitoring with Vacuum Ultra Violet Absorption Spectroscopy 国際会議

    T. Suzuki, K. Takeda, K. Ishikawa, H. Kondo, M, Sekine, and M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1583. Effects of Hydroxyl Radicals on Crystallographic and Electrinic Structures of Carbon Nanowalls 国際会議

    H. Shimoeda, H. Kondo, K. Ishikawa, M. Hiramatsu, M.Sekine and M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1584. Etching characteristics of AlGaN in inductively coupled Cl2 plasmas 国際会議

    J.-D. Cao, Y. Lu, R. Kometani, J. -Y. Park, K. Ishikawa, K. Takeda, H. Kondo, M, Sekine, and M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1585. Real-time / in-situ electron spin resonance analysis of plasma surface interactions 国際会議

    H. Wang, K. Ishikawa, H. Horibe, K. Takeda, H. Kondo, M, Sekine, and M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1586. High rate deep Si etching for TSV applications 国際会議

    I. Sakai, N. Sakurai, T. Ohiwa, M. Sekine, M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1587. Atmospheric pressure glow discharge with large spacing conditions 国際会議

    L. Jia and W. Liu

    The 16th International Workshop of Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1588. Radical Density Control in H2/N2 Plasma Based on In-situ Monitoring with Vacuum Ultra Violet Absorption Spectroscopy 国際会議

    T. Suzuki, K. Takeda, K. Ishikawa, H. Kondo, M, Sekine, M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1589. Etching characteristics of AlGaN in inductively coupled Cl2 plasmas 国際会議

    J.-D. Cao, Y. Lu, R. Kometani, J. -Y. Park, K. Ishikawa, K. Takeda, H. Kondo, M, Sekine, and M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1590. Real-time / in-situ electron spin resonance analysis of plasma surface interactions 国際会議

    H. Wang, K. Ishikawa, H. Horibe, K. Takeda, H. Kondo, M, Sekine, and M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1591. Plasma-surface intertactions in plasma etching of future device fabrication 国際会議

    K. Ishikawa, Y. Miyawaki, T. Takeuchi, K. Takeda, S. Tajima, H. Kondo, T.Hayashi, M. Sekine, and M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1592. Monitoring of wafer temperture in plasma processing using optical low-coherence interferometry 国際会議

    T. Ohta, M. Ito, T. Tsutsumi,T. Hiraoka, K. Takeda, M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1593. Effects of Hydroxyl Radicals on Crystallographic and Electrinic Structures of Carbon Nanowalls 国際会議

    H. Shimoeda, H. Kondo, K. Ishikawa, M. Hiramatsu, M.Sekine and M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1594. プラズマ医療科学の創成とその展望 国際会議

    堀 勝, 井関 紗千子, 田中 昌弘, 石川 健治, 近藤 博基, 竹田 圭吾, 関根 誠, 中村 香江,林 萌美, 梶山 広明, 加納 浩之, 吉川 史隆

    第30回 プラズマプロセシング研究会 (SPP-30) 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:アクトシティ浜松・研修交流センター   国名:日本国  

  1595. 中高圧力におけるマイクロホローカソード放電中の粒子の挙動 国際会議

    井上 真里、太田 貴之、伊藤 昌文、堀 勝

    第30回 プラズマプロセシング研究会 (SPP-30) 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:アクトシティ浜松・研修交流センター   国名:日本国  

  1596. マイクロ波励起表面波プラズマCVD法を用いたカーボン膜の作製 国際会議

    加島 洋平、平松 美根男、近藤 博基、堀 勝

    第30回 プラズマプロセシング研究会 (SPP-30) 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:アクトシティ浜松・研修交流センター   国名:日本国  

  1597. CHF3, CH2F2分子の電子励起解離過程 国際会議

    林 俊雄, 石川 健治, 関根 誠, 堀 勝

    第30回 プラズマプロセシング研究会 (SPP-30) 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:アクトシティ浜松・研修交流センター   国名:日本国  

  1598. プラズマ励起ミスト化学気相成長法を用いた絶縁膜合成 国際会議

    孫 昿達, 竹田 圭吾, 近藤 博基, 田嶋 聡美, 石川 健治, 関根 誠, 堀 勝, 伊藤 仁

    第30回 プラズマプロセシング研究会 (SPP-30) 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:アクトシティ浜松・研修交流センター   国名:日本国  

  1599. 中高圧力におけるマイクロホローカソード放電中の粒子の挙動 国際会議

    井上 真里, ○太田 貴之, 伊藤 昌文, 堀 勝

    第30回 プラズマプロセシング研究会 (SPP-30) 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:アクトシティ浜松・研修交流センター   国名:日本国  

  1600. MEMSノズルを利用した大気圧プラズマ照射によるマイクロ加工 国際会議

    島根 竜太郎, 熊谷 慎也, 太田 貴之, 伊藤 昌文, 堀 勝, 佐々木 実

    第30回 プラズマプロセシング研究会 (SPP-30) 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:アクトシティ浜松・研修交流センター   国名:日本国  

  1601. 大気圧酸素ラジカル源によって処理された微生物のラマン分光 国際会議

    加藤 寛人, 橋爪 博司,太田 貴之, 平松 美根男, 堀 勝, 伊藤 昌文

    第30回 プラズマプロセシング研究会 (SPP-30) 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:アクトシティ浜松・研修交流センター   国名:日本国  

  1602. 電気二重層キャパシタに用いるカーボンナノウォール電極の電気化学評価 国際会議

    吉田 圭佑, 平松 美根男, 近藤 博基, 堀 勝

    第30回 プラズマプロセシング研究会 (SPP-30) 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:アクトシティ浜松・研修交流センター   国名:日本国  

  1603. 超臨界流体を用いた2 段階担持法によるカーボンナノウォールへの超高密度Pt-Au ナノ微粒子担持 国際会議

    堀部 剛良, 近藤 博基, 加納 浩之, 石川 健治, 平松 美根男, 関根 誠, 堀 勝

    第30回 プラズマプロセシング研究会 (SPP-30) 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:アクトシティ浜松・研修交流センター   国名:日本国  

  1604. ハイドロフルオロカーボンガスプラズマによるArF フォトレジストの低ラフネスエッチング機構 国際会議

    浅野 高平, 宮脇 雄大, 石川 健治, 関根 誠, 伊東 安曇, 松本 裕一, 竹田 圭吾,近藤 博樹, 堀 勝

    第30回 プラズマプロセシング研究会 (SPP-30) 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:アクトシティ浜松・研修交流センター   国名:日本国  

  1605. プラズマ化学気相堆積法を用いたナノグラフェンの核発生によるカーボンナノウォールの選択成長 国際会議

    塚田 僚介, 平松 美根男, 近藤 博基, 堀 勝

    第30回 プラズマプロセシング研究会 (SPP-30) 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:アクトシティ浜松・研修交流センター   国名:日本国  

  1606. マイクロ波励起表面波プラズマCVD法を用いたカーボン膜の作製 国際会議

    加島 洋平, 平松 美根男, 近藤 博基, 堀 勝

    第30回 プラズマプロセシング研究会 (SPP-30) 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:アクトシティ浜松・研修交流センター   国名:日本国  

  1607. プラズマCVD を用いて作製したカーボンナノウォールのバイオセンサ特性 国際会議

    永島 三千弥, 渡邊 均, 平松 美根男, 近藤 博基, 堀 勝

    第30回 プラズマプロセシング研究会 (SPP-30) 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:アクトシティ浜松・研修交流センター   国名:日本国  

  1608. 散乱分光法を用いた単層カーボンナノチューブ合成アークプラズマ中の微粒子計測 国際会議

    安藤 睦, 竹田 圭吾, 太田 貴之, 伊藤 昌文, 平松 美根男, 鈴木 智子, 井上 栄,安藤 義則,堀 勝

    第30回 プラズマプロセシング研究会 (SPP-30) 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:アクトシティ浜松・研修交流センター   国名:日本国  

  1609. 塩素ガスプラズマによる窒化ガリウムの高温エッチング 国際会議

    米谷 亮祐, 陳 尚, 曹 佳棟, 劉 沢铖, 石川 健治, 竹田 圭吾, 近藤 博基, 関根 誠, 天野 浩, 堀 勝

    第30回 プラズマプロセシング研究会 (SPP-30) 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:アクトシティ浜松・研修交流センター   国名:日本国  

  1610. O(3Pj)とO2(1Δg)密度測定によるミドリカビ胞子の殺菌因子の評価 国際会議

    橋爪 博司, 太田 貴之, 賈 鳳東, 竹田 圭吾, 石川 健治, 堀 勝, 伊藤 昌文

    第30回 プラズマプロセシング研究会 (SPP-30) 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:アクトシティ浜松・研修交流センター   国名:日本国  

  1611. プラズマ医療科学の推進

    堀 勝

    第4回プラズマ医療・健康産業シンポジウム 第13回応用物理学会・プラズマエレクトロニクス分科会・新領域研究会、文部科学省・新学術領域研究「プラズマ医療科学の創成」東京拠点会議 合同開催 

     詳細を見る

    開催年月日: 2012年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1612. Comprehensive study of atmospheric pressure plasma 国際会議

    M. Hori, K. Ishikawa, H. Kondo, K. Takeda, M. Tanaka, S. Makoto, T. Ohta, M. Ito,

    69th IUVSTA Workshop on Oxidation of Organic Materials by Excited Radicals Created in Non-equilibrium Gaseous Plasma  

     詳細を見る

    開催年月日: 2012年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:スロベニア共和国  

  1613. ドライエッチングの基礎―エッチング技術

    堀 勝

    ナノテク製造中核人材の養成プログラム『プラズマ技術と成膜技術』講義 

     詳細を見る

    開催年月日: 2012年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:産総研つくば中央第2事業所2-12棟2階第6会議室   国名:日本国  

  1614. Plasma Technology in Nagoya University 国際会議

    M. Hori

    SKKU-Nagoya University Nano-Bio Workshop 

     詳細を見る

    開催年月日: 2012年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  1615. 加熱触媒体により生成した水素ラジカルによるPMMA 系ポリマーの分解機構の解明

    能登雄佑、新井祐、高橋聖司、河野昭彦、石川健治、堀勝、

    平成 24 年度応用物理学会 北陸・信越支部 学術講演会 

     詳細を見る

    開催年月日: 2012年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:富山県民会館(〒930-0006 富山県富山市新総曲輪4 番18 号)   国名:日本国  

  1616. Plasma-Biological Surface Interaction for Food Hygiene: Real-time in situ Electron Spin Resonance Measurements 国際会議

    K. Ishikawa, H. Mizuno, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Takeda,H. Kondo, M. Sekine, M. Hori

    34th International Symposium on Dry Process (DPS2012) 

     詳細を見る

    開催年月日: 2012年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1617. Highly selective etching of gap-fill dielectrics over SiC and SiN by the dc-bias superposed 国際会議

    T. Komuro, K. Takeda, K. Ishikawa, M. Sekine, Y. Ohya, H. Kondo,

    34th International Symposium on Dry Process (DPS2012) 

     詳細を見る

    開催年月日: 2012年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1618. Quantum chemical investigations for excitation dissociations of C5F8 and C5HF7 etching gases 国際会議

    T. Hayashi, K. Ishikawa, M. Sekine, M. Hori

    34th International Symposium on Dry Process (DPS2012) 

     詳細を見る

    開催年月日: 2012年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1619. A reduction of degradation on ArF photoresist by C5HF7 plasma etching and its mechanism 国際会議

    K. Asano, Y. Miyawaki, K. Ishikawa, M. Sekine, K. Takeda, A. Ito, H. Matsumoto, H. Kondo, M. Hori

    34th International Symposium on Dry Process (DPS2012) 

     詳細を見る

    開催年月日: 2012年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1620. Studies on Plasma Etching of Si3N4 in Capacitively Coupled Plasma employing C5HF7 国際会議

    Y. Miyawaki, Y. Kondo, M. Sekine, K. Ishikawa, T. Hayashi, K. Takeda, A. Ito, H. Matsumoto, M. Hori

    34th International Symposium on Dry Process (DPS2012) 

     詳細を見る

    開催年月日: 2012年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1621. Nucleation mechanism of self-organized vertical nano-graphenes grown using inductively coupled plasma enhanced chemical vapor deposition 国際会議

    R. Tsukada, Y. Nihashi, M. Hiramatsu, H. Kondo, M. Hori

    34th International Symposium on Dry Process (DPS2012) 

     詳細を見る

    開催年月日: 2012年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1622. A High Temperature Plasma Etching of GaN and Its Reaction Mechanism 国際会議

    R. Kometani, S. Chen1, M. Liu, K. Ishikawa, H. Kondo, K. Takeda, T. Egawa, H. Amano, M. Sekine, M. Hori

    34th International Symposium on Dry Process (DPS2012) 

     詳細を見る

    開催年月日: 2012年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1623. Plasma surface modification of carbon nanowalls for biosensor application 国際会議

    M. Nagashima, T. Maeda, M. Hiramatsu, H. Watanabe, H. Kondo, M. Hori

    34th International Symposium on Dry Process (DPS2012) 

     詳細を見る

    開催年月日: 2012年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1624. An in-situ sequential H and N radical exposure process for recovery 国際会議

    H. Kano, K. Takeda, H. Kondo, M. Sekine, T. Egawa, H. Amano, M. Hori

    34th International Symposium on Dry Process (DPS2012) 

     詳細を見る

    開催年月日: 2012年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1625. Evaluation of gas-surface reaction dynamics during the plasmaless Si etching using NO/F2 gas mixture 国際会議

    S. Tajima, T. Hayashi, K. Ishikawa, M. Sekine, M. Hori

    34th International Symposium on Dry Process (DPS2012) 

     詳細を見る

    開催年月日: 2012年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1626. High performances of microcrystalline Si thin film formation for a solar cell by measurement and control of hydrogen radicals in the SiH4/H2 plasma 国際会議

    Y. Abe, A. Fukushima, Y. Lu, Y. Kim, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    34th International Symposium on Dry Process (DPS2012) 

     詳細を見る

    開催年月日: 2012年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1627. Photon-stimulated surface reaction and generation of damage to hydrogenated 国際会議

    M. Fukasawa, H. Matsugai, T. Honda, Y. Miyawaki, Y. Kondo, K. Takeda, H. Kondo,

    34th International Symposium on Dry Process (DPS2012) 

     詳細を見る

    開催年月日: 2012年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1628. プラズマの生成と制御・装置

    堀 勝

    第23回 プラズマエレクトロニクス講習会 

     詳細を見る

    開催年月日: 2012年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:東京大学 本郷(浅野)キャンパス 武田先端知ビル5F 「武田ホール」   国名:日本国  

  1629. Breakthrough Innovation Achieved by Plasma Science and Technology with Global 国際会議

    Masaru Hori

    The GRDC Symposium 2012 Green Science and Engineering for Health and Environment 

     詳細を見る

    開催年月日: 2012年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  1630. 大気圧・液中プラズマによる表面処理技術のイノベーション

    堀 勝

    平成24年度(第23回)表団協セミナー 

     詳細を見る

    開催年月日: 2012年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1631. Mechanism of Generating Ions and Radicals in Fluorocarbon Plasma Investigated by Reaction Model Analysis, 国際会議

    Y. Kondo, Y. Miyawaki, K. Takeda, H. Kondo, K. Ishikawa, T. Hayashi, M.

    59th AVS International Symposium and Exhibition 

     詳細を見る

    開催年月日: 2012年10月 - 2012年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1632. Subsequent Temporal Change of Gaseous H and N Radical Density in Plasma after Different Processes 国際会議

    T. Suzuki, A. Malinowski, K. Takeda, H. Kondo, K. Ishikawa,Y. Setsuhara, M. Shiratani, M. Sekine, M. Hori,

    59th AVS International Symposium and Exhibition 

     詳細を見る

    開催年月日: 2012年10月 - 2012年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1633. Real time In Situ Electron Spin Resonance (ESR) Study of Free Radicals on Materials Created by Plasmas, 国際会議

    K. Ishikawa, H. Horibe, M. Ito, M. Sekine, M. Hori,

    59th AVS International Symposium and Exhibition 

     詳細を見る

    開催年月日: 2012年10月 - 2012年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  1634. Interface Trap Generation by VUV/UV Radiation from Radiation from 国際会議

    M. Fukasawa,Y.Miyawaki, Y. Kondo, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, H. Matsugai, T. Honda, M. Minami, F. Uesawa, M. Hori, T. Tatsumi,

    59th AVS International Symposium and Exhibition 

     詳細を見る

    開催年月日: 2012年10月 - 2012年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  1635. Investigation of Plasma-Surface Interactions Between Hydrogen Radical and Chemically Amplified Photoresist, 国際会議

    A.Malinowski, M. Sekine, M. Hori, K. Ishikawa, H. Kondo, T. Takeuchi, T. Suzuki, A. Jakubowski, L. Lukasiak, D.Tomaszewski,

    59th AVS International Symposium and Exhibition 

     詳細を見る

    開催年月日: 2012年10月 - 2012年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1636. Crystalline and Electrical Properties of Vertically-Laminated Carbon Nanowalls formed by Two-Step 国際会議

    H. Kondo, T. Kanda, M. Hiramatsu K. Ishikawa, M. Sekine, M. Hori,

    59th AVS International Symposium and Exhibition 

     詳細を見る

    開催年月日: 2012年10月 - 2012年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1637. Control of Surface Properties on Plasma-Etched 国際会議

    R. Kometani, S. Chen, J. Park, J. Cao, Y. Lu, K.Ishikawa, K. Takeda, H. Kondo, H. Amano, M. Sekine, M. Hori

    59th AVS International Symposium and Exhibition Etched 

     詳細を見る

    開催年月日: 2012年10月 - 2012年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1638. Evaluation of Surface Chemical Bonding State and Surface Roughness of Chemical Dry Etched Si using NO and F2 Gas Mixture, 国際会議

    S.Tajima, T. Hayashi, K. Ishikawa, M. Sekine, M. Hori

    59th AVS International Symposium and Exhibition 

     詳細を見る

    開催年月日: 2012年10月 - 2012年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  1639. プラズマが創る新しい高付加価値社会 国際会議

    堀 勝

    第5回プラズマ技術産業応用センター(PLACIA)&プラズマが拓くものづくり研究会(PLAM)国際シンポジウム 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:日本語   会議種別:口頭発表(基調)  

    開催地:サイエンス交流プラザ 大会議室   国名:日本国  

  1640. プラズマ培養液による脳腫瘍細胞のアポトーシス誘導 国際会議

    田中 宏昌,水野 正明,石川 健治,中村 香江,梶山 広明,加納 浩之,吉川 史隆,堀 勝

    第5回プラズマ技術産業応用センター(PLACIA)&プラズマが拓くものづくり研究会(PLAM)国際シンポジウム 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:サイエンス交流プラザ 大会議室   国名:日本国  

  1641. ミドリカビ胞子殺菌における活性酸素種の定量的解析 国際会議

    橋爪 博司,太田 貴之,伊藤 昌文,賈 鳳東,竹田 圭吾,石川 健治,堀 勝

    第5回プラズマ技術産業応用センター(PLACIA)&プラズマが拓くものづくり研究会(PLAM)国際シンポジウム 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:サイエンス交流プラザ 大会議室   国名:日本国  

  1642. AC励起大気圧プラズマを用いた下水モニタリング装置の開発 国際会議

    J. S. KULARATNE,加納 浩之,伊藤 昌文,太田 貴之,竹田 圭吾,石川 健治,近藤 博基,関根 誠,堀 勝

    第5回プラズマ技術産業応用センター(PLACIA)&プラズマが拓くものづくり研究会(PLAM)国際シンポジウム 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:サイエンス交流プラザ 大会議室   国名:日本国  

  1643. Measurement of activated species generated by 60 Hz excited atmospheric pressure Ar plasma in atmospheric gas 国際会議

    Keigo Takeda, Jerome Jolibois, Kenji Ishikawa, Hiromasa Tanaka, Hiroyuki Kano, Makoto Sekine, Masaru Hori

    65th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1644. Temperature measurement of substrate with a thin film using low-coherence interference 国際会議

    Takayoshi Tsutsumi, Takehiro Hiraoka, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, Masaru Hori

    65th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1645. Line profile and translational temperature of Pb and metastable He atoms at middle pressures in micro hollow cathode discharge 国際会議

    Mari Inoue, Takayuki Ohta, Masafumi Ito, Masaru Hori

    65th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1646. Fabrication of graphene-based films using remote plasma CVD 国際会議

    Mineo Hiramatsu, Ryosuke Tsukada, Yohei Kashima, Masateru Naito, Hiroki Kondo, Masaru Hori

    65th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1647. Healing Process of Plasma-damaged Gallium Nitride (GaN) 国際会議

    Kenji Ishikawa(invited),Shang Chen, Keigo Takeda, Hiroki Kondo, Makoto Sekine Masaru Hori

    the 1st International Conference on Emerging Advanced Nanomaterials (ICEAN) 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1648. いまさら聞けない「プラズマの基礎」 国際会議

    堀 勝

     詳細を見る

    開催年月日: 2012年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1649. プラズマバイオ・医療

    永津 雅章

    畠山力三先生 退職記念公演会 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:ウエスティンホテル仙台   国名:日本国  

  1650. Study of the decomposition mechanism of PMMA-type polymers by hydrogen 国際会議

    Yu Arai, Yusuke Noto, Yousuke Goto, Seiji Takahashi, Akihiko Kono,

    7th International Conference on Hot-Wire Chemical Vapor Deposition (Cat-CVD),  

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1651. Estimation of activation energies for decomposition reaction of polymer by hydrogen 国際会議

    Akihiko Kono, Yu Arai, Yousuke Goto, Seiji Takahashi, Kenji Ishikawa,

    7th International Conference on Hot-Wire Chemical Vapor Deposition (Cat-CVD),  

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1652. Evaluation of Relationship between μC-Si Film Property and Flux Ratio of H Radicals to Film Precursors 国際会議

    A. Fukushima, Y. Abe, Y. Lu, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1653. Non-Contact Temperature Measurement of Sapphire Substrate for GaN using Frequency Domain Low Coherence Interferometry 国際会議

    Takayoshi Tsutsumi, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1654. Two-Step Supporting of High-Density Metal Nanoparticle Catalysts on Carbon Nanowalls using Supercritical Fluid 国際会議

    Takeyoshi Horibe, Hiroki Kondo, Hiroyuki Kano, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1655. Microcrystal Orientation of Silicon Thin Film Deposited with Hydrogen Radical Injection Plasma Enhanced CVD 国際会議

    Lu Ya, Astushi Fukushima, Yusuke Abe, Youn J. Kim, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1656. The Formation of the Insulating Film with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition by Hexamethyldisiloxan Mist 国際会議

    Kuangda Sun, Keigo Takeda, Hiroki Kondo, Satomi Tajima, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Hitoshi Itoh

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1657. Highly Selective Etching of Dielectrics over SiC Film by DC Superposed Dual-Frequency CCP 国際会議

    T. Komuro, K. Takeda, K. Ishikawa, M. Sekine, Y. Ohya, H. Kondo, M. Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1658. Mechanism and Control of Roughness Formation on ArF Photoresist by C5HF7 Etching Plasma 国際会議

    Kohei Asano, Yudai Miyawaki, Kenji Ishikawa, Makoto Sekine, Keigo Takeda, Azumi Ito, Hirokazu Matsumoto, Hiroki Kondo, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1659. Studies on Plasma Etching of Si3N4 Employing New Alternative Gas, C5HF7 国際会議

    Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Azumi Ito, Hirokazu Matsumoto, M. Sekine, M. Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1660. Generation Mechanism of Ions and Radicals in CHxFy Etching Plasmas 国際会議

    Yusuke Kondo, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Toshio Hayashi, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1661. Change of GaAs Surface Properties by Irradiation of Cl2 Ions 国際会議

    Jongyun Park, Takuya Takeuchi, Jiadong Cao, Kenji Ishikawa, Yuichi Setsuhara, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1662. Observation of Plasma-Exposed GaN Surface at High Temperature 国際会議

    Ryosuke Kometani, Shang Chen, Yi Lu, David Cao, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Takashi Egawa, Horishi Amano, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1663. Recovery of Plasma-Damaged GaN by Employing Exposure of Radicals 国際会議

    Z. Liu, S. Chen, Y. Lu, R. Kometani, K. Ishikawa, Hiroyuki Kano, K. Takeda, H. Kondo, M. Sekine, T. Egawa, H. Amano, M. Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1664. Influence of Last Condition in Plasma Reactor on Gaseous Radical Density 国際会議

    Toshiya Suzuki, Arkadiusz Malinowski, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Yuichi Setsuhara, Masaharu Shiratani, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1665. Optical Properties of Carbon Nano Walls in Terahertz Frequencies Region 国際会議

    Takehiro Hiraoka, Keigo Takeda, Takayuki Ohta, Noboru Ebitsuka, Hiroki Kondo, Kenji Ishikawa, Kodo Kawase, Masafumi Ito, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1666. Research on Plasma Etching of Gallium Based Compound Semiconductor 国際会議

    J. Cao, R. Kometani, J. Park, Y. Lu, S. Chen, K. Ishikawa, M. Sekine, M. Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1667. Low Temperature Deposition and Characteristics of GaN Thin Film by HF-PECVD 国際会議

    Youn Joon Kim, Yi Lu, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1668. Reconsideration on Effects of H Radicals on Thin Film Surfaces in Plasma Enhanced Chemical Vapor Deposition employing SiH4/H2 Gases 国際会議

    Masaru Hori, Yusuke Abe, Atsushi Fukushima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Young Joon Kim, Makoto Sekine

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1669. Effects of Pulse Bias on Crystallographic Properties of Amorphous Carbon Films Grown by Plasma-enhanced Chemical Vapor Deposition 国際会議

    Jun Kuki, Leyong Yu, Hiroki Kondo, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1670. Surface Reaction of F2, NO, F, and FNO on Si during the Plasmaless Si Etching in NO/F2 Gas Mixture 国際会議

    Satomi Tajima, Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1671. Effect of Nitrogen Doping on Photoconductivity of Amorphous Carbon Films Grown by Radical-injection Plasma-enhanced Chemical Vapor Deposition 国際会議

    Leyong Yu, Jun Kuki, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1672. Effects of Carbon Nanowall Scaffold on HeLa Cell Culture 国際会議

    Hitoshi Watanabe, Hiroki Kondo, Yukihiro Okamoto, Makoto Sekine, Mineo Hiramatsu, Yoshinobu Baba, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1673. Effects of Hydroxyl Radical on Nanographene Surfaces in Carbon Nanowalls 国際会議

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1674. Absolute Density and Translational Temperature of Atomic Oxygen Radical in Surface Wave Oxygen Plasma with High Rare Gas Dilution 国際会議

    Keigo Takeda, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1675. Spectroscopic Determination of Radical Densities in SiH4/H2 Plasma 国際会議

    Yusuke Abe, Atsushi Fukushima, Ya Lu, Youn Joon Kim, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1676. Edge Termination on Electrical Properties of Vertically-grown Graphene Sheets Employing N2/H2 Mixture Plasma 国際会議

    Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    2012 International Conference on Solid State Devices and Materials (SSDM 2012) 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1677. プラズマ気相・表界面反応科学の最前線 ~プラズマと有機・生体との相互作用の解明~

    堀 勝

    第60回レオロジー討論会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋大学東山キャンパス   国名:日本国  

  1678. Edge Termination on Electrical Properties of Vertically-grown Graphene Sheets Employing N2/H2 Mixture Plasma 国際会議

    Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    2012 International Conference on Solid State Devices and Materials (SSDM 2012) 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1679. Oxidative Inactivation Mechanism of Fungal Spores Employing Atmospheric-pressure Oxygen Radical Source 国際会議

    Takayuki Ohta, Hiroshi Hashizume, Masafumi Ito, Keigo Takeda, Kenji Ishikawa, Masaru Hori

    International Union of Materials Research Societies - Internet Conference on Electronic Materials 2012 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1680. Advanced Plasma Science and Technologies for Nanostructure Control of Carbon Nanomaterials 国際会議

    Hiroki Kondo, Mineo Hiramatsu, Masaru Hori

    International Union of Materials Research Societies - Internet Conference on Electronic Materials 2012 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1681. Effects of Hydrogen Peroxide Treatment on Nanostructures in Carbon Nanowalls 国際会議

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    International Union of Materials Research Societies - Internet Conference on Electronic Materials 2012 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1682. Field Electron Emitter Fabricated by Plasma Etching of Organic Material 国際会議

    Toshiya Suzuki, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Yuichi Setsuhara, Seiji Shiratani, Makoto Sekine, Masaru Hori

    International Union of Materials Research Societies - Internet Conference on Electronic Materials 2012 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1683. Investigation of Hydrogen Radical Kinetics during ArF 193nm Photoresist Processing for Ultimate CMOS Technology Development 国際会議

    Arkadiusz Malinowski, Makoto Sekine, Masaru Hori, Kenji Ishikawa, Hiroki Kondo, Takuya Takeuchi, Toshiya Suzuki, Andrzej Jakubowski, Lidia Lukasiak

    International Union of Materials Research Societies - Internet Conference on Electronic Materials 2012 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1684. Chemical dry etching of poly-Si and single crystal Si using NO and F2 gas mixture without using plasmas 国際会議

    Satomi Tajima, Kenji Ishikawa, Toshio Hayashi, Masaru Hori

    International Union of Materials Research Societies - Internet Conference on Electronic Materials 2012 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1685. In Situ XPS Analysis of ArF Photoresist Modification Caused by Fluorocarbon Ions and Radicals 国際会議

    T. Takeuchi, S. Amasaki, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    International Union of Materials Research Societies - Internet Conference on Electronic Materials 2012 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1686. Surface Roughness on Plasma-Etched Gallium Nitride (GaN) 国際会議

    Kenji Ishiwaka, Ryosuke Kometani, Shang Chen, Yi Lu, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    International Union of Materials Research Societies - Internet Conference on Electronic Materials 2012 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1687. Real-time / In-situ Electron Spin Resonance Analysis of Surface Reactions on Organic / Biological Materials Interacted with Plasmas 国際会議

    K. Ishikawa, H. Moriyama, N. Sumi, K. Tamiya, H. Hashizume, T. Ohta, M. Ito, A. Kono, H. Horibe, H. Tanaka, K. Takeda, H. Kondo, M. Sekine, and M. Hori

    International Union of Materials Research Societies - Internet Conference on Electronic Materials 2012 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1688. 水素ラジカルによるPMMA系ポリマーの分解反応

    新井 祐、能登 雄佑、鷲見 直也、高橋 聖司、河野 昭彦、石川 健治、堀 勝、堀邊 英夫

    第61回高分子討論会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋工業大学   国名:日本国  

  1689. Effects of Edge Termination on Crystalline and Electronic Structures of Carbon Nanowalls by Post-growth Nitrogen Plasma Treatment 国際会議

    Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, Masaru Hori

    Warsaw University of Technology POLAND 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ポーランド共和国  

  1690. Nucleation control of self-organized vertical nano-graphenes using inductively coupled plasma enhanced chemical vapor deposition 国際会議

    Mineo Hiramatsu, Yuki Nihashi, Hiroki Kondo, Masaru Hori

    Warsaw University of Technology POLAND 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ポーランド共和国  

  1691. Gradual transition of chemical structures at initial growth stage of carbon nanowalls 国際会議

    Hiroki Kondo, Koji Yasuda, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    Warsaw University of Technology POLAND 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ポーランド共和国  

  1692. 「講演奨励賞受賞記念講演」有機材料エッチングによる電界電子放出ナノピラーの作成(II)

    鈴木俊哉(招待講演),Arkadiusz Malinowski,竹田圭吾,近藤博基,石川健治,節原裕一,白谷正治,関根 誠,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:日本国  

  1693. Relationship between Ion Energy and Highly Selective SiO2 Etching Characteristics using C5HF7 Gas

    Yudai Miyawaki,Yusuke Kondo,Keigo Takeda,Hiroki Kondo,Ishikawa Kenji,Azumi Ito,Hirikazu Matsumoto,Makoto Sekine,Masaru Hori

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1694. Mechanism of Etching and Generating Active Species in CHxFy Plasma

    Yusuke Kondo,Yudai Miyawaki,Keigo Takeda,Hiroki Kondo,Kenji Ishikawa,Toshio Hayashi,Makoto Sekine,Masaru Hori

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1695. Impact of Last Reactor Condition to Radical Density in Subsequent H2/N2 plasma and its Control

    Toshiya Suzuki,Arkadiusz Malinowski,Keigo Takeda,Hiroki Kondo,Kenji Ishikawa,Yuiti Setsuhara,Masaharu Shiratani,Makoto Sekine,Masaru Hori

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  1696. Properties of GaAs surface by ion irradiation of chlorine

    Jongyun Park,Takuya Takeuchi,Jiadong Cao,Kenji Ishikawa,Yuichi Setsuhara,Keigo Takeda,Hiroki Kondo,Makoto Sekine,Masaru Hori

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1697. Measurement of SiH3 Radicals in SiH4/H2 Plasma for Silicon Thin-Film Solar Cells

    Yusuke Abe,Atsushi Fukushima,Ya Lu,Youn Joon Kim,Keigo Takeda,Hiroki Kondo,Kenji Ishikawa,Makoto Sekine,Masaru Hori

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1698. C5HF7ガスプラズマによるArFフォトレジストの表面ラフネス形成の低減機構

    浅野高平,宮脇雄大,竹田圭吾,近藤博基,石川健治,伊東安曇,松本裕一,関根 誠,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:日本国  

  1699. 高温における窒化ガリウム(GaN)のエッチング機構(3)

    米谷亮祐,陳  尚,曹 佳棟,Michael Liu,石川健冶,竹田圭吾,近藤博基,関根 誠,江川孝志,天野 浩,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:日本国  

  1700. 二周波容量結合型プラズマへのDCバイアス重畳によるSiC膜に対する高選択比絶縁膜エッチング

    古室達也,竹田圭吾,石川健治,関根 誠,大矢欣伸,近藤博基,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:日本国  

  1701. GaNにおけるプラズマダメージのラジカル修復(3)

    Zecheng Liu,陳  尚,盧  翌,米谷亮祐,石川健治,加納浩之,竹田圭吾,近藤博基,関根 誠,江川孝志,堀  勝,天野 浩

    平成24年秋季第73回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:日本国  

  1702. Ga系化合物半導体のプラズマエッチングに関する研究

    曹 佳棟,米谷亮祐,朴 鐘胤,盧  翌,陳  尚,石川健治,関根 誠,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:日本国  

  1703. プラズマからの紫外線照射によるSiNx:H/Si界面準位生成

    深沢正永,松谷弘康,本多孝好,宮脇雄大,近藤祐介,竹田圭吾,近藤博基,石川健治,関根 誠,南 正樹,上澤史且,堀  勝,辰巳哲也

    平成24年秋季第73回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:日本国  

  1704. C5F8および C5HF7の励起解離

    林 俊雄,石川健治,関根 誠,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:日本国  

  1705. 酸素ラジカル照射によるミドリカビ胞子のラマンスペクトルの変化

    加藤寛人,橋爪博司,太田貴之,平松美根男,伊藤昌文,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:日本国  

  1706. 活性酸素種の密度測定によるミドリカビ胞子殺菌の定量的評価の検討

    橋爪博司,太田貴之,伊藤昌文,賈 鳳東,竹田圭吾,石川健治,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:日本国  

  1707. プラズマ培養液によるグリオーマ脳腫瘍細胞の選択的殺傷

    田中宏昌,水野正明,石川健治,中村香江,梶山広明,加納浩之,吉川史孝,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:日本国  

  1708. 細胞培養に対するカーボンナノウォール足場の効果

    渡邊 均,近藤博基,岡本行広,関根 誠,平松美根男,堀  勝,馬場嘉信

    平成24年秋季第73回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:日本国  

  1709. 超臨界流体を用いたカーボンナノウォール(CNWs)へのPt, Au微粒子の2段階担持における微粒子相互作用

    堀部剛良,近藤博基,加納浩之,石川健治,関根 誠,平松美根男,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:日本国  

  1710. カーボンナノウォールの結晶構造に対するラジカル酸化効果

    下枝弘尚,近藤博基,石川健治,平松美根男,関根 誠,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:日本国  

  1711. カーボンナノウォールのテラヘルツ時間領域分光計測による光学特性評価

    平岡丈弘,竹田圭吾,太田貴之,海老塚昇,近藤博基,石川健治,伊藤昌文,川瀬晃道,関根 誠,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:日本国  

  1712. 窒素/水素混合ガスプラズマを用いたグラフェンエッジ化学修飾によるカーボンナノウォールの電気的特性制御

    趙 亨峻,近藤博基,石川健治,関根 誠,平松美根男,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:日本国  

  1713. プラズマレスSiケミカルドライエッチング手法の開発(II)

    田嶋聡美,林 俊雄,石川健治,関根 誠,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:日本国  

  1714. プリンタブルエレクトロニクスに向けた大気圧ミスト化学気相堆積技術の構築

    孫 昿達,竹田圭吾,近藤博基,田嶋聡美,石川健治,関根 誠,堀  勝,伊藤 仁

    平成24年秋季第73回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:日本国  

  1715. プラズマ医療科学創成による未来医療の革新

    堀  勝 (招待講演)

    平成24年秋季第73回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:日本国  

  1716. 半導体レーザ吸収分光法を用いたマイクロホローカソード放電中の原子の挙動解析

    井上真里,太田貴之,土谷茂樹,伊藤昌文,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:日本国  

  1717. 窒化ガリウム(GaN)向けサファイア基板の周波数領域型低コヒーレンス干渉計による温度計

    堤 隆嘉,竹田圭吾,石川健治,近藤博基,太田貴之,伊藤昌文,関根 誠,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:日本国  

  1718. Ni-Y触媒を用いたカーボンナノチューブ合成アークプラズマ中の微粒子の挙動

    安藤 睦,竹田圭吾,太田貴之,伊藤昌文,平松美根男,鈴木智子,井上 栄,安藤義則,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:日本国  

  1719. Study on synthesis mechanisms of nano-graphene materials employing alcohol in-liquid plasma

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  1720. 水素ラジカル注入型プラズマ源を用いた微結晶シリコン成膜のRFパワーによる膜質変化

    陸  雅,福島敦史,阿部祐介,金 淵俊,竹田圭吾,石川健治,近藤博基,関根 誠,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:日本国  

  1721. SiH4/H2プラズマ化学気相堆積法におけるHラジカル・成膜前駆体フラックス比とシリコン薄膜膜質の関係性

    福島敦史,阿部祐介,竹田圭吾,近藤博基,石川健治,関根 誠,堀  勝,陸  雅

    平成24年秋季第73回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:日本国  

  1722. プラズマ励起化学気相堆積法で成長したアモルファスカーボン膜の膜構造に対するパルスバイアス印加効果

    九鬼 淳,于 楽泳,近藤博基,関根 誠,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:日本国  

  1723. プラズマ異方性CVD による窒化レジスト上への硬質カーボン膜の製膜

    鳥越隆平,浦川達也,山下大輔,内田儀一郎,古賀一憲,白谷正治,節原裕一,関根 誠,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:愛媛大学城北地区・松山大学文京キャンパス   国名:日本国  

  1724. Study on reaction mechanism of plasma-enhanced atomic layer deposition of SiO2 using in-situ ATR-FTIR

    Yi Lu,Akiko Kobayashi,Youn Joon Kim,Kenji Ishikawa,Hiroki Kond1,Makoto Sekine,Masaru Hori

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1725. Identification of ESR signals arisen from Penicillium digitatum spores-2

    Hiroko Mizuno,Hiroshi Hashizume,Hiromasa Tanaka,Kenji Ishikawa,Takayuki Ohta,Masafumi Ito,Keigo Takeda,Hiroki Kondo,Makoto Sekine,Masaru Hori

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1726. Vibrational Sum-Frequency-Generation (SFG) Spectroscopic study -2

    Kenji Ishikawa,Hiroko Mizuno,Hiromasa Tanaka,Keigo Takeda,Hiroki Kondo,Makoto Sekine,Masaru Hori

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1727. Measuring Metal Elements in Food Products Using Ar Atmospheric Pressure Plasma

    Jagath Kularatne,Hiroyuki Kano,Masafumi Ito,Takayuki Ohta,Keigo Takeda,Hiroki Kondo,Kenji Ishikawa,Makoto Sekine,Masaru Hori

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1728. Behaviors of activated species generated by ultrahigh density 60 Hz atmospheric pressure Ar plasma in atmospheric gas

    Keigo Takeda,Kenji Ishikawa,Hiromasa Tanaka,Hiroyuki Kano,Makoto Sekine,Masaru Hori

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1729. Effect of nitrogen doping on photoconductivity of amorphous carbon films grown by radical-injection plasma-enhanced chemical vapor deposition

    Leyong Yu,Jun Kuki,Hiroki Kondo,Kenji Ishikawa,Makoto Sekine,Masaru Hori

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1730. Investigation of modification of ArF photoresist during plasma etching processes 国際会議

    K.Takeuchi, M.Sekine, K.Ishikawa, H.Kondo, K.Takeda, M.Hori

    14th Annual TECHCON Conference Technology&Talent for the 21st Century 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1731. 未来をつくるプラズマ

    堀 勝

    テクノ・フェア名大2012-未来を明日に近づける技術- 

     詳細を見る

    開催年月日: 2012年8月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋大学豊田講堂   国名:日本国  

  1732. Atmospheric Pressure Plasma Processes and their Diagnostics for Life Sciences 国際会議

    Masasu Hori (Plenary), Masahiro Tanaka, Kenji Ishikawa, Hiroki Kondo, Keigo Takeda, Makoto Sekine, Fumitaka Kikkawa, Kae Nakamura, Moemi Hayashi, Hiroaki Kajiyama, Masaaki Mizuno, Hiroyuki Ohta, Masafumi Ito, Hiroyuki Kano

    The 2nd International Symposium for Plasma Biosciences 

     詳細を見る

    開催年月日: 2012年8月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:大韓民国  

  1733. Atmospheric Pressure Plasma Processes and their Diagnostics for Life Sciences 国際会議

    Masaru Hori

    2012 The 2nd International Symposium for Plasma Bioscience (SPB2012) 

     詳細を見る

    開催年月日: 2012年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  1734. High-speed synthesis and crystalinity control of nanographene using inliquid plasma in alcohol 国際会議

    M.Hori, H.Kondo, T.Hagino, K.Ishikawa, K.Takeda, H.Kano, M.Sekine

    XXI Europhysics Conference on the Atomic and Molecular Physics of Ionized Gases 

     詳細を見る

    開催年月日: 2012年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1735. Film properties of indium-zinc-oxide films using RF magnetron sputtering evaluated by optical diagnostics in gas phase 国際会議

    M.Inoue, M.Ito, T.Ohta, M.Hori,

    XXI Europhysics Conference on the Atomic and Molecular Physics of Ionized Gases 

     詳細を見る

    開催年月日: 2012年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

    Poster session, P1.4.11

  1736. Analysis of Photoresist Surface Modified by Fluorocarbon lons and Radicals 国際会議

    Makoto Sekine, Takuya Takeuchi, Shinpei Amasaki, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Toshio Hayashi and Masaru Hori

    International Conference on Plasma Science 

     詳細を見る

    開催年月日: 2012年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:グレートブリテン・北アイルランド連合王国(英国)  

  1737. Real time in situ Electron Spin Resonance (ESR) study of Surface Reaction on Polymer Interacted with Plasma 国際会議

    Masaru Hori, Kenji Ishikawa, Naoya Sumi, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, Akihiko Kono and Hideo Horibe

    International Conference on Plasma Science 

     詳細を見る

    開催年月日: 2012年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:グレートブリテン・北アイルランド連合王国(英国)  

  1738. Electron Spin Resonance (ESR) study of Radicals on Biological Organism Created by Interaction with Plasma 国際会議

    Kenji Ishikawa, Hiromasa Tanaka, Hiroko Moriyama, Sachiko Iseki, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, Masaru Hori, Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito and Hiroyuki Kano

    International Conference on Plasma Science 

     詳細を見る

    開催年月日: 2012年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:グレートブリテン・北アイルランド連合王国(英国)  

  1739. Inactivation Efficiencies of Reactive Oxygen Species on Spores by Atmospheric-Pressure O2/Ar Plasma Inactivation of Penicilium Degitatum 国際会議

    Hiroshi Hashizumi, Takayuki Ohta, Masafumi Ito, Fengdong Jia, Keigo Takeda, Kenji Ishikawa and Masaru Hori

    International Conference on Plasma Science 

     詳細を見る

    開催年月日: 2012年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:グレートブリテン・北アイルランド連合王国(英国)  

  1740. Advanced Plasma Science and Technology for Green and Life Innovations 国際会議

    M. Hori

    The 4th International Conference on Microelectronics and Plasma Technology 

     詳細を見る

    開催年月日: 2012年7月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:日本国  

  1741. Critical Factors of Synthesis Rate and Crystallinity of Nanographene in Ultrahigh-Speed Nanographene Synthesis Employing Alcohol Plasma 国際会議

    H. Kondo, T. Hagino, K. Ishikawa, H. Kano, M. Sekine, M. Hori

    The 4th International Conference on Microelectronics and Plasma Technology 

     詳細を見る

    開催年月日: 2012年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1742. Edge and Surface Modification of Nanographenes in Carbon Nanowalls by Hydrogen Peroxide Treatments 国際会議

    H. Shimoeda, H. Kondo, K. Ishikawa, M. Hiramatsu, M. Sekine, M. Hori

    The 4th International Conference on Microelectronics and Plasma Technology 

     詳細を見る

    開催年月日: 2012年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1743. Selective Killing of Ovarian Cancer Cells through Induction of Apoptosis by a Nonequilibrium Atmospheric Pressure Plasma (NEAPP) 国際会議

    S. Iseki, K. Nakamura, M. Hayashi, H. Tanaka, H. Kondo, H. Kajiyama, H. Kano, F. Kikkawa, M. Hori

    4th International Conference on Plasma Medicine 

     詳細を見る

    開催年月日: 2012年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  1744. 次世代天文学観測装置用の新しい回折格子

    海老塚 昇,佐々木 実,青木 和光,Andrea Bianco,Filippo Maria Zerbi,関根 誠,石川 健治,近藤 博基,堀 勝

    第37回 光学シンポジウム  

     詳細を見る

    開催年月日: 2012年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京大学 生産技術研究所 An棟 コンベンションホール   国名:日本国  

  1745. The History and Future Challenge of Plasma Nanotechnology Center in Nagoya University 国際会議

    Masaru Hori

    The 15th Korea-Japan Workshop for Advanced Plasma Processing and Diagnostics (15th K-J Workshop)  

     詳細を見る

    開催年月日: 2012年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  1746. Selective killing of ovarian cancer cells through induction of apoptosis by nonequilibrium atmospheric pressure plasma 国際会議

    Tanaka Hiromasa, Sachiko Iseki, Kae Nakamura, Moemi Hayashi, Hiroki Kondo, Hiroaki Kajiyama, Hiroyuki Kano, Fumitaka Kikkawa, Masaru Hori

    The 15th Korea-Japan Workshop for Advanced Plasma Processing and Diagnostics (15th K-J Workshop)  

     詳細を見る

    開催年月日: 2012年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  1747. Reaction mechanism of plasma etching using hydrogen-containing fluorocarbon gas 国際会議

    Kondo yusuke, Yudai Miyawaki, Kenji Ishikawa, Toshio Hayashi, Makoto Sekine, Keigo Takeda, Hiroki Kondo and Masaru Hori

    The 15th Korea-Japan Workshop for Advanced Plasma Processing and Diagnostics (15th K-J Workshop)  

     詳細を見る

    開催年月日: 2012年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1748. High Resolution Temperature Monitoring System of Semiconductor Substrate Using Fourier Domain Low Coherence Interferometer, S02 国際会議

    T. Tsutsumi, M. Hori, M. Sekine, K. Ishikawa, K. Takeda, H. Kondo, T. Ohta and M. Ito

    The 15th Korea-Japan workshop for Advanced Plasma Process and Diagnostics 

     詳細を見る

    開催年月日: 2012年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1749. Selective killing of ovarian cancer cells through induction of apoptosis by nonequilibrium atmospheric pressure plasma, P10 国際会議

    H. Tanaka, S. Iseki, K. Nakamura, M. Hayashi, H. Kondo, H. Kajiyama, H. Kano, F. Kikkawa and M. Hori

    The 15th Korea-Japan workshop for Advanced Plasma Process and Diagnostics 

     詳細を見る

    開催年月日: 2012年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  1750. High Resolution Temperature Monitoring System of Semiconductor Substrate Using Fourier Domain Low Coherence Interferometer, P21 国際会議

    T. Tsutsumi, M. Hori, M. Sekine, K. Ishikawa, K. Takeda, H. Kondo, T. Ohta and M. Ito

    The 15th Korea-Japan workshop for Advanced Plasma Process and Diagnostics 

     詳細を見る

    開催年月日: 2012年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  1751. Selective killing of ovarian cancer cells through induction of apoptosis by nonequilibrium atmospheric pressure plasma 国際会議

    Hiromasa Tanaka, Sachiko Iseki, Kae Nakamura, Moemi Hayashi, Hiroki Kondo, Hiroaki Kajiyama, Hiroyuki Kano, Fumitaka Kikkawa, Masaru Hori

    The 15th Korea-Japan Workshop for Advanced Plasma Processing and Diagnostics (15th K-J Workshop)  

     詳細を見る

    開催年月日: 2012年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  1752. Reaction mechanism of plasma etching using hydrogen-containing fluorocarbon gas 国際会議

    Kondo yusuke, Yudai Miyawaki, Kenji Ishikawa, Toshio Hayashi, Makoto Sekine, Keigo Takeda, Hiroki Kondo and Masaru Hori

    The 15th Korea-Japan Workshop for Advanced Plasma Processing and Diagnostics (15th K-J Workshop)  

     詳細を見る

    開催年月日: 2012年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  1753. Formation of 10-nm Organic Pillars by Plasma Etch with Pt particle masks and their Field Emission Properties. 国際会議

    Makoto Sekine, Toshiya Suzuki, Keigo Takeda, Hiroki Kondoi, Kenji Ishikawa, Yuichi Setsuhara, Masaharu Shiratani, Masaru Hori

    The 15th Korea-Japan Workshop for Advanced Plasma Processing and Diagnostics (15th K-J Workshop)  

     詳細を見る

    開催年月日: 2012年6月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:大韓民国  

  1754. Diagnostics of Atomic Oxygen in O2/Rare Gas Mixture Plasma with Vacuum Ultraviolet Laser Absorption Spectroscopy 国際会議

    K. Takeda, M. Hori

    5th international workshop on plasma spectroscopy 

     詳細を見る

    開催年月日: 2012年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  1755. Measurement of the flux ratio of hydrogen atom to film precursor for microcrystalline silicon solar cell 国際会議

    Y. Abe, M. Hori, A. Fukushima, L. Ya, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine

    5th international workshop on plasma spectroscopy 

     詳細を見る

    開催年月日: 2012年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  1756. Oxidation Process of Penicillium digitatum Spores Using Atmospheric-pressure Plasma Observed by Fluorescent Microscopy, WW-1.5 国際会議

    H. Hashizume, T. Ohta, S. Iseki, T. Mori, M. Ito and M. Hori

    2012 MRS Spring Meeting & Exhibit,  

     詳細を見る

    開催年月日: 2012年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1757. Selective Killing of Ovarian Cancer Cells through Induction of Apoptosis by a Nonequilibrium Atmospheric Pressure Plasma, WW-2.4 国際会議

    H. Tanaka, S. Iseki, K. Nakamura, M. Hayashi, H. Kondo, H. Kajiyama, H. Kano, F. Kikkawa and M.Hori

    2012 MRS Spring Meeting & Exhibit,  

     詳細を見る

    開催年月日: 2012年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1758. Electron Spin Resonance (ESR) Observation of Radicals on Biological Organism Interacted with Plasmas, WW-2.6 国際会議

    K. Ishikawa, S. Iseki, H. Moriyama, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Sekine and M. Hori

    2012 MRS Spring Meeting & Exhibit,  

     詳細を見る

    開催年月日: 2012年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1759. Terahertz Time-domain Spectroscopic Sensing of Penicillium Digitatum Inactivated by Non-equilibrium Atmospheric Pressure Plasmas, WW-7.3 国際会議

    T. Hiraoka, N. Ebizka, K. Takeda, T. Ohta, K. Ishikawa, M. Ito, K. Kawase, M. Sekine, and M. Hori

    2012 MRS Spring Meeting & Exhibit,  

     詳細を見る

    開催年月日: 2012年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1760. XPS Studies on Plasma Interactions with Soft-materials as a Basis of Investigations on Fundamental Processes with Biomolecules in Plasma Medicine, WW-9.1 国際会議

    Y. Setsuhara, K. Cho, K. Takenaka, M. Shiratani, M. Sekine, and M. Hori.

    2012 MRS Spring Meeting & Exhibit,  

     詳細を見る

    開催年月日: 2012年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1761. フッ素ラジカル密度計測システムの開発, T05

    東島康裕, 高島成剛, 竹田圭吾, 石川健治, 関根 誠, 堀 勝

    中部ナノテク総合支援: ナノ材料創製加工と先端機器分析 平成23年度成果報告会 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:自然科学研究機構岡崎コンファレンスセンター   国名:日本国  

  1762. AC励起大気圧非平衡O2/Arプラズマジェットの酸素原子空間密度分布, P05

    竹田圭吾, 加藤正規, 石川健治, 関根 誠, 堀 勝

    中部ナノテク総合支援: ナノ材料創製加工と先端機器分析 平成23年度成果報告会 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:自然科学研究機構岡崎コンファレンスセンター   国名:日本国  

  1763. 浮遊電極の一部がガス流路内にある誘導結合型マイクロプラズマ源

    佐藤龍仁,熊谷慎也,堀勝,佐々木実

    第59回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:早稲田大学、東京都   国名:日本国  

  1764. Efficiency of Ground-state Atomic Oxygen for Inactivation of P. Digitatum Spores Evaluated by Atmospheric Pressure Oxygen Radical Source 国際会議

    H. Hashizume, T. Ohta, M. Ito, S. Iseki, F. Jia, K. Takeda, K. Ishikawa, and M. Hori

    5th International Conference on PLAsma-NanoTechnology & Science, P-19 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1765. Plasma-activated Medium Effectively Killed Glioma Cancer Cells 国際会議

    H. Tanaka, K. Nakamura, S. Iseki, H. Kajiyama, H. Moriyama, K. Ishikawa, H. Kano, F. Kikkawa, M. Mizuno, and M. Hori

    5th International Conference on PLAsma-NanoTechnology & Science, P-21 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1766. Behaviours of Reactive Oxygen Species Generated by an Ar/O2 Atmospheric Pressure Plasma Jet 国際会議

    F. Jia, K. Takeda, K. Ishikawa, H. Kano, J. Kularatne, H. Kondo, M. Sekine, and M. Hori

    5th International Conference on PLAsma-NanoTechnology & Science, P-22 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1767. Transportable Micro Plasma Light Source System 国際会議

    H. Matsuyama, S. Kumagai, K. Takeda, N. Ebizuka, M. Hori, and M. Sasaki

    5th International Conference on PLAsma-NanoTechnology & Science, P-25 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1768. Fabrication Methods for Volume Binary Grating and Novel Immersion Grating by means of MEMS Technologies 国際会議

    N. Ebizuka, M. Sasaki, W. Aoki, A. Bianco, F. Maria Zerbi, M. Sekine, K. Ishikawa, H. Kondo, and M. Hori

    5th International Conference on PLAsma-NanoTechnology & Science, P-26 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1769. ESR Signals Arisen from Penicillium Digitatum Spores 国際会議

    H. Moriyama, K. Ishikawa, K. Tamiya, H. Hashizume, T. Ohta, M. Ito, H. Tanaka, K. Takeda, H. Kondo, M. Sekine, and M. Hori

    5th International Conference on PLAsma-NanoTechnology & Science, P-20 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1770. Nonequiribrium Atmospheric Pressure Plasma (NEAPP): A Promising Tool for Medicine 国際会議

    H. Tanaka (Invited), S. Iseki, K. Nakamura, M. Hayashi, H. Kondo, H. Kajiyama, H. Kano, F. Kikkawa, M. Hori

    International Workshop on Plasma Science for Bio-Application 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1771. Relationship between silicon thin film property and flux ratio of H radical to silicon growth precursor in SiH4/H2 plasma CVD, 5p-A04OA 国際会議

    A. Fukushima, Y. Abe, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, and M. Hori

    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1772. Advanced plasma nano science and technology for synthesis of nano-graphenes 国際会議

    M. Hori (Keynote), M. Sekine, H. Kondo, K. Ishikawa, K. Takeda, M. Hiramatsu

    iPlasmaNano-III, K-5 

     詳細を見る

    開催年月日: 2012年2月 - 2012年3月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:シンガポール共和国  

  1773. Formation of 10-nm organic pillars by plasma etch with Pt particle masks and their field emission properties 国際会議

    M. Sekine (Invited), T. Suzuki, K. Takeda, H. Kondo, K. Ishikawa, Y. Setsuhara, M. Shiratani, M. Hori

    iPlasmaNano-III, I-22 

     詳細を見る

    開催年月日: 2012年2月 - 2012年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:シンガポール共和国  

  1774. Study of surface reaction in etching process 国際会議

    Masaru Hori(Invited), Makoto Sekine, Takuya Takeuchi, Sumie Segawa, Yuji Tsukamoto, Ikuo Sawada, Jozef Brcka, Yannick P Feurprier

    Future materials and process for nanotechnology 

     詳細を見る

    開催年月日: 2012年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1775. Surface Loss Probability of Hydrogen Radical on Silicon Thin Film in SiH4/H2 Plasma CVD, O06 国際会議

    K. Takeda, Y. Abe, H. Kondo, K. Ishikawa, M. Sekine, and M. Hori

    The 8th EU-Japan Joint Symposium on Plasma Processing "Atomic and Molecular Database for Plasmas and Surfaces" 

     詳細を見る

    開催年月日: 2012年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1776. Challenge and Scope of Carbon Nanowalls for Green Plasma Innovation 国際会議

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics, The 2nd Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     詳細を見る

    開催年月日: 2012年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1777. Clarification of Si Oxidation Mechanism in O2 and Rare Gas Mixture Plasma with Plasma Diagnostics 国際会議

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics, The 2nd Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     詳細を見る

    開催年月日: 2012年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1778. Modification of ArF Photoresist Caused by Irradiation of Fluorocarbon Plasma-beam 国際会議

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics, The 2nd Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     詳細を見る

    開催年月日: 2012年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1779. Mechanism of Generating Ions and Radicals in C3F6O Plasma 国際会議

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics, The 2nd Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     詳細を見る

    開催年月日: 2012年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1780. Challenge and Scope of Carbon Nanowalls for Green Plasma Innovation 国際会議

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics, The 2nd Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     詳細を見る

    開催年月日: 2012年1月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1781. Clarification of Si Oxidation Mechanism in O2 and Rare Gas Mixture Plasma with Plasma Diagnostics 国際会議

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics, The 2nd Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     詳細を見る

    開催年月日: 2012年1月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1782. Modification of ArF Photoresist Caused by Irradiation of Fluorocarbon Plasma-beam 国際会議

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics, The 2nd Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     詳細を見る

    開催年月日: 2012年1月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1783. Mechanism of Generating Ions and Radicals in C3F6O Plasma 国際会議

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics, The 2nd Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     詳細を見る

    開催年月日: 2012年1月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1784. 先端プラズマナノプロセスが実現するカーボンナノウォールの 制御合成と機能デバイス応用

    近藤 博基, 平松 美根男, 堀 勝

    第21回日本MRS学術シンポジウム 

     詳細を見る

    開催年月日: 2011年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:横浜開港記念館   国名:日本国  

  1785. 大気圧プラズマ表面処理によるカーボンナノウォール表面の超親水性の発現機構

    渡邊 均, 近藤 博基, 平松 美根男, 関根 誠, 堀 勝

    第21回日本MRS学術シンポジウム 

     詳細を見る

    開催年月日: 2011年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:横浜開港記念館   国名:日本国  

  1786. カーボンナノウォールの低温二段階成長

    神田 貴幸, 近藤 博基, 竹田 圭吾, 石川 健二, 関根 誠, 堀 勝, 山川 晃治, 平松 美根男

    第21回日本MRS学術シンポジウム 

     詳細を見る

    開催年月日: 2011年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:横浜開港記念館   国名:日本国  

  1787. 超臨界流体を用いたTiO2 微粒子のカーボンナノウォール(CNWs) への超高密度担持と光触媒特性の評価

    堀部 剛良, 三ツ口 真司, 近藤 博基, 石川 健治, 加納 浩之, 平松 美根男, 関根 誠, 堀 勝

    第21回日本MRS学術シンポジウム 

     詳細を見る

    開催年月日: 2011年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:横浜開港記念館   国名:日本国  

  1788. Improvement of Polymer Electrode Fuel Cell PerformanceUsing Atmospheric-Pressure Plasma Processing to Gas Diffusion Layer 国際会議

    Masashi Suzuki , Eiji Murakami ,Keiichi Kawata, Keigo Takeda and Masaru Hori

     詳細を見る

    開催年月日: 2011年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1789. High Speed Surface Modification in Fine-Pitch Pakage Substrate Manufacturing Process with High Density 60Hz Noneequilibrium Atmospheric Pressure Plasma 国際会議

    Yoshiyuki Iwata,Hajime Sakamoto,Keigo Takeda,MasaruHori

    2011MRS Fall Meeting 

     詳細を見る

    開催年月日: 2011年11月 - 2011年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1790. Electric and Crystallographic Structures of Carbon Nanowalls 国際会議

    HirokiKondo,HyungJunCho,TakayukiKanda,MasaruHori,MineoHiramatsu

    2011MRS Fall Meeting 

     詳細を見る

    開催年月日: 2011年11月 - 2011年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1791. カーボンナノウォールの初期成長表面における化学構造の変化に関する研究

    安田幸司、近藤博基、平松美根男、関根誠、堀勝

     詳細を見る

    開催年月日: 2011年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:石川県立音楽堂   国名:日本国  

  1792. CH4/H2プラズマを用いて成長したカーボンナノウォールの結晶構造及び電気的特徴

    趙 亨峻、竹田圭吾、近藤博基、石川健治、関根誠、堀勝

     詳細を見る

    開催年月日: 2011年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:石川県立音楽堂   国名:日本国  

  1793. 低温大気圧プラズマとミドリカビ胞子の相互作用

    橋爪博司、太田貴之、森拓海、伊藤昌文、竹田圭吾、石川健治、堀勝

     詳細を見る

    開催年月日: 2011年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:石川県立音楽堂   国名:日本国  

  1794. 低温プラズマ異方性CVDを用いた微細とトレンチ上面への自己組織カーボンマスク形成

    古閑一憲、浦川達也、内田儀一朗、鎌滝晋礼、板垣奈穂、白谷正治、節原裕一、関根誠、堀勝

     詳細を見る

    開催年月日: 2011年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:石川県立音楽堂   国名:日本国  

  1795. 光干渉計を用いたプラズマプロセス中の非接触ウエア温度モニタリング

    太田貴之、堤隆嘉、伊藤昌文、堀勝

     詳細を見る

    開催年月日: 2011年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:石川県立音楽堂   国名:日本国  

  1796. O2/Ar非平衡大気圧プラズマにおける活動週の3次元気相反応解析

    加藤正規、竹田圭吾、石川健治、近藤博基、関根誠、堀勝

     詳細を見る

    開催年月日: 2011年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:石川県立音楽堂   国名:日本国  

  1797. 水素原子原付プラズマCVD装置を用いた炭素薄膜の異方性製膜に対する基板バイアスの影響

    浦河達也、松崎秀文、山下大輔、内田儀一朗、古閑一憲、白谷正治、節原裕一、関根誠、堀勝

     詳細を見る

    開催年月日: 2011年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:石川県立音楽堂   国名:日本国  

  1798. マイクロ波プラズマCVD法を用いた銅基板へのグラフェン膜の作製

    内藤全晃、平松美根男、堀勝

     詳細を見る

    開催年月日: 2011年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:石川県立音楽堂   国名:日本国  

  1799. 加熱触媒体により生成した原子状水素を用いたPMMA 系ポリマーの除去 国際会議

    渡邉 誠、 新井 祐, 河野 昭彦, 鷲見 直也, 石川 健治, 堀 勝, 堀邊 英夫

    平成23年度応用物理学会北陸・信越支部学術講演会 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:金沢歌劇座   国名:日本国  

  1800. コンビナトリアルによるプラズマ科学の構築と有機材料の超微細加工への展開

    堀勝(特別講演)

    第52回真空に関する連合講演会 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:学習院創立百周年記念会館   国名:日本国  

  1801. Evalutaion of Penicillium digitatum sterilization using non-equilibrium atmospheric pressure plasma by terahertz time-domain spectroscopy 国際会議

    T.Hiraoka,N.Ebizuka,K.Takeda,T.Ohta,H.Kondo,K.Ishikawa,K.Kawase,M.Ito,M.Sekine,M.Hori

    64thAnnualGaseouselectronicsConference 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1802. Kinetics of metastable He atom middle pressure in micro hollow cathode discharge 国際会議

    M.Inoue,T.Ohta,T.Kanae,M.Ito,M.Hori

    64thAnnualGaseouselectronicsConference 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1803. Deposition profile control of carbon films on trenched substrate by simulataneous plasma CVD and plasma etching 国際会議

    M.Shiratani,T.Urakawa,D.Yamashita,K.Kamataki,N.Itagaki,G.Uchida,K.Koga,Y.Setsuhara,M.Sekine,M.Hori

    64thAnnualGaseouselectronicsConference, 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1804. Behavior of radicals in SiH4/H2 plasma for fabrication of solar cell using silicon thin film 国際会議

    Y.Abe,A.Fukushima,Y.Lu,K.Takeda,H.Kondo,K.Ishikawa,M.Sekine,M.Hori

    64thAnnualGaseouselectronicsConference 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1805. Study on modification process of photoresist by fluorocarbon and radicals 国際会議

    T.Takeuchi,S.Amasaki,K.Takeda,K.Ishikawa,H.Kondo,M.Sekine,M.Hori

    64thAnnualGaseouselectronicsConference 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1806. Mechanism of highly Selective SiO2 Etching over Si using New Alternative Gas,C5HF7 国際会議

    Y.Miyawaki,K.Takeda,H.Kondo,K.Ishikawa,M.Sekine,M.Hori,A.Yamazaki,A.Ito,H.Matsumoto

    64thAnnualGaseouselectronicsConference 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1807. Quantum chemical inestigation for Chemical dry etching by flowing NF3 into H2 down flow plasma 国際会議

    T.Hayashi,K.Ishikawa,M.Sekine,M.Hori,A.Kono,K.Suu

    64thAnnualGaseouselectronicsConference 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1808. Plasma induced damage to InGaN single quantum well 国際会議

    S.Tomiya,M.Minami,K.Ishikawa,S.Izumi,M.Kanda,M.Fukusawa,A.Yamaguchi,M.Hori,T.Tatsumi

    ,DPS2011 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1809. High Performances of Si Oxidations Employing O2/Ar Surface Wave Excited Plasma and the Clarification of their Mechanisms with Plasma Diagnostics 国際会議

    K.Takeda,M.Hori

    DPS2011 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1810. Optical emission spectroscopy of Ar+H2+C7H8 discharges for anisotropic plasma CVD of carbon 国際会議

    T.Urakawa,H.Matsuzaki,D.Yamashita,G.Uchida,K.Koga,M.Shiratani,Y.Setushara,M.Sekine,M.Hori

    DPS2011, 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1811. Investigation of plasma interactions with organic semiconductors for fabrication of flexible electronics devices 国際会議

    K.Cho,K.Takenaka,Y.Setsuhara,M.Shiratani,M.Sekine,M.Hori

    DPS2011 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1812. Inhibition of roughness formation on 193 nm photoresist during C5HF7/O2/Ar plasma etching 国際会議

    K.Asano,H.Yamamoto,Y.Miyawaki,K.Takeda,H.Kondo,K.Ishikawa,A.Yamazaki,A.Ito,H.Matsumoto,M.Sekine,M.Hori

    DPS2011 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1813. Real-time/In-situ electron spin resonance analysis of surface reactions on organic materials with atomic hydrogen irradiation 国際会議

    N.Sumi,K.Ishikawa,A.Kono,H.HOribe,K.Takeda,H.Kondo,M.sekine,M.Hori

    DPS2011 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1814. Quantum chemical investigation for Si Chemical dry etching by flowing NF3 into N2 down flow plasma 国際会議

    T.Hayashi,K.Ishikawa,M.Sekine,M.Hori,A.Kono,K.Suu

    DPS2011 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1815. Clarification of Highly Selective SiO2 Etching Mechanisms using C5HF7Gas 国際会議

    Y.Miyawaki,Y.Kondo,K,Takeda,K.Ishikawa,A.Yamazaki,A.ito,H.Matsumoto,T.Hayashi,M.Sekine,M.Hori

    DPS2011, 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1816. Study on photoresist surface modification induced by fluorocarbon etch species 国際会議

    T.Takeuchi,S.Amasaki,K.Takeda,H.Kondo,K.Ishikawa,M.Sekine,M.Hori

    DPS2011 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1817. Investigation of reaction mechanism at sidewall of through Si via(TSV)etching induced by SF6/O2plasma 国際会議

    S.Amasaki,T.Takeuchi,K.Takeda,K.Ishikawa,H.Kondo,M.Sekine,M.Hori,N.Sakurai,H.Hayashi,I.Sakai,T.Ohiwa

    DPS2011 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1818. Modeling of C3F6O/Ar Plasma Chemical for SiO2 Etching Processes 国際会議

    Y.Kondo,Y.Miyawaki,K.Takeda,K.Ishikawa,H.Kondo,T.Hayashi,M.Sekine,M.Hori

    DPS2011 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1819. Spatial Distribution of Electron Density on DC-Superposed Dual-frequency Capacitively Coupled Plasma(DS-CCP) 国際会議

    T.Komuro,K.Takeda,K.Ishikawa,M.Sekine,Y.Ohya,H.Kondo,M.Hori

    DPS2011 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1820. Investigation of GaN Exposed to Plasma at High Temperature 国際会議

    R.Kometani,S.Chen.Y.Lu,K.Ishikawa,K.Takeda,H.Kondo,T.egawa,H.Amano,M.Sekine,M.Hori

    DPS2011 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1821. Relationship between aradicals in Gas Phase and film Property of Silicon Thin Films in SiH4/H2PlasmaCVD 国際会議

    A.Fukushima,Y.Abe,K.Takeda,H.Kondo,K.Ishikawa,M.Sekine,M.Hori

    ICTF-15 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1822. Formation of Ultrahigh Density TiO2 Nanoparticles Supporting on Carbon Nanowalls Employing Supercritical Fluid Chemical Fluid Deposition 国際会議

    T.Horibe,S.Mitsuguchi,M.Hiramatsu,H.Kondo,M.Sekine,M.Hori

    ICTF-15 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1823. Plasma Oxidation Behaviors of Zn Nano Films 国際会議

    K.Cho,K.Takenaka,Y.Setsuhara,M.Shiratani,M.Sekine,M.Hori

    ICTF-15 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1824. PlasmaNanoSience 国際会議

    M.Hori

    VTT 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フィンランド共和国  

  1825. PlasmaProcessTechnologyAndScience 国際会議

    M.Hori

    VTT 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フィンランド共和国  

  1826. High Performance of 60-Hz Atmospheric Pressure Plasma: Basic Characteristics and Applications 国際会議

    F.Jia,K.Takeda,K.Ishikawa,H.Inui,S.Iseki,H.Kano,H.Kondo,M.Sekine,M.Hori

    AVS58thINternationalSymposium&Exhibition 

     詳細を見る

    開催年月日: 2011年10月 - 2011年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1827. Room Temperature Radical Annealing of Plasma Damaged 国際会議

    S.Chen,Y.Lu,K.Takeda,K.Ishikawa,H.Kondo,H.Kano,H.Amano,Y.Tokuda,T.Egawa,M.sekine,M.Hori

    AVS58thINternationalSymposium&Exhibition,Nashville 

     詳細を見る

    開催年月日: 2011年10月 - 2011年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1828. PolymerSurfaceModification:Real-time In Situ Electron Spin Resonance Study for Plasma Processes 国際会議

    K.Ishikawa,N.Sumi,A.Kono,H.Horibe,K.Takeda,H.Kondo,M.Sekine,M.Hori

    AVS58thINternationalSymposium&Exhibition 

     詳細を見る

    開催年月日: 2011年10月 - 2011年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1829. SuppleandIntelligentialApproachtoSoftMaterialsForFlexibleElectronicsByAdvancedPLasma-NanoTechnology 国際会議

    M.Hori(Invited PlenaryTalk)

    TopicalWorkshopOnPlasma-nanoTechonology-GreenEnergyAndFlexibleNewMaterials 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  1830. SiH4/H2プ ラズマ化学気相堆積法における気相ラジカルとシリコン薄膜の膜質との関係性

    福島敦史、阿部佑介、竹田圭吾、近藤博基、石川健治、関根誠、堀勝

    第3回薄膜太陽電池セミナー 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  1831. アモルファスカーボン膜の工学的特性に対する成長温度の効果

    九鬼淳、近藤博基、関根誠、堀勝

    第3回薄膜太陽電池セミナー 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  1832. カーボンナノウォールの結晶構造に対する酸素ラジカル照射効果

    下枝弘尚、近藤弘尚、近藤博基、石川健治、平松美根男、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  1833. プラズマエレクトロニクスの現状と未来

    堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋大学   国名:日本国  

  1834. 非平衡大気圧H2/Arプラズマによる酸化銅還元メカニズム

    竹田圭吾、近藤博基、石川健治、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  1835. 光干渉計を用いた非接触ウエハ温度・膜厚計測

    大田貴之、堤隆嘉、伊藤昌文、平岡丈弘、竹田圭吾、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  1836. SiH4/H2プラズマにおける水素多事狩る表面損失確率

    阿部祐介、竹田圭吾、近藤博基、石川健治、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  1837. 真空紫外吸収分光法を持ちたマイクロ波プラズマ中のC原子の密度計測

    村田健一、内藤全晃、平松美根男、高島成剛、近藤博基、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  1838. Atom Behaivior of Ultrahigh Density 60 Hz Atmospheric Pressure Plasma under Ar ambient Conditions

    F.Jia,K.Takeda,K.Ishikawa,H.Kano.H.Kondo,M.Sekine,M.Hori

     詳細を見る

    開催年月日: 2011年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1839. 実時間・その場観察電子スピン共鳴(ESR)を用いた水素原子照射による有機薄膜表面処理メカニズムの解析

    鷲見直也、石川健治、河野昭彦、堀邊英夫、竹田圭吾、近藤博基、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  1840. Crystallographic Analysis of Amorphous Carbon Films Synthesized by Radical-injection Plasma-enhanced Chemical Vapor Deposition

    L.Yu,J.Kuki,K.Takeda,H.Kondo,K.Ishikawa,M.Sekine,M.Hori

     詳細を見る

    開催年月日: 2011年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1841. 次世代フレキシブルデバイスの作成プロセスに向けたプラズマ‐有機相互作用のナノ表面解析

    趙研、竹中弘裕、節原裕一、白田知正治、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  1842. 微結晶シリコン成膜における水素ラジカル注入型プラズマ源の特性

    陸雅、福島敦史、阿部祐介、竹田圭吾、石川健治、近藤博基、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  1843. 白金微粒子の側壁保護作用を用いた有機材料の超微細エッチング

    鈴木俊哉、竹田圭吾、近藤博基、石川健治、節原裕一、白谷正治、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  1844. C3F6/O2プラズマ中のイオン・ラジカルの生成機構

    近藤祐介、宮脇雄大、竹田圭吾、近藤博基、石川健治、林俊雄、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  1845. SF6/O2プラズマを用いたSiエッチング機構

    尼崎新平、竹内拓也、竹田圭吾、石川健治、近藤博基、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  1846. フルオロカーボン系エッチング種によるArFフォトレジスト表面改質過程の解明

    竹内拓也、尼崎新平、竹田圭吾、石川健治、近藤博基、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  1847. 酸素プラズマによるポーラスSiOCH膜の構造変化機構

    浅野高平、山本洋、竹田圭吾、近藤博基、石川健治、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  1848. アルモファスカーボン膜の結晶構造及び血管密度に対するRFバイアス印加効果

    九鬼淳、于楽泳、近藤博基、石川健治、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  1849. 超臨界流体を用いたカーボンナノウォール(CNWs)へのTiO2微粒子担持

    堀部剛良、ミツ口真司、加納浩司、近藤博基、石川健治、平松美根男、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  1850. 燃料電池応用に向けたカーボンナノウォールの作製

    ミツ口真司、堀部剛良、平松美根男、近藤博基、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  1851. カーボンナノウォール初期成長過程における結晶構造変化

    安田幸司、近藤博基、平松美根男、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  1852. CH4/H2プラズマを用いて成長したカーボンナノウォールにおける電気伝導度の温度依存性

    趙亨峻、竹田圭吾、近藤博基、石川健治、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  1853. 配列カーボンナノウォールの作製

    神田貴幸、近藤博基、山川晃司、竹田圭吾、石川健治、平松美根男、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  1854. 水素ラジカルによるGaN中プラズマ誘導欠陥の不活性化

    陳尚、盧翌、米谷亮祐、竹田圭吾、石川健治、近藤博基、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  1855. High Temprerature N2 Plasma Induced Stoichiometric Modification In Etched GaN Surface Using Angle-resolved XPS Depth Profiling Analysis

    Y.Lu,S.Chen,R.Kometani,K.Ishikawa,H.Kondo,K.Takeda,M.Sekine,T.Egawa,H.Amano,M.Hori

     詳細を見る

    開催年月日: 2011年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1856. In-situX線光電子分光法によるGaNをプラズマビームの相互作用解明

    米谷亮祐、陳尚、竹田圭吾、石川健治、近藤博基、関根誠、節原裕一、江川孝志、天野浩、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  1857. アルコール液中プラズマを用いたナノグラフェンの制御合成

    荻野達也、加納浩司、石川健治、竹田圭吾、近藤博基、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  1858. 大気圧プラズマ表面処理によるカーボンナノウォール表面の超親水・超撥水性出現機構

    渡邊均、近藤博基、関根誠、平松美根男、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  1859. ミドリカビのプラズマ殺菌における酸素ラジカルの効果

    橋爪博司、大田貴之、伊藤昌文、賈鳳東、竹田圭吾、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  1860. 橋爪博司、大田貴之、伊藤昌文、賈鳳東、竹田圭吾、堀勝

    平岡丈弘、海老塚昇、竹田圭吾、大田貴之、近藤博基、石川健治、川瀬晃道、伊藤昌文、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  1861. 先進プラズマナノ基盤技術の開発

    堀 勝

    東海広域ナノテクものづくりクラスター事業成果発表会 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:日本語   会議種別:シンポジウム・ワークショップ パネル(指名)  

    開催地:トヨタテクノミュージアム 産業技術記念館大ホール   国名:日本国  

  1862. Fabrication of Carbon Nanowalls on Carbon Fiber Paper 国際会議

    S.Mitsuguchi,M.Hiramatsu,H.Kondo,M.Hori,H.Kano

    2011SSDM 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1863. Effect of H2 gas addition on Si Oxidation Process with Ar and O2 Mixture Surface Wave Plasma 国際会議

    K.Takeda,M.Hori

    2011SSDM 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1864. Effect of Hydrogen Radical Injection on Growth Property and Crystallinity of Microcrystalline Silicon Thin Film 国際会議

    Y.Abe,A.Fukushima,Y.Lu,K.Takeda,H.Kondo,K.Ishikawa,M.Sekine,M.Hori

    2011SSDM 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1865. Plasma-Induced Damage of GaN and Its Recovery by Atomic Hydrogens at a Room Temperature 国際会議

    Y.Lu,S.Chen,R.Kometani,K.Takeda,H.Kondo,T.Egawa,K.Ishikawa,H.Amano,M.Sekine,M.Hori

    2011SSDM 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1866. Field Emission Properties of 10-nm Pillars of Organic Fabricated by Pt particles and Plasma Etching 国際会議

    T.Suzuki,K.Takeda,H.Kondo,K.Ishikawa,Y.Setsuhara,M.Shiratani,M.Sekine,M.Hori

    2011SSDM 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1867. Ultrafast Synthesis of Nanographen Employing an Ultrahigh-density In-liquid Al-cohol Plasma 国際会議

    T.Hagino,H.Kondo,H.Kano,K.Ishikawa,M.Sekine,M.Hori

    2011SSDM 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1868. Crystallographic and Electrical Properties of Semiconducting Graphene Nanoribbon Grown Employing CH4/H2 plasma 国際会議

    H.J.Cho,K.Takeda,H.Kondo,K.Ishikawa,M.Sekine,M.Hori

    2011SSDM 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1869. In Situ Analysis of Plasma-Induced Modification on Porous SiOCH Films 国際会議

    H.Yamamoto,K.Asano,K.Ishikawa,K.Takeda,H.Kondo,M.Sekine,M.Hori

    2011SSDM 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1870. Carbon nanowalls: synthesis and application 国際会議

    M. Hiramatsu, H. Kondo, and M. Hori (Invited)

    IV International Conference on Surfaces, Materials and Vacuum and Specialist Meeting on Carbon (SMC2011) 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:メキシコ合衆国  

  1871. 大気圧プラズマ処理によるカーボンナノウォール表面の超親水・超撥水発生機構

    渡邊均、近藤博基、関根誠、堀勝、平松美根男

    表面技術協会第124回講演大会 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学   国名:日本国  

  1872. がんばろう日本!がんばろう表面技術!特別講演会 大気圧プラズマ表面科学技術が拓く元気で,明るい日本の未来

    堀勝

    表面技術協会主催、第124回講演大会 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋大学   国名:日本国  

  1873. 次世代高密度プリント配線板技術のための非平衝大気圧プラズマの応用研究

    岩田義幸、坂本一、鷲見直也、竹田圭吾、堀勝

    表面技術協会第124回講演大会 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学   国名:日本国  

  1874. Higly Spatial Mapping of Atomic Oxgen Dentisy in a 60-Hz Atmospheric Pressure Plasmas Using Clibrated two-Photon Laser-Induced Fluorescence 国際会議

    Fengdong Jia,Keigo Takeda,Kenji Ishikawa,Hiroyuki Kano,Hiroki Kondo,Makoto Sekine,Masaru Hori

    AEPSE2011 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:中華人民共和国  

  1875. In situ ESR Masurements for revealing Plasma-Surface Interactions 国際会議

    KenjiIshikawa,NaoyaSumi,AkihikoKono,HideoHoribe,KeigoTakeda,HirokiKondo,MakotoSekine,MasaruHori

    AEPSE2011 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:中華人民共和国  

  1876. Modeling of C3F6O/Ar Plasma Chemistry for SiO2 Etching Processes 国際会議

    Yusuke Kondo,Yudai Miyawaki,Keigo Takeda,KenjiIshikawa,ToshioHayashi,

    AEPSE2011 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:中華人民共和国  

  1877. 先進プラズマプロセスを用いた表面技術のイノベーション

    堀勝

    材料機能ドライプロセス部会および関西支部表面物性研究会 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:京都大学   国名:日本国  

  1878. プラズマナノ科学技術が拓く未来

    堀勝

    公益社団法人化学工学会第43回秋季大会 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋工業大学   国名:日本国  

  1879. Development of radical kinetic behaivour investigation method and its application for sticking coefficient estimation 国際会議

    A.Malinowski,M.Hori,M.Sekine,K.Ishikawa,H.Kondo,K.Takeda

    ESSDERC2011 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フィンランド共和国  

  1880. In-situ Analysis of Modification on Porous SiOCH During and After O2 Plasmas 国際会議

    K.Asano,H.Yamamoto,K.Ishikawa,K.Takeda,H.Kondo,M.Sekine,and M.Hori

    ADMETA Plus 2011 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1881. Analyses of cellular responses to Plasma-activated medium (PAM) and plasma-activated Ringer's lactate solution 国際会議

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Yasumasa Okazaki, Shinya Toyokuni, Fumitaka Kikkawa, Masaru Hori

    The 11th Anniversary Asian-European International Conference on Plasma Surface Engineering (AEPSE 2017)  

     詳細を見る

    開催年月日: 2011年9月 - 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Korea   国名:大韓民国  

  1882. Carbon nanowalls:synthesis and application 国際会議

    Mineo Hiramatsu,Hiroki Kondo,Masaru Hori(Invited)

    4th International conference on advanced plasma technologies, 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:スロベニア共和国  

  1883. Controlled Synthesis of Carbon Nanowalls for Functional Device Applications 国際会議

    Hiroki Kondo,Masaru Hori,Makoto Sekine,Mineo Hiramatsu(Invited)

    4th International conference on advanced plasma technologies, 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:スロベニア共和国  

  1884. Carbon protective layer on top surface of trench substrate using H-assisted plasma CVD 国際会議

    Masaru Shiratani,Tatsuya Urakawa,Giichiro Uchida,Kazunori Koga,Yuuichi Setsuhara,Makoto Sekine,Masaru Hori(Invited)

    4th International conference on advanced plasma technologies, 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:スロベニア共和国  

  1885. Systematical Measurement of Reactive Oxygen Species in Surface Wave Excited O2/Ar and O2/Kr Plasmas and Their Applications to Si Oxidation Processing 国際会議

    Masaru Hori, Keigo Takeda(Invited)

    4th International conference on advanced plasma technologies, 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:スロベニア共和国  

  1886. Mechanism of Highly Selective SiO2 Etching over Si2N4 using a Hydro-fluorocarbon Gas 国際会議

    Makoto Sekine,Yudai Miyawaki,Yusuke Kondo,Keigo Takeda,Kenji Ishikawa,Toshio Hayashi,Masaru Hori(Invited)

    4th International conference on advanced plasma technologies, 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:スロベニア共和国  

  1887. Sticking coefficient of hydrogen radicals on ArF phtotoresist estimated by parallel plate structure in conjunction with numerical analysis 国際会議

    A.Malinowski,M.Sekine,M.Hori,K.Ishikawa,H.Kondo,T.Suzuki,T.Takeuchi,H.Yamamoto,A.Jakubowski,L.Lukasiak,d.Tomaszewski

    SISPAD2011 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1888. Growth of two-dimensional carbon nanostructures using plasma-enhanced chemical vapor deposition employing camphor 国際会議

    Y. Nihashi, T. Maeda, M. Hiramatsu, H. Kondo, and M. Hori

    22nd European Conference on Diamond, Diamond-Like Materials, Carbon Nanotubes and Nitrides (Diamond 2011) 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ドイツ連邦共和国  

  1889. Fabrication of carbon nanotubes from camphor using atmospheric pressure plasma-enhanced CVD 国際会議

    K. Murata, M. Hiramatsu, K. Yamakawa, H. Kondo, and M. Hori

    22nd European Conference on Diamond, Diamond-Like Materials, Carbon Nanotubes and Nitrides (Diamond 2011) 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ドイツ連邦共和国  

  1890. Growth of carbon nanowalls on carbon paper for fuel cell electrode 国際会議

    S. Mitsuguchi, Y. Nihashi M. Hiramatsu,H. Kondo, and M. Hori

    22nd European Conference on Diamond, Diamond-Like Materials, Carbon Nanotubes and Nitrides (Diamond 2011) 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ドイツ連邦共和国  

  1891. 浮遊電極を導入した平板型マイクロプラズマ光源

    松山弘樹,熊谷慎也,堀勝,佐々木実

    第72回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2011年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  1892. スパイラルコイル型マイクロプラズマ源に導入した浮遊電極の特性

    浅野博敬,熊谷慎也,堀勝,佐々木実

    第72回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2011年8月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  1893. 水素ラジカル注入型プラズマ源を用いた微結晶シリコン成膜における欠陥密度の抑制効果

    陸  雅,福島敦史,阿部祐介,竹田圭吾,石川健治,近藤博基,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  1894. 10 GHzマイクロ波スロット励起大気圧プラズマにおけるプラズマ生成と活性種の挙動に関する研究

    加藤正規,竹田圭吾,石川健治,近藤博基,関根 誠,堀  勝,三好秀典,久保田雄介,伊藤 仁 

    第72回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  1895. アルコール液中プラズマを用いたナノグラフェンの合成メカニズム

    萩野達也,加納浩之,石川健治,竹田圭吾,近藤博基,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  1896. モデリングによるC3F6O プラズマ絶縁膜エッチング機構の解明

    近藤祐介,宮脇雄大,竹田圭吾,石川健治,林 俊雄,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  1897. GaNにおけるプラズマダメージの水素ラジカル修復

    陳  尚 盧  翌,米谷亮祐,竹田圭吾,石川健治,近藤博基,加納浩之,徳田 豊 関根 誠,江川孝志,天野 浩,堀  勝

    第72回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  1898. SF6/O2プラズマを用いたSiエッチング機構III

    尼崎新平,竹内拓也,竹田圭吾,石川健治,近藤博基,関根 誠,堀  勝,櫻井典子,林 久貴,酒井伊都子,大岩徳久

    第72回応用物理学会学術講演会  

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  1899. 高温エッチングにおける窒化ガリウム(GaN)の表面変性:角度分解X線光電子解析

    盧  翌,陳  尚,米谷亮祐,石川健治,近藤博基,竹田圭吾,関根 誠,江川孝志,天野 浩,堀  勝

    第72回応用物理学会学術講演会  

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  1900. 高温における窒化ガリウム(GaN)のエッチング機構

    米谷亮祐,陳  尚,蘆  翌,竹田圭吾,石川健治,近藤博基,関根 誠,江川孝志,天野 浩,堀  勝

    第72回応用物理学会学術講演会  

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  1901. Time-dependent Radical Flux Analysis in ArF Photoresist Plasma Etching using Parallel Plate Structure

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1902. SiNx:H膜エッチングに及ぼす紫外線の影響

    深沢正永,宮脇雄大,近藤祐介,竹田圭吾,石川健治,近藤博基,関根 誠,南 正樹,上澤史且,堀  勝,辰巳哲也

    第72回応用物理学会学術講演会  

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  1903. 超臨界流体を用いた酸化チタン微粒子のカーボン材料への担持

    堀部剛良,三ツ口真司,平松美根男,近藤博基,関根 誠,堀  勝

    第72回応用物理学会学術講演会  

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  1904. プラズマ励起気相堆積法で合成したアモルファスカーボン結晶構造解析

    于 楽泳,九鬼 淳,竹田圭吾,近藤博基,石川健治,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  1905. 薄膜シリコン太陽電池プラズマプロセスにおけ水素ラジカル表面反応

    阿部祐介,竹田圭吾,石川健治,近藤博基,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  1906. SiH4/H2プラズマ化学気相堆積法における気相ラジカルとシリコン薄膜結晶性の関係性

    福島敦史,阿部祐介,竹田圭吾,近藤博基,石川健治,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  1907. DCバイアス重畳二周波容量結合型プラズマの電子密度空間分布計測

    古室達也,竹田圭吾,石川健治,関根 誠,大矢欣伸 近藤博基,堀  勝

    第72回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  1908. C5HF7ガスを用いたSiO2高選択エッチング実現の機構解明

    宮脇雄大,近藤祐介,竹田圭吾,石川健治,山崎敦代,伊東安曇,松本裕一,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  1909. C5HF7/O2/ArプラズマによるArfレジスト表面ラフネス発生抑制機構 (II)

    浅野高平,山本 洋,宮脇雄大,竹田圭吾,近藤博基,石川健治,関根 誠,堀  勝,山崎敦代,伊藤安曇,松本裕一

    第72回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  1910. 有機材料の超微細エッチングにおける白金微粒子の側壁保護作用に関する研究 

    鈴木俊哉,竹田圭吾,近藤博基,石川健治,節原裕一,白谷正治,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  1911. プラズマプロセス中における有機薄膜表面反応の実時間・その場観察電子スピン共鳴(ESR)解析(2)

    鷲見直也,石川健治,河野昭彦,堀邊英夫,竹田圭吾,近藤博基,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  1912. Ar Flow Rate Dependence of Emission Intensity of Metal Atoms in Atmospheric Pressure Atomization Plasma

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1913. 高密度60Hz大気圧プラズマ生成酸素原子絶対密度の空間分布

    Fendong Jia,竹田圭吾,石川健治,加納浩之,近藤博基,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  1914. カビ胞子とプラズマの相互作用の電子スピン共鳴法による観察

    石川健治,井関紗千子,太田貴之,伊藤昌文,加納浩之,竹田圭吾,近藤博基,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  1915. フルオロカーボン系エッチング種によるArFフォトレジスト表面改質の解明 (2)

    竹内拓也,尼崎新平,竹田圭吾,石川健治,近藤博基,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  1916. カーボンナノウォールの初期成長過程における表面元素組成および化学結合状態の変化

    安田幸司,近藤博基,平松美根男,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  1917. カーボンナノウォールの配向成長

    神田貴幸,近藤博基,山川晃司,竹田圭吾,石川健冶,平松美根男,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  1918. プラズマ化学気相堆積法によって成長したカーボンナノウォールの結晶構造に対する酸素ガス添加効果

    下枝弘尚,近藤博基,石川健治,平松美根男,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  1919. 非平衡大気圧プラズマを用いたミドリカビ殺菌におけるテラヘルツ分光センシング

    平岡丈弘,海老塚昇,竹田圭吾,太田貴之,近藤博基,伊藤昌文,川瀬晃道,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  1920. プラズマ化学気相堆積法によって成長したカーボンナノウォールの微細構造解析

    近藤博基,下枝弘尚,石川健治,関根 誠,堀  勝,平松美根男

    第72回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  1921. CH4/H2プラズマを用いて成長したカーボンナノウォールにおける電気的特性

    趙 亨峻,竹田圭吾,近藤博基,石川健治,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  1922. プラズマ励起化学気相堆積法で成長したアモルファスカーボン膜の欠陥密度に対するRFバイアス印加の効果

    九鬼 淳,于 楽泳,近藤博基,石川健治,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  1923. 大気圧プラズマ表面処理によるカーボンナノウォール表面の超親水性・超撥水性発現機構

    渡邊 均,近藤博基,平松美根男,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  1924. Surface reaction of hydrogen radical on plasma enhanced chemical vapour deposition of silicon thins films 国際会議

    M.Hori

    ICPIG2011 Conference 

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1925. The role of plasmas in nano-fabrication 国際会議

    M.Hori

    ICPIG2011 Conference 

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:グレートブリテン・北アイルランド連合王国(英国)  

  1926. The High Density Atmospheric Plasma Process and Diagnostics for Bioscience 国際会議

    M.Hori

    The 1st International Symposium for Plasma Biosciences 

     詳細を見る

    開催年月日: 2011年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  1927. Plasma Nano-Technology(green energy and bio-technology) 国際会議

    M.Hori

    Advanced Plasma Technology for Green Energy and Biomedical Applications 

     詳細を見る

    開催年月日: 2011年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:タイ王国  

  1928. Plasma fundamentals and diagnostics 国際会議

    M.Hori

    Advanced Plasma Technology for Green Energy and Biomedical Applications 

     詳細を見る

    開催年月日: 2011年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:タイ王国  

  1929. Carbon nanowalls: growth mechanism and nucleation control 国際会議

    (Invited) M. Hiramatsu, H. Kondo, and M. Hori

    International Conference on Processing & Manufacturing of Advanced Materials (THERMEC'2011) 

     詳細を見る

    開催年月日: 2011年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:カナダ  

  1930. Radical density measurements in microwave plasma with carbon-containing gases used for carbon nanotube and nanocrystalline diamond film growth 国際会議

    M. Hiramatsu, H. Kondo, and M. Hori

    20th International Symposium on Plasma Chemistry 

     詳細を見る

    開催年月日: 2011年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  1931. Real-time Electron-Spin-Resonance Study of Plasma-Surface interaction 国際会議

    Kenji Ishikawa,Naoya Sumi,Akihiko Kono,Hideo Horibe,Keigo Takeda,Hiroki Kondo,Makoto Sekine,and Masaru Hori

    the 13 th international workshop on Advanced Plasma Processing and Diagnostics  

     詳細を見る

    開催年月日: 2011年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1932. Effects of Oxgen Radical Irradiation on Crystalline Structures of Carbon Nanowalls 国際会議

    Hironao Shimoeda,Hiroki Kondo,Kenji Ishikawa,Hiramatsu Mineo,Makoto Sekine,and Masaru Hori

    the 13 th international workshop on Advanced Plasma Processing and Diagnostics  

     詳細を見る

    開催年月日: 2011年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1933. Recovery of plasma-damaged GaN by atomic nitrogen hiydrogen 国際会議

    Shang Chen,Yi Lu,Ryousuke Kome,Konji,Ishikawa,Hiroki Kondo,Keigo Takeda,Hiroyuki Kano,Makoto Sekine and Masaru Hori

    the 13 th international workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2011年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1934. High performance and Reaction Mechanism for Decomposition and Fixation of Dry Etching exhaust Perfluoro-Compound Gases 国際会議

    Kei Hattori,Makoto Sekine and Masaru Hori

    the 13 th international workshop on Advanced Plasma Processing and Diagnostics  

     詳細を見る

    開催年月日: 2011年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  1935. Investigation of chemical bonding steates at interface of Zn/organic materials for analysis of early stage of inorganic/organic hybrid multi-layer formation

    Ken Cho,Kosuke Takenaka,Yuichi Setsuhara,Masaharu Shratani,Makoto Sekine,Masaru Hori

    The 24th Symposium on PlasmaSciencefor Materials(SPSM-4) 

     詳細を見る

    開催年月日: 2011年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1936. ラジカル注入型プラズマ化学気相堆積法を用いた高結晶性・低欠陥密度微結晶シリコン薄膜の作製

    第24回プラズマ材料科学シンポジウム(SPSM24) 

     詳細を見る

    開催年月日: 2011年7月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:大阪大学   国名:日本国  

  1937. Electron-SpinResonance(ESR)Analyisis of Plasma-SurfaceInteraction

    Kenji Ishikawa,Naoya Sumi,Akihiko Kono,Hideo Horibe,Keigo Takeda,Hiroki Kondo,Makoto Sekine,Masaru Hori ,

    The 24th Symposium on PlasmaSciencefor Materials(SPSM-4) 

     詳細を見る

    開催年月日: 2011年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1938. Fabrication of Aligned Carbon Nanotube Films with Self-Assembled Conical Tips and their Electron Field Emission Properties

    Tomohiro Horaguchi,Mineo Hiramatsu,Masaru Hori

    The 24th Symposium on PlasmaSciencefor Materials(SPSM-4) 

     詳細を見る

    開催年月日: 2011年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1939. Growth of Carbon Nanowalls using Inductively Coupled Plasma-Enhanced Cheemical Vapor Deposition on Carbon Fiber Paper

    Shinji Mitsuguchi,Mineo Hiramatsu,Hiroki Kondo,Masaru Hori

    The 24th Symposium on PlasmaSciencefor Materials(SPSM-4) 

     詳細を見る

    開催年月日: 2011年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1940. Growth of Carbon Nanostructures Using Plasma-Enhanced Chemical Vapor Deposition Employing Camphor

    Yuki Nihashi,Mineo Hiramatsu,HirokiKondo,MasaruHori

    The 24th Symposium on PlasmaSciencefor Materials(SPSM-4) 

     詳細を見る

    開催年月日: 2011年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  1941. Chemical structures of initial growth surfaces of carbon nanowalls by angle-resolved X-ray photoelectron spectroscopy

    Koji Yasuda,Hiroki Kondo,MIneo Hiramatsu,Makoto Sekine,Masaru Hori

    The 24th Symposium on PlasmaSciencefor Materials(SPSM-4) 

     詳細を見る

    開催年月日: 2011年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1942. Fabrication of High-Crystallinity and low-Defect-Density Microcrystalline Silicon Thin Film Employing Radical-Injection Plasma-Enhanced Chemical Vapor Deposition

    Yusuke Abe,Sho Kawashima,Keigo Takeda,Hiroki Kondo,Kenji Ishikawa,Makoto Sekine,Masaru Hori

    The 24th Symposium on PlasmaSciencefor Materials(SPSM-4) 

     詳細を見る

    開催年月日: 2011年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1943. Chemical structures of initial growth surfaces of carbon nanowalls by angle-resolved X-ray photoelectron spectroscopy

    K. Yasuda, H. Kondo, M. Hiramatsu*, M. Sekine, and M. Hori,

     詳細を見る

    開催年月日: 2011年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1944. すばる望遠鏡および次世代巨大望遠鏡用の新しい回折格子

    海老塚昇、石川健治、近藤博基、堀勝、AndreaBianco,FilippoMariaZerbi

    2011年度光学シンポジウム 

     詳細を見る

    開催年月日: 2011年7月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京大学   国名:日本国  

  1945. Subnanometer-scale control of feature size and shape in organic low-k film etch 国際会議

    Toshiya. Suzuki, Shinji Mitsuguti, Arkadiusz Malinowski, Keigo Takeda, HirokiKondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    2011 ICMAP 

     詳細を見る

    開催年月日: 2011年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:中華人民共和国  

  1946. Growth processes and crystallographic properties of nanographenes synthesized employing in-liquid plasma 国際会議

    Hiroki Kondo, T. Hagino, K. Ishikawa, K. Takeda, H. Kano, M. Sekine, M. Hori

    ICMAP2011 

     詳細を見る

    開催年月日: 2011年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:中華人民共和国  

  1947. Global Innovations on Industries by Advanced Plasma Science and Technology 国際会議

    M.Hori

    International Conference on Materials For Advanced Technologies 

     詳細を見る

    開催年月日: 2011年6月 - 2011年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:シンガポール共和国  

  1948. リアルタイム計測によるラジカルと固体表面相互反応プロセスの体系化

    堀勝(招待講演)、関根誠、石川健治、近藤博基、竹田圭吾、河野昭彦、堀邊英夫

    第8回Cat-CVD研究会 

     詳細を見る

    開催年月日: 2011年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:金沢工業大学扇が丘キャンパス多目的ホール   国名:日本国  

  1949. 水素ラジカル照射による有機薄膜表面処理の実時間・その場観察電子スピン共鳴(ESR)解析

    鷲見直也、石川健治、河野昭彦、堀邊英夫、竹田圭吾、近藤博基、関根誠、堀勝

    第8回Cat-CDV研究会 

     詳細を見る

    開催年月日: 2011年6月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:金沢工業大学扇が丘キャンパス多目的ホール   国名:日本国  

  1950. 先端ナノ・マイクロプラズマ加工プロセッシング支援

    堀勝

    中部地区ナノテク総合支援:ナノ材料創成加工と先端機器分析 平成22年度成果報告 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋工業大学   国名:日本国  

  1951. 超高密度60Hz大気圧非平衡プラズマを用いた表面処理技術の開発

    竹田圭吾、近藤博基、石川健治、関根誠、堀 勝

    中部地区ナノテク総合支援:ナノ材料創成加工と先端機器分析 平成22年度成果報告 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋工業大学   国名:日本国  

  1952. 世界の潮流と我が国のプラズマ科学技術への提言

    堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:神奈川工科大学   国名:日本国  

  1953. プラズマCVDで製膜したトレンチ基板上の炭素系薄膜の製膜速度の主放電電力依存性

    浦川達也,野村卓也,松崎秀文,山下大輔,内田儀一郎,古閑一憲,白谷正治,節原裕一,関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  1954. プラズマ励起化学気相堆積法で成長したアモルファスカーボン膜の結晶構造に対するRFバイアス印加の効果

    近藤博基,木野徳重,石川健治,関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  1955. SF6/O2プラズマを用いたSiエッチング機構II

    尼崎新平,竹内拓也,竹田圭吾,石川健治,近藤博基,関根誠,堀勝,櫻井典子,林久貴,酒井伊都子,大岩徳久

    2011年春季 第58回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  1956. エッチング代替ガスの解離過程

    林俊雄,石川健治,関根誠,堀勝,河野明廣,鄒弘綱

    2011年春季 第58回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  1957. C3F6O代替ガスを用いた絶縁膜の高速エッチングとその機構解明(II)

    近藤祐介,宮脇雄大,竹田圭吾,石川健治,近藤博基,林俊雄,関根誠,岡本秀一,堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  1958. In-situ FTIRを用いたプラズマ曝露および大気曝露によるポーラスSiOCH low-k膜の化学組成変化解析

    山本洋,浅野高平,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  1959. フルオロカーボン系エッチング種によるArFフォトレジスト表面改質の解明

    竹内拓也,尼崎新平,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  1960. プラズマプロセス中における有機薄膜表面反応の実時間・その場観察電子スピン共鳴(ESR)解析

    鷲見直也,石川健治,河野昭彦,堀邊英夫,竹田圭吾,近藤博基,関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  1961. 低損傷GaNエッチングのための塩素プラズマビーム表面相互作用と角度分解XPSによるその場解析

    盧翌,陳尚,米谷亮祐,石川健治,近藤博基,竹田圭吾,関根誠,江川孝志,天野浩,節原裕一,堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  1962. 真空一貫プロセスによるGaNプラズマビームエッチング及び水素ラジカルダメージ層除去とその表界面反応機構の解明

    陳尚,盧翌,米谷亮祐,江川孝志,石川健治,近藤博基,加納浩之,徳田豊,関根誠,節原裕一,竹田圭吾,天野浩,堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  1963. アルコール気液プラズマを用いたナノグラフェンの合成

    萩野達也,乾裕俊,加納浩之,石川健治,竹田圭吾,近藤博基,関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  1964. アルコール気液プラズマ生成するナノカーボンの電子スピン共鳴法によるその場観察

    石川健治,萩野達也,鷲見直也,加納浩之,竹田圭吾,近藤博基,関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  1965. カーボンナノウォールの超親水化と機能性バイオテンプレートへの応用

    井関紗千子,堀勝,渡辺均,太田貴之,近藤博基,石川健治,竹田圭吾,関根誠,伊藤昌文

    2011年春季 第58回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  1966. Deposition of carbon films on top surface of fine trenches at 100oC using a plasma anisotropic CVD method

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1967. Plasma Agriculture (V) - Fluorescence observation of spore of Penicillium digitatum during atmospheric pressure plasma treatment -

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1968. バイオ応用に向けた60Hz大気圧プラズマ源の生成酸素原子の空間分布

    賈鳳東,竹田圭吾,石川健治,乾裕俊,井関紗千子,加納浩之,近藤博基,関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  1969. Measuring Metal Elements in Wastewater Using Ar Atmospheric Pressure Plasma

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1970. プラズマを用いた無機/有機積層構造の形成初期過程における界面の化学結合状態分析

    趙研,節原裕一,竹中弘祐,白谷正治,関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  1971. カーボンナノウォールの初期成長過程におけるナノグラフェン核発生の観察

    安田幸司,近藤博基,平松美根男,関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  1972. 単一カーボンナノウォールの架橋成長制御およびその電気的特性評価

    神田貴幸,近藤博基,山川晃治,竹田圭吾,石川健冶,平松美根男,関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  1973. 先進プラズマプロセスが切り拓くグローバルイノベーションと新デバイスの期待

    堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:神奈川工科大学   国名:日本国  

  1974. 薄膜太陽電池製造装置開発に向けたSiH4/H2プラズマにおける水素ラジカル表面損失確率計測

    阿部祐介,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  1975. 非平衡大気圧プラズマにおける活性種の3次元気相反応解析

    加藤正規,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  1976. 吸収分光法を用いた炭素含有ガスによるマイクロ波プラズマ中のラジカルの計測

    村田健一,内藤全晃,平松美根男,高島成剛,近藤博基,堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  1977. レーザ吸収分光法を用いたマイクロホローカソード放電中の準安定He原子の挙動

    井上真里,太田貴之,家苗毅司,伊藤昌文,加納浩之,山川晃司,堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  1978. 60Hz駆動超高密度非平衡大気圧プラズマ中の活性種の計測と表面処理への展開

    岩田義幸、堀勝、坂本一、乾裕俊、竹田圭吾

    表面技術協会第123回講演大会 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:関東学院大学金沢八景キャンパス   国名:日本国  

  1979. Synthesis of Nano-graphene by Plasma in Liquid Ethanol 国際会議

    T. Hagino, H. Kano, K. Ishikawa, K. Takeda, H. Kondo, M. Sekine, and M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1980. Investigation of Si Etch Reaction with F and O Radicals Using SF6/O2 Plasma 国際会議

    S. Amasaki, T. Takeuchi, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori, N. Sakurai, H. Hayashi, I. Sakai, and T. Ohiwa

    The 4th International Conference on PLAsma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1981. Real-time/In-situ Electron-Spin-Resonance Analysis of Surface Reactions on Organic Materials in Plasma Processes 国際会議

    N. Sumi, K. Ishikawa, A. Kono, H. Horibe, K. Takeda, H. Kondo, M. Sekine,, and M.Hori

    The 4th International Conference on PLAsma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1982. High Speed Surface Modification with AC Excited Ultra High Density Non-Equilibrium Atmospheric Pressure Plasma 国際会議

    M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  1983. Chemical Modeling of Etch Process Using C3F6O Alternative Gas 国際会議

    Y. Kondo, Y. Miyawaki, K. Takeda, K. Ishikawa, H. Kondo, T. Hayashi, H. Okamoto, M. Sekine, and M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1984. Control of Bridging Growth and Electrical properties of Single Carbon Nanowalls 国際会議

    T. Kanda, H. Kondo, K. Yamakawa, M. Hiramatsu, K. Takeda, K. Ishikawa, M. Sekine, and M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1985. Electrical and Chemical Structures of Nanographene Nucleated at Initial Growth Processes of Carbon Nanowalls 国際会議

    K. Yasuda, H. Kondo, M. Hiramatsu, M. Sekine, and M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1986. Improvement of Deposition Uniformity of Aligned Carbon Nanotube Films Using Microwave Plasma-enhanced CVD 国際会議

    M. Naito, K. Murata, M. Hiramatsu, and M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1987. Nucleation Control of Carbon Nanowalls Grown Using Inductively Coupled Plasmaenhanced CVD 国際会議

    Y. Nihashi, M. Hiramatsu, H. Kondo, and M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1988. Investigation of Surface Reactions in ArF Photoresist by Using Parallel Plate Structure in Conjunction with Numerical Analysis 国際会議

    A. Malinowski, M. Sekine, M. Hori, K. Ishikawa, H. Kondo, T. Suzuki, T. Takeuchi, H. Yamamoto, A. Jakubowski, and L. Lukasiak

    The 4th International Conference on PLAsma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1989. Dissociations of Alternate Etching Gases in Reactive Plasma 国際会議

    T. Hayashi, K. Ishikawa, M. Sekine, M. Hori, A. Kono, and K. Suu

    The 4th International Conference on PLAsma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1990. Diagnostics and Surface Reaction Analysis on RF Sputtering Process for Co Nanoparticles Formation 国際会議

    I-S Bae, K. Kuno, M. Ito, H. Kondo, M. Sekine, and M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1991. Surface Analysis of GaN Irradiated by Cl2 Plasma Beam 国際会議

    Y. Lu, S. Chen, R. Kometani, K. Ishikawa, H. Kondo, K. Takeda, M. Sekine, T. Egawa, H. Amano, Y. Setsuhara, and M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1992. Measurement of Atomic Oxygen in Ultrahigh Density 60 Hz Atmospheric Pressure Plasma by Two Photon Absorption Laser Induced Fluorescence 国際会議

    F. Jia, K. Takeda, K. Ishikawa, H. Inui, S. Iseki, H. Kano, H. Kondo, M. Sekine, and M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1993. Passivation of Plasma Damaged GaN with Hydrogen Radical Anneal 国際会議

    Sh. Chen, Y. Lu, R. Kometani, K. Takeda, K. Ishikawa, H. Kondo, H. Kano, Y. Tokuda, M. Sekine, Y. Setsuhara, T. Egawa, H. Amano, and M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1994. Temperature Measurement of Silicon Wafer Treated by Atmospheric Pressure Plasma Using Frequency Domain Low Coherence Interferometer 国際会議

    T. Tsutsumi, T. Ohta, M. Ito, and M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science, 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1995. HXPES Analysis of Ar+O2 Plasma Exposed Zn/PET Interface for Inorganic Layer Formation on Organic Materials 国際会議

    K. Cho, K. Takenaka, Y. Setsuhara, M. Shiratani, M. Sekine, and M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1996. Behavior of Atomic Species in the Multi-Micro Hollow Cathode Lamp Measured by Laser Absorption Spectroscopy 国際会議

    M. Inoue, T. Ohta, T. Kanae, N. Takota, M. Ito, H. Kano, K. Yamakawa, and M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1997. Gas Phase Reaction of Activated Species in O2/Ar Nonequilibrium Atmospheric Pressure Plasma 国際会議

    M. Kato, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, and M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1998. In-situ FTIR Analysis of Porous Low-k Film Exposed to O2 Plasma

    K.Asano,H.yamamoto,K.Takeda,K.ishikawa,H.Kondo,M.sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  1999. Measurement of the Electron Temperature and Density of 60 Hz Nonequikibrium Atmospheric Pressure Plasma Jet by Laser Thomson Scattering

    F.Jia,N.Sumi,K.Ishikawa,H.Kano,H.Inui,K.Takeda,H.Kondo,M.Sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2000. Three Dimensional Distribution of Atomic Radical in Nonequilibrium Atmospheric Pressure Plasma

    M.kato,K.Takede,K.Ishikawa,H.Kondo,M.Skine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2001. High Resolution Measurement of Silicon Substrate Using frequency Domain Coherence Interferometer for Plasma

    T.Tsutsumi,T.Ohta,M.Ito,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2002. Behaviors of Pb Atom in the Multi-Micro Hollow Cathode Lamp Measured by Diode Laser Absorption Spectroscopy

    M.Inoue,T.Ohta,N.Takota,M.Ito,H.Kano,K.Yamakawa,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2003. Fundamental Research and Global Innovation on Plasma Nanoprocessing

    M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2004. Synthesis of Amorphous Carbon Films Employing radical-injection Plasma-Enhanced Chemical Vapor Deposition system for Solar Cell

    T.Kino,H.Kondo,K.ishikawa,M.sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2005. Crystallinity Control of Microcrystalline Silicon film Employing Hydrogen Radical-injection Plasma-enhanced Chemical Vapor Deposition

    S.Kawashima,Y.Abe,K.Takeda,K.ishikawa,H.Kondo,M.sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2006. Nitogen Radical Annealing recovery of Etch-Induced-Damage on GaN

    K.Ishikawa,S.Chen,R.Kometani,H.Kondo,K.Takeda,H.Kano,Y.Tokuda,M.Sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2007. Fluorescent Microscopy of Penicillium Digitatum in Plasma Inactivation using Non-equilibrium Atomospheric Pressure Plasma

    T.Mori,T.Ohta,M.Ito,S.Iseki,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2008. Effects of Irradiations with Ions and Photons in UV-VUV Regions on Nano-Surface of Polymers Exposed to Plasmas

    K.Cho,K.Takenaka,Y.Setsuhara,M.Shiratani,M.Sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2009. Investigation of Plasma-Polymer Interactions with Combinatorial Method

    K.Takenaka,K.cho,Y.Setsuhara,M.Shiratani,M.sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2010. Study of Etch Reaction with F and O radicals using SF6/O2 Plasma

    S.Amasaki,T.Takeuchi,K.takeda,K.ishikawa,H.Kondo,M.sekine,M.Hori,N.Sakurai,H.Hayashi,I.Sakai,T.Ohiwa

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2011. In situ XPS Analysis of Surface Modification on ArF Photoresist by Fluorocarbon Plasma Beams

    T.Takeuchi,S.Amasaki,K.Takeda,K.Ishikawa,H.Kondo,M.Sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2012. Sub-nm Scale Control of Etched-Profile-Fluctuations in Organic Low-k Film Etch

    T.Suzuki,K.Takeda,H.Kondo,K.Ishikawa,M.Sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2013. Surface Loss Probability of Hydrogen Radical in SiH4/H2Plasma

    Y.Abe,K.Takeda,K.Ishikawa,H.Kondo,M.Sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2014. SiO2 Plasma Etching Process Using Alternative Gas,C3F6O

    Y.Kondo,Y.Miyawaki,K.Takeda,K.Ishikawa,H.Kondo,T.hayashi,H.Okamoto,M.Sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2015. Deep Level Defect in GaN after Plasma Beam Etching

    S.Chen,R.Kometani,K.Ishikawa,H.Kondo,K.Takeda,H.Kano,Y.Tokuda,M.sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2016. C5HF7 Chemistry for Highly Selective Etch of SiO2 over Si

    Y.Miyawaki,Y.Kondo,H.yamamoto,K.Takeda,K.Ishikawa,A.Yamazaki,A.Ito,H.Matsumoto,M.Sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2017. Interaction of Gallium Nitride(GaN)Surface with chlorine Plasma Beams Analyzed by In-situ XPS

    R.Kometani,S.Chen,K.ishikawa,H.Kondo,K.takeda,M.Sekine,H.Amano,Y.Setsuhara,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2018. Development and Application of Electron Beam-Excited Plasma System using Hollow Cathode Electron Source

    K.Yamakawa,S.Den,S.Takahashi,H.Yamamoto,M.Hori,H.Kondo

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2019. Radical Flux Modeling and Analysis for Sticking Coefficient Evaluation

    Mailnowski,M.Hori,M.Sekine,K.Ishikawa,H.Kondo,H.yamamoto,T.Takeuchi,T.Suzuki,A.Jakubowski,L.Lukasiak

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2020. Plasma Nano-Technologies for Fabrications of VPH Grating,Quasi-Bragg Grating and Novel Immersion Grating

    N.Ebizuka,H.Kondo,K.Ishikawa,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2021. Behavior of metastable He Atom in the Multi-Micro Hollow Cathode Lamp Measured by Laser Absorption Spectroscopy

    M.Inoue,T.Ohta,T.kanae,M.Ito,K.Yamakawa,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2022. O Radical Measurement in Penicillum Digitatum Inactivation Using Non-equilibrium Atmospheric O2/Ar Plasma

    S.Iseki,T.Ohta,M.Ito,H.Kano,K.Takeda,H.Kondo,K.Ishikawa,M.Sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2023. Measurement of Optical Properties of Carbon-based Material Using Terahertz Time domain Spectroscopy

    T.Hiraoka,K.Takeda,,K.Ishikawa,H.Kondo,M.Ito,M.Sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2024. Effects of Argon Flow Rate on the Atomic Emission Process of Cu Solution in Atmospheric Pressure Plasma

    J.Kularatne,H.Kano,M.Ito,T.Ohta,K.Takeda,H.Kondo,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2025. Fabrication of Aligned Carbon Nanotube Films using Microwave Plasma-Enhanced CVD

    M.Naito,K.Murata,M.Hiramatsu,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2026. Affection of Hydrophilic and Hydrophobic of Carbon Nanowalla Using Plasma Surface Trearment

    H.Watanabe,K.Takeda,H.Kondo,M.Hiramatsu,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2027. High-Speed Synthesis of Nano-Graphene by In-liquid Plasma in Alcohol

    T.Hagino,H.Kano.K.Ishikawa,K.Takeda,H.Konodo,M.sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2028. Area-selective of Carbon Nanowalls by Using Catalyzed Substrate

    Y.Nihashi,M.Hiramatsu,H.Kondo,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2029. Determination of C Atom Density in Microwave Plasma with Carbon-Containing Gases by Vacuum Ultraviolet Absorption Spectroscopy

    K.Murata,M.Naito,M.Hiramatsu,S.Takashima,H.Kondo,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2030. Effects of Substrate Temperatures on Crystallographic Changes of Carbon Nanowalls Induced by radical Irradiation

    H.Shimoeda,H.Kondo,K.Taakeda,K.Ishikawa,M.Hiramatsu,M.Sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2031. STS Observation of Local Density of States on Growth Surface at an Initial Stage of Carbon Nanowall Synthesis

    K.Yasuda,H.Kondo,M.Hiramatsu,M.sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2032. Synthesis of Platinum Nanoparticles on Carbon Nanowall Surface by Supercritical Fluid Chemical Deposition

    S.Mitsuguchi,H.Kano,M.Hiramatsu,H.Kondo,M.Sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2033. Fabrication and Electrical Conductivity Measurements of Single Carbon Nanowall Bridging between Metal Eectrodes

    T.Kanda,K.Yamakawa,H.Kondo,M.Hiramatsu,M.Sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2034. Insight into plasma nano-interface with organic materials 国際会議

    Masaru Hori

    2nd International Workshop on Plasma Nano-Interfaces and Plasma Characterization 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:スロベニア共和国  

  2035. Gas phase and surface reactions of radicals in plasma-enhanced chemical vapor deposition processes for thin-film silicon solar cell 国際会議

    H.Kondo,M.Hori

    2nd International Workshop on Plasma nano-Interfaces and Plasma Characterization 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2036. Insight into plasma nano-interface with organic materials 国際会議

    M.Hori,K.Ishikawa,K.Takeda,S.Makoto

    2nd International Workshop on Plasma nano-Interfaces and Plasma Characterization 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:スロベニア共和国  

  2037. 地域クラスター間の連携によるグリーンイノベーションの創出に向けて

    堀勝

    地域イノベーションシンポジウム 

     詳細を見る

    開催年月日: 2011年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:メルパルク京都   国名:日本国  

  2038. プラズマプロセス中材料の表面反応の機構解析 国際会議

    石川健治,竹内拓也,尼崎新平,浅野高平,山本洋,鈴木俊哉,竹田圭吾,近藤博基,関根誠,堀勝

    応用物理学会シリコンテクノロジー研究会第133 回 研究集会 

     詳細を見る

    開催年月日: 2011年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2039. Novel Gratings for Subaru Telescope, TMT and E-ELT 国際会議

    N. Ebizuka, K. Ishikawa, H. Kondo, M. Hori, et al.

     詳細を見る

    開催年月日: 2011年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2040. Main discharge dependence of deposition rate of plasma CVD carbon films deposited using H-assisted plasma CVD reactor 国際会議

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2011年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2041. Emission intensity measurements of Ar+H2+C7H8 plasmas using H-assisted plasma CVD 国際会議

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2011年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2042. Combinatorial Analyses of Plasma Materials Processing 国際会議

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2011年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2043. Synthesis and control of carbon nano walls for their applications to future functional devices 国際会議

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2011年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2044. Real-time Electron-Spin-Resonance Study of Plasma-Surface interaction 国際会議

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2011年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2045. Plasma Innovation towards Next Generation Green and Life Science and Technology 国際会議

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2011年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2046. Effect of reactive oxygen species on Penicillium digitatum inactivation 国際会議

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2011年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2047. Study of Terahertz Time Domain Spectroscopy for Biological Plasma Applications 国際会議

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2011年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2048. 半導体プラズマプロセス中の表面反応機構の解析

    石川健治,関根誠,堀勝

    第10回インテリジェントナノプロセス 

     詳細を見る

    開催年月日: 2010年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2049. 大気圧・室温プラズマの基礎・生成法と応用

    堀勝

    名古屋大学協力会第10回セミナー 

     詳細を見る

    開催年月日: 2010年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2050. In line Electron Spin Resonance Study of Plasma-Surface Interaction for plasma etching

     詳細を見る

    開催年月日: 2010年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2051. 大気圧プラズマを用いた不活性における真菌の観察

    太田貴之、伊藤昌文、堀勝

    第20回日本MRS学術シンポジウム 

     詳細を見る

    開催年月日: 2010年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2052. Combinatorial Analyses of Plasma Interactions with Organic Materials

     詳細を見る

    開催年月日: 2010年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2053. Effects of Photo-Irradiations in UV-VUV regions on Chemical Bonding States of Soft Materials Exposed to Plasmas

     詳細を見る

    開催年月日: 2010年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2054. Real-time electron-spin-resonance measurement of plasma induced surface intearctions

     詳細を見る

    開催年月日: 2010年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2055. Observation of nanographene nucleation on amorphous carbon films by STM/STS

     詳細を見る

    開催年月日: 2010年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2056. Controlled synthesis of amorphous carbon films by radical-injection plasma-enhanced chemical vapor deposition for solar cell

     詳細を見る

    開催年月日: 2010年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2057. Combinatorial plasma etching 国際会議

    13. M. Hori, M. Sekine, C. Moon, T. Suzuki, Y. Setsuhara, M. Shiratani(Invited)

    The Second International Symposium on Plasma Nanoscience (iPlasmaNano-II) 

     詳細を見る

    開催年月日: 2010年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:オーストラリア連邦  

  2058. Plasma Induced Surface and/or Sub-Surface Interactions on Low-k Dielectrics 国際会議

    The Second International Symposium on Plasma Nanoscience (iPlasmaNano-II) 

     詳細を見る

    開催年月日: 2010年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  2059. Control Synthesis and Device Applications of Carbon Nanowalls Grown by Plasma-enhanced Chemical Vapor Deposition 国際会議

    2010 KISE Fall Meetings & International Symposium 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  2060. Control of Crystalline and Electronic Structures of Carbon Nanowalls for Their Device Applications 国際会議

    2010 IEEE Region 10 Conference (TENCON2010) 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2061. In line Electron Spin Resonance Study of Plasma-Surface Interations for Plasma Etching 国際会議

    The 3rd International Symposium of Plasma Center for Industrial Applications (PLACIA) & Plasma Application Monodzukuri(PLAM) on Activation of Manufacturing in Nagoya with Plasma Technology 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2062. C5HF7 Chemistry for Highly Selective Etch of SiO2 over SiN and Si 国際会議

    International Symposium on Dry Process, Tokyo Institute of Technology 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2063. Investigation of the Modified AeF Photoresist Surface during Fluorocarbon Plasma Etching Process 国際会議

    International Symposium on Dry Process, Tokyo Institute of Technology 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2064. Enhancement of Electron Field Emission Properties of Carbon Nanowalls by N2 Plasma 国際会議

    International Symposium on Dry Process, Tokyo Institute of Technology 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2065. Combinatiorial Analysis of Plasma-Polymer Interactions for Soft Material Processing 国際会議

    International Symposium on Dry Process, Tokyo Institute of Technology 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2066. Effect of Photo-Irradiations in VUV and UV Regions on Chemical Bonding States of Polymers during Plasma Exposure 国際会議

    International Symposium on Dry Process, Tokyo Institute of Technology 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2067. Investigation of Si Etch Reaction Induced by SF6/O2 Plasma 国際会議

    International Symposium on Dry Process, Tokyo Institute of Technology 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2068. Observation of 193-nm Photoresist Surface Exposed to Etching Plasma Employing C5HF7 Gas Chemistry 国際会議

    International Symposium on Dry Process, Tokyo Institute of Technology 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2069. Novel Plasma Processing System for Nano-bio and Nano-medicine 国際会議

    BIT's 1st Annual World Congress of NanoMedicine Conference (NanoMedicine-2010) 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  2070. Highly selective etching of SiOCH over SiC films by Dual Frequency CCP with DC bias superimposed to upper electrode 国際会議

    AVS 57th International Symposium and Exhibition 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2071. Mechanism of Highly Selective SiO2 Etching over Photoresist Using New Alternative Gas, C5HF7 国際会議

    AVS 57th International Symposium and Exhibition 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2072. Plasma Surface Interactions for Low-k Material Etching 国際会議

    AVS 57th International Symposium and Exhibition 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  2073. Mechanism of Modification of in Si-O-Si Structure in Porous SiOCH Low-k Films by H2/N2 plasma 国際会議

    AVS 57th International Symposium and Exhibition 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2074. Polymer Surface Modification: Vibrational Sum Frequency Generation Study for Plasma Etching 国際会議

    AVS 57th International Symposium and Exhibition 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2075. High-speed growth and crystallinity control of microcrystalline silicon film employing hydrogen radical-injection plasma-enhanced chemical vapor deposition 国際会議

    Third International workshop on Thin Film Silicon Solar Cells 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2076. Dispersive Optical Elements for TMT 国際会議

    N. Ebizuka, K. Ishikawa, H. Kondo, M. Hori, Y. Hirahara, S. Sato and M. Iye

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2077. Growth of carbon nanowalls using inductively coupled plasma-enhanced chemical vapor deposition 国際会議

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2078. Analysis of gallium nitride (GaN) surface interacted with chlorine etching plasma beams 国際会議

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2079. Mechanism of Si Oxidation in H2/O2/Ar Surface Wave Plasma 国際会議

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2080. High growth rate of GaN homoepitaxy by molecular beam epitaxy using high density nitrogen radical source 国際会議

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2081. Analysis of GaN damage induced by Cl2/SiCl4/Ar plasma 国際会議

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2082. Inactivation mechanism of \textit{Penicillium digitatum} spores by atmospheric pressure argon plasma 国際会議

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2083. Density and translational temperature of Pb atom in the multi-Micro Hollow Cathode Lamp measured by diode laser absorption spectroscopy 国際会議

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2084. Plasma parameter measurements of Ar+H2+C7H8 plasmas in H-assisted plasma CVD reactor 国際会議

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2085. Dissociation channels of c-C$_{4}$F$_{8}$ to CF$_{2}$ radical in reactive plasma 国際会議

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2086. Atmospheric Pressure Micro Inductively Coupled Plasma Source with Floating Electrode 国際会議

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2087. Formation mechanism of indium-zinc-oxide film using RF magnetron sputtering based on optical diagnostics of gas phase 国際会議

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2088. Investigation of Plasma Interactions with Soft Materials via Combinatorial Plasma-Process Analyzer for Plasma Nano Processes 国際会議

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2089. Effects of Photoemissions in UV and VUV Regions on Nano-Surface Strucures of Soft Materials during Plasma Processes 国際会議

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2090. Study on modified surface layer of photoresist employing fluorocarbon ion beam and radicals 国際会議

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2091. Morphological control of carbon nanowalls by reactive ion etching 国際会議

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2092. Time dependence measurement of electron density and temperature of a 60 Hz nonequilibrium atmospheric pressure plasma by laser Thomson scattering 国際会議

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2093. In situ Electron Spin Resonance Study for Plasma-Surface interactions 国際会議

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2094. High resolution measurement of silicon wafer temperature using super-continuum light on optical low-coherence interferometry 国際会議

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2095. Crystallographic analyses of carbon nanowalls using synchrotron X-ray 国際会議

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2096. Measurement of surface loss probabilities of hydrogen radicals in plasma-enhanced Si CVD process for solar cell 国際会議

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2097. Modification of Si-O-Si Structure in Porous SiOCH Low-k Films with Ions, Radicals, and VUV Radiation in O2 Plasma 国際会議

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2098. Bridging Growth and Electrical Properties of Single Carbon Nanowall 国際会議

    International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2099. 3D TCAD Simulation Study of the Influence of Line-Width Roughness on FinFET Performance for the 22-nm technology node 国際会議

    The 10th Conference "Electron Technology ELTE 2010" 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:英語   会議種別:口頭発表(一般)  

  2100. ESR インライン計測による材料エッチング過程の観察

    石川健治,山崎聡,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2101. C3F6O代替ガスを用いた絶縁膜の高速エッチングとその機構解明

    近藤祐介,宮脇雄大,竹田圭吾,石川健治,近藤博基,林俊雄,関根誠,岡本秀一,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2102. プラズマCVD炭素薄膜の製膜形状の基板温度依存性

    野村卓矢,浦川達也,是永有輝,山下大輔,松崎秀文,古閑一憲,白谷正治,節原裕一,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2103. 水素ラジカル注入型プラズマ源を用いた微結晶シリコン薄膜の成膜

    川嶋翔,阿部祐介,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2104. Radical Transport Simulation under Roof on Substrate in Processing Plasma

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2105. 単一カーボンナノウォールの電気特性

    神田貴幸,山川晃司,竹田圭吾,石川健冶,近藤博基,平松美根男,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2106. シンクロトロンX線を用いたカーボンナノウオールの結晶構造の解析

    近藤博基,竹内和歌奈,廣沢一郎,木村滋,平松美根男,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2107. SF6/O2プラズマを用いたSiエッチング機構

    尼崎新平,竹内拓也,竹田圭吾,石川健治,近藤博基,関根誠,堀勝,櫻井典子,林久貴,酒井伊都子,大岩徳久

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2108. プラズマアグリカルチャー(IV) -プラズマ殺菌におけるミドリカビの蛍光観察-

    森拓海,太田貴之,伊藤昌文,井関紗千子,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2109. 非平衡大気圧プラズマによるミドリカビ殺菌速度の酸素ラジカル密度依存性

    井関紗千子,太田貴之,伊藤昌文,加納浩之,東島康裕,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2110. 非平衡大気圧プラズマにおける原子状ラジカルの挙動に関する研究

    加藤正規,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2111. 大気圧アトマイゼーションプラズマにおけるプラズマ長、Ar流量依存性

    Jagath Kularatne,加納浩之,太田貴之,伊藤昌文,竹田圭吾,近藤博基,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2112. Ar/O2/H2表面波プラズマを用いたSi酸化プロセスにおける基板バイアス印加効果

    竹田圭吾,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2113. 太陽電池用シリコン薄膜プラズマプロセスにおける水素原子表面損失確率(II)

    阿部祐介,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2114. Spatial distribution measurement of the electron temperature and density of 60 Hz nonequilibrium atmospheric pressure plasma by laser Thomson scattering

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2115. 狭帯域レーザ吸収分光法を用いたマイクロホロカソード放電中のPb原子密度と温度測定

    井上真里,太田貴之,田子多直樹,伊藤昌文,加納浩之,山川晃司,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2116. 周波数領域型低コヒーレンス干渉計を用いた高精度Si基板温度計測

    堤隆嘉,太田貴之1,伊藤昌文,平岡丈弘,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2117. MEMS化に適した大気圧プラズマ光源

    松山弘樹,熊谷慎也,堀勝,佐々木実

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2118. X線光電子分光によるプラズマーソフト材料相互作用の解析 ー UV-VUV領域の発光がナノ表面に及ぼす影響 ー

    趙研,竹中弘祐,節原裕一,白谷正治,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2119. コンビナトリアルプラズマプロセス解析装置を用いたプラズマ・ポリマー相互作用の解析(IV)

    竹中弘祐,趙研,節原裕一,白谷正治,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2120. 広帯域光源を用いた光ファイバ型キャビティリングダウン分光法の開発

    平岡丈弘,太田貴之,伊藤昌文,西澤典彦,東島康裕,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2121. 高密度窒素ラジカル源を用いたMBE 法による高速GaNホモ・エピタキシャル成長の実現

    河合洋次郎,本田善央,山口雅史,天野浩,陳尚,近藤博基,平松美根男,堀勝,加納浩之,山川晃司,田昭治

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2122. 高精度Cl2プラズマビームを用いたGaNエッチング表面反応の解明

    米谷亮祐,陳尚,竹田圭吾,石川健治,近藤博基,関根誠,江川孝志,節原裕一,天野浩,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2123. プラズマによるGaNエッチング損傷と反応機構の解析

    陳尚,米谷亮祐,竹田圭吾,石川健治,近藤博基,加納浩之,徳田豊,関根誠,節原裕一,江川孝志,天野浩,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2124. ラジカルが発生し易いエッチングガスと分子構造

    林俊雄,石川健治,関根誠,堀勝,河野明廣,鄒弘綱

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2125. ラジカル照射によるカーボンナノウォールの形態制御

    下枝弘尚,近藤博基,平松美根男,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2126. 和周波振動分光(SFG)によるポリフッ化ビニリデンの分極配向特性評価

    石川健治,河野昭彦,堀邊英夫,竹田圭吾,近藤博基,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2127. エタノールを用いた気液プラズマによるカーボンナノ材料の作製

    萩野達也,乾裕俊,加納浩之,石川建治,竹田圭吾,近藤博基,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2128. ホロカソード電子源を用いた電子ビーム励起プラズマプロセス装置の開発と機能性部材への応用

    堀勝,近藤博基,竹田圭吾,山川晃司,田昭治

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2129. リアルタイム電子スピン共鳴によるプラズマ誘起表面反応の解析

    鷲見直也,石川健冶,河野昭彦,堀邊英夫,竹田圭吾,近藤博基,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2130. O2プラズマ曝露によるポーラスSiOCH 膜へのダメージ発生メカニズム

    浅野高平,山本洋,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2131. H2/Ar大気圧プラズマによる酸化銅還元反応の検討

    乾裕俊,吉田直史,竹田圭吾,近藤博基,石川健治,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2132. 二周波容量結合型エッチングプラズマにおける上部電極へのDCバイアス印加効果(III)

    山口剛,竹田圭吾,輿水地塩,近藤博基,石川健治,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2133. サブナノメーター形状揺らぎ制御有機膜エッチングのための主要因子の解明

    鈴木俊哉,竹田圭吾,近藤博基,石川健治,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2134. C5HF7/O2/ArプラズマによるArFレジスト表面ラフネス発生抑制機構

    山本洋,宮脇雄大,竹田圭吾,石川健治,近藤博基,関根誠,堀勝,伊東安曇,松本裕一

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2135. ガスデザインに基づいたSiO2膜エッチングとその機構解明(III)

    宮脇雄大,近藤祐介,竹田圭吾,伊東安曇,松本裕一,近藤博基,石川健治,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2136. フルオロカーボンプラズマビームによるフォトレジスト表面改質層の解析

    竹内拓也,尼崎新平,竹田圭吾,石川健治,近藤博基,豊田浩孝,関根誠,堀勝,康松潤,沢田郁夫

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2137. カーボンナノウォールの成長に対する基板形状の効果

    渡邊均,近藤博基,石川健治,竹田圭吾,関根誠,堀勝,平松美根男

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2138. STM/STSによるカーボンナノウォールの核発生過程の観察

    安田幸司,山川晃司,近藤博基,平松美根男,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2139. アモルファスカーボン膜の結晶構造に対する成長温度の効果

    木野徳重,近藤博基,石川健治,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2140. N原子注入によるアモルファスカーボン膜の結晶性制御

    九鬼淳,木野徳重,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2141. Performance Estimation of Carbon Nanowall-based Field Effect Transistor by 3D Simulation Study 国際会議

    The 40th Solid-State Device Research Conference ESSDERC'2010 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:英語   会議種別:口頭発表(一般)  

  2142. Advanced plasma and nanotechnology related programs in Tokai Region Knowledge Cluster Initiative 国際会議

    The Plasma Convergence Technology Workshop 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  2143. Plasma fundamental and processes for semiconductor, digital electronics and flexible electronics 国際会議

    Summer International Graduate School of Advanced Material Science and Engineering (AMSE) 

     詳細を見る

    開催年月日: 2010年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  2144. プラズマ化学気相堆積法によるカーボンナノ材料合成の基礎と応用

    堀勝

    つくばナノテク拠点シンポジウム 

     詳細を見る

    開催年月日: 2010年8月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2145. Novel approach for the interpretation of etching characteristics based on internal parameters employing combinatorial plasma process 国際会議

    20th ESCAMPIG 

     詳細を見る

    開催年月日: 2010年7月

    記述言語:英語   会議種別:口頭発表(一般)  

  2146. Modification of Si-O-Si Structure in Porous SiOCH Films by O2 plasma 国際会議

    The 11th International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2010年7月

    記述言語:英語   会議種別:口頭発表(一般)  

  2147. Measurement of silicon substrate temperature by optical lowcoherenceinterferometry using Super-Continuum light 国際会議

    The 11th International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2010年7月

    記述言語:英語   会議種別:口頭発表(一般)  

  2148. Control of hydrophilic and hydrophobic properties of carbon nanowalls using plasma surface treatment 国際会議

    The 11th International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2010年7月

    記述言語:英語   会議種別:口頭発表(一般)  

  2149. Challenge towards Smart Plasma Nano-process Employing Integrated Monitoring 国際会議

    APCPST & SPSM 2010 

     詳細を見る

    開催年月日: 2010年7月

    記述言語:英語   会議種別:口頭発表(基調)  

  2150. Growth mechanisms and controlled synthesis of vertical-standing graphen sheets by PECVD 国際会議

    62nd IUVSTA Workshop on PLASMA SYNTHESIS AND MODIFICATION OF NANOMATERIALS 

     詳細を見る

    開催年月日: 2010年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  2151. Surface Treatment of CFRP by an ultrahigh density low-temperature atmospheric pressure plasma 国際会議

    SURFAIR 

     詳細を見る

    開催年月日: 2010年6月

    記述言語:英語   会議種別:口頭発表(一般)  

  2152. Analyses of crystalline and electronic structures of carbon nanowalls using synchrotron radiation light 国際会議

    International Symposium on Technology Evolution for Silicon Nano-Electronics 

     詳細を見る

    開催年月日: 2010年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2153. Combinatorial plasma-process analysis for research and development of nano devices 国際会議

    International Symposium on Technology Evolution for Silicon Nano-Electronics 

     詳細を見る

    開催年月日: 2010年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2154. Controlled syntheses of carbon nanowalls for future electric device applications 国際会議

    International Symposium on Technology Evolution for Silicon Nano-Electronics 

     詳細を見る

    開催年月日: 2010年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2155. Bridging growth and electrical properties of single carbon nanowall 国際会議

    International Symposium on Technology Evolution for Silicon Nano-Electronics 

     詳細を見る

    開催年月日: 2010年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2156. Real-time monitoring of vertical-growth of nanographenes by spectroscopic ellipsometry 国際会議

    International Symposium on Technology Evolution for Silicon Nano-Electronics 

     詳細を見る

    開催年月日: 2010年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2157. Morphological modification of carbon nanowalls by oxygen plasma etching 国際会議

    International Symposium on Technology Evolution for Silicon Nano-Electronics 

     詳細を見る

    開催年月日: 2010年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2158. Control in optical properties of amorphous carbon films synthesized by plasma enhanced chemical vapor deposition for solar cell applications 国際会議

    International Symposium on Technology Evolution for Silicon Nano-Electronics 

     詳細を見る

    開催年月日: 2010年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2159. 多様な電磁波制御によって発展する先進 プラズマプロセスの現状と展望

    堀勝

    第1回日本電磁波エネルギー応用学会講演会-電磁波利用の最新技術- 

     詳細を見る

    開催年月日: 2010年5月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2160. プラズマナノ科学に基づいたカーボンナノウォールの合成と応用

    堀勝

    ナノ学会第8回大会 

     詳細を見る

    開催年月日: 2010年5月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2161. 超高密度60Hz大気圧非平衡プラズマの応用プロセス開発

    堀 勝、竹田圭吾

    中部地区ナノテク総合支援:ナノ材料創製加工と先端機器分析 平成21年度成果報告会 

     詳細を見る

    開催年月日: 2010年5月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2162. Controlled synthesis of carbon nanowalls for new functional devices 国際会議

    International Conference on Nanomaterials: Synthesis Characterization and Applications (ICN–2010) 

     詳細を見る

    開催年月日: 2010年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  2163. Controlled synthesis of carbon nanowalls based on plasma nanoscience 国際会議

    The 3rd International Leader Workshop on Plasma Nanoscience and Nanotechnology 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  2164. Introduction to modeling of a transport mechanism in Single Carbon Nano Wall Field Effect Transistor based on Landauer-Buttiker formalism

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2165. ラジカル注入型PECVDを用いたカーボン膜のバイアス依存性

    木野徳重、近藤真悟、近藤博基、関根誠、堀勝

    2010年春季 第57回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2166. イオン照射誘起深い準位欠陥の水素ラジカルによる不活性化

    陳尚,永江陽一,石川健治,中井雅文,加納浩之,竹田圭吾,近藤博基,徳田豊,関根誠,堀勝

    2010年春季 第57回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2167. Investigation of VHF Capacitively Coupled Plasma with DC Bias for Low-k film 国際会議

    The 3rd International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2168. Quasi-Bragg grating with sub-wavelength particles 国際会議

    The 3rd International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2169. Hα emission intensity measurements of H2+Ar+C7H8 plasmas in H-assisted plasma CVD reactor 国際会議

    The 3rd International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2170. Dissociation channel of c-C4F8 to CF2 radical in reactive plasma 国際会議

    The 3rd International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2171. Synchrotron X-ray diffraction anlysis of carbon nanowalls synthesized by radical-injection plasma-enhanced chemical vapor deposition system 国際会議

    The 3rd International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2172. Investigation of influence of Single Carbon Nano Wall structure on Field Effect Transistor electrical parameters and characteristics 国際会議

    The 3rd International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2173. In-situ Observations of growth processes of carbon nanowalls using spectroscopic ellipsometry 国際会議

    The 3rd International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2174. Analysis of Plasma Interactions with Soft Materials Using Combinatorial Plasma Process Analyzer 国際会議

    The 3rd International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2175. XPS Analysis of Plasma-Polymer Interactions for Organic-Inorganic Hybrid Materials 国際会議

    The 3rd International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2176. Surface Loss Probabilities of H, N Radicals in Afterglow Plasma 国際会議

    The 3rd International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2177. Fabrication of carbon nanomaterials synthesized by plasma enhanced chemical vapor deposition for solar cell applications 国際会議

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2178. Low-Temperature Treatment Using High-Density Non-Equilibrium Atmospheric of Pressure Plasma 国際会議

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2179. Effect of Plasma Surface Treatments on Supporting of Platinum Nanoparticles to Graphite Materials in Supercritical Carbon Dioxide 国際会議

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2180. Effect of Ion Irradiation on Carbon Nanowalls Growth 国際会議

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2181. Initial Nucleation in Carbon Nnowalls Growth on Si and SiO2 Surface

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2182. Effect of Oxygen Etching on the Morphologies of Carbon Nanowalls 国際会議

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2183. Gas Flow Rate Ratio Denpendence of Deposition Profile of H-Assisited Plasma CVD Carbon Films in Trench Substrates 国際会議

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2184. Control of Hydrophilic and Hydrophobic Properties of Carbon Nanowall by Plasma Surface Treatment 国際会議

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2185. Measurement of Si Wafer Temperature with Metal Thin Film during Plasma Process Using Low-Coherence Interferometer 国際会議

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2186. Deep-Level Defect Passivation by High Density Hydrogen Radical Exposure on Ion Irradiated Si 国際会議

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2187. Analysis of ArF Photoresist Modified by Fluorocarbon Ion Bombardment 国際会議

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2188. Effect of CF Layer on Porous SiOCH Low-k Films During H2 or N2 Plasma Exposure 国際会議

    Effect of CF Layer on Porous SiOCH Low-k Films During H2 or N2 Plasma Exposure 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2189. SiO2 Cotact Hole Etch Mechanism Using Environment-Friendly New Gas, C5F7H 国際会議

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2190. Porous SiOCH Low-k Film Etch Process and its Surface Reactions Employing an Alternative Fluorocarbon Gas C5F10O 国際会議

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2191. Study for Damage in Porous SiOCH Film with Air Exposure After H2 or N2 Plasma Treatment 国際会議

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2192. Behavior of Rotational Temperature in Dual Frequency Capacitively Coupled Plasma 国際会議

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2193. Modeling of Radical Tranformation under `PAPE' Structure and Method of Estimation for Surface Loss Probabilities of Radicals 国際会議

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2194. Measurement of H Radical Density in H2/Ar Nonequilibrium Atmospheric Pressure Plasma 国際会議

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2195. Surface Loss Probabilities of H Atom on Various Silicon Thin Films 国際会議

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2196. Investigation of Formation Mechanism of Indium-Zinc-Oxide Film by RF Magnetron Sputtering 国際会議

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2197. Accurate Monitoring System for Silicon Wafer Temperature Using Super-Coniuum Light Source on Low-Conherence Interferometry 国際会議

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2198. Application Front of Advanced Plasma Science and Industry-Academia- Government Collaboration 国際会議

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2199. Radical Kinetics in N2-H2 Plasma Generated by Novel High Density Radical Source 国際会議

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2200. A Well-Established Compact Combinatorial Etching Process Employing Inductively Coupled H2/N2 Plasma 国際会議

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2201. Fundamental Research on Plasma Nanoprocessing 国際会議

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2202. Synthesis of Amorphous Carbon Films using Nonequilibrium Atmosperic-Pressure Plasma 国際会議

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2203. Siloxane Polymer Surface Modifications by Exposure of Plasma-Beams: A Vibrational Sum-Frequency Generation Spectroscopy (SFG) Study 国際会議

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2204. Atmospheric Pressure Plasma for Localized Material Deposotion on IR Sensor 国際会議

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2205. Combinatorial Analysis of Plasma Interactions with Soft Materials 国際会議

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2206. Hard X-ray Photoelectron Spectroscopy for Analysis of Plasma-Exposed Polymer Surface 国際会議

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2207. Diagnostics in High Pressure SiH4/H2 Plasma for Deposition of Microcrystalline Si 国際会議

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2208. プラズマプロセスの将来展望

    堀勝、 関根誠

    応用物理学会分科会シリコンテクノロジー第120回研究集会 

     詳細を見る

    開催年月日: 2010年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2209. 薄膜シリコン系材料成長時のプロセス診断

    堀勝

    プラズマ材料科学第153委員会 第95回研究会 

     詳細を見る

    開催年月日: 2010年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2210. 超高密度大気圧プラズマ技術による表面処理技術の開発~先進プラズマ科学技術による航空機産業のイノベーション~

    堀 勝

    CERCシンポジウム10 

     詳細を見る

    開催年月日: 2010年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2211. 大望遠鏡によ る高分散分光観測の展望

    海老塚 昇, 石川 健治, 近藤 博基, 堀 勝, 平原 靖大, 佐藤 修二, 家 正則

    "可視、近赤外、中間赤外の高分散素子の展望"研究集会 

     詳細を見る

    開催年月日: 2010年2月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2212. 傾斜プラズマを用いたプラズマーポリマー相互作用に関するコンビナトリアル解析

    節原裕一、趙研、竹中弘祐、白谷正治、関根誠、堀勝

    第27回プラズマプロセシング研究会 

     詳細を見る

    開催年月日: 2010年2月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2213. 低コヒーレンス干渉計を用いた半導体基盤における温度と膜厚の同時計測

    レーザー学会学術講演会第30回年次大会 

     詳細を見る

    開催年月日: 2010年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2214. カーボンナノウォールの親水・撥水に関する研究

    渡邊均, 伴野雅章, 平松美根男, 堀勝

    第27回プラズマプロセシング研究会 

     詳細を見る

    開催年月日: 2010年2月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2215. 集積モニタリングによる低圧

    堀勝、文昶盛、関根誠、竹田圭吾、節原裕一、白谷正治

    第27回プラズマプロセシング研究会 

     詳細を見る

    開催年月日: 2010年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2216. カーボンナノウォールの結晶構造に対するO2/Arプラズマエッチングの効果

    下枝弘尚、宮脇雄大、竹田圭吾、近藤博基、平松美根男、関根誠、堀勝

    第27回プラズマプロセシング研究会 

     詳細を見る

    開催年月日: 2010年2月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2217. 容量結合型VHFプラズマにおけるDC印加効果

    木村哲也、山口剛、竹田圭吾、久保田和宏、輿水地塩、関根誠、堀勝

    第27回プラズマプロセシング研究会 

     詳細を見る

    開催年月日: 2010年2月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  2218. 上部電極へDCバイアスを印加した二周波容量結合型プラズマの振る舞い

    山口剛、木村哲也、竹田圭吾、関根誠、輿水地塩、堀勝

    第27回プラズマプロセシング研究会 

     詳細を見る

    開催年月日: 2010年2月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2219. 「最先端モノづくり研究の世界拠点としての研究推進」「プラズマナノ工学研究センターの概要」

    堀勝

    平成21年度工学研究科懇話会―工学研究科附属センター群への期待と展望― 

     詳細を見る

    開催年月日: 2010年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2220. 大気圧プラズマの作成

    半導体技術交流会 

     詳細を見る

    開催年月日: 2010年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2221. Mechanism of plasma Oxidation Process 国際会議

    The 10th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop 

     詳細を見る

    開催年月日: 2010年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2222. Development of radical source and its application to GaN growth for LED 国際会議

     詳細を見る

    開催年月日: 2010年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2223. Plasma innovation towards next generation Green Technology 国際会議

    The 10th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop 

     詳細を見る

    開催年月日: 2010年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2224. Synthesis of μc-Si and diagnostics in a VHF capacitively coupled plasma employing SiH4/H2 国際会議

    The 10th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop 

     詳細を見る

    開催年月日: 2010年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2225. Soft Materials Processing Technologies for Flexible Electronics 国際会議

    The 10th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop 

     詳細を見る

    開催年月日: 2010年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2226. Synthesis of carbon film employing radical injection PECVD 国際会議

    The 10th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop 

     詳細を見る

    開催年月日: 2010年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2227. 先進プラズマプロセスによるグラフェンマテリアルの開発

    堀 勝、竹内和歌奈、近藤博基、平松美根男

    平成21年度日本真空協会12月研究例会 

     詳細を見る

    開催年月日: 2009年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2228. ドライエッチングの基礎―エッチング技術

    堀 勝

    平成21年度実施カリキュラムー薄膜の作製と超微細加工― 

     詳細を見る

    開催年月日: 2009年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2229. Investigation of Plasma-Polymer Interactions Using Hard X-Ray Photoelectron Spectroscopy

     詳細を見る

    開催年月日: 2009年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2230. Pressure dependence of deposition profile of plasma CVD carbon films on trench substrates

    19th Symposium of MRS-Japan 

     詳細を見る

    開催年月日: 2009年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2231. Modeling considerations and performance estimation of Single Carbon Nano Wall based Field Effect Transistor by 3D TCAD simulation study 国際会議

    International conference 19th Symposium of MRS-Japan 

     詳細を見る

    開催年月日: 2009年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2232. O2/Arプラズマを用いたカーボンナノウォールのエッチングに関する研究

    下枝弘尚、宮脇雄大、竹田圭吾、近藤博基、平松美根男、関根誠、堀勝

    第19回日本MRS学術シンポジウム 

     詳細を見る

    開催年月日: 2009年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2233. Modeling considerations and performance estimation of Single Carbon Nano Wall based Field Effect Transistor by 3D TCAD simulation study

     詳細を見る

    開催年月日: 2009年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2234. Surface loss probability of H atom for plasma processes of silicon thin films

     詳細を見る

    開催年月日: 2009年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2235. Investigation of Wafer-temperature Change using Low-coherence Interferometer

     詳細を見る

    開催年月日: 2009年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2236. Effects of initial nuclei on carbon nanowalls density

     詳細を見る

    開催年月日: 2009年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2237. ポーラスSiOCH膜のH2プラズマ処理後の大気暴露の影響

    鈴木俊哉、山本洋、竹田圭吾、関根誠、堀 勝

    第19回日本MRS学術シンポジウム 

     詳細を見る

    開催年月日: 2009年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2238. Analysis of Plasma-Polymer Interaction with Combinatorial Plasma-Process Analyzer for Plasma Nano Processing of Soft Materials

     詳細を見る

    開催年月日: 2009年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2239. ラジカル注入型プラズマによるグラフェンナノシート成長

    堀 勝、近藤真悟、竹内和歌奈、竹田圭吾、平松美根男

    プラズマ核融合学会第26回年会 

     詳細を見る

    開催年月日: 2009年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2240. 先進プラズマ技術の産業応用

    堀 勝

    第2回プラズマ・ナノ技術研究会 

     詳細を見る

    開催年月日: 2009年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2241. プラズマによる地球再生イノベーション

    堀 勝

    (社)日本技術士会中部支部冬季例会 

     詳細を見る

    開催年月日: 2009年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2242. Co-simulation Matlab-Sentaurus for LWR modeling in Double Gate Field Effect Transistors 国際会議

    The VII Conference Computer Methods and Systems CMS'09 

     詳細を見る

    開催年月日: 2009年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  2243. Development of process technology based on advanced plasma nano science 国際会議

    The 2nd International Symposium of Plasma Center for Industrial Applications (PLACIA) & Plasma Application Monozukuri(PLAM) on Industrial Application of Advanced Plasma Technology 

     詳細を見る

    開催年月日: 2009年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2244. Gratings for the Subaru Telescope and for Plasma Diagnoses 国際会議

    International Symposium on "Application of Spectroscopy to Research and Development" 

     詳細を見る

    開催年月日: 2009年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2245. 明日の仕事に役に立つプラズマエッチングの基礎と実例 -半導体プロセスを中心としてー

    堀 勝

    プラズマエッチングの基礎と実例セミナー 

     詳細を見る

    開催年月日: 2009年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2246. Development of high density radical source and the behaviors of radicals in N2-H2 mixture plasma 国際会議

    AVS 56^th International Symposium and Exhibition 

     詳細を見る

    開催年月日: 2009年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  2247. Deposition Profile of Carbon Films in Submicron Wide Trenches using H-assisted Plasma CVD 国際会議

    AVS 56th International Symposium and Exhibition 

     詳細を見る

    開催年月日: 2009年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  2248. Evaluation of Ashing Plasma Damages on Porous SiOCH Films Due to Ions, Radicals, and Radiation 国際会議

    AVS 56th International Symposium and Exhibition 

     詳細を見る

    開催年月日: 2009年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  2249. HIGH PERFORMANCE OF COMPACT COMBINATORIAL ETCHING PROCESS FOR NEXT GENERATION PLAMA NANO-PROCESS 国際会議

    AVS 56th International Symposium and Exhibition 

     詳細を見る

    開催年月日: 2009年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  2250. 特許の意義と産学連携への展望~有用な特許の創出と契約交渉のポイント~

    堀 勝

    知的財産セミナー 

     詳細を見る

    開催年月日: 2009年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2251. MBE用高密度ラジカルソースの開発 国際会議

    堀 勝

    第6回窒化物半導体応用研究会 

     詳細を見る

    開催年月日: 2009年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2252. Preparation of Dispersed Pt Nanoparticles on Carbon Nanostructure Surface by Metal Organic Chemical Fluid Deposition Employing Supercritical Carbon Dioxide 国際会議

    4th International Conference on Surfaces Coatings and Nanostructured Materials (NanoSMat2009) 

     詳細を見る

    開催年月日: 2009年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2253. Analysis of the surface reactions of ArF photoresist during fluorocarbon plasma etching by XPS 国際会議

    62nd Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2009年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2254. Diagnostics of RF magnetron sputtering plasma for synthesizing transparent conductive Indium-Zinc-Oxide film 国際会議

    62nd Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2009年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2255. SiO2 Film Etching Process Using Environment-Friendly New Gas C5F7H 国際会議

    62nd Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2009年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2256. Behavior of hydrogen atoms in plasma enhanced chemical vapor deposition of microcrystalline silicon film 国際会議

    62nd Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2009年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2257. Electronic Structure of Carbon Nanowalls using Resonant Soft-X-Ray Emission Spectroscopy 国際会議

    2009 International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2009年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2258. Self-organization Growth of Monolithic Carbon Nanowalls Employing Low-temperture Plasmas 国際会議

    2nd International Conference on Advanced Plasma Technologies with 1st International Plasma Nanoscience Symposium(ICAPT2009) 

     詳細を見る

    開催年月日: 2009年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2259. Investigation of Surface Loss Probabilities of H, N Radicals in Afterglow Discharge employing Vacuum Ultra-Violet Absorption Spectroscopy 国際会議

    International Conference on Micro and Nano Engineering (MNE2009) 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:英語   会議種別:口頭発表(一般)  

  2260. Aspect ratio dependence of deposition profile of plasma CVD carbon films on trenched substrates 国際会議

    International Conference on Plasma Surface Engineering (AEPSE2009) 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:英語   会議種別:口頭発表(一般)  

  2261. Pressure and aspect ratio dependence of deposition profile of carbon films on trench substrates deposited by plasma CVD 国際会議

    31th International Symposium on Dry Process(DPS2009) 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:英語   会議種別:口頭発表(一般)  

  2262. Photoelectron Spectroscopy Nano-Surface Chemical Bonding States Analysis of Plasma-Exposed Polymers with Hard X-Ray 国際会議

    Dry Process International Symposium (DPS2009) 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:英語   会議種別:口頭発表(一般)  

  2263. Investigation of Photoresist Surface Modified Layer by Fluorocarbon Ion Bombardment 国際会議

    31th International Symposium on Dry Process(DPS2009) 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:英語   会議種別:口頭発表(一般)  

  2264. Combinatorial analysis of plasma-materials interactions for advanced R&D of future nano devices 国際会議

    Dry Process International Symposium (DPS2009) 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:英語   会議種別:口頭発表(一般)  

  2265. Damage-free Resist Ashing on Low-k Film Using Dual Frequency Capacitively Coupled Ar/O2 Plasma 国際会議

    Dry Process International Symposium (DPS2009) 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:英語   会議種別:口頭発表(一般)  

  2266. In-situ Evaluation of H2 Plasma Damage on Porous SiOCH Low-k Films 国際会議

    31th International Symposium on Dry Process(DPS2009) 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:英語   会議種別:口頭発表(一般)  

  2267. New Approach of Etching Analysis based on Internal Parameters in Combinatorial Plasma Process 国際会議

    Dry Process International Symposium (DPS2009) 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:英語   会議種別:口頭発表(一般)  

  2268. Advanced Research and Development for Plasma Processing of Polymers with Combinatorial Plasma-Process Analyzer 国際会議

    The 2nd International Conference on Microelectronics and Plasma Technology (ICMAP 2009) 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  2269. Low-Damage and Large-Area Plasma Processing of Organic-Inorganic Hybrid Materials for Development of Flexible Devices 国際会議

    7th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2009) 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  2270. Next Generation Plasma Nano Processing by Integrated Radical Control 国際会議

    International Conference on Plasma Surface Engineering (AEPSE2009) 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:英語   会議種別:口頭発表(基調)  

  2271. Measurement of Si Wafer Temperature Using Low-coherence Interferometer during Plamsma Etching Processes 国際会議

    International Conference on Plasma Surface Engineering (AEPSE2009) 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:英語   会議種別:口頭発表(一般)  

  2272. Supporting Platinum Nanoparticles on Carbon Nano Materials by Using Supercritical Fluid 国際会議

    International Conference on Plasma Surface Engineering (AEPSE2009) 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:英語   会議種別:口頭発表(一般)  

  2273. Self-organized growth of monolithic carbon nano-graphene sheets and controlling of their semiconductor like behavior 国際会議

    IUVSTA (International Union for Vacuum Science, Technique and Applications) Workshop (Surface Engineering & Thin Film Divisions) 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  2274. a:C-Hを用いたカーボン系太陽電池作製に関する研究

    木野徳重、竹内和歌奈、石川健治、近藤博基、加納裕之、関根 誠、堀 勝

    第3回プラズマエレクトロニクスインキュベーションホール 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2275. より優れた科学研究費申請書を作成するために

    堀 勝

    科学研究費補助金に係る説明会 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2276. 局所的大気圧プラズマ処理による波長選択赤外線ディテクタのコントラスト増強

    桝野雄矢,田代孝二,堀勝, 熊谷慎也,佐々木実

    2009年秋季 第70回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2277. 超臨界二酸化炭素を用いた白金微粒子担持におけるカーボン材料への表面処理効果

    間瀬航太、近藤真悟、町野琢磨、加納浩之、平松美根男、関根 誠、堀 勝

    2009年秋季 第70回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2278. ポーラスSiOCH low-k膜へのH2/N2プラズマアッシングダメージ発生機構の解明

    山本 洋,竹田圭吾,関根 誠,堀 勝,上夏井健,山本克美,林 久貴,酒井伊都子,大岩徳久

    2009年秋季 第70回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  2279. デスクトップ型コンビナトリアルプラズマナノプロセス解析装置の創成(II)

    チャンソン ムン,竹田圭吾,関根 誠,節原裕一,白谷正治,堀 勝

    2009年秋季 第70回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  2280. ガスデザインに基づいたSiO2膜エッチングとその機構解明(I)

    宮脇雄大,竹田圭吾,伊東安曇,中村昌洋,関根 誠,堀 勝

    2009年秋季 第70回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  2281. CF系イオン照射によるフォトレジスト表面改質層の解析(2)

    竹内拓也,関根 誠,豊田浩孝,竹田圭吾,堀 勝,康 松潤,沢田郁夫

    2009年秋季 第70回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  2282. 二周波容量結合型エッチングプラズマにおける上部電極へのDCバイアス印加効果

    山口 剛,木村哲也,竹田圭吾,久保田和宏,輿水地塩,関根 誠,堀 勝

    2009年秋季 第70回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  2283. ポーラスSiOCH膜プラズマ処理後の大気曝露の影響

    鈴木俊哉,山本 洋,竹田圭吾,関根 誠,堀 勝

    2009年秋季 第70回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2284. 複数金属元素密度同時モニタリングによるインジウム酸化亜鉛透明導電膜の成膜メカニズムの解明

    田子多直樹,井上真里,太田貴之,伊藤昌文,東島康裕,加納浩之,山川晃司,田 昭治,堀 勝

    2009年秋季 第70回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2285. プラズマCVD炭素薄膜のトレンチへの製膜形状のガス流量比―入射イオンエネルギーマッピング

    野村卓矢,是永有輝,梅津 潤,○古閑一憲,白谷正治,節原裕一,関根 誠,堀 勝

    2009年秋季 第70回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2286. Si酸化用表面波プラズマ内における酸素原子の振舞い

    竹田圭吾,堀 勝

    2009年秋季 第70回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2287. アルゴン大気圧プラズマを用いた銅原子発光プロセスにおける窒素添加効果

    Jgth Kulrtne,加納浩之,伊藤治彦,山川晃司,太田貴之,竹田圭吾,東島康裕,伊藤昌文,堀 勝

    2009年秋季 第70回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2288. DCバイアス印加二周波容量結合プラズマにおけるラジカルの振る舞い

    木村哲也,山口 剛,竹田圭吾,久保田和宏,輿水地塩,関根 誠,堀 勝

    2009年秋季 第70回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2289. 太陽電池用シリコン薄膜プラズマプロセスにおける水素原子表面損失確率

    阿部祐介,文 昶盛,川嶋 翔,竹田圭吾,関根 誠,堀 勝

    2009年秋季 第70回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2290. プラズマアグリカルチャー(III) ―O2/堀 勝Arプラズマの診断―

    井関紗千子,太田貴之,伊藤昌文,加納浩之,東島康裕,堀 勝

    2009年秋季 第70回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2291. X線光電子分光法を用いたプラズマ・ソフトマテリアル相互作用に関するナノ表面分析

    節原裕一,白谷正治,関根 誠,堀 勝,池永英司,中塚 理,財満鎮明

    2009年秋季 第70回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2292. コンビナトリアルプラズマプロセス解析装置を用いたプラズマ・ポリマー相互作用の解析(2)

    節原裕一,趙 研,竹中弘祐,白谷正治,関根 誠,堀 勝

    2009年秋季 第70回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2293. N2アフターグロー/NF3を用いたSi Chemic堀 勝l dry etchingの反応機構

    林 俊雄,堀 勝,河野明廣,水野栄一,樋口 靖,鄒 綱弘

    2009年秋季 第70回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2294. 高輝度放射光を用いたカーボンナノウォールのバンド構造解析

    竹内和歌奈,木下豊彦,加藤有香子,室隆桂之,池本夕佳,森脇太郎,木村 滋,平松美根男,加納浩之,徳田 豊,堀  勝

    2009年秋季 第70回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2295. カーボンナノウォール成長におけるイオン照射の効果

    近藤真悟、山川晃司、田 昭治、平松美根男、関根 誠、堀 勝

    2009年秋季 第70回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2296. 低コヒーレンス干渉計を用いたSi基盤温度計測における波長依存性の検討

    景山哲教、興水地塩、太田貫之、伊藤昌文、西澤典彦、堀勝

    2009年秋季 第70回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2297. 局所的大気圧プラズマ処理による波長選択赤外線ディテクタのコントラスト増強

    枡野雄矢、田代孝二、堀 勝、熊谷慎也、佐々木実

    2009年秋季 第70回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2298. カーボンナノウォール成長における基板組成効果

    三国裕之、竹内和歌奈、山川晃司、竹田圭吾、松美根男、加納浩之、関根 誠、 堀  勝

    2009年秋季 第70回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2299. 超高密度非平衡Ar/H2系大気圧リモートプラズマに関する研究

    乾祐俊、松平雄人、吉田直史、天野和夫、竹田圭吾、関根誠、堀勝

    2009年秋季 第70回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2300. 低コヒーレンス干渉計を用いた基板温度計測に基づくエッチングメカニズムの解析

    黒田裕樹,山本 洋,伊藤昌文,太田貴之,竹田圭吾,関根 誠,堀 勝

    2009年秋季 第70回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  2301. 高密度水素ラジカル注入によるn型シリコン中の深い準位欠陥の不活性化

    陳 尚,永江陽一,竹内和歌奈,中井雅文,加納浩之,竹田圭吾,徳田 豊,関根 誠,堀 勝

    2009年秋季 第70回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2302. 二周波容量結合型プラズマを用いたカーボンナノウォールのエッチングに関する研究

    下枝弘尚,宮脇雄大,竹内和歌奈,竹田圭吾,平松美根男,加納浩之,関根 誠,堀 勝

    2009年秋季 第70回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  2303. プラズマナノ科学を基盤とする最先端モノづくり

    堀 勝

    テクノフェア名大2009 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2304. 先進プラズマナノ基盤技術の開発

    堀 勝

    知的クラスター創成事業成果発表会 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2305. Fabrication of Carbon Nanowalls and Their Application For New Functional Devices 国際会議

    International Conference on Processing and Manufacturing of Advanced Materials (THERMEC' 2009) 

     詳細を見る

    開催年月日: 2009年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  2306. Low-Damage Plasma Processing of Thin Films on Polymers For Flexible Device Fabrications 国際会議

    International Conference on PROCESSING & MANUFACTURING OF ADVANCED MATERIALS 

     詳細を見る

    開催年月日: 2009年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  2307. FinFET scaling - analysis of electrical parameters and characteristics 国際会議

    Nano and Giga Challenges in Electronics, Photonics and Renewable Energy 

     詳細を見る

    開催年月日: 2009年8月

    記述言語:英語   会議種別:口頭発表(一般)  

  2308. 低温大気圧プラズマの魅力と産業革新

    堀 勝

    KICC 九州イノベーション創出促進協議会生産計測分科会プラズマ技術研究会 

     詳細を見る

    開催年月日: 2009年8月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2309. Spectroscopic characteristics in non-equilibrium atmospheric pressure plasma for inactivation of micro-organism 国際会議

    International Symposium on Plasma Chemistry 19 

     詳細を見る

    開催年月日: 2009年7月

    記述言語:英語   会議種別:口頭発表(一般)  

  2310. Development of Combinatorial Plasma-Process Analyzer for Advanced R&D of Plasma Nano Processes 国際会議

    International Symposium on Plasma Chemistry 19 

     詳細を見る

    開催年月日: 2009年7月

    記述言語:英語   会議種別:口頭発表(一般)  

  2311. Development of Novel Etching Process based on Real-time Monitoring of Substrate Temperature 国際会議

    International Symposium on Plasma Chemistry 19 

     詳細を見る

    開催年月日: 2009年7月

    記述言語:英語   会議種別:口頭発表(一般)  

  2312. Growth mechanism of graphene sheets in initial phase 国際会議

    International Symposium on Plasma Chemistry 19 

     詳細を見る

    開催年月日: 2009年7月

    記述言語:英語   会議種別:口頭発表(一般)  

  2313. Surface modification process for organic material and glass in nanequilibrium atmospheric-pressure pulsed remote plasma 国際会議

    International Symposium on Plasma Chemistry 19 

     詳細を見る

    開催年月日: 2009年7月

    記述言語:英語   会議種別:口頭発表(一般)  

  2314. Plasma Damage Mechanism of Porous SiOCH Films Evaluated by In-situMeasurement System for Film Properties and Absolute Radical-Density 国際会議

    XXIX International Conference on Phenomena in Ionized Gases 

     詳細を見る

    開催年月日: 2009年7月

    記述言語:英語   会議種別:口頭発表(一般)  

  2315. Study of photoresist surface modified layer employing selective ion beam 国際会議

    The 9th Korea-Japan Workshop on Thin Film and Plasma Process for Green Technology Advanced Plasma Diagnostics for Plasma-Nano Processing 

     詳細を見る

    開催年月日: 2009年7月

    記述言語:英語   会議種別:口頭発表(一般)  

  2316. Non-contact measurement of substrate temperature using optical low-coherence interferometry 国際会議

    The 9th Korea-Japan Workshop 

     詳細を見る

    開催年月日: 2009年7月

    記述言語:英語   会議種別:口頭発表(一般)  

  2317. Damage-free Ashing on Low-k Film Using Dual Frequency Capacitively Coupled Ar/O2- Plasma 国際会議

    The 9th Korea-Japan Workshop 

     詳細を見る

    開催年月日: 2009年7月

    記述言語:英語   会議種別:口頭発表(一般)  

  2318. Analyses of Plasma-Materials Interactions for Development of Advanced Devices 国際会議

    The 9th Korea-Japan Workshop 

     詳細を見る

    開催年月日: 2009年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  2319. Advanced Plasma Diagnostics 国際会議

    The 9th Korea-Japan Workshop 

     詳細を見る

    開催年月日: 2009年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  2320. Simultaneous Monitoring of Multi-Metal Atoms in Magnetron Sputtering Plasma for Synthesizing TCO Films 国際会議

    The 9th Korea-Japan Workshop 

     詳細を見る

    開催年月日: 2009年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  2321. Plasma processes for flexible electronics 国際会議

    Summer International Graduate School 

     詳細を見る

    開催年月日: 2009年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  2322. Analysis of dispersion of electrical parameters and characteristics of FinFET devices 国際会議

    8th Symposium Diagnostics & Yield Advanced Silicon Devices and Technologies for ULSI Era 

     詳細を見る

    開催年月日: 2009年6月

    記述言語:英語   会議種別:口頭発表(一般)  

  2323. Surface modification on organic material and glass employing nonequilibrium atmospheric-pressure plasma

     詳細を見る

    開催年月日: 2009年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2324. マイクロとナノテクノロジーを推進するためのプラズマプロセスイノベーション

    堀 勝

    第22回プラズマ材料科学シンポジウム、SPSM-22 

     詳細を見る

    開催年月日: 2009年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2325. Inactivation of Penicillium Digitatum using Non-equilibrium Atmospheric O2/Ar Plasma

     詳細を見る

    開催年月日: 2009年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2326. カーボンナノウォールの電界放出特性における窒素プラズマ処理効果

    下枝弘尚、大林友規、竹内和歌奈、竹田圭吾、平松美根男、関根誠、堀勝

    第22回プラズマ材料科学シンポジウム 

     詳細を見る

    開催年月日: 2009年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2327. Initial Growth Process of Carbon Nanowalls 国際会議

    New Diamond and Nano Carbons Conference 2009 

     詳細を見る

    開催年月日: 2009年6月

    記述言語:英語   会議種別:口頭発表(一般)  

  2328. Semiconductor Behavior of Carbon Nanowalls Grown by Plasma-Enhanced Chemical Vapor Deposition 国際会議

    New Diamond and Nano Carbons Conference 2009 

     詳細を見る

    開催年月日: 2009年6月

    記述言語:英語   会議種別:口頭発表(一般)  

  2329. A New Approach for Establishment of Plasma Nano-Science 国際会議

    Memorial Symposium for the Retirement of Professor Tachibana(ISPC-19 Pre-Symposium in Japan) 

     詳細を見る

    開催年月日: 2009年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2330. Behaviors of hydrogen atoms in SiH4/H2 plasma for solar cell devices

    Memorial Symposium for the Retirement of Professor Tachibana(ISPC-19 Pre-Symposium in Japan) 

     詳細を見る

    開催年月日: 2009年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2331. Control of plasma etching process based on real-time monitoring of substrate temperature 国際会議

    Memorial Symposium for the Retirement of Professor Tachibana(ISPC-19 Pre-Symposium in Japan) 

     詳細を見る

    開催年月日: 2009年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2332. C7H8+H2+Ar混合プラズマにおけるC、CH、C2発光強度のガス流量比依存性

    梅津 潤、井上和彦、野村卓矢、松崎秀文、古閑一憲、白谷正治、節原裕一、関根 誠、堀 勝

    2009年春季 第56回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2333. コンビナトリアルプラズマプロセス解析装置を用いたプラズマ・ポリマー相互作用の解析

    趙 研、竹中弘祐、節原裕一、白谷正治、関根 誠、堀 勝

    2009年春季 第56回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2334. コンビナトリアルプラズマプロセス解析装置の創製 -傾斜分布プラズマの特性-

    竹中弘祐、節原裕一、白谷正治、関根 誠、堀 勝

    2009年春季 第56回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2335. カーボンナノウォールの電気特性評価(I)

    下枝弘尚、竹内和歌奈、徳田 豊、平松美根男、加納浩之、関根 誠、堀 勝

    2009年春季 第56回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2336. カーボンナノウォールの電気化学評価に関する研究

    渡邊 均、平松美根男、堀 勝

    2009年春季 第56回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2337. 二周波励起容量結合型Ar / O2プラズマによるダメージフリーアッシングの開発

    宮脇雄大、竹田圭吾、関根 誠、堀 勝

    2009年春季 第56回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2338. 低コヒーレンス干渉計を用いたプラズマエッチング中の基板温度計測

    黒田裕樹、山本 洋、伊藤昌文、太田貴之、竹田圭吾、関根 誠、堀 勝

    2009年春季 第56回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2339. フロロカーボン系イオン照射によるフォトレジスト表面改質層の解析

    竹内拓也、関根誠、豊田浩孝、竹田圭吾、堀 勝、康松潤、沢田郁夫

    2009年春季 第56回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2340. 超臨界CO2/有機金属を用いたカーボンナノウォールへの触媒用白金微粒子担持(II)

    間瀬航太、町野琢磨、加納浩之、平松美根男、関根誠、堀 勝

    2009年春季 第56回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2341. 高密度ラジカルソースにおける窒素及び水素ラジカルの挙動

    陳 尚、加納浩之、田昭治、高島成剛、竹田圭吾、堀 勝

    2009年春季 第56回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2342. 単一橋架けカーボンナノウォールの作製制御

    三國裕之、竹内和歌奈、竹田圭吾、平松美根男、加納浩之、徳田豊、堀 勝

    2009年春季 第56回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2343. 大気圧非平衡プラズマを用いたアモルファスカーボン膜の作製(Ⅱ)

    松平雄人、乾裕俊、木野徳重、加納浩之、竹田 圭吾、関根 誠、堀 勝

    2009年春季 第56回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2344. 高圧領域でのVHF容量結合型プラズマ中におけるH原子の挙動II

    阿部祐介、川嶋 翔、竹田圭吾、関根 誠、堀 勝

    2009年春季 第56回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2345. ポーラスSiOCH low-k膜へのアッシングダメージ発生機構の検討(III)

    山本 洋、竹田圭吾、関根 誠、堀 勝、上夏井健、山本克美、林 久貴、酒井伊都子、大岩徳久

    2009年春季 第56回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2346. プラズマアグリカルチャー(II) -ミドリカビのプラズマ殺菌における酸素ガス添加効果

    井関紗千子、太田貴之、伊藤昌文、東島康裕、加納浩之、堀 勝

    2009年春季 第56回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2347. イオン・ラジカル独立制御によるカーボンナノウォールの作製(Ⅲ)

    近藤真悟、伊谷佳泰、山川晃司、田 昭治、平松美根男、関根 誠、堀 勝

    2009年春季 第56回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2348. 非平衡大気圧リモートプラズマによる有機材料表面の撥水化プロセス (Ⅱ)

    乾 裕俊、松平 雄人、黒田 俊之、屋良 卓也、上原 剛、竹田 圭吾、関根 誠、堀 勝

    2009年春季 第56回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2349. カーボンナノウォールの構造及び電子状態におけるフッ素不純物の影響

    竹内和歌奈、柏原雅好、三国裕之、池本夕佳、森脇太郎、加藤有香子、室隆桂之、木下豊彦、木村 滋、平松美根男、加納浩之、徳田 豊、関根 誠、堀 勝

    2009年春季 第56回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2350. 水素添加Ar/O2プラズマ内におけるSi酸化膜成長への活性種の影響

    竹田 圭吾、堀 勝

    2009年春季 第56回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2351. インジウム酸化亜鉛膜形成のためのRFマグネトロンスパッタリングプラズマの診断

    太田貴之、田子多直樹、伊藤昌文、東島康裕、加納浩之、田 昭治、堀 勝

    2009年春季 第56回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2352. 先進発光分光計測技術によるDLCプラズマプロセスの解析

    堀 勝

    第3回“環境調和型機能性表面”フォーラム 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2353. 新規カーボンナノ構造体カーボンナノウォールと種々の基板界面の構造解析

    竹内 和歌奈、堀 勝、木村 滋、坂田 修身、田尻 寛男、竹田 圭吾、高島 成剛

    重点ナノテクノロジー支援課題研究成果報告会 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2354. Plasma-enhanced Chemical Vapor Deposition of Amorphous Carbon in Atmospheric Pressure 国際会議

    Y.Matsudaira,H.Inui,T.Kino,H.Kano,K.Takeda,M.Sekine,and M.Hori

    1st International Symposium on Advanced Plasma Science and its Applications 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2355. Development of High Density Nitrogen Radical Source and Behaviors of Atomic Radicals 国際会議

    S.Chen,H.Kano,S.Den,S.Takashima,K.Takeda,and M.Hori

    1st International Symposium on Advanced Plasma Science and tis Applications 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2356. Synthesis of Platinum Nanoparticles on Carbon Nanowalls by Employing Supercritical Carbon Dioxide 国際会議

    1st International Symposium on Advanced Plasma Science and its Applications (ISPlasma2009) 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2357. Fabrication of Bridge-shaped Carbon Nanowall on Trench Substrates using Electron Beam Excited Plasma Enhanced CVD 国際会議

    1st International Symposium on Advanced Plasma Science and its Applications (ISPlasma2009) 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2358. Fabrication of Carbon Nanostructure using Radical Injection Plasma Enhanced Chemical Vapor Deposition and its Electrochemical Evaluation 国際会議

    1st International Symposium on Advanced Plasma Science and its Applications (ISPlasma2009) 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2359. Aerosol Plasma (Plasma Processor for Aerosol Powder) 国際会議

    1st International Symposium on Advanced Plasma Science and its Applications (ISPlasma2009) 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2360. Diagnostics of Non-equilibrium Atmospheric Pressure Plasma for Penicillium Digitatum Disinfection 国際会議

    1st International Symposium on Advanced Plasma Science and its Applications (ISPlasma2009) 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2361. Diagnostics of RF Magnetron Sputtering plasma for Synthesizing Indium-Zinc-Oxide Film 国際会議

    1st International Symposium on Advanced Plasma Science and its Applications (ISPlasma2009) 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2362. Absolute Density of Hydrogen Atoms in the High Pressure VHF Capacitively Couples Plasma for Solar Cell Devices 国際会議

    1st International Symposium on Advanced Plasma Science and its Applications (ISPlasma2009) 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2363. Growth of Carbon Nanowalls of High Graphitization Employing PECVD 国際会議

    1st International Symposium on Advanced Plasma Science and its Applications(ISPlasma2009) 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2364. Development of High Density Nitrogen Radical Source and Behaviors of Atomic Radicals 国際会議

    1st International Symposium on Advanced Plasma Science and its Applications (ISPlasma2009) 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2365. High Accuracy Plasma Etching Process Employing Real Time Monitoring of Substrate Temperature 国際会議

    1st International Symposium on Advanced Plasma Science and its Applications (ISPlasma2009) 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2366. Production and Control of Low-Damage Large-Area Plasmas for Advanced Processing of Next-Generation Devices 国際会議

    1st International Symposium on Advance Plasma Science and its Application (ISPlasma2009) 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2367. Application of Advanced Plasma Technology for the Development of Autonomic MBE System 国際会議

    1st International Symposium on Advance Plasma Science and its Application (ISPlasma2009) 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2368. Advanced Plasma Fundamental Nanotechnology 国際会議

    1st International Symposium on Advance Plasma Science and its Application (ISPlasma2009) 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2369. Plasma-Enhanced Chemical Vapor Deposition of Amorphous Carbon 国際会議

    1st International Symposium on Advanced Plasma Science and its Applications 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2370. 先進プラズマによる複合材料イノベーション

    堀 勝

    名古屋大学大学院工学研究科附属複合材工学研究センター設立シンポジウム 

     詳細を見る

    開催年月日: 2009年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2371. 超臨界流を用いたカーボンナノ構造体への触媒用白金微粒子担持

    間瀬航太、町野琢磨、加納浩之、平松美根男、関根誠、堀勝

    プラズマ科学シンポジウム2009第26回プラズマプロセシング研究会 

     詳細を見る

    開催年月日: 2009年2月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学豊橋講堂   国名:日本国  

  2372. 大気圧非均衡プラズマを用いたアモルファスカーボン膜の作製

    松平雄人、乾裕俊、木野徳重、加納浩之、竹田圭吾、関根誠、堀勝

    プラズマ科学シンポジウム2009第26回プラズマプロセシング研究会 

     詳細を見る

    開催年月日: 2009年2月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学豊橋講堂   国名:日本国  

  2373. イオン・ラジカル制御CVD法によるカーボンナノウォールの作製

    近藤 真悟、伊谷 佳泰、山川 晃司、田 昭治、平松 美根男、関根 誠、堀 勝

    プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会 

     詳細を見る

    開催年月日: 2009年2月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2374. ミドリカビ殺菌における非平衡大気圧プラズマの分光特性

    井関紗千子、太田貴之、伊藤昌文、加納浩之、東島康裕、堀 勝

    プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会 

     詳細を見る

    開催年月日: 2009年2月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2375. ラジカル注入型プラズマCVD法によるカーボンナノウォールの作製と電気化学測定

    渡邊 均、平松美根男、堀 勝

    プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会 

     詳細を見る

    開催年月日: 2009年2月

    記述言語:日本語  

  2376. 高密度ラジカルソースの開発と窒素ラジカルの挙動

    陳 尚、加納浩之、竹田圭吾、田昭治、高島成剛、堀 勝

    プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会 

     詳細を見る

    開催年月日: 2009年2月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2377. 基板温度及びラジカル密度計測に基づいた有機Low-k膜エッチングプロセスの制御

    黒田 裕樹、山本 洋、伊藤 昌文、太田 貴之、竹田 圭吾、関根 誠、堀 勝

    プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会 

     詳細を見る

    開催年月日: 2009年2月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2378. CF系プラズマにより改質されたフォトレジスト表面層の観察

    竹内 拓也、関根 誠、豊田 浩孝、竹田 圭吾、堀 勝、康松潤、沢田 郁夫

    プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会 

     詳細を見る

    開催年月日: 2009年2月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2379. 超臨界流体を用いたカーボンナノ構造体への触媒用白金微粒子担持

    間瀬 航太、町野 琢磨、加納 浩之、平松 美根男、関根 誠、堀 勝

    プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会 

     詳細を見る

    開催年月日: 2009年2月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2380. 大気圧下PECVD法によるアモルファスカーボン膜の堆積

    松平 雄人、乾 裕俊、木野 徳重、加納 浩之、竹田 圭吾、関根 誠、堀 勝

    プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会 

     詳細を見る

    開催年月日: 2009年2月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2381. パターン基板を用いた橋架けカーボンナノウォールの作製

    三國 裕之、竹内 和歌奈、平松 美根男、加納 浩之、徳田 豊、竹田 圭吾、堀 勝

    プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会 

     詳細を見る

    開催年月日: 2009年2月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2382. 大気圧非平衡パルスリモートプラズマよる撥水化処理

    乾 裕俊、松平 雄人、屋良 拓也、上原 剛、関根 誠、堀 勝

    プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会 

     詳細を見る

    開催年月日: 2009年2月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2383. プラズマが拓くバラ色の未来を語る

    堀 勝、白谷 正治、滝川 浩史、一木 隆範、渡辺 隆行、大野 哲靖、安藤 晃

    プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会 

     詳細を見る

    開催年月日: 2009年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2384. 低インダクタンスアンテナを用いて生成した低ダメージプラズマを照射したポリマーの表面分析

    竹中弘祐、趙 研、節原裕一、白谷正治、関根 誠、堀 勝、池永英司、近藤博基、中塚 理、財満鎮明

    プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会 

     詳細を見る

    開催年月日: 2009年2月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2385. ポーラスSiOCH low-k膜表面CF層のアッシングダメージへの影響

    山本洋、竹田圭吾、関根誠、堀 勝

    プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会 

     詳細を見る

    開催年月日: 2009年2月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2386. プラズマナノプロセスの先進的研究開発に向けたコンビナトリアル・プラズマプロセス解析装置の開発

    節原裕一、竹中弘祐、白谷正治、関根 誠、堀 勝

    プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会 

     詳細を見る

    開催年月日: 2009年2月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2387. 水素/窒素プラズマによるlow-k膜のエッチングにおける真空紫外光、紫外光、ラジカル、イオンの膜へのダメージ

    宮脇雄大,竹田圭吾,深沢正永,大島啓示,長畑和典,辰巳哲也,高島成剛,関根 誠,堀 勝

    プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会 

     詳細を見る

    開催年月日: 2009年2月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2388. マルチマイクロホローカソード光源を用いた透明導電膜性膜スパッタプロセスにおける金属原子密度のモニタリング

    田子多直樹,家苗毅司,太田貴之,伊藤昌文,東島康裕,加納浩之,田 昭治,堀 勝

    プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会 

     詳細を見る

    開催年月日: 2009年2月

    記述言語:日本語  

  2389. 波長可変真空紫外レーザー吸収分光法を用いたVHF容量結合型プラズマ中の水素原子の絶対密度・並進温度測定

    阿部祐介、川嶋 翔、竹田圭吾、関根 誠、堀 勝

    プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会 

     詳細を見る

    開催年月日: 2009年2月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2390. 微細溝へのトルエンを用いたプラズマCVDカーボン薄膜の堆積形状

    梅津 潤、井上和彦、野村卓矢、松崎秀文、古閑一憲、白谷正治、節原裕一、関根 誠、堀 勝

    プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会 

     詳細を見る

    開催年月日: 2009年2月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2391. 「電子ビーム励起プラズマを用いた単一橋架けカーボンナノウォールの作製」低次元カーボン系チャネルエンジニアリング

    三國 裕之、竹内 和歌奈、堀 勝

    科学研究費補助金特定領域研究「シリコンナのエレクトロニクスの新展開」―ポストスケーリングテクノロジー―第3回成果報告会 

     詳細を見る

    開催年月日: 2009年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2392. 「微量窒素添加ガスを用いて作製したカーボンナノウォールのドープ効果による電子状態変化」低次元カーボン系チャネルエンジニアリング

    柏原 雅好、竹内 和歌奈、堀 勝

    科学研究費補助金特定領域研究「シリコンナのエレクトロニクスの新展開」―ポストスケーリングテクノロジー―第3回成果報告会 

     詳細を見る

    開催年月日: 2009年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2393. 「カーボンナノウォールチャネルエンジニアリングに向けた添加ガスによる構造物性制御及び単一橋架けカーボンナノウォール作製制御」低次元カーボン系チャネルエンジニアリング

    堀 勝、竹田 圭吾、竹内 和歌奈、近藤 真悟、柏原 雅好、三國 裕之、下枝 弘尚

    科学研究費補助金特定領域研究「シリコンナのエレクトロニクスの新展開」―ポストスケーリングテクノロジー―第3回成果報告会 

     詳細を見る

    開催年月日: 2009年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2394. 低次元カーボン系チャネルエンジニアリング

    堀 勝、竹田 圭吾、竹内 和歌奈、近藤 真悟、柏原 雅好、三國 裕之、下枝 弘尚

    科学研究費補助金特定領域研究「シリコンナのエレクトロニクスの新展開」―ポストスケーリングテクノロジー第5回全体会議 

     詳細を見る

    開催年月日: 2009年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2395. Conbinatorial Plasma Etching Process based on Plasma Nano-Science 国際会議

    C.S.Moon,K.Takeda,M.Sekine,Y.Setsuhara,M.Shiratani,and M.Hori

    The 2nd International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2009年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2396. Damages on Low-k Films due to VUV, UV Radiation, Radical and Ion in Low-k Films Etching Employing H2 / N2 Plasma 国際会議

    The 2nd International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2009年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2397. Effects of Oxygen on Etching Damage of Low-k Film Etching Process Employing Novel Fluorocarbon Gas of C5F10O 国際会議

    The 2nd International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2009年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2398. Combinatorial Plasma Etching Process based on Plasma Nano-Science 国際会議

    The 2nd International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2009年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2399. Effects of H Radical Irradiation on Synthesis of Carbon Nanowalls

    The 2nd International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2009年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2400. Formation of Carbon Nanowall by using Radical Injection Plasma Enhanced Chemical Vapor Deposition and Its Electrochemical Evaluation 国際会議

    The 2nd International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2009年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2401. Precise Etching of Organic Low-k Film by Real-time Controlling Radical Density Ratio and Substrate Temperature 国際会議

    The 2nd International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2009年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2402. Fabrication of Single Carbon Nanowall Using Electron Beam Excited Plasma Enhanced CVD 国際会議

    The 2nd International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2009年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2403. Measurements of Absolute Density and Translational Temperature of Hydrogen Atoms in the High Prssure VHF Capasitively Coupled Plasma 国際会議

    The 2nd International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2009年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2404. Synthesis of Platinum Nanoparticles on Carbon Nanowalls by Using Supercritical Fluid 国際会議

    The 2nd International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2009年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2405. Fabrication of Amorphous Carbon Films Using Nonequilibrium Atmospheric Pressure Plasma with Ultrahigh Electron Density 国際会議

    The 2nd International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2009年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2406. Measurements of Electron Energy Distribution Function in Dual Frequency Capacitively Coupled Plasma Using Laser Thomson Scattering 国際会議

    The 2nd International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2009年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2407. Deposition Profile Control of Plasma Enhanced CVD Carbon Films in Submicron Wide Trenches 国際会議

    The 2nd International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2009年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2408. Synthesis of Carbon Nanowalls and Their Applications to Electrical Devices 国際会議

    The 8th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop with Plasma Application Monodzukuri(PLAM) 

     詳細を見る

    開催年月日: 2009年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2409. Low Energetic Ion bombardment on Polymer Surfacees for Flexible Electronics 国際会議

    The 8th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop with Plasma Application Monodzukuri(PLAM) 

     詳細を見る

    開催年月日: 2009年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2410. Measurement of Atoms in Sputtering System Employing Micro-plasma 国際会議

    The 8th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop with Plasma Application Monodzukuri(PLAM) 

     詳細を見る

    開催年月日: 2009年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2411. Low-k Film Etching Process Employing Novel Fluorocarbon Gas in Dual Frequency Plasma 国際会議

    The 8th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop with Plasma Application Monodzukuri(PLAM) 

     詳細を見る

    開催年月日: 2009年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2412. Sticking Coefficients of Atomic Radicals for Flexible Electronics 国際会議

    The 8th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop with Plasma Application Monodzukuri(PLAM) 

     詳細を見る

    開催年月日: 2009年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2413. Porous SiOCH Film Damages after Etching in ULSI 国際会議

    The 8th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop with Plasma Application Monodzukuri(PLAM) 

     詳細を見る

    開催年月日: 2009年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2414. Growth of Carbon Nanostructures Using Non-Equilibrium Atomospheric Pressure Plasma CVD 国際会議

    The 8th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop with Plasma Application Monodzukuri(PLAM) 

     詳細を見る

    開催年月日: 2009年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2415. Evaluating Damages on Organic Low-k Films due to VUV, UV Radiation, Radical and Ion in Dual Frequency Capacitively Coupled Plasma 国際会議

    The 8th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop with Plasma Application Monodzukuri(PLAM) 

     詳細を見る

    開催年月日: 2009年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2416. Strategy of Cluster Knowledge Program for Flexible Electronics 国際会議

    The 8th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop with Plasma Application Monodzukuri(PLAM) 

     詳細を見る

    開催年月日: 2009年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2417. Laser Thomson Scattering Approach for Electron Density and Temperature in Dual Frequency Plasma Processing 国際会議

    The 8th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop with Plasma Application Monodzukuri(PLAM) 

     詳細を見る

    開催年月日: 2009年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2418. A Real Time Controlling of Surface Temperature and Radicals in ULSIs 国際会議

    The 8th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop with Plasma Application Monodzukuri(PLAM) 

     詳細を見る

    開催年月日: 2009年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2419. Fabrication of Single Wall Carbon Nanowall Employing Electron Beam Excited Plasma 国際会議

    The 8th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop with Plasma Application Monodzukuri(PLAM) 

     詳細を見る

    開催年月日: 2009年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2420. Behaviors of H Atoms in VHF Plasma for Flexible Electronics 国際会議

    The 8th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop with Plasma Application Monodzukuri(PLAM) 

     詳細を見る

    開催年月日: 2009年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2421. Fluorocarbon Species Measured by LIF in Dual Frequency Plasma Processing 国際会議

    The 8th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop with Plasma Application Monodzukuri(PLAM) 

     詳細を見る

    開催年月日: 2009年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2422. Supercritical Fluid Process of Pt Nanoparticles Formation on Nano-Carbons Grown by PECVD 国際会議

    The 8th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop with Plasma Application Monodzukuri(PLAM) 

     詳細を見る

    開催年月日: 2009年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2423. Fundamental Etching Studies Employing Selective Ion Beam 国際会議

    The 8th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop with Plasma Application Monodzukuri(PLAM) 

     詳細を見る

    開催年月日: 2009年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2424. Surface Cleaning for Flexible Electronics Employing Atmospheric Pressure Plasma 国際会議

    The 8th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop with Plasma Application Monodzukuri(PLAM) 

     詳細を見る

    開催年月日: 2009年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2425. テーマ3への先進プラズマ技術の応用可能性

    堀 勝

    東海広域ナノテクものづくりクラスター創成事業テーマ3第1回プロジェクト連絡会 

     詳細を見る

    開催年月日: 2008年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2426. 「テーマ1」、「広域化プログラム」について東海広域ナノテクものづくりクラスター~世界を先導する環境調和型高度機能部材の創製~

    堀 勝

    テーマ1“先進プラズマナノ基盤技術の開発”、広域化プログラム“先進プラズマナノ科学研究拠点形成プログラム(国際連携)”第2回プロジェクト連絡会議 

     詳細を見る

    開催年月日: 2008年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2427. プラズマセンサーによる環境管理

    竹田 圭吾、堀 勝

    SMBC環境ビジネスフォーラム 

     詳細を見る

    開催年月日: 2008年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2428. Nano Carbon Based Transistor for Future Generation FET Devices 国際会議

    The IUMRS International Conference in Asia 2008 

     詳細を見る

    開催年月日: 2008年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2429. Absolute Density and Translational Temperature of Hydrogen Atoms in the High Pressure VHF Capasitively Coupled Plasma 国際会議

    The IUMRS International Conference in Asia 2008 

     詳細を見る

    開催年月日: 2008年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2430. Role of Nitrogen in Low-k Film Etching Process Employing C5F10O/N2/Ar Plasma 国際会議

    The IUMRS International Conference in Asia 2008 

     詳細を見る

    開催年月日: 2008年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2431. Development of Quantitative Measuring Method for Damaged Layer on Porous SiOCH Film during H2 / N2 Plasma Ashing 国際会議

    The IUMRS International Conference in Asia 2008 

     詳細を見る

    開催年月日: 2008年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2432. Fabrication of Bridge-shaped Carbon Nanowall Using Electron Beam Excited Plasma Enhanced CVD 国際会議

    The IUMRS International Conference in Asia 2008 

     詳細を見る

    開催年月日: 2008年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2433. Control of Etch Processes and their Diagnostics for Organic Low-k Material Etching 国際会議

    SEMI Technology Symposium 2008 

     詳細を見る

    開催年月日: 2008年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2434. Manufacturing Innovation by Advanced Plasma Nano Technology—Advanced “Monodzukuri" with Hori Type Ultra Micro-Hollow Atmospheric Pressure Plasma Device : HU-MAP (Ultra High Density Room Temperature Atmospheric Pressure Plasma Device)— 国際会議

    1st International Symposium of Plasma Center for Industrial Applications (PLACIA) & Plasma Application Monodzukuri (PLAM) on Manufacturing Innovation by Plasma Surface Modification 

     詳細を見る

    開催年月日: 2008年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2435. 明日の仕事に役立つプラズマエッチングの基礎と実例―半導体プロセスを中心として―

    堀 勝

    リアライズ理工センター主催セミナー 

     詳細を見る

    開催年月日: 2008年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2436. Development of Autonomous Nano-Etching Process System 国際会議

    30th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2008年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  2437. Plasma Nano-Processing on the Basis of Science 国際会議

    Plasma Science Seminar 

     詳細を見る

    開催年月日: 2008年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  2438. Low-kエッチング技術の課題と展望

    堀 勝

    半導体界面制御技術第154委員会講習会 

     詳細を見る

    開催年月日: 2008年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2439. カーボン・ナノウォールのバイオナノテクノロジーへの応用

    堀 勝、平松 美根男

    第12回VBLシンポジウム「未来医療創成に向けたナノテクノロジー」 

     詳細を見る

    開催年月日: 2008年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2440. Control of Plasma Etching Process through Substrate Temperature Measured by Optical Fiber-type Low-coherence Interferometer 国際会議

    2008 International Microprocesses and Nanotechnology Conference 

     詳細を見る

    開催年月日: 2008年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2441. Impact of Combinatorial Plasma Process on the Development of Organic Low-k Dielectric Film Etching 国際会議

    AVS 55th International Symposium & Exhibition 

     詳細を見る

    開催年月日: 2008年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2442. ドライエッチング技術の基礎

    堀 勝

    ナノテク製造中核人材の養成プログラム 

     詳細を見る

    開催年月日: 2008年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2443. Mechanism of Plasma Ashing Damages on Porous SiOCH Films 国際会議

    AVS 55th International Symposium & Exhibition 

     詳細を見る

    開催年月日: 2008年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2444. Growth Mechanism of Carbon Nanowalls Synthesized by Irradiation of Independently Controlled Ar Ions and CFX/H Radicals 国際会議

    AVS 55th International Symposium & Exhibition 

     詳細を見る

    開催年月日: 2008年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2445. Control of Structures and Electrical Properties of Carbon Nanowalls Using Plasma Enhanced CVD Employing N2/O2 Addition to C2F6/H2 Gases 国際会議

    AVS 55th International Symposium & Exhibition 

     詳細を見る

    開催年月日: 2008年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2446. Plasma Damages on Organic Low-k Film due to VUV Radiation, UV Radiation, Radicals, Radicals with Radiation, and Ions in H2/N2 Plasma Etching Processes 国際会議

    AVS 55th International Symposium & Exhibition 

     詳細を見る

    開催年月日: 2008年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2447. Evaluation of Plasma Ashing Damages on Porous SiOCH Films by Measurement of H and N Radical Densities 国際会議

    61st Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2008年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2448. Diagnostics of Nonequilibrium Atmospheric Pressure Plasma with Ultra High Electron Density for Surface Cleaning Processes 国際会議

    61st Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2008年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2449. Initial Formation of Carbon Nanowalls Synthesized by Ar Ions and CFX/H Radicals 国際会議

    61st Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2008年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2450. Surface Loss Rate of H and N Radicals in H2/N2 Plasma Etching Process 国際会議

    61st Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2008年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2451. Effects of High Energy Ar Ions on Synthesis of Carbon Nanowalls 国際会議

    61st Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2008年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2452. Contoral of Plasma Etching Process through Substrate Tempreture Measures by Optical Fiber-type Low -coherence Interrometer 国際会議

    H.Kuroda,H.Yamamoto,M.Ito,T.Ohta,M.Sekine,and M.Hori

    2nd Japanese-German Student Workshop on Plasma Science and Technology 

     詳細を見る

    開催年月日: 2008年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ドイツ連邦共和国  

  2453. Damages on Organic Low-k Films due to VUV, UV Radiation, Radical and Ion in Dual Frequency Capacitively Coupled Pl 国際会議

    2nd Japanese-German Student Workshop on Plasma Science and Technology 

     詳細を見る

    開催年月日: 2008年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2454. Behavior of Radicals in Dual Frequency Capacitively Coupled Etching Plasma 国際会議

    2nd Japanese-German Student Workshop on Plasma Science and Technology 

     詳細を見る

    開催年月日: 2008年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2455. Development of Novel Nonequilibrium Atmospheric Pressure Plasma with Ultrahigh Electron Density (1016 cm-3) and Its Applications 国際会議

    2nd Japanese-German Student Workshop on Plasma Science and Technology 

     詳細を見る

    開催年月日: 2008年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2456. Radical Control of Plasma Etching Process through Substrate Temperature Measured by Low-coherence Interferometer 国際会議

    2nd Japanese-German Student Workshop on Plasma Science and Technology 

     詳細を見る

    開催年月日: 2008年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2457. 「テーマ1」、「広域化プログラム」全体説明東海広域ナノテクものづくりクラスター~世界を先導する環境調和型高度機能部材の創製~テーマ1“先進プラズマナノ基盤技術の開発”、広域化プログラム“先進プラズマナノ科学研究拠点形成プログラム

    堀 勝

    キックオフ発表会 

     詳細を見る

    開催年月日: 2008年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2458. Damages on Organic Low-k Films due to VUV, UV Radiation, Radical and Ion in Dual Frequency Capacitively Coupled Plasma 国際会議

    13. European Summer School "Low Temperature Plasma Physics: Basics and Applications" 

     詳細を見る

    開催年月日: 2008年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2459. Controlling Etch Processes of Low-k Films based on Measurement of Substrate Temperature by Low-coherence Interferometer 国際会議

    13. European Summer School "Low Temperature Plasma Physics: Basics and Applications" 

     詳細を見る

    開催年月日: 2008年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2460. Radical density distribution in Dual Frequency Capacitively Coupled Etching Plasma 国際会議

    13. European Summer School "Low Temperature Plasma Physics: Basics and Applications" 

     詳細を見る

    開催年月日: 2008年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2461. Gas-Phase Diagnostics of Novel Nonequilibrium Atmospheric-Pressure Plasma with Ultrahigh Electron Density (1016 cm-3) and Glass Surface Cleaning 国際会議

    13. European Summer School "Low Temperature Plasma Physics: Basics and Applications" 

     詳細を見る

    開催年月日: 2008年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2462. 超臨界CO2を用いたカーボンナノウォールへの新規白金ナノ粒子合成法に関する研究

    間瀬航太、町野琢磨、平松美根男、堀 勝

    第2回プラズマエレクトロニクス 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2463. 高圧領域でのVHF容量結合型プラズマにおけるH原子絶対密度、並進温度計測

    阿部 祐介、竹田 圭吾、関根 誠、堀 勝

    第2回プラズマエレクトロニクス インキュベーションホール 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2464. 発光性シリコンナノ微粒子の結合状態の解明に関する研究

    竹内 拓也、竹田 圭吾、知京 豊裕、関根 誠、堀 勝

    第2回プラズマエレクトロニクス インキュベーションホール 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2465. 超高密度(1016 cm-3)非平衡大気圧リモートプラズマの応用 -アモルファスカーボン膜の作製-

    松平 雄人、乾 裕俊、加納 浩之、関根 誠、堀 勝

    第2回プラズマエレクトロニクス インキュベーションホール 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2466. 高密度ラジカルソースにおける窒素ラジカルの挙動

    陳 尚、加納 浩之、田 昭治、竹田 圭吾、高島 成剛、堀 勝

    第2回プラズマエレクトロニクス インキュベーションホール 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2467. 単一橋架けカーボンナノウォールの作製

    三國 裕之、竹内 和歌奈、平松 美根男、加納 浩之、徳田 豊、堀 勝

    第2回プラズマエレクトロニクス インキュベーションホール 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2468. 低コヒーレンス干渉計を用いた基板温度計測に基づくラジカル制御プラズマエッチングプロセス

    黒田 裕樹、山本 洋、伊藤 昌文、太田 貴之、関根 誠、堀 勝

    第2回プラズマエレクトロニクス インキュベーションホール 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2469. Control of Structure and Electrical Properties of Carbon Nanowalls: Effect of N2/O2 Addition to Fluorocarbon Plasma CVD with H Radical Injection 国際会議

    2008 International Conference on Solid State Device and Materials 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2470. Diagnostics of Plasma Induced Damages on Low-k SiOCH Films 国際会議

    2008 International Conference on Solid State Device and Materials 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2471. Sterilization Mechanism of Penicillium Digitatum using Non-equilibrium Atomospheric Pressure Plasma 国際会議

    11th International Conference on Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:英語   会議種別:口頭発表(一般)  

  2472. Simultaneous Monitoring of Absolute Densities of Multi Metallic Atoms in Magnetron Sputtering Employing Micro Hollow Cathode Lamp 国際会議

    11th International Conference on Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:英語   会議種別:口頭発表(一般)  

  2473. On the Growth Mechanism of Carbon Nanowalls using Plasma Enhanced Chemical Vapor Deposition 国際会議

    11th International Conference on Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  2474. Surface Reaction on Low-k Film in Etching Process Employing Alternative Fluorocarbon Gas 国際会議

    34th International Conference on Micro and Nano Engineering 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:英語   会議種別:口頭発表(一般)  

  2475. Control of Structure of Carbon Nanowalls Synthesized by Fluorocarbon Plasma Enhanced Chemical Vapor Deposition 国際会議

    ICPP2008 Satellite Meeting on Plasma Physics and Advanced Applications in ASO 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2476. Development of Novel Nonequilibrium Atmospheric Pressure Plasma with Ultrahigh Electron Density and High Cleaning Performance on Glass Surface 国際会議

    International Congress on Plasma Physics 2008 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2477. Three-phase AC Excited Nonequilibrium Atmospheric Pressure Plasma and Surface Modification Process of Polymer Materials 国際会議

    International Congress on Plasma Physics 2008 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2478. Initial Growth Phase of Carbon Nanowalls Synthesized by Irradiation of Ions and Radicals 国際会議

    International Congress on Plasma Physics 2008 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2479. Nonequilibrium Atmospheric Pressure Plasma Excited by Three-Phase AC and Its Application to Surface Modification of Polymer Materials 国際会議

    International Interdisciplinary-Symposium on Gaseous and Liquid Plasmas 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2480. 先進プラズマナノ基盤技術の開発、先進プラズマナノ科学研究拠点形成プログラム

    堀 勝

    東海広域ナノテクものづくりクラスター ~世界を先導する環境調和型高度機能部材の創製~ 構想発表会 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2481. 高圧領域でのVHF容量結合型プラズマ中におけるH原子の挙動

    阿部 祐介、竹田 圭吾、関根 誠、堀 勝

    2008年秋季 第69回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2482. 非平衡大気圧リモートプラズマによる有機材料表面の撥水化プロセス

    乾 裕俊、松平 雄人、屋良 卓也、上原 剛、堀 勝

    2008年秋季 第69回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2483. マルチマイクロホローカソード光源を用いたIZO膜成膜スパッタプロセスにおける金属原子密度のモニタリング

    田子多 直樹、太田 貴之、伊藤 昌文、東島 康裕、加納 浩之、田 昭治、堀 勝

    2008年秋季 第69回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2484. 低コヒーレンス干渉計を用いた基板温度計測に基づくプラズマエッチングプロセスの制御

    黒田 裕樹、山本 洋、伊藤 昌文、太田 貴之、関根 誠、堀 勝

    2008年秋季 第69回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2485. イオン・ラジカル独立制御によるカーボンナノウォールの作製(II)

    近藤 真悟、山川 晃司、田 昭治、平松 美根男、関根 誠、堀 勝

    2008年秋季 第69回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2486. カーボンナノウォール/Si接合における電気特性評価

    竹内 和歌奈、徳田 豊、加納 浩之、平松 美根男、堀 勝

    2008年秋季 第69回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2487. 垂直成長グラフェンナノシートによる新機能デバイス

    堀 勝、平松 美根男

    2008年秋季 第69回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2488. プラズマアグリカルチャー ― 非平衡大気圧プラズマを用いたミドリカビの殺菌 ―

    井関 紗千子、山本 桂司、太田 貴之、伊藤 昌文、加納 浩之、東島 康裕、堀 勝

    2008年秋季 第69回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2489. 超高密度非平衡大気圧リモートプラズマを用いたアモルファスカーボン膜の作製

    松平 雄人、乾 裕俊、加納 浩之、堀 勝

    2008年秋季 第69回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2490. 高密度ラジカルソースにおける窒素ラジカルの挙動

    陳 尚、加納 浩之、田 昭治、高島 成剛、竹田 圭吾、堀 勝

    2008年秋季 第69回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2491. ラジカル注入型プラズマCVD法によるカーボンナノウォールの作製と電気化学評価

    渡邊 均、平松 美根男、堀 勝

    2008年秋季 第69回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2492. ポーラスSiOCH low-k膜へのアッシングダメージ発生機構の検討(II)

    山本 洋、竹田 圭吾、関根 誠、堀 勝

    2008年秋季 第69回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2493. 二周波励起容量結合型プラズマにおける真空紫外光、紫外光、ラジカル、イオンによるLow-k膜へのダメージ(VI)

    宮脇 雄大、斉藤 良太、内田 三郎、深沢 正永、大島 啓示、長畑 和典、辰巳 哲也、高島 成剛、竹田 圭吾、関根 誠、堀 勝

    2008年秋季 第69回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2494. 脱温暖化のための新規フルオロカーボンガスを用いた高速low-k膜エッチングプロセス(II)

    柴田 英美、岡本 秀一、関根 誠、堀 勝

    2008年秋季 第69回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2495. H2/N2プラズマ中における水素および窒素ラジカルの表面損失確率計測

    文 昶盛、竹田 圭吾、高島 成剛、林 俊雄、関根 誠、節原 裕一、白谷 正治、堀 勝

    2008年秋季 第69回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2496. プロセスプラズマにおける窒素ラジカルの表面損失確率

    高島 成剛、竹田 圭吾、堀 勝

    2008年秋季 第69回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2497. Optical Probe for Atomic Radical Monitoring and its Application to Advanced Plasma Nano-processing based on Plasma Science 国際会議

    1st International Conference on Microelectronics and Plasma Technology 

     詳細を見る

    開催年月日: 2008年8月

    記述言語:英語   会議種別:口頭発表(一般)  

  2498. 大気圧プラズマを用いた農業分野における殺菌技術

    太田 貴之、井関 紗千子、伊藤 昌文、堀 勝

    応用物理学会プラズマエレクトロニクス分科会プラズマ新領域研究会~プラズマを用いた滅菌・殺菌 

     詳細を見る

    開催年月日: 2008年8月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2499. Characterization of Nonequilibrium Atmospheric Pressure Plasma with Ultrahigh Electron Density and its Application to Glass Surface Cleaning 国際会議

    3rd International School of Advanced Plasma Technology 

     詳細を見る

    開催年月日: 2008年7月

    記述言語:英語   会議種別:ポスター発表  

  2500. Micromachining Process Employing Electron-Beam-Excited Plasma 国際会議

    3rd International School of Advanced Plasma Technology 

     詳細を見る

    開催年月日: 2008年7月

    記述言語:英語   会議種別:口頭発表(一般)  

  2501. Shape Change of Carbon Nanowalls Grown using Inductively Coupled Plasma-Enhanced Chemical Vapor Deposition 国際会議

    7th Korea-Japan Workshop on Plasma Technology 

     詳細を見る

    開催年月日: 2008年7月

    記述言語:英語   会議種別:ポスター発表  

  2502. Evaluating Damages on Porous SiOCH Film during H2/N2 Plasma Ashing Process 国際会議

    7th Korea-Japan Workshop on Plasma Technology 

     詳細を見る

    開催年月日: 2008年7月

    記述言語:英語   会議種別:口頭発表(一般)  

  2503. Growth Mechanism of Carbon Nanowalls Synthesized by Irradiations of Ions and Radicals 国際会議

    7th Korea-Japan Workshop on Plasma Technology 

     詳細を見る

    開催年月日: 2008年7月

    記述言語:英語   会議種別:口頭発表(一般)  

  2504. Control of Graphene Sheet Structure Using Oxygen Gas Addition to C2F6/H2 Plasma 国際会議

    7th Korea-Japan Workshop on Plasma Technology 

     詳細を見る

    開催年月日: 2008年7月

    記述言語:英語   会議種別:口頭発表(一般)  

  2505. Contributions of UV light to Sterilization using Nonequilibrium Atmospheric Pressure Plasma 国際会議

    7th Korea-Japan Workshop on Plasma Technology 

     詳細を見る

    開催年月日: 2008年7月

    記述言語:英語   会議種別:口頭発表(一般)  

  2506. Development of Combinatorial Plasma Etching Process System 国際会議

    7th Korea-Japan Workshop on Plasma Technology 

     詳細を見る

    開催年月日: 2008年7月

    記述言語:英語   会議種別:口頭発表(一般)  

  2507. Characterization of AC Exited Nonequilibrium Atmospheric Pressure Plasma with Ultra High Electron Density for Glass Surface Cleaning 国際会議

    7th Korea-Japan Workshop on Plasma Technology 

     詳細を見る

    開催年月日: 2008年7月

    記述言語:英語   会議種別:口頭発表(一般)  

  2508. Fabrication of Nanowall using Radical Injection Plasma Enhanced Chemical Vapor Deposition and its Electrochemical Evaluation 国際会議

    7th Korea-Japan Workshop on Plasma Technology 

     詳細を見る

    開催年月日: 2008年7月

    記述言語:英語   会議種別:口頭発表(一般)  

  2509. Advanced Plasma Diagnostics in Nagoya University Plasma Nanotechnology Research Center 国際会議

    7th Korea-Japan Workshop on Plasma Technology 

     詳細を見る

    開催年月日: 2008年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  2510. Low-k Film Etch Process and Surface Reaction Employing a Fluorocarbon Gas with Low GWP 国際会議

    7th Korea-Japan Workshop on Plasma Technology 

     詳細を見る

    開催年月日: 2008年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  2511. Development of Combinatorial Plasma Apparatus for Autonomous Nano-processing Technology 国際会議

    17th World INTERFINISH Congress & Exhibition 

     詳細を見る

    開催年月日: 2008年6月

    記述言語:英語   会議種別:口頭発表(一般)  

  2512. Quantitative Measuring of Damage on Porous SiOCH by H2/N2 Plasma Ashing Process 国際会議

    17th World INTERFINISH Congress & Exhibition 

     詳細を見る

    開催年月日: 2008年6月

    記述言語:英語   会議種別:口頭発表(一般)  

  2513. 実用レベルプラズマ酸化プロセス装置:SPAにおけるラジカル計測と参加機構解明へのアプローチ

    堀 勝

    SPA酸化膜交流会 

     詳細を見る

    開催年月日: 2008年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2514. プラズマを用いた加工技術

    堀 勝

    放電学会シンポジウム 

     詳細を見る

    開催年月日: 2008年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2515. 先進プラズマを用いた革新的表面加工技術

    堀 勝

    産業技術連携推進会議製造プロセス部会第15回表面技術分科会特別講演 

     詳細を見る

    開催年月日: 2008年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2516. BEOL向けエッチング技術と装置、エッチングソースの最新技術動向、エッチングガスの最新動向

    堀 勝

    Electronic Journal第191回テクニカルセミナー「32nmを睨むエッチング技術★徹底解説 

     詳細を見る

    開催年月日: 2008年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2517. Carbon nanowall formation by controlled irradiation of radicals and ions 国際会議

    2nd International Conference on New Diamond and Nano Carbons 

     詳細を見る

    開催年月日: 2008年5月

    記述言語:英語   会議種別:ポスター発表  

  2518. Structural Control of N-doped Carbon Nanowalls using O2 Effect 国際会議

    2nd International Conference on New Diamond and Nano Carbons 

     詳細を見る

    開催年月日: 2008年5月

    記述言語:英語   会議種別:ポスター発表  

  2519. 非平衡大気圧プラズマを用いたミドリカビの殺菌メカニズムの検証

    井関 紗千子、 山本 桂司、 太田 貴之、伊藤 昌文、 加納 浩之、東島 康裕、堀 勝

    電気学会プラズマ研究会(プラズマ一般) 

     詳細を見る

    開催年月日: 2008年5月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2520. Low-k Film Etch Process and Surface Reaction Employing a Fluorocarbon Gas with Low GWP May 14-16, 2008 国際会議

    International workshop on merging state-of-the-art plasma science into novel technologies 

     詳細を見る

    開催年月日: 2008年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  2521. Effect of Oxygen Gas Addition to C2F6/H2 Plasma on the Structure of Carbon Nanowalls 国際会議

    4th International Nanotechnology Conference on Communication and Cooperation (Nanotech in Japan) 

     詳細を見る

    開催年月日: 2008年4月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2522. 酸素添加ガスによるカーボンナノウォールの構造制御II

    竹内 和歌奈、徳田 豊、加納 浩之、平松 美根男、堀 勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2523. イオン・ラジカル照射によるカーボンナノウォールの作製

    近藤 真悟、ステパノヴィッチ・オリヴェラ、山川 晃司、田 昭治、平松 美根男、堀 勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2524. ラジカル制御によるグラフェンの構造制御と電気特性

    堀 勝、平松 美根男

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2525. 誘導結合型プラズマCVD法を用いたカーボンナノウォールの形成

    大平 真、菱川 貴文、平松 美根男、竹内 和歌奈、堀 勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2526. 単一橋架けカーボンナノウォールの作製

    三国 裕之、竹内 和歌奈、平松 美根男、加納 浩之、徳田 豊、堀 勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2527. 電子ビーム励起プラズマCVD法を用いたカーボンナノウォールの形成 (II)

    渡辺 均、森 貴照、平松 美根男、山川 晃司、竹内 和歌奈、竹田 圭吾、堀 勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2528. マイクロ波励起非平衡大気圧プラズマCVD法によるカーボンナノ構造体の作製

    乾 裕俊、増田 隆行、山川 晃司、加納 浩之、平松 美根男、堀 勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2529. レーザートムソン散乱を用いた二周波容量結合エッチングプラズマにおける電子密度と電子エネルギー分布計測

    安藤 賢一、竹田 圭吾、河野 悟司、久保田 和宏、輿水 地塩、河野 明廣、関根 誠、堀 勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2530. 自律型ナノエッチング装置の創成(II)

    高橋 俊次、高島 成剛、河内 良太、田 昭治、片桐 俊郎、加納 浩之、鈴木 達也、伊藤 昌文、堀 勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2531. 自律型ナノエッチング装置の創成(I)

    高橋 俊次、高島 成剛、河内 良太、田 昭治、片桐 俊郎、加納 浩之、鈴木 達也、伊藤 昌文、堀 勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2532. デスクトップ型コンビナトリアルプラズマナノプロセス解析装置の創成(I)

    文 昶盛、竹田 圭吾、高島 成剛、関根 誠、節原 裕一、白谷 正治、堀 勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2533. 脱温暖化のための新規フルオロカーボンガスを用いた高速low-k膜エッチングプロセス(I)

    柴田 英美、岡本 秀一、関根 誠、堀  勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2534. カーボンナノウォールに対するメタルコンタクト特性

    柏原 雅好、竹内 和歌奈、徳田 豊、加納 浩之、平松 美根男、堀 勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2535. 高速窒素原子・分子を用いた有機材料表面の窒化及びダメージの評価

    原 安寛、竹田 圭吾、高島 成剛、山川 晃司、田 昭冶、加納 浩之、豊田 浩孝、関根 誠、堀 勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2536. ポーラスSiOCH low-k膜へのアッシングダメージ発生機構の検討

    山本 洋、竹田 圭吾、関根 誠、堀 勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2537. 三相交流励起高密度非平衡大気圧プラズマの生成と高分子材料の表面改質プロセス

    乾 裕俊、岩崎 正博、加納 浩之、鈴木 保彦、須藤 大輔、中田 和彦、平松 美根男、堀 勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2538. 自律型四次元大気圧プラズマ製造装置の創成と応用

    堀 勝、高島 成剛、加納 浩之、天野 和男、戸田 仁、馬嶋 隆、中田 和彦

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2539. 新規超高電子密度(~1016cm-3)非平衡大気圧リモートプラズマ中の気相診断及びガラス表面洗浄プロセス

    松平 雄人、岩崎 正博、乾 裕俊、吉田 直史、天野 和男、加納 浩之、堀 勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2540. 超広帯域スーパーコンティニューム光源を用いた近赤外吸収分光法による大気圧プラズマ診断

    竹田 圭吾、太田 貴之、高島 成剛、西澤 典彦、伊藤 昌文、堀 勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2541. 希ガス添加酸素プラズマを用いたSi酸化膜形成メカニズムの解明

    竹田 圭吾、高島 成剛、堀 勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2542. 二周波励起容量結合型プラズマにおける真空紫外光、紫外光、ラジカル、イオンによるLow-k膜へのダメージ

    高島 成剛、斉藤 良太、内田 三郎、深沢 正永、大島 啓示、長畑 和典、辰巳 哲也、堀 勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2543. ナノアセンブリングシステム開発

    堀 勝

    愛知・名古屋地域知的クラスター創成事業最終報告会 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2544. プラズマナノ科学を基盤とした先端プラズマによるナノ・マイクロ加工

    高島 成剛、堀 勝

    文部科学省 先端研究施設共用イノベーション創出事業ナノテクノロジー・ネットワーク中部地区ナノテク総合支援:ナノ材料創製加工と先端機器分析 平成19年度成果報告会 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2545. プラズマのバイオ応用への展開―プラズマアグリカルチャー―

    堀 勝、伊藤 昌文、西澤 典彦

    プラズマ材料科学第153委員会第85回研究会「バイオ・プラズマ応用」 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2546. プラズマCVD法で作製したカーボンナノウォールの高速成長及び表面積制御

    柏原 雅好、丸山 茂敏、山川 晃司、平松 美根男、堀 勝

    日本機械学会関東支部第14期総会講演会 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2547. プラズマCVD法で作製したカーボンナノウォールの成長メカニズム

    近藤 真悟、山川 晃司、平松 美根男、堀 勝

    日本機械学会関東支部第14期総会講演会 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2548. Fabrication of Carbon Nanotube Film with Self-Assembled Conical Tips Using Microwave Plasma-Enhanced CVD

    1st International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2549. Fabrication of carbon nanostructures using inductively coupled plasma-enhanced chemical vapor deposition

    1st International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2550. Investigation of early phase of carbon nanowall formation process in a newly developed three-plasma experiment

    1st International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2551. Fabrication of Carbon Nanostructures using Non-Equilibrium Atmospheric Pressure Plasma CVD

    1st International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2552. Evaluating damage generation mechanism on porous SiOCH by H2 plasma ashing process

    1st International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2553. Porous Low-k Film Etching Process and its Surface Reactions Employing an Alternative Fluorocarbon Gas

    1st International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2554. Surface loss probability of nitrogen atom in process plasmas

    1st International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2555. Development of new remote plasma processes for flexible device fabrication

    1st International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2556. Structural Control of Carbon Nanowalls Using Oxygen Gas Addition to C2F6/H2 Plasma 国際会議

    1st International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2557. Pattern transfer of carbon nanowall into SiO2 film 国際会議

    1st International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2558. Radical Density Measurements in VHF C2F6/H2 Plasma with Radical Injection CVD Used for CNWs Fabrication 国際会議

    1st International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2559. Growth of carbon nanowalls using electron beam excited plasma-enhanced CVD 国際会議

    1st International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2560. イオン・ラジカル独立照射によるカーボンナノウォールの作製に関する研究

    近藤 真悟、高島 成剛、堀 勝

    科学研究費補助金特定領域研究「シリコンナのエレクトロニクスの新展開」―ポストスケーリングテクノロジー―第二回成果報告会 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2561. カーボンナノウォールデバイス応用に向けたグラファイト構造制御

    竹内 和歌奈、高島 成剛、堀 勝

    科学研究費補助金特定領域研究「シリコンナのエレクトロニクスの新展開」―ポストスケーリングテクノロジー―第二回成果報告会 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2562. 揺らぎフリー加工技術への挑戦―最新動向と展望

    堀 勝

    科学研究費補助金特定領域研究「シリコンナのエレクトロニクスの新展開」―ポストスケーリングテクノロジー―第二回成果報告会 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2563. Carbon Nano Material Processing on the Basis of Plasma Nano Science 国際会議

    BK21 International Symposium on Prospects for Physics and Chemistry in the Next Decade 

     詳細を見る

    開催年月日: 2008年2月

    記述言語:英語   会議種別:口頭発表(基調)  

  2564. 真空紫外レーザシステムによる吸収分光用光源の特性評価

    竹田 圭吾、高島 成剛、堀 勝

    第25回プラズマプロセシング研究会(SPP-25) 

     詳細を見る

    開催年月日: 2008年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2565. マルチマイクロホローカソード光源の発光特性

    太田 貴之、橘 善洋、田子多 直樹、伊藤 昌文、高島 成剛、東島 康裕、加納 浩之、田 昭治、堀 勝

    第25回プラズマプロセシング研究会(SPP-25) 

     詳細を見る

    開催年月日: 2008年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2566. 自律型プラズマナノ製造装置の創成

    高橋 俊次、高島 成剛、河内 良太、田 昭治、片桐 俊郎、加納 浩之、伊藤 昌文、堀 勝

    第25回プラズマプロセシング研究会(SPP-25) 

     詳細を見る

    開催年月日: 2008年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2567. 非平衡大気圧プラズマを用いたミドリカビの殺菌特性

    井関 紗千子、山本 桂司、太田 貴之、伊藤 昌文、加納 浩之、東島 康裕、堀 勝

    第25回プラズマプロセシング研究会(SPP-25) 

     詳細を見る

    開催年月日: 2008年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2568. ラジカル注入プラズマCVD法で作製したカーボンナノウォール構造における酸素ガス添加の効果

    竹内 和歌奈、徳田 豊、平松 美根男、加納 浩之、堀 勝

    第25回プラズマプロセシング研究会(SPP-25) 

     詳細を見る

    開催年月日: 2008年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2569. プラズマCVD法により作製したCNWの初期成長における酸素添加効果

    近藤 真悟、ステパノヴィッチ・オリヴェラ、山川 晃司、平松 美根男、堀 勝

    第25回プラズマプロセシング研究会(SPP-25) 

     詳細を見る

    開催年月日: 2008年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2570. プラズマCVD法で作製したカーボンナノウォールの金属接触の評価

    柏原 雅好、竹内 和歌奈、徳田 豊、加納 浩之、平松 美根男、堀 勝

    第25回プラズマプロセシング研究会(SPP-25) 

     詳細を見る

    開催年月日: 2008年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2571. 非平衡大気圧プラズマCVD法を用いたカーボンナノ構造体の作製

    増田 隆行、木村 美幸、平松 美根男、山川 晃司、堀 勝

    第25回プラズマプロセシング研究会(SPP-25) 

     詳細を見る

    開催年月日: 2008年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2572. 誘導結合型プラズマCVD法を用いたカーボンナノ構造体の作製

    菱川 貴文、大平 真、平松 美根男、堀 勝

    第25回プラズマプロセシング研究会(SPP-25) 

     詳細を見る

    開催年月日: 2008年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2573. 吸収分光計測技術

    堀 勝

    第1回名古屋大学プラズマナノ工学スクール先端プラズマ計測の基礎と応用 

     詳細を見る

    開催年月日: 2008年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2574. Si酸化プロセスにおける希ガス添加酸素プラズマ内の活性種の影響

    竹田 圭吾、高島 成剛、堀 勝

    第13回ゲートスタック研究会‐材料・プロセス・評価の物理‐ 

     詳細を見る

    開催年月日: 2008年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2575. Consideration of High Quality Silicon Film Deposition on the Basis of Plasma Science 国際会議

    6th International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2008年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2576. Synthesis of Graphen Sheet by Radical Controlled Plasma 国際会議

    6th International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2008年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2577. High Rate Deposition of Nano Crystalline Si Particles at Room Temperature 国際会議

    6th International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2008年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2578. Low-Temperature SiN Film Formation on Si and OLEDs by VHF-CCP System 国際会議

    6th International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2008年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2579. カーボンナノウォールに対する熱処理による効果

    柏原 雅好、竹内 和歌奈、高島 成剛、堀 勝

    科学研究費補助金特定領域研究「シリコンナのエレクトロニクスの新展開」―ポストスケーリングテクノロジー―第三回全体会議 

     詳細を見る

    開催年月日: 2007年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2580. カーボンナノウォールの形状制御と電気特性

    竹内 和歌奈、高島 成剛、堀 勝

    科学研究費補助金特定領域研究「シリコンナのエレクトロニクスの新展開」―ポストスケーリングテクノロジー―第三回全体会議 

     詳細を見る

    開催年月日: 2007年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2581. 低次元カーボン系チャネルエンジニアリング

    堀 勝

    科学研究費補助金特定領域研究「シリコンナのエレクトロニクスの新展開」―ポストスケーリングテクノロジー―第三回全体会議 

     詳細を見る

    開催年月日: 2007年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2582. 大気圧プラズマを用いた半導体デバイスプロセス

    堀 勝

    プラズマ・核融合学会第20回専門講習会「大気圧プラズマプロセス技術の基礎と応用」 

     詳細を見る

    開催年月日: 2007年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2583. プラズマCVDによるカーボンナノウォールの配向成長

    堀 勝

    カーボンナノチューブミニシンポジウム 

     詳細を見る

    開催年月日: 2007年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2584. Plasma Nano-Processing for Fabrication of New Functional Graphene Sheet Nano-Devices 国際会議

    5th International Conference on Advanced Materials and Devices 

     詳細を見る

    開催年月日: 2007年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  2585. 最先端プラズマ装置の概略とプラズマ応用センターへの展望

    堀 勝

    第2回プラズマが拓くものづくり研究会 

     詳細を見る

    開催年月日: 2007年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2586. 超臨界CVDを用いたカーボンナノウォールへの触媒用白金微粒子担持

    町野 琢磨、堀 勝、加納 浩之、平松 美根男

    第18回日本MRS学術シンポジウム 

     詳細を見る

    開催年月日: 2007年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2587. ラジカル注入型プラズマCVD法を用いて作成したO2添加CNWの初期成長過程

    近藤 真悟、竹内 和歌奈、ステパノヴィッチ・オリヴェラ、山川 晃司、平松 美根男、堀 勝

    第18回日本MRS学術シンポジウム 

     詳細を見る

    開催年月日: 2007年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2588. Surface Cleaning Process and Its Reaction Mechanism for Flexible Electronics Employing Atmospheric Pressure N2/O2 Plasma 国際会議

    2007 Trans-Pacific Workshop on Flexible Electronics 

     詳細を見る

    開催年月日: 2007年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  2589. 自律型ナノ製造装置とプラズマ応用技術について

    高島 成剛、堀 勝

    クラスタージャパン2007 テクノフェアビジネス・シーズ発表会 

     詳細を見る

    開催年月日: 2007年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2590. 明日の仕事に役立つプラズマエッチングの基礎と実例-半導体プロセスを中心として-

    堀 勝

    リアライズ理工センター主催セミナー 

     詳細を見る

    開催年月日: 2007年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2591. プラズマエッチングの基礎から最先端研究まで

    堀 勝

    先端融合研究会 

     詳細を見る

    開催年月日: 2007年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2592. 「学会・大学・科学館・小・中学校の連携による最新科学技術教材の開発」成果報告

    堀 勝

    (独)科学技術振興機構「研究者情報発信活動推進モデル事業」成果報告会 

     詳細を見る

    開催年月日: 2007年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2593. 分光分析を用いたプラズマナノ科学に基づくプロセス開発

    高島 成剛、堀 勝

    ナノテク部会第21回研究会「若手研究者のためのナノテク材料基礎セミナー 

     詳細を見る

    開催年月日: 2007年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2594. Growth of Carbon Nanowalls using Inductively Coupled Plasma-Enhanced Chemical Vapor Deposition

    International Symposium on Dry Process (DPS 2007) 

     詳細を見る

    開催年月日: 2007年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2595. Evaluation of Plasma Damages on Organic Low-k Film due to VUV Light, UV Light, Radicals and Ions

    International Symposium on Dry Process (DPS 2007) 

     詳細を見る

    開催年月日: 2007年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2596. Development and Characteristics of Nitrogen Radical Beam

    International Symposium on Dry Process (DPS 2007) 

     詳細を見る

    開催年月日: 2007年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2597. Space Resolved Density Measurements in Reactive Plasmas using Optical Probe for Atomic Radical Monitoring

    International Symposium on Dry Process (DPS 2007) 

     詳細を見る

    開催年月日: 2007年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2598. High Performance Etching of Porous Low-k SiOCH Employing an Alternative Fluorocarbon Gas

    International Symposium on Dry Process (DPS 2007) 

     詳細を見る

    開催年月日: 2007年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2599. Control of Organic Low-k Etching in 100MHz Capacitively Coupled H2/N2 Plasma Employing a Radical Sensor

    International Symposium on Dry Process (DPS 2007) 

     詳細を見る

    開催年月日: 2007年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2600. Morphology Control of Carbon Nanowalls using Radical Injection Plasma Enhanced CVD

    5th International Symposium on Control of Semiconductor Interfaces 

     詳細を見る

    開催年月日: 2007年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2601. 先進プラズマ計測科学に基づいた大気圧プラズマ有機膜ナノエッチングプロセス

    堀 勝

    第23回九州・山口プラズマ研究会 

     詳細を見る

    開催年月日: 2007年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2602. リモートプラズマ試験機のラジカル絶対密度

    堀 勝

    第46回スガウェザリング学術講演会 

     詳細を見る

    開催年月日: 2007年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2603. Diagnostics of Oxygen Based Surface Wave Plasmas Diluted by Rare Gas for Low Temperature Silicon Oxidation Processes 国際会議

    6th Pacific Rim International Conference on Advanced Materials and Processing 

     詳細を見る

    開催年月日: 2007年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  2604. Carbon Nanowall Fabrication using Radical-Controlled Plasma Processing: toward the Application for New Functional Devices 国際会議

    6th Pacific Rim International Conference on Advanced Materials and Processing 

     詳細を見る

    開催年月日: 2007年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  2605. Environmental-Friendship Etching Process of Low-k SiOCH Films Employing and Alternative Fluorocarbon Gas

    20th International Microprocesses and Nanotechnolgy Conference 

     詳細を見る

    開催年月日: 2007年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2606. Etching Processes of Carbon Nanowalls using Dual-Frequency Parallel-Plate Capacitively Coupled Plasma

    20th International Microprocesses and Nanotechnolgy Conference 

     詳細を見る

    開催年月日: 2007年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2607. リモートプラズマ試験機のラジカル絶対密度

    堀 勝

    第45回スガウェザリング学術講演会 

     詳細を見る

    開催年月日: 2007年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2608. Development of Atomic Radical Monitoring Probe for Spatial Distribution Measurements and its Application to Reactive Plasma Processes 国際会議

    American Vacuum Society 54th International Symposium & Exhibition 

     詳細を見る

    開催年月日: 2007年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2609. Room Temperature Deposition of Silicon Nanoparticles using Pulse-Modulated UHF Plasma

    International 21st Century COE Symposium on Atomistic Fabrication Technology 2007 

     詳細を見る

    開催年月日: 2007年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2610. Field Emission from Carbon Nanowalls with MgO Coating

    International 21st Century COE Symposium on Atomistic Fabrication Technology 2007 

     詳細を見る

    開催年月日: 2007年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2611. Autonomously Controlled Fabrication Processing in Low-Pressure and Atmospheric-Pressure Plasmas with Radical Diagnostics

    International 21st Century COE Symposium on Atomistic Fabrication Technology 2007 

     詳細を見る

    開催年月日: 2007年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2612. Evaluation of Plasma Damage due to VUV Light, UV Light, Radicals, Ions and Interaction of Light and Radicals on Low-k Films 国際会議

    American Vacuum Society 54th International Symposium & Exhibition 

     詳細を見る

    開催年月日: 2007年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2613. SiOCH Damage in N2/H2 Plasma 国際会議

    American Vacuum Society 54th International Symposium & Exhibition 

     詳細を見る

    開催年月日: 2007年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2614. Evaluation and Control of Electric Conduction of Carbon Nanowalls Fabricated by Plasma-Enhanced CVD 国際会議

    American Vacuum Society 54th International Symposium & Exhibition 

     詳細を見る

    開催年月日: 2007年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2615. Study of Growth Process and Structures of Carbon Nanowalls Synthesized Using Radical Injected Plasma Enhanced CVD 国際会議

    American Vacuum Society 54th International Symposium & Exhibition 

     詳細を見る

    開催年月日: 2007年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2616. Optical Probe for Space Resolved Measurement of Atom Densities in Reactive Plasmas 国際会議

    60th Annual Gaseous Electronics Conference(GEC2007) 

     詳細を見る

    開催年月日: 2007年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2617. Measurement of Absolute Carbon Atom Density in Reactive Plasmas using Vacuum Ultraviolet Absorption Spectroscopy with Microdischarge Hollow Cathode Lamp 国際会議

    60th Annual Gaseous Electronics Conference(GEC2007) 

     詳細を見る

    開催年月日: 2007年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2618. Control of Structures of Carbon Nanowalls in Plasma Enhanced CVD 国際会議

    60th Annual Gaseous Electronics Conference(GEC2007) 

     詳細を見る

    開催年月日: 2007年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2619. Radical Density Measurement in VHF C2F6/H2 Plasma used for Carbon Nanowall Growth 国際会議

    60th Annual Gaseous Electronics Conference(GEC2007) 

     詳細を見る

    開催年月日: 2007年10月

    記述言語:英語   会議種別:ポスター発表  

  2620. Behavior of Excited Oxygen Atoms in Rare gas mixture O2 Surface Wave Excited Plasma 国際会議

    60th Annual Gaseous Electronics Conference(GEC2007) 

     詳細を見る

    開催年月日: 2007年10月

    記述言語:英語   会議種別:ポスター発表  

  2621. Measurement of Ground State Oxygen Radical [O(3P)] in Surface Cleaning Process Employing Nonequilibrium Atmospheric-Pressure Pulsed Remote Plasma 国際会議

    60th Annual Gaseous Electronics Conference(GEC2007) 

     詳細を見る

    開催年月日: 2007年10月

    記述言語:英語   会議種別:ポスター発表  

  2622. Development of Light Source using Micro Hollow Cathode Plasma for Monitoring Absolute Densities of Metal Atoms in Magnetron Sputtering 国際会議

    60th Annual Gaseous Electronics Conference(GEC2007) 

     詳細を見る

    開催年月日: 2007年10月

    記述言語:英語   会議種別:ポスター発表  

  2623. Fabrication of Vertically Standing Carbon Nanowalls by Electron Beam Excited Plasma-Enhanced CVD 国際会議

    60th Annual Gaseous Electronics Conference(GEC2007) 

     詳細を見る

    開催年月日: 2007年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2624. Growth Process of Carbon Nanowalls Fabricated Using Radical Injection Plasma Enhanced Chemical Vapor Deposition 国際会議

    60th Annual Gaseous Electronics Conference(GEC2007) 

     詳細を見る

    開催年月日: 2007年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2625. Synthesis of Carbon Nanowalls and Challenge for New Functional Devices 国際会議

    60th Annual Gaseous Electronics Conference(GEC2007) 

     詳細を見る

    開催年月日: 2007年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  2626. 大気圧プラズマの表面処理への応用-洗浄・親水・撥水・切削・耐磨耗-

    高島 成剛、堀 勝

    第1回プラズマが拓くものづくり研究会 

     詳細を見る

    開催年月日: 2007年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2627. プラズマバイオニクスの創成と進展

    堀 勝

    分子研研究会「分子情報通信(Molecular Communication)のサイエンス基盤」 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2628. Summary and Discussion on Flat-Panel and Flexible Devices

    6th Asian-European International Conference on Plasma Surface Engineering (AEPSE2007) “Workshop on Flat-Panel and Flexible Devices" 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2629. Control of Electric Conduction of Carbon Nanowalls

    2007 International Conference on Solid State Devices and Materials (SSDM) 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2630. Generation Mechanism of Etching Damages on Low-k SiOCH Films and Development of Novel Damage Evaluation Technique

    2007 International Conference on Solid State Devices and Materials (SSDM) 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2631. Si Oxidation Mechanism in Ar/O2 Surface Wave Plasma

    2007 International Conference on Solid State Devices and Materials (SSDM) 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2632. Technology of Radical Monitoring and Its Application to Plasma Nano Processes 国際会議

    ALTECH 2007 ECS Satellite Symposium Analytical Techniques for Semiconductor Materials and Process Characterization V 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    , University Munich, Munich, Germany, September 13-14, 2007

  2633. プラズマ計測の基礎

    堀 勝

    第1回プラズマエレクトロニクスインキュベーションホール 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2634. 自律型四次元大気圧プラズマ製造装置に関する研究開発

    堀 勝

    研究交流クラブ第110回定例会「平成18年度完了地域新生コンソーシアム研究開発事業成果報告 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2635. Fabrication of Carbon Nanowall in VHF Fluorocarbon/Hydrogen Plasma with Plasma Enhanced CVD 国際会議

    18th European Conference on Diamond, Diamond-Like Materials, Carbon Nanotubes, and Nitrides 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:英語   会議種別:口頭発表(一般)  

  2636. Fabrication of Carbon Nanowalls using Electron Beam Excited Plasma 国際会議

    18th European Conference on Diamond, Diamond-Like Materials, Carbon Nanotubes, and Nitrides 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:英語   会議種別:口頭発表(一般)  

  2637. プラズマによる産業革新

    堀 勝

    テクノ・フェア名大2007 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2638. パルス変調 UHF SiH4/H2 プラズマを用いたナノシリコン微粒子の室温高速合成(Ⅵ)

    高橋 栄治、知京 豊裕、堀 勝

    2007年秋季第68回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2639. ラジカルCVDによるカーボン膜成長中のin-situ分光エリプソメトリー解析

    近藤 真悟、Olivera Stephanovic、山川 晃司、田 昭治、平松 美根男、堀 勝

    2007年秋季第68回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2640. Etching Profile Characteristics of ICP Pre-etched Micro Cavities on (111) Silicon Wafer

     詳細を見る

    開催年月日: 2007年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2641. 代替フルオロカーボンガスを用いたlow-k膜エッチングプロセス(IV)

    柴田 英美、岡本 秀一、関根 誠、堀 勝

    2007年秋季第68回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2642. H2 プラズマによるSiOCH低誘電率膜へのダメージ評価とダメージ発生機構の解明 (Ⅰ)

    山本 洋、高橋 俊次、竹田 圭吾、河内 良太、田 昭治、関根 誠 堀 勝

    2007年秋季第68回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2643. マルチマイクロホローカソード光源の開発(II)

    太田 貴之、橘 善洋、伊藤 昌文、高島 成剛、東島 康裕、加納 浩之、田 昭治、堀 勝

    2007年秋季第68回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2644. 高エネルギーpsパルスによる超広帯域高精度スーパーコンティニューム光の生成

    西澤 典彦、堀 勝

    2007年秋季第68回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2645. 超臨界CVDを用いたカーボンナノ構造体へのナノPt粒子形成とデバイスへの応用

    堀 勝、平松 美根男

    2007年秋季第68回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2646. 真空紫外レーザ吸収分光法による原子状ラジカル計測用光源の評価

    高島 成剛、竹田 圭吾、堀 勝

    2007年秋季第68回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2647. フッ素原子絶対密度計測用コンパクト真空紫外吸収分光システムの開発

    佐々木 元、高島 成剛、竹田 圭吾、堀 勝

    2007年秋季第68回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2648. 高速ラジカルプロセス(Ⅲ) -N2分子ビーム及びNラジカルビームの挙動-

    原 安寛、高島 成剛、山川 晃司、田 昭冶、加納 浩之、豊田 浩孝、関根 誠、堀 勝

    2007年秋季第68回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2649. 二周波励起容量結合型プラズマエッチングプロセスにおける真空紫外光、紫外光、ラジカル、イオンによるLow-k膜へのダメージ(IV)

    内田 三郎、高島 成剛、斉藤 良太、深沢 正永、大島 啓示、長畑 和典、辰巳 哲也、堀 勝

    2007年秋季第68回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2650. 新規ラジカルプロセスの構築(Ⅰ)

    河野 悟司、竹田 圭吾、山川 晃司、前田 智宏、堀 勝

    2007年秋季第68回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2651. 大気圧非平衡パルスプラズマを用いた大面積クリーニングプロセスにおける酸化種の影響

    岩崎 正博、松平 雄人、伊藤 昌文、宮本 栄司、屋良 卓也、上原 剛、堀 勝

    2007年秋季第68回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2652. パルス変調Ar/O2表面波プラズマにおける酸素原子の振舞い

    竹田 圭吾、高島 成剛、壁 義郎、北川 淳一、中西 敏雄、伊藤 仁、堀 勝

    2007年秋季第68回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2653. ラジカル注入プラズマCVD法によるカーボンナノウォールの形状制御(Ⅱ)

    丸山 茂敏、山川 晃司、加納 浩之、平松 美根男、堀 勝

    2007年秋季第68回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2654. 酸素添加ガスによるカーボンナノウォールの構造制御

    竹内 和歌奈、徳田 豊、平松 美根男、加納 浩之、堀 勝

    2007年秋季第68回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2655. プラズマ窒化処理を施したカーボンナノウォールからの電界放出

    大林 友視、 山川 晃司、加納 浩之、平松 美根男、堀 勝

    2007年秋季第68応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2656. 超臨界CO2/有機金属を用いたカーボンナノウォールへの触媒用白金微粒子担持

    町野 琢磨、山川 晃司、平松 美根男、堀 勝

    2007年秋季第68回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2657. Fabrication of Single-Walled Carbon Nanotube Film with Self-Assembled Conical Tips using Microwave Plasma-Enhanced CVD

    6th Asian-European International Conference on Plasma Surface Engineering (AEPSE2007) 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2658. Pattern Transfer of Carbon Nanowall into SiO2 Film

    6th Asian-European International Conference on Plasma Surface Engineering (AEPSE2007) 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2659. Synthesis of Carbon Nanowalls using Electron Beam Excited Plasma Enhanced Chemical Vapor Deposition

    6th Asian-European International Conference on Plasma Surface Engineering (AEPSE2007) 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2660. Silicon Slice using Atmospheric Pressure Microplasma

    6th Asian-European International Conference on Plasma Surface Engineering (AEPSE2007) 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2661. Radical Density Measurements in VHF C2F6/H2 Plasma with Radical Injection CVD used for Carbon Nanowall Fabrication

    6th Asian-European International Conference on Plasma Surface Engineering (AEPSE2007) 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2662. プラズマ診断技術、大気圧プラズマ技術と産業応用

    堀 勝

    プラズマが拓く新しいモノ創り~新機能表面技術へのチャレンジ~ 

     詳細を見る

    開催年月日: 2007年8月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2663. 45/32nm時代のエッチング技術

    堀 勝

     詳細を見る

    開催年月日: 2007年8月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2664. 低次元カーボン系チャネルエンジニアリング

    堀 勝

    科学研究費補助金特定領域研究「シリコンナのエレクトロニクスの新展開」―ポストスケーリングテクノロジー―第2回全体会議 

     詳細を見る

    開催年月日: 2007年8月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2665. Room Temperature and High Rate Deposition of Silicon Nanoparticles using Pulse-Modulated UHF Plasma

    18th International Symposium on Plasma Chemistry 

     詳細を見る

    開催年月日: 2007年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2666. Etching Properties of Carbon Nanowalls Fabricated Using Radical Injection Plasma Enhanced CVD

    18th International Symposium on Plasma Chemistry 

     詳細を見る

    開催年月日: 2007年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2667. Radical Monitoring Probe for Measurements of H, N, O and C Atomic Radical Densities in Reactive Process Plasmas

    18th International Symposium on Plasma Chemistry 

     詳細を見る

    開催年月日: 2007年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2668. Effect of Behaviors of Activated Species on Si Oxidation in Ar Diluted O2 Surface Wave Plasma on Production Level

    18th International Symposium on Plasma Chemistry 

     詳細を見る

    開催年月日: 2007年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2669. Gas Phase Diagnostics in Nonequilibrium Atmospheric-Pressure Pulsed Remote Plasma for Glass Cleaning

    18th International Symposium on Plasma Chemistry 

     詳細を見る

    開催年月日: 2007年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2670. Measurement of Absolute Carbon Atom Density in Reactive Plasma Using Vacuum Ultraviolet Absorption Spectroscopy

    18th International Symposium on Plasma Chemistry 

     詳細を見る

    開催年月日: 2007年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2671. Plasma Diagnostics on Multi-Micro Hollow Light Source

    18th International Symposium on Plasma Chemistry 

     詳細を見る

    開催年月日: 2007年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2672. Silicon Dicing Process Using and Atmospheric-Pressure Microplasma

    18th International Symposium on Plasma Chemistry 

     詳細を見る

    開催年月日: 2007年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2673. Development and Characteristics of Fast Radical Source

    18th International Symposium on Plasma Chemistry 

     詳細を見る

    開催年月日: 2007年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2674. Formation of SiN Films on Si Substrates and OLEDs at Low Temperature Using VHF-CCP and Improvement of the Properties

    18th International Symposium on Plasma Chemistry 

     詳細を見る

    開催年月日: 2007年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2675. Porous Low-k SiOCH Etching Technology by High-Precision Radical Control

    18th International Symposium on Plasma Chemistry 

     詳細を見る

    開催年月日: 2007年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2676. Plasma Etching Damages on Low-k Films due to VUV Light, UV Light, Radicals and Ions

    18th International Symposium on Plasma Chemistry 

     詳細を見る

    開催年月日: 2007年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2677. High Speed Growth Process of Carbon Nanowalls Using Radical Injection Plasma CVD

    18th International Symposium on Plasma Chemistry 

     詳細を見る

    開催年月日: 2007年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2678. Porous Low-k Film Etching Process and Its Diagnostics Employing and Alternative Fluorocarbon Gas

    18th International Symposium on Plasma Chemistry 

     詳細を見る

    開催年月日: 2007年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2679. Growth of Carbon Nanowalls using Electron Beam Excited Plasma-Enhanced Chemical Vapor Deposition

    18th International Symposium on Plasma Chemistry 

     詳細を見る

    開催年月日: 2007年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2680. Carbon Nanowalls with Dispersed Nanometal Particles and Evaluation of the Field Emission Properties

    18th International Symposium on Plasma Chemistry 

     詳細を見る

    開催年月日: 2007年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2681. Radical Density Measurements in VHF Fluorocarbon/Hydrogen Plasma with Radical Injection used for Carbon Nanowall Fabrication

    18th International Symposium on Plasma Chemistry 

     詳細を見る

    開催年月日: 2007年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2682. The Structure and Electric Conduction of Carbon Nanowalls using Radical Injection Plasma Enhanced CVD

    18th International Symposium on Plasma Chemistry 

     詳細を見る

    開催年月日: 2007年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2683. Transcription of Carbon Nanowall Pattern into SiO2 Film

    18th International Symposium on Plasma Chemistry 

     詳細を見る

    開催年月日: 2007年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2684. The Improvement of Field Emissions from Carbon Nanowalls by Hydrogen Plasma Treatment

    18th International Symposium on Plasma Chemistry 

     詳細を見る

    開催年月日: 2007年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2685. Effect of Kinds of Substrates on the Growth of Carbon Nanowalls

    18th International Symposium on Plasma Chemistry 

     詳細を見る

    開催年月日: 2007年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2686. Sterilization of Penicillium Digitatum using Nonequilibrium Atmospheric Pressure Plasma

    18th International Symposium on Plasma Chemistry 

     詳細を見る

    開催年月日: 2007年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2687. ラジカル計測・制御による自律型ナノプロセスの開発

    高島成剛、堀 勝

    理研シンポジウム第4回スリービーム技術による表面改質と解析 

     詳細を見る

    開催年月日: 2007年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2688. Low-Temperature Formation of Microcrystalline Si Films and Their Application to TFTs for Flexible Displays

    14th International Workshop on Active-Matrix Flat Panel Displays and Devices-TFT Technologies and Related Materials- (AM-FPD '07) 

     詳細を見る

    開催年月日: 2007年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2689. Generation Processes of O (3Pj) and O (1D2) Atoms in Oxygen and Krypton Mixture Surface Wave Excited Plasma 国際会議

    28th International Conference on Phenomena in Ionized Gases 

     詳細を見る

    開催年月日: 2007年7月

    記述言語:英語   会議種別:口頭発表(一般)  

  2690. Near Infrared Absorption Spectroscopy with Supercontinuum Light for Measurement of Species in Non-EquilibriumAtmospheric Pressure Plasma 国際会議

    2nd Workshop on Infrared Plasma Spectroscopy 

     詳細を見る

    開催年月日: 2007年7月

    記述言語:英語  

  2691. Industrial Application of Infrared Diode Laser Absorption Spectroscopy 国際会議

    1st International Summer School on Infrared Plasma Spectroscopy 

     詳細を見る

    開催年月日: 2007年7月

    記述言語:英語  

  2692. Development of Compact Radical Monitoring Probe for Smart Nano Plasma Processing 国際会議

    28th International Conference on Phenomena in Ionized Gases 

     詳細を見る

    開催年月日: 2007年7月

    記述言語:英語   会議種別:口頭発表(一般)  

  2693. Etching of Organic Low Dielectric Constant Film in 100MHz Capacitively Coupled H2/N2 Gases Plasmas 国際会議

    28th International Conference on Phenomena in Ionized Gases 

     詳細を見る

    開催年月日: 2007年7月

    記述言語:英語   会議種別:口頭発表(一般)  

  2694. Development of Multi metallic Emission Array using Micro Hollow Cathode Plasma 国際会議

    28th International Conference on Phenomena in Ionized Gases 

     詳細を見る

    開催年月日: 2007年7月

    記述言語:英語   会議種別:口頭発表(一般)  

  2695. Development of New High-Density Radical Sources and its Application to Radical Nitridation of Ge Surfaces

     詳細を見る

    開催年月日: 2007年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2696. Effect of Doped Carbon Nanowalls by Nitrogen Addition

     詳細を見る

    開催年月日: 2007年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2697. Pressure and VHF-Power Dependence of Carbon Nanowalls Employing Radical Infection Plasma Enhanced CVD

     詳細を見る

    開催年月日: 2007年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2698. Ar/H2 Plasma Etching Effect of Carbon Nanowalls Using Radical Injection Plasma Enhanced CVD

     詳細を見る

    開催年月日: 2007年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2699. Supporting Dispersed Pt Nanoparticles on CNWs and the Field Emission Measurement

     詳細を見る

    開催年月日: 2007年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2700. Pattern Transfer of Carbon Nanowalls into SiO2 Film

     詳細を見る

    開催年月日: 2007年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2701. Fabrication of Aligned Carbon Nanotube Film with Self-Assembled Conical Tips Using Microwave Plasma-Enhanced CVD

     詳細を見る

    開催年月日: 2007年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2702. Measurements of Radical Density in VHF Fluorocarbon/Hydrogen Plasma with Radical Injection Used for Carbon Nanowall Fabrication

     詳細を見る

    開催年月日: 2007年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2703. Effect of O2 Addition on Sterilization of Penicillium Digitatum Using Non-Equilibrium Atmospheric Pressure

     詳細を見る

    開催年月日: 2007年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2704. Study of Silicon Dicing Process Using Atmospheric Pressure Microplasma

     詳細を見る

    開催年月日: 2007年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2705. Measurement of O(3P) Concentration in Nonequilibrium Atmospheric-Pressure Pulsed Remote O2/N2 Plasma

     詳細を見る

    開催年月日: 2007年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2706. Behaviors of H and N Radicals in 100MHz Capacitively Coupled Plasma Using H2/N2 Mixture Gases for the Organic Low Dielectric Constant Film Etching

     詳細を見る

    開催年月日: 2007年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2707. Development and Characteristics of Fast Radical Source H2/N2 Mixture Gases for the Organic Low Dielectric Constant Film Etching

     詳細を見る

    開催年月日: 2007年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2708. Development of Atomic Radical Monitoring Probe and Its Application to Spatial Distribution Measurements in Material Process Plasmas

     詳細を見る

    開催年月日: 2007年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2709. Measurement Technique of Absolute Carbon Atom Density Using Vacuum Ultraviolet Absorption Spectroscopy with Microdischarge Hollow Cathode Lamp

     詳細を見る

    開催年月日: 2007年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2710. Plasma Damages on Low-k Films due to VUV Light, UV Light, Radical and Ion in Etching Process

     詳細を見る

    開催年月日: 2007年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2711. Effect of Species in Gas-Phase on Plasma Oxidation Process with Oxygen Based Surface Wave Plasma Diluted by Rare Gas

     詳細を見る

    開催年月日: 2007年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2712. Formation of SiN Films on Si Substrates at Low Temperature using VHF-CCP and Improvement of the Properties

     詳細を見る

    開催年月日: 2007年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2713. Low Temperature Deposition of Silicon Nanoparticles using Pulse-Modulated UHF SiH4/H2 Plasma

     詳細を見る

    開催年月日: 2007年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2714. Etching Profile Characteristics of ICP Pre-Etched Micro Cavities on (111) Silicon Wafer

     詳細を見る

    開催年月日: 2007年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2715. The Effect of MgO Coating on Carbon Nanowalls for Field Emission

     詳細を見る

    開催年月日: 2007年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2716. Development of Light Source for Simultaneous Monitoring of Multi Elements using Micro Hollow Cathode Plasma

     詳細を見る

    開催年月日: 2007年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  2717. Micro and Nano-Fabrication Processing on the Basis of Plasma Science

    9th International Symposium on Sputtering and Plasma Processes (ISSP 2007) 

     詳細を見る

    開催年月日: 2007年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2718. Plasma Etching Technology for Low-k Porous SiOCH Films 国際会議

    211th ECS Meeting 

     詳細を見る

    開催年月日: 2007年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  2719. Gas Phase Diagnostics in Nonequilibrium Atmospheric-Pressure Pulsed Remote Plasma for SiO2 Etching and Glass Cleaning for Surface 国際会議

    5th International Symposium on Advanced Plasma Processes and Diagnostics & 1st International Symposium on Flexible Electronics Technology 

     詳細を見る

    開催年月日: 2007年4月

    記述言語:英語   会議種別:ポスター発表  

  2720. Improvement of Electrical Characteristic and Mechanical Flexibility of Organic TFT Using Multi-Functional Organic Interlayer 国際会議

    5th International Symposium on Advanced Plasma Processes and Diagnostics & 1st International Symposium on Flexible Electronics Technology 

     詳細を見る

    開催年月日: 2007年4月

    記述言語:英語   会議種別:口頭発表(一般)  

  2721. Advanced Plasma Cleaning and Surface modification Technology on Flexible Materials 国際会議

    5th International Symposium on Advanced Plasma Processes and Diagnostics & 1st International Symposium on Flexible Electronics Technology 

     詳細を見る

    開催年月日: 2007年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  2722. Growth and Properties of Carbon Nono Walls (CNW) Using Radical Injection PECVD 国際会議

    5th International Symposium on Advanced Plasma Processes and Diagnostics & 1st International Symposium on Flexible Electronics Technology 

     詳細を見る

    開催年月日: 2007年4月

    記述言語:英語   会議種別:口頭発表(一般)  

  2723. Development and Characteristics of Fast N Radical Source 国際会議

    5th International Symposium on Advanced Plasma Processes and Diagnostics & 1st International Symposium on Flexible Electronics Technology 

     詳細を見る

    開催年月日: 2007年4月

    記述言語:英語   会議種別:口頭発表(一般)  

  2724. Evaluation of Plasma Induced Damages on Low-k Films 国際会議

    5th International Symposium on Advanced Plasma Processes and Diagnostics & 1st International Symposium on Flexible Electronics Technology 

     詳細を見る

    開催年月日: 2007年4月

    記述言語:英語   会議種別:口頭発表(一般)  

  2725. Measurement of Absolute O (1D2) Atom Density in O2 Surface Wave Plasma Diluted by Rare Gas with Vacuum Ultraviolet Laser Absorption Spectroscopy 国際会議

    5th International Symposium on Advanced Plasma Processes and Diagnostics & 1st International Symposium on Flexible Electronics Technology 

     詳細を見る

    開催年月日: 2007年4月

    記述言語:英語   会議種別:ポスター発表  

  2726. VUV Micro-Hollow Cathode Optical Probes for Space Resolved Absorption Measurements of Radicals in Smart Nanomaterial Processing 国際会議

    7th Workshop on Frontiers in Low Temperature Plasma Diagnostics 

     詳細を見る

    開催年月日: 2007年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  2727. SiO2へのカーボンナノウォールの形状転写

    野田章夫、平松美根男、堀 勝

    第54回応用物理学会関係連合講演会 

     詳細を見る

    開催年月日: 2007年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2728. カーボンナノウォール成長における添加ガス効果

    竹内和歌奈、浦雅登、徳田 豊、平松美根男、加納浩之、堀 勝

    第54回応用物理学会関係連合講演会 

     詳細を見る

    開催年月日: 2007年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2729. 100MHz容量結合型水素・窒素混合ガスプラズマエッチングプロセス(I)

    山本 洋、高島成剛、河内良太、高橋俊次、田 昭治、堀 勝

    第54回応用物理学会関係連合講演会 

     詳細を見る

    開催年月日: 2007年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2730. 大気圧マイクロプラズマを用いたシリコンダイシング技術

    乾 裕俊、出野琢也、藤原裕之、増田 淳、近藤道雄、平松美根男、堀 勝

    第54回応用物理学会関係連合講演会 

     詳細を見る

    開催年月日: 2007年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2731. 電界電子放出特性におけるカーボンナノウォールへの金属微粒子担持効果

    町野琢磨、中村匡利、山川晃司、平松美根男、堀 勝

    第54回応用物理学会関係連合講演会 

     詳細を見る

    開催年月日: 2007年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2732. コンパクトマルチマイクロホロー光源を用いたスパッタプロセスの解析

    橘 善洋、山下 瞬、太田貴之、伊藤昌文、高島成剛、東島康裕、加納浩之、田 昭治、堀 勝

    第54回応用物理学会関係連合講演会 

     詳細を見る

    開催年月日: 2007年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2733. ラジカル注入プラズマCVD法によるカーボンナノウォールの形状制御

    丸山茂敏、山川晃司、加納浩之、平松美根男、堀 勝

    第54回応用物理学会関係連合講演会 

     詳細を見る

    開催年月日: 2007年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2734. ラジカル注入プラズマCVD法を用いて作製したカーボンナノウォールのエッチング特性

    近藤真悟、山川晃司、平松美根男、堀 勝

    第54回応用物理学会関係連合講演会 

     詳細を見る

    開催年月日: 2007年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2735. 電子ビーム励起プラズマCVD法を用いたカーボンナノウォールの形成

    森 貴照、平松美根男、山川晃司、竹田圭吾、堀 勝

    第54回応用物理学会関係連合講演会 

     詳細を見る

    開催年月日: 2007年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2736. ラジカル注入プラズマCVD装置によるカーボンナノウォールの高速成長

    山川晃司、田 昭治、片桐俊郎、加納浩之、平松美根男、堀 勝

    第54回応用物理学会関係連合講演会 

     詳細を見る

    開催年月日: 2007年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2737. Low-k膜エッチングにおける光(VUV、UV)、イオン、ラジカルの影響と低ダメージプロセス

    堀 勝

    第54回応用物理学会関係連合講演会 

     詳細を見る

    開催年月日: 2007年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2738. 非平衡大気圧プラズマを用いたミドリカビ菌の殺菌処理法の開発

    井関紗千子、青松哲純、太田貴之、伊藤昌文、加納浩之、東島康裕、堀 勝

    第54回応用物理学会関係連合講演会 

     詳細を見る

    開催年月日: 2007年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2739. 高密度ラジカルソースの開発とラジカル窒化プロセスへの応用

    小田繁尚、近藤博基、原安寛、高島成剛、酒井朗、小川正毅、財満鎭明、堀 勝、田 昭治、加納浩之

    第54回応用物理学会関係連合講演会 

     詳細を見る

    開催年月日: 2007年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2740. 量産型表面波励起プラズマ装置における添加希ガス種によるSi酸化プロセスへの影響

    竹田圭吾、高島成剛、塩澤俊彦、壁 義郎、北川淳一、中西敏雄、堀 勝

    第54回応用物理学会関係連合講演会 

     詳細を見る

    開催年月日: 2007年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2741. 高速ラジカルプロセス(II) -高速ArおよびNラジカルの挙動-

    原 安寛、高島成剛、山川晃司、田 昭冶、加納浩之、豊田浩孝、菅井秀郎、堀 勝

    第54回応用物理学会関係連合講演会 

     詳細を見る

    開催年月日: 2007年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2742. 大気圧非平衡プラズマによる大面積クリーニングプロセスと気相診断(II)

    岩崎正博、伊藤昌文、屋良卓也、上原 剛、堀 勝

    第54回応用物理学会関係連合講演会 

     詳細を見る

    開催年月日: 2007年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2743. 真空紫外吸収分光法による炭素原子絶対密度算出法の確立

    佐々木元、高島成剛、堀 勝

    第54回応用物理学会関係連合講演会 

     詳細を見る

    開催年月日: 2007年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2744. VHFプラズマを用いたカーボンナノウォール作製時におけるラジカル密度計測

    加藤 慧、佐々木元、高島正剛、平松美根男、堀 勝

    第54回応用物理学会関係連合講演会 

     詳細を見る

    開催年月日: 2007年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2745. 二周波励起容量結合型プラズマエッチングプロセスにおける真空紫外光、紫外光、ラジカル、イオンによるLow-k膜へのダメージ(III)

    高島成剛、内田三郎、深沢正永、大島啓示、長畑和典、辰巳哲也、堀 勝

    第54回応用物理学会関係連合講演会 

     詳細を見る

    開催年月日: 2007年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2746. N-H系プラズマによるSiOCHダメージの抑制

    深沢正永、辰巳哲也、長畑和典、内田三郎、高島正剛、堀 勝、上出幸洋

    第54回応用物理学会関係連合講演会 

     詳細を見る

    開催年月日: 2007年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2747. 空間分布計測用原子状ラジカルモニタリングプローブの開発とアッシングプロセスへの応用

    高島成剛、高橋俊次、山川晃司、田 昭治、加納浩之、堀 勝

    第54回応用物理学会関係連合講演会 

     詳細を見る

    開催年月日: 2007年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2748. VHF容量結合型プラズマを用いたSiN膜の低温形成及び有機デバイス保護膜への応用

    李 明龍、松原丈晃、竹田圭吾、増本祐介、森 竜雄、多田重和、早川晴仁、堀 勝

    第54回応用物理学会関係連合講演会 

     詳細を見る

    開催年月日: 2007年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2749. カーボンナノウォールへのMgOコーティングとその電界電子放出特性

    大林友視、山川晃司、加納浩之、平松美根男、堀 勝

    第54回応用物理学会関係連合講演会 

     詳細を見る

    開催年月日: 2007年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2750. カーボンナノウォールの創成とFEDへの応用

    堀 勝

    日本化学会第87春季年会 

     詳細を見る

    開催年月日: 2007年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2751. 大気圧プラズマ源によるシリコン酸化膜・有機膜の超高速エッチング技術

    堀 勝

    技術情報協会主催セミナー「ドライエッチング技術の基礎と半導体デバイス製造への応用」 

     詳細を見る

    開催年月日: 2007年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2752. フレキシブルデバイス用プラズマプロセスとダメージ評価

    堀 勝

    大阪大学接合科学研究所特別講演・研究集会「ソフトマテリアル・フレキシブルデバイス技術を探る」 

     詳細を見る

    開催年月日: 2007年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2753. 低次元カーボン系チャネルエンジニアリング -窒素ガス添加によるカーボンナノウォールの電気伝導特性の制御-

    堀 勝

    特定領域研究シリコンナノエレクトロニクスの新展開―ポストスケーリングテクノロジー― 

     詳細を見る

    開催年月日: 2007年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2754. 低次元カーボン系チャネルエンジニアリング -カーボンナノウォール形成プラズマにおける原子状ラジカルの挙動-

    高島成剛、堀 勝

    特定領域研究シリコンナノエレクトロニクスの新展開―ポストスケーリングテクノロジー― 

     詳細を見る

    開催年月日: 2007年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2755. 明日の仕事に役に立つ大気圧プラズマ技術の基礎と実例

    堀 勝

    リアライズ理工センター主催セミナー 

     詳細を見る

    開催年月日: 2007年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2756. プラズマを用いた加工技術

    堀 勝

    プラズマ応用技術シンポジウム~プラズマで拓く未来~ 

     詳細を見る

    開催年月日: 2007年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2757. 微小キャビティを用いた吸収分光センサシステムの開発

    高雄 悟、河村和幸、太田貴之、伊藤昌文、東島康裕、加納浩之、西澤典彦、堀 勝

    EIS-Cluster 第2回 産学連携研究成果発表会 

     詳細を見る

    開催年月日: 2007年2月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2758. 近赤外域における光ファイバ型吸収分光センサの開発

    小西隆二郎、葉田督治、太田貴之、伊藤昌文、高島成剛、東島康裕、加納浩之、田 昭治、堀 勝

    EIS-Cluster 第2回 産学連携研究成果発表会 

     詳細を見る

    開催年月日: 2007年2月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2759. スパッタプロセス中の多元素同時モニタリング

    橘 善洋、山下 瞬、太田貴之、伊藤昌文、高島成剛、東島康裕、加納浩之、田 昭治、堀 勝

    EIS-Cluster 第2回 産学連携研究成果発表会 

     詳細を見る

    開催年月日: 2007年2月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2760. コンパクトマルチマイクロホロー光源を用いたスパッタプロセス中の多元素同時モニタリング

    橘 善洋、山下 瞬、太田貴之、伊藤昌文、高島成剛、東島康裕、加納浩之、田 昭治、堀 勝

    第24回プラズマプロセシング研究会(SPP-24) 

     詳細を見る

    開催年月日: 2007年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2761. N2/H2混合ガスプラズマを用いたLow-k膜エッチングにおけるダメージ評価

    内田三郎、高島成剛、深沢正永、大島啓示、長畑和典、辰巳哲也、堀 勝

    第24回プラズマプロセシング研究会(SPP-24) 

     詳細を見る

    開催年月日: 2007年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2762. カーボンナノウォールの創製と応用

    堀 勝、平松美根男

    第24回プラズマプロセシング研究会(SPP-24) 

     詳細を見る

    開催年月日: 2007年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2763. 高速原子源の開発と特性評価

    原安寛、高島成剛、山川晃司、田 昭治、加納浩之、菅井秀郎、堀 勝

    第24回プラズマプロセシング研究会(SPP-24) 

     詳細を見る

    開催年月日: 2007年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2764. 大気圧非平衡パルスプラズマを用いた大型液晶用ガラス基板クリーニングプロセスと気相診断

    岩崎正博、伊藤昌文、屋良卓也、上原 剛、堀 勝

    第24回プラズマプロセシング研究会(SPP-24) 

     詳細を見る

    開催年月日: 2007年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2765. マイクロ波プラズマCVD法を用いた自己組織化円錐形状を有するカーボンナノチューブ膜

    出口高啓、平松美根男、堀 勝

    第24回プラズマプロセシング研究会(SPP-24) 

     詳細を見る

    開催年月日: 2007年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2766. 非平衡大気圧プラズマCVD法を用いたカーボンナノ構造体の形成

    増田隆行、平松美根男、山川晃司、堀 勝

    第24回プラズマプロセシング研究会(SPP-24) 

     詳細を見る

    開催年月日: 2007年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2767. ラジカル注入型プラズマCVD法を用いたカーボンナノウォールにおける初期成長過程の解析

    近藤真悟、河合信次、山川晃司、加納浩之、平松美根男、堀 勝

    第24回プラズマプロセシング研究会(SPP-24) 

     詳細を見る

    開催年月日: 2007年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2768. 電子ビーム励起プラズマCVD法を用いたカーボンナノウォールの形成

    森 貴照、平松美根男、山川晃司、竹田圭吾、堀 勝

    第24回プラズマプロセシング研究会(SPP-24) 

     詳細を見る

    開催年月日: 2007年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2769. 様々な基板上でのカーボンナノウォールの作製

    丸山茂敏、山川晃司、加納浩之、平松美根男、堀 勝

    第24回プラズマプロセシング研究会(SPP-24) 

     詳細を見る

    開催年月日: 2007年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2770. カーボンナノウォール作製用ラジカル注入型フルオロカーボン/水素VHFプラズマ中のラジカル計測

    加藤 慧、佐々木元、高島成剛、山川晃司、平松美根男、堀 勝

    第24回プラズマプロセシング研究会(SPP-24) 

     詳細を見る

    開催年月日: 2007年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2771. カーボンナノウォールからの電解電子放出における水素プラズマ処理効果

    大林友視、山川晃司、加納浩之、平松美根男、堀 勝

    第24回プラズマプロセシング研究会(SPP-24) 

     詳細を見る

    開催年月日: 2007年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2772. 電解電子放出特性におけるカーボンナノウォールへの高分散白金微粒子担持効果

    町野琢磨、中村匡利、山川晃司、平松美根男、堀 勝

    第24回プラズマプロセシング研究会(SPP-24) 

     詳細を見る

    開催年月日: 2007年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2773. 真空紫外吸収分光法を用いた炭素原子絶対密度算出法の確立

    佐々木元、高島成剛、堀 勝

    第24回プラズマプロセシング研究会(SPP-24) 

     詳細を見る

    開催年月日: 2007年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2774. Si酸化プロセス用量産型希ガス添加酸素表面波プラズマの気相診断

    堀 勝、竹田圭吾、高島成剛、塩澤俊彦、壁 義郎、北川淳一、中西俊雄

    第24回プラズマプロセシング研究会(SPP-24) 

     詳細を見る

    開催年月日: 2007年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2775. パルス変調UHFプラズマを用いたシリコンナノ微粒子の室温堆積

    高橋栄治、伊藤 優、知京豊裕、堀 勝

    第24回プラズマプロセシング研究会(SPP-24) 

     詳細を見る

    開催年月日: 2007年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2776. VHF SiH4/NH3プラズマを用いた有機EL用パッシベーション膜の低温形成とHe添加によるバリア性の向上

    李 明龍、松原丈晃、今枝弘幸、竹田圭吾、増元祐介、森 竜雄、多田重和、堀 勝

    第24回プラズマプロセシング研究会(SPP-24) 

     詳細を見る

    開催年月日: 2007年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2777. コンパクトプローブの開発とH,N,O及びCの計測

    高橋俊次、高島成剛、賈 学英、山川晃司、田 昭治、加納浩之、堀 勝

    第24回プラズマプロセシング研究会(SPP-24) 

     詳細を見る

    開催年月日: 2007年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2778. The silicon mold fabrication of a kind of micro-optical resonator and coupler 国際会議

    SPIE Photonics West 2007 

     詳細を見る

    開催年月日: 2007年1月

    記述言語:英語   会議種別:口頭発表(一般)  

  2779. 大学から見た知的クラスターとは

    堀 勝

    クラスター・フォーラム2007パネルディスカッション 

     詳細を見る

    開催年月日: 2007年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2780. 先端プラズマ計測技術が拓くナノプロセス

    堀 勝

    日立国際電気富山工場 

     詳細を見る

    開催年月日: 2007年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2781. Fabrication of Carbon Nanowalls by Radical Controlled Plasma Process 国際会議

    M. Hiramatsu and M. Hori

    International Conference on Experimental Condensed Matter Physics (Advanced Nano Materials 2007) 

     詳細を見る

    開催年月日: 2007年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2782. Aligned growth of single-walled carbon nanotube films by the control of catalyst preparation 国際会議

    International Conference on Experimental Condensed Matter Physics (Advanced Nano Materials 2007) 

     詳細を見る

    開催年月日: 2007年1月

    記述言語:英語   会議種別:口頭発表(一般)  

  2783. 先端プラズマモニタリング技術とスマートナノプロセス

    堀 勝

    ソニーセミコンダクタ九州株式会社長崎テクノロジーセンター 

     詳細を見る

    開催年月日: 2006年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2784. 有機low-kエッチングの表面反応制御

    深沢正永、辰巳哲也、大島啓示、清信吉広、鸙野信介、長畑和典、篠原啓二、上出幸洋、内田三郎、高島成剛、堀 勝

    応用物理学会シリコンテクノロジー分科会第89回研究集会 

     詳細を見る

    開催年月日: 2006年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2785. Si低温酸化のための酸素―希ガス混合表面波プラズマ中のO(1D2)、O(3P)原子の定量計測

    堀 勝、竹田圭吾、高島成剛、塩澤俊彦、壁義郎、北川淳一、中西敏雄

    応用物理学会シリコンテクノロジー分科会第89回研究集会 

     詳細を見る

    開催年月日: 2006年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2786. 高性能有機EL・ナノデバイス用封止膜のプラズマダメージフリー形成

    竹田圭吾、松原丈晃、李 明龍、増元祐介、森 竜雄、堀 勝

    21世紀COEプログラム「プラズマが拓くナノ情報デバイス」最終成果報告シンポジウム 

     詳細を見る

    開催年月日: 2006年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2787. 大気圧マイクロプラズマを用いたSiスライスエッチング

    出野琢也、乾 裕俊、堀 勝

    21世紀COEプログラム「プラズマが拓くナノ情報デバイス」最終成果報告シンポジウム 

     詳細を見る

    開催年月日: 2006年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2788. プラズマによる高精度ナノ加工プロセス技術の開発

    堀 勝

    21世紀COEプログラム「プラズマが拓くナノ情報デバイス」最終成果報告シンポジウム 

     詳細を見る

    開催年月日: 2006年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2789. ラジカル注入プラズマCVD法を用いたカーボンナノウォールの作製と形状制御

    竹内和歌奈、山川晃司、加納浩之、平松美根男、堀 勝

    21世紀COEプログラム「プラズマが拓くナノ情報デバイス」最終成果報告シンポジウム 

     詳細を見る

    開催年月日: 2006年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2790. 次世代Low-k膜のエッチング基礎反応過程の解明

    内田三郎、高島成剛、堀 勝

    21世紀COEプログラム「プラズマが拓くナノ情報デバイス」最終成果報告シンポジウム 

     詳細を見る

    開催年月日: 2006年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2791. 高速原子ビーム源の開発と基本特性の研究

    原 安寛、堀 勝

    21世紀COEプログラム「プラズマが拓くナノ情報デバイス」最終成果報告シンポジウム 

     詳細を見る

    開催年月日: 2006年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2792. 先進プラズマプロセス技術の研究開発

    堀 勝

    テクノ・シンポジウム名大「プラズマナノ工学研究センター」設立シンポジウム 

     詳細を見る

    開催年月日: 2006年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2793. カーボンナノウォールへの高分散白金担持とそのフィールドエミッション特性変化

    町野琢磨、堀 勝、中村匡利、平松美根男、山川晃司

    第17回日本MRS学術シンポジウム 

     詳細を見る

    開催年月日: 2006年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2794. 新型中性ビーム源の開発

    原安寛、高島成剛、堀 勝、菅井秀朗、山川晃司、田 昭治、加納浩之

    第17回日本MRS学術シンポジウム 

     詳細を見る

    開催年月日: 2006年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2795. パルス変調UHFプラズマを用いたシリコンナノ微粒子の室温高速合成

    高橋栄治、伊藤 優、知京豊裕、堀 勝

    第17回日本MRS学術シンポジウム 

     詳細を見る

    開催年月日: 2006年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2796. VHF容量結合型を用いたSiN膜の低温形成および有機デバイスへの応用

    李明龍、松原丈晃、今枝浩之、竹田圭吾、増元祐介、森竜雄、多田重和、早川晴仁、堀 勝

    第17回日本MRS学術シンポジウム 

     詳細を見る

    開催年月日: 2006年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2797. 電子ビーム励起プラズマCVD法を用いたカーボンナノウォールの作製

    森貴照、平松美根男、山川晃司、竹田圭吾、堀 勝

    第17回日本MRS学術シンポジウム 

     詳細を見る

    開催年月日: 2006年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2798. 非平衡大気圧プラズマCVD法を用いたカーボンナノチューブとカーボンナノウォールの形成

    増田隆行、木村美幸、平松美根男、堀 勝

    第17回日本MRS学術シンポジウム 

     詳細を見る

    開催年月日: 2006年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2799. 種々の基板に対するカーボンナノウォールの生成と形状制御

    丸山茂敏、大林友視、近藤真悟、堀 勝、山川晃司、加納浩之、平松美根男

    第17回日本MRS学術シンポジウム 

     詳細を見る

    開催年月日: 2006年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2800. 代替フルオロカーボンガスを用いたポーラスlow-k膜エッチングプロセス

    林孝行、佐々木元、柴田英美、堀 勝、岡本秀一

    第17回日本MRS学術シンポジウム 

     詳細を見る

    開催年月日: 2006年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2801. 電界電子放出特性におけるカーボンナノウォールの高さと間隔の影響

    大林友視、堀 勝、山川晃司、加納浩之、平松美根男

    第17回日本MRS学術シンポジウム 

     詳細を見る

    開催年月日: 2006年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2802. 低誘電率膜の光、ラジカル、イオンによるプラズマダメージの究明

    内田三郎、高島成剛、深沢正永、大島啓示、安藤厚博、長畑和典、辰巳哲也、堀 勝

    第17回日本MRS学術シンポジウム 

     詳細を見る

    開催年月日: 2006年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2803. 半導体製造現場でのラジカル計測の可能性と限界

    堀 勝

    「先端プラズマプロセス」講演会 

     詳細を見る

    開催年月日: 2006年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2804. Fast Deposition of Si Nona-Cluster Using a Remote Plasma CVD 国際会議

    4th International Workshop on Advanced Plasma Processing and Diagnostics & Thin Film Technology for Electronic Materials 

     詳細を見る

    開催年月日: 2006年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2805. Measurement of Ground and Metastable State Oxygen Species for Si Oxidation Process 国際会議

    4th International Workshop on Advanced Plasma Processing and Diagnostics & Thin Film Technology for Electronic Materials 

     詳細を見る

    開催年月日: 2006年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2806. Simultaneous Measurement of Absolute Densities of Metal Atoms in Magnetron Sputtering Employing Micro-Hollow-Cathode-Light Array 国際会議

    4th International Workshop on Advanced Plasma Processing and Diagnostics & Thin Film Technology for Electronic Materials 

     詳細を見る

    開催年月日: 2006年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2807. Formation of Carbon Nano-wall Employing Radical Infected Plasma CVD 国際会議

    4th International Workshop on Advanced Plasma Processing and Diagnostics & Thin Film Technology for Electronic Materials 

     詳細を見る

    開催年月日: 2006年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2808. High Rate Deposition of Silicon Nanoparticles Using Pulse Modulated UHF SiH4/H2 Plasma 国際会議

    6th International Symposium on Dry Process (DPS2006) 

     詳細を見る

    開催年月日: 2006年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2809. Ubiquitous Monitoring Probe for Atomic Radicals in Process Plasmas 国際会議

    6th International Symposium on Dry Process (DPS2006) 

     詳細を見る

    開催年月日: 2006年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2810. Surface Reactions during Low-k Etching Using N-H Plasma 国際会議

    6th International Symposium on Dry Process (DPS2006) 

     詳細を見る

    開催年月日: 2006年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2811. Field Emission Properties of Carbon Nanowalls Decorated with Dispersed Platinum Nanoparticles 国際会議

    6th International Symposium on Dry Process (DPS2006) 

     詳細を見る

    開催年月日: 2006年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2812. O(1D2) Atoms Detection in O2 and Rare Gas Mixture Surface Wave Plasmas for 200mm Si Wafer Oxidation Process on Production Level 国際会議

    6th International Symposium on Dry Process (DPS2006) 

     詳細を見る

    開催年月日: 2006年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2813. Carbon Nanotube and Nanowall Formations Employing Non-equilibrium Atmospheric Pressure Plasma CVD 国際会議

    6th International Symposium on Dry Process (DPS2006) 

     詳細を見る

    開催年月日: 2006年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2814. Development of Measurement Technique of Absolute Carbon Atom Densities in Reactive Plasma Processes Using Vacuum Ultraviolet Absorption Spectroscopy 国際会議

    6th International Symposium on Dry Process (DPS2006) 

     詳細を見る

    開催年月日: 2006年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2815. Low Temperature Formation of SiN Films Using VHF Capacitively Coupled Plasma and Application to Passivation Films for Organic Devices 国際会議

    6th International Symposium on Dry Process (DPS2006) 

     詳細を見る

    開催年月日: 2006年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2816. 第2回Sゼミ

    堀 勝

     詳細を見る

    開催年月日: 2006年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2817. カーボンナノウォールの合成と応用

    堀 勝、平松美根男

    プラズマ核融合学会第23回年会学術シンポジウム 

     詳細を見る

    開催年月日: 2006年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2818. 大気圧プラズマを用いた殺菌に関する研究

    青松哲純、井関紗千子、太田貴之、伊藤昌文、加納浩之、堀 勝

    平成18年電気関係学会関西支部連合大会 

     詳細を見る

    開催年月日: 2006年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2819. 明日の仕事に役に立つプラズマエッチングの基礎と実例―半導体プロセスを中心としてー

    堀 勝

    リアライズ理工センター主催セミナー 

     詳細を見る

    開催年月日: 2006年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2820. プラズマCVDを用いたカーボンナノウォールの成長

    堀 勝

    第22回九州・山口プラズマ研究会 

     詳細を見る

    開催年月日: 2006年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2821. Systematically Evaluation of Etching Damage of Light, Radicals and Ions on Low-k Porous SiOCH Films 国際会議

    AVS 53rd International Symposium 

     詳細を見る

    開催年月日: 2006年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  2822. Development of Multi-Micro Cathode Lamp with Metallic-Element-Emission Array 国際会議

    AVS 53rd International Symposium 

     詳細を見る

    開催年月日: 2006年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  2823. 大学発「もの創り」によるエレクトロニクスの革新

    堀 勝

    愛知県立西尾高等学校 

     詳細を見る

    開催年月日: 2006年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2824. プラズマによるカーボンナノウォールの創成と応用

    堀 勝

    第33回アモルファスセミナー 

     詳細を見る

    開催年月日: 2006年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2825. パルス変調UHF SiH4/H2 プラズマを用いたナノ結晶シリコンの室温合成

    高橋栄治、伊藤 優、堀 勝、知京豊裕

    第33回アモルファスセミナー 

     詳細を見る

    開催年月日: 2006年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2826. Nanofabrication Using Carbon Nanowalls and Challenge for New Functional Devices 国際会議

    2006 International Microprocesses and Nanotechnology Conference (MNC 2006) 

     詳細を見る

    開催年月日: 2006年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2827. Initial Growth Process of Carbon Nanowalls Using Radical Injection Plasma Enhanced CVD 国際会議

    2006 International Microprocesses and Nanotechnology Conference (MNC 2006) 

     詳細を見る

    開催年月日: 2006年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2828. プラズマCVDによるカーボンナノ構造体の形

    平松美根男、堀 勝

    第7回カーボンナノチューブを利用した複合材の開発研究会 

     詳細を見る

    開催年月日: 2006年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2829. Diagnostics of Non-equilibrium Atmospheric-Pressure Pulsed Remote Plasma for SiO2 Etching 国際会議

    6th Korea-Japan Symposium on Plasma and Thin Film Technology, 

     詳細を見る

    開催年月日: 2006年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2830. Development and Characteristics of Fast Radical Source 国際会議

    6th Korea-Japan Symposium on Plasma and Thin Film Technology 

     詳細を見る

    開催年月日: 2006年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2831. Damages due to Light, Radicals and Ions on Low-k Porous SiOCH Films in Plasma Etching Processes 国際会議

    6th Korea-Japan Symposium on Plasma and Thin Film Technology, OA-20 

     詳細を見る

    開催年月日: 2006年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  2832. プラズマプロセスを用いた微細加工技術

    堀 勝

    光ナノサイエンス特別講演 

     詳細を見る

    開催年月日: 2006年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2833. プラズマCVDによるカーボンナノ構造体の合成

    平松美根男、堀 勝

    (社)表面技術協会第14回『ナノテク部会』研究会 

     詳細を見る

    開催年月日: 2006年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2834. ユビキタスラジカルモニター

    高島成剛、加納浩之、山田康裕、田 昭二、前田知宏、堀 勝

    第17回プラズマエレクトロニクス講習会 

     詳細を見る

    開催年月日: 2006年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2835. ナノテクノロジーを使った新しいモノづくり

    堀 勝

    平成18年度名古屋大学公開講座 

     詳細を見る

    開催年月日: 2006年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2836. プラズマ微細加工の最近の進展

    堀 勝

    日本学術振興会薄膜第131委員会第232回研究会 

     詳細を見る

    開催年月日: 2006年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2837. 大気圧プラズマ研究の動向

    堀 勝

    積水化学工業第10回共同研究合同検討会 

     詳細を見る

    開催年月日: 2006年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2838. Fabrication Carbon Nanowalls and Carbon Nanotubes Using Plasma Processing 国際会議

    Plasma Seminar 

     詳細を見る

    開催年月日: 2006年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  2839. Electrical Characterization of Carbon Nanowalls 国際会議

    International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2006年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2840. Area-selective Growth of Dense, Aligned Single-walled Carbon Nanotube Film Using Microwave Plasma-enhanced Chemical Vapor Deposition 国際会議

    10th International Conference on Plasma Surface Engineering (PSE2006) 

     詳細を見る

    開催年月日: 2006年9月

    記述言語:英語   会議種別:口頭発表(一般)  

  2841. Fabrication of Dense, Aligned Single-Walled Carbon Nanotube Film using Microwave Plasma-Enhanced Chemical Vapor Deposition 国際会議

    10th International Conference on Plasma Surface Engineering (PSE2006) 

     詳細を見る

    開催年月日: 2006年9月

    記述言語:英語   会議種別:口頭発表(一般)  

  2842. Fabrication of Carbon Nanowalls Using Novel Plasma Processing 国際会議

    10th International Conference on Plasma Surface Engineering (PSE2006) 

     詳細を見る

    開催年月日: 2006年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  2843. Area-selective Growth of Aligned Single-walled Carbon Nanotube Films Using Microwave Plasma-enhanced CVD 国際会議

    17th European Conference on Diamond 

     詳細を見る

    開催年月日: 2006年9月

    記述言語:英語   会議種別:口頭発表(一般)  

  2844. VHF SiH4/NH3 プラズマを用いた窒化シリコン膜の低温形成

    松原丈晃、李 明龍、今枝弘幸、竹田圭吾、増元祐介、森 竜雄、多田重和、早川晴仁、堀 勝

    2006年秋季第67回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2006年8月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2845. 大気圧非平衡プラズマによる大面積クリーニングプロセスと気相診断

    岩崎正博、伊藤昌文、屋良卓也、上原 剛、堀 勝

    2006年秋季第67回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2006年8月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2846. 量産型Ar/O2表面波プラズマ装置を用いたSi酸化プロセスのレーザ気相診断

    竹田圭吾、高島成剛、堀 勝、塩澤俊彦、壁 義郎、北川淳一、中西敏雄

    2006年秋季第67回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2006年8月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2847. ラジカル注入プラズマCVD法を用いたカーボンナノウォールの初期構造の解明

    近藤真悟、山川晃司、河合信次、田 昭治、加納浩之、平松美根男、堀 勝

    2006年秋季第67回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2006年8月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2848. 種々の基板に対するカーボンナノウォールの形成とその形状制御

    丸山茂敏、大林友視、近藤真悟、山川晃司、河合信次、加納浩之、平松美根男、堀 勝

    2006年秋季第67回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2006年8月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2849. カーボンナノウォールの表面構造評価

    河合信次、近藤真悟、加納浩之、平松美根男、堀 勝

    2006年秋季第67回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2006年8月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2850. 光、ラジカル、イオンによるLow-k膜ダメージ(II)

    高島成剛、内田三郎、大島啓示、長畑和典、辰巳哲也、堀 勝

    2006年秋季第67回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2006年8月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2851. 代替フルオロカーボンガスを用いたlow-k膜エッチングプロセス(Ⅲ)

    林 孝行、佐々木元、岡本秀一、堀 勝

    2006年秋季第67回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2006年8月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2852. マイクロ波プラズマCVD法を用いた単層カーボンナノチューブ膜の作製

    出口高啓、平松美根男、堀 勝

    2006年秋季第67回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2006年8月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2853. 高速ラジカルプロセス(Ⅰ) -高速ラジカル源の開発-

    原 安寛、高島成剛、山川晃司、田 昭冶、加納浩之、堀 勝、菅井秀郎

    2006年秋季第67回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2006年8月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2854. uJ・psファイバレーザーを用いた超広帯域SC光の生成

    西澤典彦、堀 勝

    2006年秋季第67回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2006年8月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2855. マイクロアーク光の発生(III)

    伊藤治彦、加納浩之、堀 勝

    2006年秋季第67回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2006年8月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2856. パルス変調 UHF SiH4/H2 プラズマを用いたナノシリコン微粒子の室温高速合成(Ⅴ)

    伊藤 優、高橋栄治、知京豊裕、堀 勝

    2006年秋季第67回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2006年8月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2857. カーボンナノウォールにおける電界電子放出特性(II)

    大林友視、山川晃司、加納浩之、平松美根男、堀 勝

    2006年秋季第67回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2006年8月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2858. まとめーモニタリングが開くプラズマプロセス科学とナノ製造世界拠点を目指してー

    堀 勝

    2006年秋季第67回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2006年8月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2859. カーボンナノウォール技術とグラフェンシートデバイスの創成

    堀 勝、平松美根男

    TEL Advanced Technology Forum 2006 

     詳細を見る

    開催年月日: 2006年8月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2860. Sゼミ「非バインダー系成膜技術」

    堀 勝

     詳細を見る

    開催年月日: 2006年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2861. Morphology Control in the Growth Process of Carbon Nanowalls Using Radical Injection Plasma Enhanced CVD 国際会議

    The 8th Asia-Pacific Conference on Plasma Science and Technology (APCPST) 

     詳細を見る

    開催年月日: 2006年7月

    記述言語:英語   会議種別:口頭発表(一般)  

  2862. Development of Multi-Micro Hollow Cathode Lamp for Metallic Element-Quantitative Analysis 国際会議

    The 8th Asia-Pacific Conference on Plasma Science and Technology (APCPST) 

     詳細を見る

    開催年月日: 2006年7月

    記述言語:英語   会議種別:口頭発表(一般)  

  2863. 最先端プラズマナノプロセス

    堀 勝

    「先端プラズマプロセス」講演会 

     詳細を見る

    開催年月日: 2006年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2864. Infrared Diode Laser Absorption Spectroscopy for Measurement of Fluorocarbon Radicals in 60MHz Capacitively Coupled Etching Plasma Process 国際会議

    1st International Workshop on Infrared Plasma Spectroscopy (IPS 2006) 

     詳細を見る

    開催年月日: 2006年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  2865. Radical Controlled Plasma Nano Processes 国際会議

    Seminar on Plasma Diagnostics and Material Plasma Processicng 

     詳細を見る

    開催年月日: 2006年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  2866. Carbon Nanowalls Formation by Radical-Controlled Plasma Process 国際会議

    International Conferences on Modern Materials & Technologies (CIMTEC 2006) 

     詳細を見る

    開催年月日: 2006年6月

    記述言語:英語   会議種別:口頭発表(一般)  

  2867. ラジカル制御プラズマプロセス

    堀 勝

    第18回アルバックシンポジウム「ラジカル源とその応用」 

     詳細を見る

    開催年月日: 2006年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2868. プラズマ・教育

    堀 勝

    先端技術講演会 

     詳細を見る

    開催年月日: 2006年5月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2869. 高密度プラズマを用いた高速CVD技術

    堀 勝

    応用電子物性分科会研究例会「先端半導体デバイス製造技術を押し上げる最近の低温薄膜形成技術」 

     詳細を見る

    開催年月日: 2006年5月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2870. Measurement of 3D Distribution of CF2 Radical in Non-equilibrium Atmospheric Pressure Pulsed Micro-gap Plasma 国際会議

    3rd International Workshop on Microplasmas 

     詳細を見る

    開催年月日: 2006年5月

    記述言語:英語   会議種別:口頭発表(一般)  

  2871. Development of Neutral Beam Source and Its Characteristic of Charge Exchange 国際会議

    Japanese-German Student Workshop on Plasma Science and Technology 

     詳細を見る

    開催年月日: 2006年5月

    記述言語:英語   会議種別:口頭発表(一般)  

  2872. Diagnostics of Particles in O2/Kr Surface Wave Excited Plasma 国際会議

    Japanese-German Student Workshop on Plasma Science and Technology 

     詳細を見る

    開催年月日: 2006年5月

    記述言語:英語   会議種別:口頭発表(一般)  

  2873. Development of Neutral Beam Source and Its Characteristic of Charge Exchange 国際会議

    2nd International COE Forum on Plasma Science and Technology 

     詳細を見る

    開催年月日: 2006年5月

    記述言語:英語   会議種別:口頭発表(一般)  

  2874. Diagnostics of Particles in O2/Kr Surface Wave Excited Plasma 国際会議

    2nd International COE Forum on Plasma Science and Technology 

     詳細を見る

    開催年月日: 2006年5月

    記述言語:英語   会議種別:口頭発表(一般)  

  2875. Synthesis of Carbon Nanowall Employing Radical Controlled Plasma Enhanced Chemical Vapor Deposition 国際会議

    2nd International COE Forum on Plasma Science and Technology 

     詳細を見る

    開催年月日: 2006年5月

    記述言語:英語   会議種別:口頭発表(一般)  

  2876. プラズマが拓くナノテクノロジーと安心安全・健康長寿の世界

    堀 勝

    第3回科学知総合研究所(SKIL)フォーラム 

     詳細を見る

    開催年月日: 2006年4月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2877. Measurement of CF and CF2 Radicals in Non-equilibrium Atmospheric Pressure Pulsed C4F8/Ar Plasma 国際会議

    The 3rd International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2006年4月

    記述言語:英語   会議種別:口頭発表(一般)  

  2878. GaN Etching Employing ICP Plasma and Evaluation of Electrical Damages 国際会議

    The 3rd International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2006年4月

    記述言語:英語   会議種別:口頭発表(一般)  

  2879. Diagnostics and Control of Radicals in ULSI Plasma Processing 国際会議

    The 3rd International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2006年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  2880. Formation of Carbon Nanostructures Employing Plasma Enhanced CVD 国際会議

    The 3rd International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2006年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  2881. Compact Radical Monitoring System for Plasma Nano Processing 国際会議

    The 3rd International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2006年4月

    記述言語:英語   会議種別:口頭発表(一般)  

  2882. Study of Initial Growth Stages in the Carbon Nanowall Formation Employing Ellipsometry 国際会議

    The 3rd International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2006年4月

    記述言語:英語   会議種別:口頭発表(一般)  

  2883. Electrical Characteristics in Carbon Nanowall Devices 国際会議

    The 3rd International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2006年4月

    記述言語:英語   会議種別:口頭発表(一般)  

  2884. Single-Walled Carbon Nanotube Formation with Ultrahigh Growth Rate 国際会議

    The 3rd International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2006年4月

    記述言語:英語   会議種別:口頭発表(一般)  

  2885. Carbon Nanotube and Nanowall Formations Employing Nonequilibrium Atmospheric Pressure Plasma CVD 国際会議

    The 3rd International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2006年4月

    記述言語:英語   会議種別:口頭発表(一般)  

  2886. Formation of Carbon Nanaowalls Employing Radical Infected Plasma CVD and Its Diagnostics 国際会議

    The 3rd International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2006年4月

    記述言語:英語   会議種別:口頭発表(一般)  

  2887. Micro-nano Fabrications Employing Electron Beam Excited Plasma and their Diagnostics 国際会議

    The 3rd International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2006年4月

    記述言語:英語   会議種別:口頭発表(一般)  

  2888. Radical Temperature Measurement Using Laser Absorption Spectroscopy in VHF SiH4 Plasma 国際会議

    The 3rd International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2006年4月

    記述言語:英語   会議種別:口頭発表(一般)  

  2889. Laser Diagnostics of Ground and Excited States of Oxygen Atoms in 300 mmφWafer Surface Wave Plasma Processing 国際会議

    The 3rd International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2006年4月

    記述言語:英語   会議種別:口頭発表(一般)  

  2890. Study of the Fundamental Etching Reactions of Organic Low-k Films 国際会議

    The 3rd International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2006年4月

    記述言語:英語   会議種別:口頭発表(一般)  

  2891. カーボンナノウォールの合成とデバイス応用

    堀 勝

    大阪大学接合科学研究所共同研究集会「次世代ディスプレイ技術を探る(仮題)」 

     詳細を見る

    開催年月日: 2006年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2892. 大気圧フロン系ガスプラズマによるSiO2膜エッチングと気相診断(VII)

    岩崎正博、伊藤昌文、上原 剛、堀  勝

    第53回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2006年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2893. スマートプラズマナノプロセス

    堀  勝

    第53回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2006年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2894. 真空紫外レーザシステムを用いた新規マイクロホローカソード光源の評価

    竹田圭吾、久保田良規、高島成剛、堀  勝

    第53回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2006年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2895. VHF容量結合型プラズマを用いたSiN膜低温形成による有機EL素子の長寿命化(II)

    松原丈晃、竹田圭吾、伊藤貴昭、森 竜雄、多田重和、早川晴仁、堀  勝

    第53回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2006年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2896. ホローカソード型プラズマ源の開発

    原 安寛、海老原 勝、加納浩之、田 昭冶、堀  勝

    第53回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2006年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2897. マルチマイクロホローカソード光源の開発

    種田諭志、太田貴之、伊藤昌文、高島成剛、加納浩之、田 昭治、堀  勝

    第53回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2006年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2898. プラズマサイエンスブレークスルーによる究極のエッチング技術

    堀  勝

    第53回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2006年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2899. N2/H2プラズマによる有機Low-k膜加工における形状制御

    清信吉広、大島啓示、長畑和典、辰巳哲也、上出幸洋、内田三郎、高島成剛、堀  勝

    第53回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2006年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2900. ラジカル注入型イオンビームを用いた有機Low-k膜のエッチング基礎反応過程(III)

    内田三郎、高島成剛、大島啓示、長畑和典、安藤厚博、辰巳哲也、堀  勝

    第53回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2006年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2901. ラジカル・イオン密度制御によるダメージフリー対Low-k(SiOCH)レジストアッシング

    堀  勝、杉浦幹在、竹田圭吾、田原 慈、久保田和宏

    第53回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2006年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2902. 代替フルオロカーボンガスを用いたlow-k膜エッチングプロセス(II)

    林 孝行、永井幹雄、早川雪絵、岡本秀一、堀  勝

    第53回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2006年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2903. カーボンナノウォールの電界電子放出特性の水素プラズマ処理効果

    大林友視、山川晃司、加納浩之、平松美根男、堀  勝

    第53回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2006年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2904. UHF SiH4/H2 プラズマを用いた微結晶シリコン薄膜の形成とその気相診断

    今枝弘幸、堀 勝、知京豊裕

    第53回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2006年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2905. パルス変調 UHF SiH4/H2プラズマを用いたナノシリコン微粒子の室温高速合成(IV)

    伊藤 優、高畑正史、知京豊裕、堀  勝

    第53回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2006年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2906. 光、ラジカル、イオンによるLow-k膜ダメージ

    高島成剛、内田三郎、大島啓示、長畑和典、辰巳哲也、堀  勝

    第53回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2006年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2907. Densities and Temperatures of Fluorocarbon Species in Nano/Micro Plasma Etching Processing 国際会議

    6th International Workshop on Fluorocarbon Plasmas 

     詳細を見る

    開催年月日: 2006年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2908. Growth of Carbon Nanowalls using Flurocarbon Plasma-Enhanced Chemical Vapor Deposition 国際会議

    6th International Workshop on Flurocarbon Plasmas 

     詳細を見る

    開催年月日: 2006年3月

    記述言語:英語   会議種別:口頭発表(一般)  

  2909. 半導体プロセスにおけるエッチング技術

    堀 勝

    リアライズ理工センター/サイペック㈱主催セミナー 

     詳細を見る

    開催年月日: 2006年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2910. ラジカルモニター内蔵自律型ナノ製造装置の開発

    堀 勝

    「東海地域クラスターフォーラム」における成果発表会 

     詳細を見る

    開催年月日: 2006年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2911. Synthesis of Vertically Aligned Carbon Nanowalls using Plasma Enhanced Chemical Vapor Deposition with Radical Injection 国際会議

    4th International Symposium on Nanotechnology 

     詳細を見る

    開催年月日: 2006年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2912. Insight on Sticking of Radicals on Surfaces for Smart Plasma Nona-Processing 国際会議

    4th International Workshop on Basic Aspects of Nonequilibrium Plasmas Interacting with Surfaces;-Negative Ions, Their Function & Designability- & 4th EU-Japan Joint Symposium on Plasma Processes 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2913. Radical Controlled Plasma Nano-Processing 国際会議

    2nd Italy-Japan International Seminar on Advanced Plasma Processing 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2914. Diagnostics of Ground State and Excited O Atoms in O2 and Kr Mixture Plasma with Vacuum Ultraviolet Laser Absorption Spectroscopy 国際会議

    6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2915. 超小型ラジカルモニタリング計測装置の開発と反応性プラズマ中の原子状ラジカル計測への応用

    高島 成剛、堀 勝

    表面技術協会「ナノテク部会」第11回研究会 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2916. Synthesis of Aligned Multi-Walled Carbon Nanotubes Using Microwave Excited Non-Equilibrium Atmospheric Pressure Plasma-Enhance CVD 国際会議

    6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2917. Fabrication of Dense, Aligned Single-Walled Carbon Nanotube Film Using Microwave Plasma-Enhanced Chemical Vapor Deposition 国際会議

    6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2918. Low Temperature Formation of SiN Film on Organic Light Emitting Diodes Using Very High Frequency-Capacitively Coupled Plasma 国際会議

    6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2919. Measurement of Spatial Distribution of CF2 Radical in Non-Equilibrium Atmospheric Pressure-Pulsed Plasma by Laser-Induced Fluorescence Spectroscopy 国際会議

    6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2920. カーボンナノウォールの形成・評価とデバイス応用

    堀 勝

    高度技術セミナー「機能性ナノ構造体の形成と評価 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2921. Low Temperature Growth of Carbon Nanowalls on Glass Substrate 国際会議

    6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2922. Fundamental Study of Low-k Film Etching Employing Ion Beams with Radical Infection 国際会議

    6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2923. Low-k Film Etching Process Employing a New Environmental-Friendship Fluorocarbon Gas 国際会議

    6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2924. SiO2 Etching Using Compact Type Electron-Beam-Excited Plasma 国際会議

    6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2925. The Growth of Carbon Nanowalls and Their Electric Properties 国際会議

    6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2926. Highly Reliable Growth Process of Carbon Nanowalls Using Radical Injection Plasma CVD 国際会議

    6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2927. Study on Surface Reaction Kinetics during Diamond Growth and Nucleation in Low-Pressure Plasmas 国際会議

    6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2928. ラジカル制御プラズマナノプロセス

    堀 勝

    大阪大学接合科学研究所特別講演会 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2929. *

    堀 勝

    半導体MIRAIプロジェクト講演会 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2930. SiO2エッチングにおける大気圧非平衡パルスプラズマ中の気相計測

    岩崎正博、伊藤昌文、上原剛、堀勝

    「21世紀COEプログラムPlasma-Nanoシンポジウム」最先端もの創り研究と拠点形成---プラズマナノ工学研究センターの設立に向けて--- 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2931. 表面波励起酸素プラズマにおけるKr希釈効果に関する研究

    竹田 圭吾、 久保田 良規、 高島 成剛、 松見 豊、 堀 勝

    「21世紀COEプログラムPlasma-Nanoシンポジウム」最先端もの創り研究と拠点形成---プラズマナノ工学研究センターの設立に向けて--- 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2932. VHF容量結合型プラズマによる有機EL用保護膜の低温形成

    松原 丈晃、竹田 圭吾、伊藤 貴昭、森 竜雄、多田 重和、早川 晴仁、堀 勝

    「21世紀COEプログラムPlasma-Nanoシンポジウム」最先端もの創り研究と拠点形成---プラズマナノ工学研究センターの設立に向けて--- 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2933. 新規代替フルオロカーボンガスによるlow-k膜エッチングプロセス

    林 孝行、永井 幹雄、早川 雪絵、岡本 秀一、堀 勝

    「21世紀COEプログラムPlasma-Nanoシンポジウム」最先端もの創り研究と拠点形成---プラズマナノ工学研究センターの設立に向けて--- 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2934. ラジカル注入型イオンビームを用いた有機low-k膜エッチング特性の評価

    内田 三郎、高島 成剛、大島 啓示、安藤 厚博、長畑 和典、辰巳 哲也、堀 勝

    「21世紀COEプログラムPlasma-Nanoシンポジウム」最先端もの創り研究と拠点形成---プラズマナノ工学研究センターの設立に向けて--- 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2935. カーボンナノウォールの平面方向における電気的特性

    浦 雅登、中村 和歌奈、徳田 豊、平松 美根男、加納 浩之、堀 勝

    「21世紀COEプログラムPlasma-Nanoシンポジウム」最先端もの創り研究と拠点形成---プラズマナノ工学研究センターの設立に向けて--- 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2936. 小型大気圧非平衡プラズマ源の開発と表面改質への応用

    吉田 直史、加納浩 之、堀 勝

    「21世紀COEプログラムPlasma-Nanoシンポジウム」最先端もの創り研究と拠点形成---プラズマナノ工学研究センターの設立に向けて--- 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2937. 原子状ラジカルセンサー用光源のモニタリング手法の確立

    高島 成剛、加納 浩之、堀 勝

    「21世紀COEプログラムPlasma-Nanoシンポジウム」最先端もの創り研究と拠点形成---プラズマナノ工学研究センターの設立に向けて--- 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2938. パルス変調UHF SiH4/H2プラズマによるシリコンナノ粒子の室温合成

    伊藤 優、高畑 正史、知京 豊裕、堀 勝

    「21世紀COEプログラムPlasma-Nanoシンポジウム」最先端もの創り研究と拠点形成---プラズマナノ工学研究センターの設立に向けて--- 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2939. ナノアセンブリングシステムの開発(1) 超コンパクトラジカルモニタリング装置の開発

    堀 勝、財満鎮明、鈴木達也、高島成剛、加納浩之、高橋俊次、山川晃司、田 昭治、河内良太

    「21世紀COEプログラムPlasma-Nanoシンポジウム」最先端もの創り研究と拠点形成---プラズマナノ工学研究センターの設立に向けて--- 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2940. スマートナノプロセス

    堀 勝

    「21世紀COEプログラムPlasma-Nanoシンポジウム」最先端もの創り研究と拠点形成---プラズマナノ工学研究センターの設立に向けて--- 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2941. ナノアセンブリングシステムの開発(2)カーボンナノウォールプロセッシングの開発

    堀 勝、高島成剛、平松美根男、加納浩之、山川晃司、田 昭治

    「21世紀COEプログラムPlasma-Nanoシンポジウム」最先端もの創り研究と拠点形成---プラズマナノ工学研究センターの設立に向けて--- 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2942. ナノアセンブリングシステムの開発(3)広帯域瞬時多元素計測システムの開発:食品、土壌分析への展開

    堀 勝、西澤典彦、高島成剛、伊籐昌文、加納浩之

    「21世紀COEプログラムPlasma-Nanoシンポジウム」最先端もの創り研究と拠点形成---プラズマナノ工学研究センターの設立に向けて--- 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2943. ナノアセンブリングシステムの開発(4) 4次元大気圧プラズマ製造装置の開発

    堀 勝、高島成剛、加納浩之、吉田直史

    「21世紀COEプログラムPlasma-Nanoシンポジウム」最先端もの創り研究と拠点形成---プラズマナノ工学研究センターの設立に向けて--- 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2944. ナノアセンブリングシステムの開発(5)超高速カーボンナノチューブ成長プロセッシングの開発

    堀 勝、高島成剛、平松美根男1、加納浩之2、山川晃司3、田 昭治3

    「21世紀COEプログラムPlasma-Nanoシンポジウム」最先端もの創り研究と拠点形成---プラズマナノ工学研究センターの設立に向けて--- 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2945. ナノアセンブリングシステムの開発(6)3次元立体構造エッチングプロセスの開発

    堀 勝、高島成剛、伊籐昌文、加納浩之

    「21世紀COEプログラムPlasma-Nanoシンポジウム」最先端もの創り研究と拠点形成---プラズマナノ工学研究センターの設立に向けて--- 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2946. ナノアセンブリングシステムの開発(7)自律型ナノエッチングシステムの開発

    堀 勝、鈴木達也、高島成剛、加納浩之、高橋俊次、山川晃司、田 昭治、河内良太

    「21世紀COEプログラムPlasma-Nanoシンポジウム」最先端もの創り研究と拠点形成---プラズマナノ工学研究センターの設立に向けて--- 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  2947. The Electric Characteristics of Carbon Nanowalls 国際会議

    M. Ura, W. Nakamura, Y. Tokuda, , M. Hiramatsu, H. Kano, and M. Hori

    International COE Workshop on Nano Processes and Devices, and Their Applications 

     詳細を見る

    開催年月日: 2005年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2948. Carbon Nano-Tube Formation Using a Novelplasma CVD 国際会議

    M. Hiramatsu and M. Hori

    2nd International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2005年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2949. Smart Plasma Nano-Processing with Advanced Diagnostics 国際会議

    M. Hori

    2nd International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2005年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2950. High Accurate Growth Process of Carbon Nanowalls Using Hydrogen Radical Injection Plasma CVD 国際会議

    M. Hori, K. Yamakawa, S. Den, H. Kano, T. Maeda, and M. Hiramatsu

    International Symposium on Frontier of Nano-Materials Based on Advanced Plasma Technologies 

     詳細を見る

    開催年月日: 2005年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  2951. 超高精度微細加工のためのプロセスプラズマの課題と展望

    堀 勝

    SEMIテクノロジーシンポジウム(STS)2005 

     詳細を見る

    開催年月日: 2005年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2952. Fabrication of Carbon Nanowalls Using Novel Plasma Processing 国際会議

    M. Hori and M. Hiramatsu

    International Conference on Advanced Materials & Devices 2005 (ICAMD 2005) 

     詳細を見る

    開催年月日: 2005年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  2953. Plasma Damages on Low-k Films in Etching and Photoresist Ashing 国際会議

    S. Takashima, S. Uchida, K. Ohshima, K. Nagahata, T. Tatsumi, and M. Hori

    27th International Symposium on Dry Process (DPS2005) 

     詳細を見る

    開催年月日: 2005年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  2954. Control of Radical and Ion Densities and Its Application to Damage-Free Resist Stripping over SiOCH in Oxygen-Based Surface Wave Plasmas 国際会議

    M. Hori, K. Takeda, Y. Kubota, M. Sugiura, Y. Matsumi, S. Tahara, and K. Kubota

    27th International Symposium on Dry Process (DPS2005) 

     詳細を見る

    開催年月日: 2005年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  2955. Rapid Growth of Dense, Aligned Single-Walled Carbon Nanotubes for Multi-Level Interconnections of Ultra-Large Scale Next-Generation Integrated Circuits 国際会議

    H. Nagao, M. Hiramatsu, H. Amano, and M. Hori

    27th International Symposium on Dry Process (DPS2005) 

     詳細を見る

    開催年月日: 2005年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  2956. Diagnostics of CF2 Radical and Molecules in Non-Equilibrium Atmospheric Pressure-Pulsed Plasma for SiO2 Etching 国際会議

    M. Iwasaki, M. Ito, T. Uehara, and M. Hori

    27th International Symposium on Dry Process (DPS2005) 

     詳細を見る

    開催年月日: 2005年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  2957. Control of Surface Reactions during Organic Low-k Dry Etching 国際会議

    S. Uchida, M. Hori, K. Oshima, A. Ando, K. Nagahata, and T. Tatsumi

    AVS 52nd International Symposium and Exhibition 

     詳細を見る

    開催年月日: 2005年10月 - 2005年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  2958. Behaviors of Fluorocarbon Radical Temperature in Ar/N2/C4F8 Low-k Etching Plasma 国際会議

    M. Nagai and M. Hori

    AVS 52nd International Symposium and Exhibition 

     詳細を見る

    開催年月日: 2005年10月 - 2005年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  2959. Ultra Fast Surface Modification Processes Employing Compact Non-Equilibrium Atmospheric Pressure Plasmas 国際会議

    N. Yoshida, H. Kano, S. Den, and M. Hori

    AVS 52nd International Symposium and Exhibition 

     詳細を見る

    開催年月日: 2005年10月 - 2005年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  2960. Investigation of Pulse-Modulation Effect in Electron Beam Excited Plasma with Time Resolved Optical Emission Spectroscopy 国際会議

    K. Takeda, T. Ohta, M. Ito, and M. Hori

    58th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2005年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  2961. Development of a Compact Arc Discharge Light Source for Measurement of Radicals 国際会議

    H. Ito, S. Takashima, H. Kano, M. and Hori

    58th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2005年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  2962. Diagnostics of Oxygen Atoms in Surface Wave Plasma with Vacuum Ultraviolet Laser Absorption Spectroscopy 国際会議

    K. Takeda, Y. Kubota, A. Serdyuchenko, S. Takashima, and M. Hori

    58th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2005年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  2963. Fabrication of Carbon Nanostructures Using Plasma CVD 国際会議

    M. Hiramatsu and M. Hori

    Deutshlandjahr in Japan" Academic Symposium (Plasma Science and Technology Symposium),  

     詳細を見る

    開催年月日: 2005年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2964. Decomposition and Polymerization of Perfluorinated Compounds in Microwave-Excited Atmospheric Pressure Plasma 国際会議

    S. Uchida and M. Hori

    Deutshlandjahr in Japan" Academic Symposium (Plasma Science and Technology Symposium),  

     詳細を見る

    開催年月日: 2005年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2965. Decomposition and Polymerization of Perfluorinated Compounds in Microwave-Excited Atmospheric Pressure Plasma 国際会議

    S. Uchida and M. Hori

    Room Temperature Formation of Silicon Nanoparticles Using Pulse-Modulated UHF SiH4/H2 Plasma 

     詳細を見る

    開催年月日: 2005年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2966. Behaviors of O atom and other species in Kr and O2 Mixture Plasma 国際会議

    M. Nagai and M. Hori

    Deutshlandjahr in Japan" Academic Symposium (Plasma Science and Technology Symposium),  

     詳細を見る

    開催年月日: 2005年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2967. Investigation of Surface Reactions during Organic Low Dielectric Film Dry Etching 国際会議

    M. Nagai and M. Hori

    Deutshlandjahr in Japan" Academic Symposium (Plasma Science and Technology Symposium),  

     詳細を見る

    開催年月日: 2005年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2968. High Speed SiO2 Etching and Measurements of Gas Exhausted Using Non-Equilibrium Atmospheric Pressure-pulsed Plasma 国際会議

    S. Uchida and M. Hori

    Deutshlandjahr in Japan" Academic Symposium (Plasma Science and Technology Symposium),  

     詳細を見る

    開催年月日: 2005年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2969. Fabrication of Aligned Carbon Nanotube Film with Self-Assembled Tip Array 国際会議

    H. Nagao, M. Hiramatsu, H. Amano and M. Hori

    16th European Conference on Diamond, Diamond-Like Materials 

     詳細を見る

    開催年月日: 2005年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  2970. Radical-Controlled Plasma Nano Processes 国際会議

    M. Hori

    5th Asian-European International Conference on Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2005年9月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:中華人民共和国  

  2971. Fabrication of Carbon Nanotubes Using Microwave-Excited Atmospheric Pressure Plasma CVD 国際会議

    M. Kimura, K. Yamakawa, Y. Ando, M. Hiramatsu and M. Hori

    16th European Conference on Diamond, Diamond-Like Materials 

     詳細を見る

    開催年月日: 2005年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  2972. Control of Surface Morphology in Carbon Nanowall Growth Using Rf Plasma-Enhanced Chemical Vapor Depositio 国際会議

    Enomoto, M. Nakamura, M. Hiramatsu, Y. Ando and M. Hori

    16th European Conference on Diamond, Diamond-Like Materials 

     詳細を見る

    開催年月日: 2005年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  2973. Carbon Nanowalls Grown Using Plasma-Enhanced Chemical Vapor Deposition 国際会議

    M. Hiramatsu and M. Hori

    International Conference on Surfaces, Coatings and Nanostructured Materials 

     詳細を見る

    開催年月日: 2005年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:中華人民共和国  

  2974. High-Rate Growth of Aligned Double-Walled Carbon Nanotube Using Microwave Plasma Enhanced Chemical Vapor Deposition Film 国際会議

    M. Hiramatsu and M. Hori

    International Conference on Surfaces, Coatings and Nanostructured Materials 

     詳細を見る

    開催年月日: 2005年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:中華人民共和国  

  2975. Room Temperature Synthesis of Silicon Nanoparticles Using Pulse-Modulated UHF SiH4/H2 Plasma 国際会議

    M. Takahata, M. Hori, and T. Chikyo

    International Symposium on EcoTopia Science 2005 (ISETS05) 

     詳細を見る

    開催年月日: 2005年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2976. Development of Nano-Process Control Technology Using Smart Sensors and Application to Automic Nano Production Equipment 国際会議

    M. Hori, S. Takahashi, S. Takashima, M. Hiramatsu, H. Kano, and S. Den

    International Symposium on EcoTopia Science 2005 (ISETS05) 

     詳細を見る

    開催年月日: 2005年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2977. Development of Green Gas Supply System for Zero-Emission Plasma Nano-Processing 国際会議

    S. Takahashi, S. Den, T. Katagiri, K. Yamakawa, H. Kano and M. Hori

    International Symposium on EcoTopia Science 2005 (ISETS05) 

     詳細を見る

    開催年月日: 2005年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2978. The Growth Mechanism of Nanowalls Growth in Tandem Type H Radical Injection Plasma Enhanced Chemical Vapor Deposition 国際会議

    M. Ebihara, S. Takashima, M. Hori, S. Den, H. Kano, T Maeda, and M. Hiramatsu

    International Symposium on EcoTopia Science 2005 (ISETS05) 

     詳細を見る

    開催年月日: 2005年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2979. Preparation Pt Particles on Carbon Nanowalls in Supercritical Carbon Dioxide 国際会議

    M. Nakamura, M. Hiramatsu, H. Amano, H. Kano, T. Machino, S. Takashima, and M. Hori

    International Symposium on EcoTopia Science 2005 (ISETS05) 

     詳細を見る

    開催年月日: 2005年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2980. Fabrication of Self-Assembled Carbon Nanowalls Using Plasma Enhanced Chemical Vapor Deposition with Hydrogen Radical Injection 国際会議

    M. Hori and M. Hiramatsu

    17th International Symposium on Plasma Chemistry 

     詳細を見る

    開催年月日: 2005年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:カナダ  

  2981. Behaviors of Fluorocarbon Radicals in 60 MHz Capacitively Coupled Plasma 国際会議

    M. Nagai, M. Hori, and T. Goto

    17th International Symposium on Plasma Chemistry 

     詳細を見る

    開催年月日: 2005年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:カナダ  

  2982. High-Rate Growth of Dense, Aligned Carbon Nanotube Film Using Microwave Plasma Enhanced Chemical Vapor Deposition 国際会議

    M. Hiramatsu and M. Hori

    17th International Symposium on Plasma Chemistry 

     詳細を見る

    開催年月日: 2005年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:カナダ  

  2983. Behaviors of Absolute Oxygen Atom Density in Surface Wave Plasma with Vacuum Ultraviolet Laser Absorption Spectroscopy 国際会議

    K. Takeda, Y. Kubota, Y. Matsumi, and M. Hori

    27th International Conference on Phenomena in Ionized Gases 

     詳細を見る

    開催年月日: 2005年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:オランダ王国  

  2984. Progress of Radical Measurements in Plasmas for Semiconductor Processing 国際会議

    M. Hori

    27th International Conference on Phenomena in Ionized Gases 

     詳細を見る

    開催年月日: 2005年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:オランダ王国  

  2985. High Speed SiO2 Etching and Measurements of Gas Phase Using Atmospheric Pressure-Pulsed CF4/Ar Plasma 国際会議

    M. Iwasaki, M. Ito, T. Uehara, and M. Hori

    27th International Conference on Phenomena in Ionized Gases 

     詳細を見る

    開催年月日: 2005年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:オランダ王国  

  2986. Synthesis of Carbon Nano-Structures Using Novel Plasma Processing 国際会議

    M. Hiramatsu and M. Hori

    Italy-Japan International Seminar on Advanced Plasma Processing 

     詳細を見る

    開催年月日: 2005年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:イタリア共和国  

  2987. Fabrication of Carbon Nanowalls Using Plasma-Enhanced Chemical Vapor Deposition 国際会議

    M. Hori and M. Hiramatsu

    15th International Colloquium on Plasma Processes, 

     詳細を見る

    開催年月日: 2005年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  2988. Development of the Compact C2F4 Gas Supply Equipment and its Application to Dielectric Etching Processes 国際会議

    S. Takahashi, S. Den, T. Katagiri, K. Yamakawa, H. Kano, and M. Hori

    15th International Colloquium on Plasma Processes, 

     詳細を見る

    開催年月日: 2005年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  2989. Dry Etching of Low-k Dielectrics 国際会議

    M. Hori

    The ECS ULSI Process Integration IV Symposium 

     詳細を見る

    開催年月日: 2005年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:カナダ  

  2990. Fabrication of Aligned Carbon Nanotube Film with Self-Assembled Tip Arrays Using Microwave Plasma-Enhanced Chemical Vapor Deposition 国際会議

    H. Nagao, M. Taniguchi, M. Hiramatsu, H. Amano, and M. Hori

    The 10th International Conference on New Diamond Science and Technology 

     詳細を見る

    開催年月日: 2005年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  2991. Measurement of Absolute Density of O Atoms in Surface Wave Plasma Employing Vacuum Ultraviolet Laser System 国際会議

    K. Takeda and M. Hori

    Korea-Japan Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2005年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  2992. Simultaneous Monitoring of H, N, and O Atom Densities in the Reactive Plasma Employing Vacuum ultraviolet Absorption Spectroscopy 国際会議

    S. Takashima and M. Hori

    Korea-Japan Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2005年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  2993. Carbon Nano-Structure Formation with Radical Controlled Plasma Processing 国際会議

    M. Hori

    Korea-Japan Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2005年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  2994. Diagnostics of Species in Non-Equilibrium Atmospheric Pressure Plasma with Dielectric Discharge 国際会議

    M. Iwasaki and M. Hori

    Korea-Japan Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2005年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  2995. Basic Study of Etching Surface Reaction with an Ion Beam with Radical Injection 国際会議

    S. Uchida and M. Hori

    Korea-Japan Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2005年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  2996. Development of New Compact Non-Equilibrium Atmospheric Pressure Plasmas and Their Applications for the Surface Modification 国際会議

    K. Takeda and M. Hori

    Korea-Japan Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2005年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  2997. Ultrahigh Speed Processing Employing a Microwave Excited Non-Equilibrium Atmospheric Pressure Plasma 国際会議

    K. Yamakawa, S. Den, and M. Hori

    Korea-Japan Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2005年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  2998. In-Situ Monitoring of Density and Temperature of Species in Smart Nano-Plasma Processing 国際会議

    M. Hori

    Korea-Japan Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2005年4月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:大韓民国  

  2999. Radical Cleaning Technology for Chamber and its Radical Surface Reaction 国際会議

    H. Kano, M. Ebihara, and M. Hori

    Korea-Japan Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2005年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  3000. Environmental Benign Etching System Employing a New Gas Source 国際会議

    S. Den, S. Takahashi, K. Yamakawa, and M. Hori

    Korea-Japan Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2005年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  3001. Micro-Fabrication of Lens on the Top of Optical Fiber Employing Electron Beam Excited Plasma 国際会議

    K. Takeda, M. Hori, and M. Ito

    Korea-Japan Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2005年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  3002. 三次元自己組織化ナノ構造インテグレーション

    堀 勝

    シンポジウム:シリコンナノエレクトロニクスの新展開――ポストスケーリングテクノロジー―― 

     詳細を見る

    開催年月日: 2005年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  3003. Novel Nitrogen Radical Cleaning Technique for UHV Chamber Wall 国際会議

    M. Ebihara, S. Takashima, M. Hori, S. Den, and H. Kano

    2005 MRS Spring Meeting 

     詳細を見る

    開催年月日: 2005年3月 - 2005年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3004. 新規エッチングガスを用いた半導体微細加工プロセス

    堀 勝

    日本化学会第85回春季年会2005 

     詳細を見る

    開催年月日: 2005年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  3005. ラジカル制御プラズマCVDによるカーボンナノウォールの形成

    堀 勝、平松美根男

    表面技術協会第111回講演大会 pp. 384-387 

     詳細を見る

    開催年月日: 2005年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  3006. 極薄型擬単結晶シリコン太陽電池の研究開発

    堀 勝

    第2回結晶シリコン先導研究技術分科会 

     詳細を見る

    開催年月日: 2005年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3007. プラズマCVD法によるカーボンナノウォールの形成

    平松美根男、堀 勝

    東北大学電気通信研究所共同プロジェクト研究会「学際的新領域プラズマの基礎と応用」(代表:畠山力三) 

     詳細を見る

    開催年月日: 2005年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  3008. 新規カーボンナノ構造体の形成とその応用

    堀 勝

    第3回マイクロ工システム研究部会&第14回果樹栽培育成状況リアルタイムモニタリングのためのフォトニクス研究部会 

     詳細を見る

    開催年月日: 2005年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  3009. Synthesis of Self-Assembled Carbon Nanowalls Using Plasma Enhanced Chemical Vapor Deposition with Radical Injection 国際会議

    M. Hori

    Fifth International Symposium on Biomimetic Materials Processing 

     詳細を見る

    開催年月日: 2005年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  3010. パルス変調型電子ビーム励起プラズマにおける絶縁体材料エッチングと発光分光計測

    竹田圭吾、留川 裕、太田貴之、伊藤昌文、堀 勝

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 633-634 

     詳細を見る

    開催年月日: 2005年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3011. VHF容量結合型プラズマを用いたSiOCHエッチングプロセスにおける選択比向上メカニズムの解析

    杉浦幹在、堀 勝、藤本 究

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 647-648 

     詳細を見る

    開催年月日: 2005年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3012. SiH4/N2ガスにおけるVHFCCP中のSi原子の挙動

    太田貴之、石田哲朗、伊藤昌文、川上 聡、堀 勝

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 79-80 

     詳細を見る

    開催年月日: 2005年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3013. UHF SiH4/H2プラズマによる高品質微結晶シリコン薄膜の形成とその気相診断

    堀 直樹、堀 勝、後藤俊夫、中川行人、知京豊裕

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 161-162 

     詳細を見る

    開催年月日: 2005年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3014. パルス変調UHF SiH4/H2プラズマを用いたシリコンナノ微粒子の高速堆積

    高畑正史、山本昌宏、堀 勝、知京豊裕

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 187-188 

     詳細を見る

    開催年月日: 2005年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3015. 低圧プラズマ中におけるダイヤモンド成長と核生成の表面反応過程

    堤井君元、内野喜一郎、堀 勝、後藤俊夫

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 189-190 

     詳細を見る

    開催年月日: 2005年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3016. マイクロ波プラズマCVD法を用いたカーボンナノフレークの作製

    谷口雅樹、長尾英俊、平松美根男、安藤義則、堀 勝

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 139-140 

     詳細を見る

    開催年月日: 2005年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3017. アーク放電を用いたラジカル計測用小型光源の開発

    伊藤治彦、山川晃司、加納浩之、堀 勝

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 267-268 

     詳細を見る

    開催年月日: 2005年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3018. 大気圧CF4/Arパルスプラズマを用いたO2/H2O添加SiO2エッチングとその気相診断

    岩崎正博、伊藤昌文、堀 勝、北畠裕也、上原 剛

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 301-302 

     詳細を見る

    開催年月日: 2005年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3019. ラジカルイオンビームを用いた有機Low-k膜のエッチング反応メカニズム

    内田三郎、堀 勝、安藤厚博、辰巳哲也

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 325-326 

     詳細を見る

    開催年月日: 2005年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3020. マイクロ波励起非平衡大気圧酸素プラズマを用いた有機膜の超高速(0.3mm/min)エッチング

    山川晃司、堀 勝、後藤俊夫、田 昭治、片桐俊郎、加納浩之

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 337-338 

     詳細を見る

    開催年月日: 2005年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3021. 大気圧プラズマを用いたカーボンナノ構造体の作製

    木村美幸、山川晃司、安藤義則、平松美根男、堀 勝

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 343-344 

     詳細を見る

    開催年月日: 2005年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3022. マイクロ波プラズマCVD法を用いた高密度カーボンナノチューブ膜の作製

    長尾英俊、谷口雅樹、平松美根男、天野 浩、堀 勝

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 367-368 

     詳細を見る

    開催年月日: 2005年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3023. 高周波プラズマCVD法を用いて作製したカーボンナノウォールの電子放出特性

    榎本 篤、中村匡利、志治健一、平松美根男、安藤義則、堀 勝

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 373-374 

     詳細を見る

    開催年月日: 2005年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3024. カーボンナノウォール作製におけるRFプラズマ中のラジカル測定

    志治健一、榎本 篤、中村匡利、平松美根男、天野 浩、堀 勝

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 375-376 

     詳細を見る

    開催年月日: 2005年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3025. RFプラズマCVD法による配向カーボンナノウォールの作製

    中村匡利、志治健一、榎本 篤、平松美根男、天野 浩、堀 勝

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 377-378 

     詳細を見る

    開催年月日: 2005年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3026. H,N.O原子絶対密度同時計測技術の開発

    高島成剛、海老原勝、加納浩之、堀 勝

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 547-248 

     詳細を見る

    開催年月日: 2005年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3027. 希ガス添加酸素プラズマにおける粒子の振舞い

    久保田良規、高島成剛、堀 勝

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 551-552 

     詳細を見る

    開催年月日: 2005年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3028. 高密度容量結合プラズマにおけるフルオロカーボンラジカルの温度計測

    永井幹雄、堀 勝、後藤俊夫

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 555-556 

     詳細を見る

    開催年月日: 2005年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3029. 超高真空チャンバ用新ラジカルクリーニング技術の開発

    海老原勝、高島成剛、堀 勝、田 昭治、加納浩之

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 579-580 

     詳細を見る

    開催年月日: 2005年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3030. VHF容量結合型プラズマを用いた有機基板上へのSiN膜の低温形成と有機EL特性評価

    石田哲朗、松原丈晃、堀 勝、後藤俊夫、森 竜雄、竹内秀夫、多田重和

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 601-602 

     詳細を見る

    開催年月日: 2005年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3031. 三元系高誘電率ゲート絶縁膜HfAlYOの形成

    田村永児、堀 勝、後藤俊夫、徳田 豊、知京豊裕

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 619-620 

     詳細を見る

    開催年月日: 2005年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3032. Synthesis of Carbon Nanowall with Radical Controlled Plasma Processes 国際会議

    M. Hori

    International Advanced Plasma Processing Seminar, Center for Advanced Plasma Surface  

     詳細を見る

    開催年月日: 2005年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  3033. New Etching of SiO2 with Ultrahigh Rate and Selectivity Using Microwave Excited Non-Equilibrium Atmospheric Pressure Plasma 国際会議

    2004 International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2004年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  3034. プラズマCVDを用いた2次元カーボンナノ構造体の作製

    平松美根男、堀 勝

    第15回日本MRS学術シンポジウムH2-O10 

     詳細を見る

    開催年月日: 2004年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3035. コンパクト原子状ラジカル絶対密度計測装置によるH,N,O原子同時計測

    高島成剛、堀 勝、海老原勝、加納浩之

    第15回日本MRS学術シンポジウムH1-P01 

     詳細を見る

    開催年月日: 2004年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3036. 希ガス添加酸素プラズマにおける粒子の振る舞い

    久保田良規、高島成剛、堀 勝

    第15回日本MRS学術シンポジウムH1-P02 

     詳細を見る

    開催年月日: 2004年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3037. VHF容量結合型プラズマによるプラスチック基板上におけるSiN膜の低温形成

    石田哲朗、松原丈晃、堀 勝、後藤俊夫、竹内秀夫、多田重和

    第15回日本MRS学術シンポジウムH1-P07 

     詳細を見る

    開催年月日: 2004年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3038. SiH4ガスを用いたVHF容量結合プラズマ中のSi原子密度及び並進温度の測定

    太田貴之、伊藤昌文、石田哲朗、堀 勝、川上総

    第15回日本MRS学術シンポジウムH1-P08 

     詳細を見る

    開催年月日: 2004年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3039. UHF SiH4/H2プラズマによる微結晶シリコンのCeO2上における形成

    堀直樹、青山健太郎、堀 勝、後藤俊夫、中川行人、知京豊裕

    第15回日本MRS学術シンポジウムH1-P09 

     詳細を見る

    開催年月日: 2004年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3040. UHF SiH4/H2プラズマによるシリコンナノ微粒子の高速形成

    山本昌宏、高畑正史、堀 勝、後藤俊夫、知京豊裕

    第15回日本MRS学術シンポジウムH1-P10 

     詳細を見る

    開催年月日: 2004年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3041. ラジカル制御自律型ナノプロセスシステムに関する基礎研究

    堀 勝、田 昭治、加納浩之

    第15回日本MRS学術シンポジウムH1-P15 

     詳細を見る

    開催年月日: 2004年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3042. マイクロ波プラズマCVD法を用いた2層カーボンナノチューブの高速成長

    谷口雅樹、長尾英俊、平松美根男、安藤義則、堀 勝

    第15回日本MRS学術シンポジウムH2-O13 

     詳細を見る

    開催年月日: 2004年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3043. マイクロ波励起大気圧非平衡プラズマCVDによる配向カーボンナノチューブの形成

    山川晃司、堀 勝、後藤俊夫、木村美幸、平松美根男

    第15回日本MRS学術シンポジウムH2-P06 

     詳細を見る

    開催年月日: 2004年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3044. 水素ラジカル注入RFプラズマCVD法による配向カーボンナノウォールの作製

    志治健一、中村匡利、榎本 篤、平松美根男、天野 浩、堀 勝

    第15回日本MRS学術シンポジウムH2-P07 

     詳細を見る

    開催年月日: 2004年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3045. 電子ビーム励起プラズマを用いたカーボンナノ構造体の作製

    木村美幸、平松美根男、安藤義則、山川晃司、竹田圭吾、堀 勝

    第15回日本MRS学術シンポジウムH2-P10 

     詳細を見る

    開催年月日: 2004年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3046. プラズマCVDによる高誘電率HfAlYO薄膜の形成

    田村永児、堀 勝、後藤俊夫、徳田 豊、知京豊裕

    第15回日本MRS学術シンポジウムH2-P11 

     詳細を見る

    開催年月日: 2004年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3047. シンクロトン放射光を用いたフルオロカーボンポリマーフィルム微細加工の開発

    内田三郎、堀 勝、太田貴之、伊藤昌文

    第15回日本MRS学術シンポジウムH2-P18 

     詳細を見る

    開催年月日: 2004年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3048. VHF容量結合型プラズマを用いたSiOCHのナノ加工プロセス

    杉浦幹在、堀 勝、藤本 究

    第15回日本MRS学術シンポジウムH2-P20 

     詳細を見る

    開催年月日: 2004年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3049. コンパクトC2F4ガス供給装置の開発とCVDチャンバークリーニングへの応用

    高橋俊次、田 昭治、片桐俊郎、堀 勝、山川晃司、加納浩之

    第15回日本MRS学術シンポジウムH2-P21 

     詳細を見る

    開催年月日: 2004年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3050. パルス変調型電子ビーム励起プラズマを用いた微笑光学素子作製プロセス

    竹田圭吾、堀 勝、留河 裕、太田貴之、伊藤昌文

    第15回日本MRS学術シンポジウムH2-P22 

     詳細を見る

    開催年月日: 2004年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3051. マイクロ波励起大気圧プラズマのガス温度計測

    永井幹雄、堀 勝、後藤俊夫

    第15回日本MRS学術シンポジウムH2-P03 

     詳細を見る

    開催年月日: 2004年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3052. 極薄型擬単結晶シリコン太陽電池の研究開発

    堀 勝

    第1回結晶シリコン先導研究技術分科会 

     詳細を見る

    開催年月日: 2004年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  3053. 新規カーボンナノ構造体の形成と次世代電子デバイスへの応用

    堀 勝

    第338回名城大学理工談話会 

     詳細を見る

    開催年月日: 2004年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  3054. カーボンナノウォールの創成とラジカル制御プラズマCVD装置製品化

    堀 勝

    第40回CVD研究会 

     詳細を見る

    開催年月日: 2004年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  3055. 半導体プロセスにおけるエッチング技術

    堀 勝

    リアライズ理工センターセミナー 

     詳細を見る

    開催年月日: 2004年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  3056. SiO2 Etching and Diagnostics of Radicals in Atmospheric Pressure-Pulsed CF4/Ar Plasma with O2 Addition 国際会議

    2004 International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2004年11月 - 2004年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3057. UHF SiH4/H2プラズマを用いたシリコンナノ微粒子の合成

    高畑正史、山本昌宏、堀 勝、知京豊裕

    第31回アモルファス物質の物性と応用セミナー p. 177 

     詳細を見る

    開催年月日: 2004年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3058. UHF SiH4/H2プラズマによる高品質微結晶シリコンの低温形成とその機構解明

    堀直樹、堀 勝、後藤俊夫、知京豊裕、中川行人

    第31回アモルファス物質の物性と応用セミナー p. 178 

     詳細を見る

    開催年月日: 2004年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3059. マイクロ波励起非平衡大気圧プラズマプロセス技術

    堀 勝、高島成剛

    産学官テクノプラザ名古屋 

     詳細を見る

    開催年月日: 2004年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  3060. Synthesis of Vertically Aligned Carbon Nanowalls Using Capacitively Coupled Plasma Enhanced Chemical Vapor Deposition by Hydrogen Radical Injection 国際会議

    International Union of Materials Research Societies International Conference in Asia (IUMRS-ICA2004) 

     詳細を見る

    開催年月日: 2004年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  3061. マイクロ波励起非平衡大気圧プラズマプロセス技術

    堀 勝、山川晃司

    産学官テクノプラザ金沢(石川県地場産業振興センター) 

     詳細を見る

    開催年月日: 2004年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  3062. Investigation of Fundamental Etching Reaction of Organic Low Dielectric Film Using Ion Beams with Radical Injection 国際会議

    AVS 51st International Symposium & Exhibition 

     詳細を見る

    開催年月日: 2004年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  3063. A Novel Etching Process Employing Pulse-Modulated Electron-Beam-Excited Plasma for Fabrication of Micro-Optical Devices 国際会議

    AVS 51st International Symposium & Exhibition 

     詳細を見る

    開催年月日: 2004年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  3064. Gas and Electrode Temperatures in Non-equilibrium Atmospheric Pressure Plasma with Microwave Excitation 国際会議

    AVS 51st International Symposium & Exhibition 

     詳細を見る

    開催年月日: 2004年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  3065. Precise and High-rate Etching of Polymer Film and Its Surface Modification Using High-Density Plasma 国際会議

    The 3rd International Symposium on Advanced Plasma Surface Technology 

     詳細を見る

    開催年月日: 2004年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  3066. 反応性プラズマ中の気相・表面反応

    堀 勝

    第15回プラズマエレクトロニクス講習会「プラズマの基礎と応用最前線」――ULSIからフォトニック、MEMS、ナノチューブ、プラズマイオン注入、環境まで―― 

     詳細を見る

    開催年月日: 2004年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  3067. Fabrication of Two-Dimensional Carbon Nanostructures Using Plasma-Enhanced Chemical Vapor Deposition 国際会議

    The 6th International Conference on Nanotechnology in Carbon: from Synthesis to Applications of Nanostructured Carbon and Related Materials (Nanotec 2004) 

     詳細を見る

    開催年月日: 2004年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  3068. Evolution of Dielectric Etching toward Nano-Scale 国際会議

    The 2nd International School of Advanced Plasma Technology 

     詳細を見る

    開催年月日: 2004年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  3069. 大気圧プラズマと産学連携について

    堀 勝

    技術開発討論会 

     詳細を見る

    開催年月日: 2004年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  3070. Effect of Kr Gas Dilution on O Atom Density in Surface Wave Excited Kr/O2 Plasma for Low-Temperature and Damage-Free Plasma Oxidation Processes 国際会議

    2004 International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2004年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3071. Fabrication of Dense Carbon Nanotube Film Using Microwave Plasma-Enhanced CVD 国際会議

    15th European Conference on Diamond 

     詳細を見る

    開催年月日: 2004年9月

    記述言語:英語   会議種別:口頭発表(一般)  

  3072. Vertical Growth of Carbon Nanowalls Using rf Plasma-Enhanced Chemical Vapor Deposition 国際会議

    15th European Conference on Diamond 

     詳細を見る

    開催年月日: 2004年9月

    記述言語:英語   会議種別:口頭発表(一般)  

  3073. 大気圧プラズマとそのMEMSプロセスへの応用

    堀 勝

    第2回マイクロ光システム研究部会&第13回果樹栽培育成状況リアルタイムモニタリングのためのフォトニクス研究部会 

     詳細を見る

    開催年月日: 2004年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  3074. 2周波RF60MHz/2MHz容量結合型プラズマを用いたlow-k膜エッチング

    杉浦幹在、堀 勝、藤本究

    2004年(平成16年)秋季第65回応用物理学関係連合講演会1p-ZH-13/I, Ⅱ 

     詳細を見る

    開催年月日: 2004年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3075. ラジカル注入型イオンビームを用いた有機系low-k膜エッチングプロセスの解析(III)

    内田三郎、堀 勝、後藤俊夫、安藤厚博、辰巳哲也、篠原啓二

    2004年(平成16年)秋季第65回応用物理学関係連合講演会1a-ZH-15/I, Ⅱ 

     詳細を見る

    開催年月日: 2004年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3076. 低圧窒素プラズマ源の開発

    海老原勝、竹田圭吾、高島成剛、堀 勝、田 昭治、加納浩之

    2004年(平成16年)秋季第65回応用物理学関係連合講演会1a-S-1/I 

     詳細を見る

    開催年月日: 2004年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3077. コンパクト原子状ラジカル絶対密度計測装置による複数原子計測

    高島成剛、海老原勝、堀 勝、加納浩之

    2004年(平成16年)秋季第65回応用物理学関係連合講演会1a-S-3/I 

     詳細を見る

    開催年月日: 2004年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3078. 高密度容量結合プラズマにおける中性ガス及びフルオロカーボンラジカルの温度

    永井幹雄、杉浦幹在、堀 勝、後藤俊夫

    2004年(平成16年)秋季第65回応用物理学関係連合講演会1a-S-7/I 

     詳細を見る

    開催年月日: 2004年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3079. 希ガス添加酸素プラズマにおける粒子の振る舞い

    久保田良規、山川晃司、堀 勝、後藤俊夫

    2004年(平成16年)秋季第65回応用物理学関係連合講演会1a-S-8/I 

     詳細を見る

    開催年月日: 2004年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3080. VHD-CCPによる有機基板上へのSiN膜の低温形成における励起周波数効果[II]

    石田哲朗、松原丈晃、堀 勝、後藤俊夫、多田重和

    2004年(平成16年)秋季第65回応用物理学関係連合講演会3a-N-2/I 

     詳細を見る

    開催年月日: 2004年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3081. マイクロアーク光の発生

    伊藤治彦、山川晃司、加納浩之、堀 勝

    2004年(平成16年)秋季第65回応用物理学関係連合講演会3a-S-15/I 

     詳細を見る

    開催年月日: 2004年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3082. SiH4/希釈ガスにおけるVHF容量結合プラズマ中のSi原子密度及び並進温度の挙動

    太田貴之、伊藤昌文、石田哲朗、堀 勝、川上 聡、後藤俊夫

    2004年(平成16年)秋季第65回応用物理学関係連合講演会1p-ZB-2/I, Ⅱ 

     詳細を見る

    開催年月日: 2004年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3083. UHF SiH4/H2プラズマを用いたナノシリコン微粒子の室温合成

    山本昌宏、高畑正史、堀 勝、後藤俊夫、知京豊裕

    2004年(平成16年)秋季第65回応用物理学関係連合講演会1p-ZB-5/I, Ⅱ 

     詳細を見る

    開催年月日: 2004年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3084. パルス変調 UHF SiH4/H2プラズマを用いた微結晶シリコン薄膜の低温形成

    堀 直樹、堀 勝、後藤俊夫、塚田勉、知京豊裕

    2004年(平成16年)秋季第65回応用物理学関係連合講演会1p-ZB-11/I, Ⅱ 

     詳細を見る

    開催年月日: 2004年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3085. 電子ビーム励起プラズマを用いたカーボンナノ構造体の作製

    木村美幸、山川晃司、竹田圭吾、平松美根男、堀 勝、安藤義則

    2004年(平成16年)秋季第65回応用物理学関係連合講演会2p-Q-16/I, Ⅱ, Ⅲ 

     詳細を見る

    開催年月日: 2004年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3086. RFプラズマCVD法による配向カーボンナノウォールの作製

    志治健一、平松美根男、榎本篤、中村匡利、天野 浩、堀 勝

    2004年(平成16年)秋季第65回応用物理学関係連合講演会2p-Q-21/I, Ⅱ, Ⅲ 

     詳細を見る

    開催年月日: 2004年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3087. レーザアブレーション法で作製した触媒微粒子からのCNT成長

    谷口雅樹、長尾英俊、平松美根男、安藤義則、堀 勝

    2004年(平成16年)秋季第65回応用物理学関係連合講演会3p-Q-1/I, Ⅱ, Ⅲ 

     詳細を見る

    開催年月日: 2004年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3088. パルス変調型電子ビーム励起プラズマを用いた光MEMS用SiO2エッチング

    竹田圭吾、留河 裕、太田貴之、伊藤昌文、堀 勝

    2004年(平成16年)秋季第65回応用物理学関係連合講演会1a-ZH-7/I, Ⅱ 

     詳細を見る

    開催年月日: 2004年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3089. マイクロ波励起非平衡大気圧プラズマを用いた超高速エッチングプロセス(Ⅴ)

    山川晃司、堀 勝、後藤俊夫、田 昭治、片桐俊郎、加納浩之

    2004年(平成16年)秋季第65回応用物理学関係連合講演会1a-ZH-8/I, Ⅱ 

     詳細を見る

    開催年月日: 2004年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3090. コンパクトC2F4ガス供給装置の開発とSiO2高速、高選択比エッチングへの応用

    堀 勝、山川晃司、高橋俊次、田 昭治、片桐俊郎、加納浩之

    2004年(平成16年)秋季第65回応用物理学関係連合講演会1a-ZH-9/I, Ⅱ 

     詳細を見る

    開催年月日: 2004年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3091. 大気圧フロン系ガスプラズマによるSiO2膜エッチングと気相診断(IV)

    岩崎正博、堀 勝、伊藤昌文、北畠裕也、上原剛

    2004年(平成16年)秋季第65回応用物理学関係連合講演会1a-ZH-11/I, Ⅱ 

     詳細を見る

    開催年月日: 2004年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  3092. A Novel Temperature-Sensing System for Multi-Layered Substrate in Dry Etching Processes 国際会議

    IEEE/LEOS Optical Mems 2004 International Conference on Optical Mems and Their Applications 

     詳細を見る

    開催年月日: 2004年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3093. Micro-Machining Process for Optical Device Employing Pulse-Modulated Electron-Beam-Excited Plasma 国際会議

    IEEE/LEOS Optical Mems 2004 International Conference on Optical Mems and Their Applications 

     詳細を見る

    開催年月日: 2004年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3094. プラズマ技術について

    堀 勝

    技術開発討論会 

     詳細を見る

    開催年月日: 2004年8月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  3095. Measurement and Control of Gas Temperature in Non-equilibrium Atmospheric Pressure Plasma with Microwave Excitation 国際会議

    International Symposium on Microwave Science and Its Application to Related Fields 

     詳細を見る

    開催年月日: 2004年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3096. スマートプラズマプロセスの研究開発と産業応用

    堀 勝

    テクノ・シンポジウム名大――プラズマ技術の最新動向と産学連携への応用―― pp.29-36 名古屋大学 

     詳細を見る

    開催年月日: 2004年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  3097. High-Rate Growth of Uniform Carbon Nanotube Films Using the Template Method 国際会議

    International Symposium on Microwave Science and Its Application to Related Fields 

     詳細を見る

    開催年月日: 2004年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3098. Aligned Nanotube Formation Using Microwave Excited Non-equilibrium Atmospheric Pressure Plasma 国際会議

    International Symposium on Microwave Science and Its Application to Related Fields 

     詳細を見る

    開催年月日: 2004年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3099. Deposition of Multi-Layered Low-k SiOCH Film Employing Layer-by-Layer Plasma Process 国際会議

    Nagasaki Workshop on Next Generation Semiconductor and Processing 

     詳細を見る

    開催年月日: 2004年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  3100. Preparation of Dense CNT Film Using Microwave Plasma-Enhanced CVD 国際会議

    7th APCPST & 17th SPSM: 7th Asia Pacific Conference on Plasma Science and Technology & 17th Symposium on Plasma Science for Materials 

     詳細を見る

    開催年月日: 2004年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3101. Effect of Carbon Source Gas on the Growth of Carbon Nanowalls Using RF Plasma CVD with h Radical Injection 国際会議

    7th APCPST & 17th SPSM: 7th Asia Pacific Conference on Plasma Science and Technology & 17th Symposium on Plasma Science for Materials 

     詳細を見る

    開催年月日: 2004年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3102. Ultrahigh-Rate Etching Process in Microwave-Excited Non-Equilibrium Micro-Gap Atmospheric Pressure Plasma 国際会議

    7th APCPST & 17th SPSM: 7th Asia Pacific Conference on Plasma Science and Technology & 17th Symposium on Plasma Science for Materials 

     詳細を見る

    開催年月日: 2004年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3103. Environmentally Benign Etching System 国際会議

    7th APCPST & 17th SPSM: 7th Asia Pacific Conference on Plasma Science and Technology & 17th Symposium on Plasma Science for Materials 

     詳細を見る

    開催年月日: 2004年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3104. Fabrication of Aligned Carbon Nanotubes and Nanoflakes Using Microwave Plasma-Enhanced Chemical Vapor Deposition 国際会議

    16th International Vacuum Congress 

     詳細を見る

    開催年月日: 2004年6月

    記述言語:英語   会議種別:口頭発表(一般)  

  3105. Fabrication of Carbon Nanowalls Using Rf Plasma-Enhanced Chemical Vapor Deposition 国際会議

    16th International Vacuum Congress 

     詳細を見る

    開催年月日: 2004年6月

    記述言語:英語   会議種別:口頭発表(一般)  

  3106. ナノアセンブリングシステムの開発

    堀 勝

    ナノテクものづくり研究会 

     詳細を見る

    開催年月日: 2004年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  3107. モノ作りと半導体ナノ製造装置

    堀 勝

    半導体装置開発講演会 

     詳細を見る

    開催年月日: 2004年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  3108. ラジカル制御プラズマとナノテクノロジー

    堀 勝

    半導体技術講演会 

     詳細を見る

    開催年月日: 2004年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  3109. Smart Process for Organic Low k Dielectric Film Etching 国際会議

    FSISE 2004: The 2004 Joint Conference of The 7th International Conference on Advanced Surface Engineering (ASE 2004) and The 2nd International Conference on Surface and Interface Science and Engineering (SISE 2004) 

     詳細を見る

    開催年月日: 2004年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  3110. High Speed Etching of SiO2 and Organic Films Using Non-Equilibrium Atmospheric Microwave Excited Plasma 国際会議

    FSISE 2004: The 2004 Joint Conference of The 7th International Conference on Advanced Surface Engineering (ASE 2004) and The 2nd International Conference on Surface and Interface Science and Engineering (SISE 2004) 

     詳細を見る

    開催年月日: 2004年5月

    記述言語:英語   会議種別:口頭発表(一般)  

  3111. Development of Smart Nano-Process

    Workshop on Nitrogen Plasmas 

     詳細を見る

    開催年月日: 2004年4月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  3112. ナノアセンブリングシステムの開発

    堀 勝

    半導体製造装置検討委員会 

     詳細を見る

    開催年月日: 2004年4月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  3113. スマートナノプロセス

    堀 勝

    ULSI技術講演会 

     詳細を見る

    開催年月日: 2004年4月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  3114. Synthesis of Aligned Carbon Nanostructures by Non-Equilibrium microwave Excited Atmospheric Pressure Plasma CVD 国際会議

    Proceedings of International COE Forum on Plasma Science and Technology 

     詳細を見る

    開催年月日: 2004年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3115. Fabrication of Aligned, Dense Carbon Nanotube Films Using Microwave Plasma-Enhanced Chemical Vapor Deposition 国際会議

    Proceedings of International COE Forum on Plasma Science and Technology 

     詳細を見る

    開催年月日: 2004年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3116. Ultrahigh Speed Processing Employing Microwave Excited Non-Equilibrium Atmospheric Pressure Plasma 国際会議

    Proceedings of International COE Forum on Plasma Science and Technology 

     詳細を見る

    開催年月日: 2004年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  3117. Control of Gas Temperature in Non-Equilibrium Atmospheric Pressure Plasma 国際会議

    Proceedings of International COE Forum on Plasma Science and Technology 

     詳細を見る

    開催年月日: 2004年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3118. Characterization of SiO2 Etching and Diagnostics of Radicals in Atmospheric Pressure Pulsed Plasma 国際会議

    Proceedings of International COE Forum on Plasma Science and Technology 

     詳細を見る

    開催年月日: 2004年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3119. Diagnostics of Pulsed-Modulated Electron-Beam-Excited Plasma for Silicon-Oxide Etching 国際会議

    Proceedings of International COE Forum on Plasma Science and Technology 

     詳細を見る

    開催年月日: 2004年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3120. Effect of Kr Gas Dilution on O Atom Density in Surface Wave Kr/O2 Plasma 国際会議

    Proceedings of International COE Forum on Plasma Science and Technology 

     詳細を見る

    開催年月日: 2004年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3121. Smart Nanoprocess for Plasma Induced Nanofabrication 国際会議

    M. Hori, T. Goto, and M. Hiramatsu

    The 8th IUMRS International Conference on Advanced Materials 

     詳細を見る

    開催年月日: 2003年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  3122. Surface Assemblies of Gold Nanodots Using Retarding-Field Ion Beam Deposition 国際会議

    M. Hori and Haroon Ahmed

    The 8th IUMRS International Conference on Advanced Materials 

     詳細を見る

    開催年月日: 2003年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3123. Microcrystalline Silicon Thin Film Formation Using Pulse Modulated Microwave Plasma 国際会議

    K. Honma, Masahiro Yamamoto, M. Hori, and T. Goto

    2003 International Microprocess and Nanotechnology Conference 

     詳細を見る

    開催年月日: 2003年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3124. Effect of Kr Gas Dilution on O Atom Density in Inductively Coupled Kr/O2 Plasma 国際会議

    M. Hori, S. Ikuma, and T. Goto

    56th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2003年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3125. Fabrication of Spherical-Shaped Particles Using Atmospheric Micro-Gap Plasma with Microwave Excitation 国際会議

    Mikio Nagai, M. Hori, and T. Goto

    The 8th IUMRS International Conference on Advanced Materials 

     詳細を見る

    開催年月日: 2003年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3126. Precisely Controlling of Crystalline Orientation in Microcrystalline Silicon Thin Film by Pulse Modulated Microwave Plasma with SiF4 Addition 国際会議

    K. Honma, Masahiro Yamamoto, M. Hori, and T. Goto

    The 8th IUMRS International Conference on Advanced Materials 

     詳細を見る

    開催年月日: 2003年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3127. Vertical Growth of Carbon Nanowalls Using RF Plasma CVD Assisted by H Radical Injection 国際会議

    M. Hiramatsu, Kenichi Shiji, H. Amano, and M. Hori

    37th IUVSTA Workshop on Plasma Deposition of Advanced Materials 

     詳細を見る

    開催年月日: 2003年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:オランダ王国  

  3128. Fabrication of Aligned Carbon Nanotubes and Nanofibers Using Microwave Plasma-Enhanced CVD 国際会議

    M. Hiramatsu, Masaki Taniguchi , Yoshinori Ando, and M. Hori

    14th European Conference on Diamond, Diamond-like Materials, Carbon Nanotubes, Nitrides and Silicon Carbide 

     詳細を見る

    開催年月日: 2003年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:オーストリア共和国  

  3129. Gas Phase and Subsurface Reactions of Radicals for Smart Nanoprocessing 国際会議

    M. Hori

    The 4th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2003) 

     詳細を見る

    開催年月日: 2003年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  3130. Fabrication of Two-Dimensional Carbon Nanostructure Using Radio-Frequency Plasma-Enhanced Chemical Vapor Deposition 国際会議

    M. Hiramatsu, Kenichi Shiji, H. Amano, Yoshinori Ando, and M. Hori

    International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2003年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3131. Carbon Nanowalls Grown Using RF Fluorocarbon Plasma with H Radical injection 国際会議

    M. Hiramatsu, Kenichi Shiji, H. Amano, and M. Hori

    Nanotechnology in Carbon Related Materials 

     詳細を見る

    開催年月日: 2003年8月

    記述言語:英語   会議種別:口頭発表(一般)  

  3132. Development of a New Etching System with PFC Zero-Emission Using Solid Source of PFC and Atmospheric plasma-Synthesis of PFC in Exhaust Gases 国際会議

    M. Hori

    EU-JPN Joint Symposium on Plasma Processing 

     詳細を見る

    開催年月日: 2003年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:スウェーデン王国  

  3133. The Behavior of Si Atom in Capacitively Coupled VHF Plasma Employing SiF4 国際会議

    T. Ohta, Tetsuro Ishida, M. Hori, T. Goto, M. Ito, Satoshi Kawami, and N. Ishii

    XXVI International Conference on Phenomena in Ionized Gases 

     詳細を見る

    開催年月日: 2003年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ドイツ連邦共和国  

  3134. Novel Abatement of PPFCs Using Microwave Excited Non-Equilibrium Atmospheric Pressure Plasma 国際会議

    Mikio Nagai, K. Yamakawa M. Ito, M. Hori, and T. Goto

    16th International Symposium on Plasma Chemistry 

     詳細を見る

    開催年月日: 2003年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:イタリア共和国  

  3135. Synthesis of Carbon Nanostructure Using Microwave Excited Non-Equilibrium Atmospheric Pressure Plasma Enhanced Chemical Vapor Deposition 国際会議

    Akio Matsushita, Mikio Nagai, K. Yamakawa M. Hiramatsu, Akira Sakai, M. Hori, and T. Goto

    16th International Symposium on Plasma Chemistry 

     詳細を見る

    開催年月日: 2003年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:イタリア共和国  

  3136. Diagnostics of Electron Beam Excited CF4/Ar Plasmas for Silicon Oxide Etching 国際会議

    M. Ito, Takayuki Kawabe, Tatsuo Shiina, Y. Okamura, H. Nagai, M. Hori, and T. Goto

    16th International Symposium on Plasma Chemistry 

     詳細を見る

    開催年月日: 2003年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:イタリア共和国  

  3137. Ultrahigh Rate Etching of SiO2 Film Employing Microwave Excited Non-Equilibrium Atmospheric Pressure Plasma 国際会議

    K. Yamakawa, S. Den, T. Katagiri, and Tosho Goto

    16th International Symposium on Plasma Chemistry 

     詳細を見る

    開催年月日: 2003年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:イタリア共和国  

  3138. Measurement of Absolute Densities of H, N and O Radicals Employing Vacuum Ultraviolet Absorption Spectroscopy with Micro-Plasma as a Light Source 国際会議

    Akio Matsushita, Mikio Nagai, K. Yamakawa M. Hiramatsu, Akira Sakai, M. Hori, and T. Goto

    The 3rd International Workshop on Basic Aspect of Non-Equilibrium Plasma Interacting with Surface (BANPIS-2003),  

     詳細を見る

    開催年月日: 2003年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3139. SiO2 Dry Etching Using Non-Equilibrium Atmospheric Pressure Micro-Plasma 国際会議

    K. Yamakawa, M. Hori, T. Goto, S. Den, and Toshiro Katagiri

    The 3rd International Workshop on Basic Aspect of Non-Equilibrium Plasma Interacting with Surface (BANPIS-2003) 

     詳細を見る

    開催年月日: 2003年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3140. Fabrication of Carbon Nanostructure Using Non-Equilibrium Microwave Excited Atmospheric Plasma 国際会議

    Akio Matsushita, Mikio Nagai, K. Yamakawa, M. Hori, and T. Goto

    International Symposium on Microwave Science and Its Application to Related Fields 

     詳細を見る

    開催年月日: 2002年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3141. Temporal Control of Radicals for High Crystallinity of Microcrystalline Silicon Films in UHF SiH4/H2 Pulse-Modulated Plasma CVD 国際会議

    Miho Matsutani, Naoki Hori, M. Hori, T. Goto, and T. Tsukada

    2002 International Microprocesses and Nanotechnology Conference 

     詳細を見る

    開催年月日: 2002年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3142. Laser Diagnostics of Radical Density and Temperature in High-Density Processing Plasma 国際会議

    M.Hori

    International Workshop on State-of Art Plasma Diagnostics 

     詳細を見る

    開催年月日: 2002年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  3143. High-Speed Etching Process Using Non-Equilibrium Microwave Excited Atmospheric Plasma 国際会議

    K. Yamakawa, M. Hori, T. Goto, S. Den, and Toshiro Katagiri

    International Symposium on Microwave Science and Its Application to Related Fields 

     詳細を見る

    開催年月日: 2002年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3144. Generation of Low Pressure Microwave Excited Plasma and Its Application to Microcrystalline Silicon Thin Film Formation 国際会議

    Daisuke Kikukawa, K. Honma, M. Hori, T. Goto, M. Ito, and S. Den

    International Symposium on Microwave Science and Its Application to Related Fields 

     詳細を見る

    開催年月日: 2002年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3145. Fabrication of Diamond Using Microwave Excited plasma and Measurement of Radicals 国際会議

    M. Hori and M. Hiramatsu

    International Symposium on Microwave Science and Its Application to Related Fields 

     詳細を見る

    開催年月日: 2002年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3146. Fabrication of Carbon Micro-ball Using Non-Equilibrium Microwave Excited Atmospheric Plasma 国際会議

    Mikio Nagai, K. Yamakawa, M. Hori, T. Goto, and M. Ito

    International Symposium on Microwave Science and Its Application to Related Fields 

     詳細を見る

    開催年月日: 2002年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3147. Detection of Oxygen Atom Density in Inductively Coupled Plasma by Vacuum Ultraviolet Absorption Spectroscopy 国際会議

    H. Nagai, M. Hiramatsu, M. Hori, and T. Goto

    4th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2002年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3148. Behaviors of Si, SiF, SiF2 Radicals and SiF4 Molecule Using RF 60 MHz Capacitively Coupled SiF4 Plasma 国際会議

    T. Ohta, Tetsuro Ishida, M. Hori, T. Goto, M. Ito, Satoshi Kawakami, and N. Ishii

    24th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2002年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3149. Etching Reaction Mechanism of Organic Low-k Dielectric Employing High-Density Plasma and Multi-Beams 国際会議

    M. Hori, H. Nagai, M. Hiramatsu, and T. Goto

    49th International Symposium American Vacuum Society 

     詳細を見る

    開催年月日: 2002年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3150. Silicon Oxide Highly Selective Etching Using Novel Solid Gas Sources 国際会議

    Mikio Nagai, M. Hori, and T. Goto

    49th International Symposium American Vacuum Society 

     詳細を見る

    開催年月日: 2002年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3151. Diagnostics of O Atoms in Inductively Coupled O2 Plasma Employing Vacuum Ultraviolet Absorption Spectroscopy 国際会議

    H. Nagai, M. Hori, T. Goto, M. Hiramatsu, and S. Takashima

    55th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2002年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3152. Kinetics of SiFx (X=0-2) and SiF4 Molecule in RF 60 MHz Parallel-Plate Capacitively Coupled Plasma Employing SiF4 国際会議

    M. Hori, T. Ohta, K. Hara, T. Goto, M. Ito, Satoshi Kawakami, and N. Ishii

    55th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2002年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3153. Surface Nitriding of a Tool Steel by Electron Beam Excited Plasma 国際会議

    Hiroaki Shoyama, Yoshinori Dake, T. Mori, H. Nagai, M. Hori, and Tamio Hara

    24th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2002年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3154. Development of cw Non-Equilibrium Microwave-Excited Atmospheric Discharge System and Its Application to Carbon Nanostructure Fabrication 国際会議

    Mikio Nagai, Akio Matsushita, K. Yamakawa, M. Hiramatsu, M. Hori, and T. Goto

    24th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2002年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3155. Multi-Layered SiOCH Film with Low Dielectric Constant Fabricated Employing Layer-by-Layer Process of Plasma Enhanced Chemical Vapor Deposition and Oxidation 国際会議

    M. Hori, H. Nagai, M. Hiramatsu, and T. Goto

    24th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2002年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3156. Fabrication of Carbon Whisker, Pyramid and Steeple Using a Novel Plasma CVD and Their Application to Field Electron Emitter 国際会議

    M. Hori, M. Hiramatsu, and T. Goto

    The 2002 International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2002年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3157. Etching of Organic Low-k Dielectric, Their Gas Phase and Subsurface Reactions in Ultrahigh Frequency Plasma 国際会議

    H. Nagai, M. Hiramatsu, M. Hori, and T. Goto

    2002 International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2002年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3158. C2 Radical Density in Microwave CH4/H2 Plasma Used for Diamond Growth 国際会議

    M. Hiramatsu, K. Kato Kohei Ito, H. Nagai, M. Hori, and T. Goto

    oint International Plasma Symposium of 6th APCPST, 15th SPSM, OS 2002 and 11th KAPRA 

     詳細を見る

    開催年月日: 2002年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  3159. Correlation between Nanocrystalline Diamond Growth and C2 Radical Density in Microwave CH4/H2 国際会議

    M. Hiramatsu, K. Kato, Kohei Ito, Chi H. Lau, John S. Foord, M. Hori, and T. Goto

    ESCAMPIG 16th and ICRP 6th Joint Conference 

     詳細を見る

    開催年月日: 2002年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  3160. Formation of Microcrystalline Silicon Thin Films in Low-Pressure Microwave Plasma Employing H2 Diluted SiH4 and SiF4 Gases 国際会議

    Daisuke Kikukawa, K. Honma, S. Den, M. Hori, and T. Goto

     詳細を見る

    開催年月日: 2002年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  3161. Fabrication of Vertically Aligned Carbon Nanotubulated Fibers by Microwave Plasma-Enhanced Chemical Vapor Deposition with Acetylene/Hydrogen 国際会議

    M. Hiramatsu, Kohei Ito, K. Kato, Chi H. Lau, John S. Foord, M. Hori, H. Nagai, and T. Goto

    ESCAMPIG 16th and ICRP 6th Joint Conference 

     詳細を見る

    開催年月日: 2002年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  3162. Monitoring of F, Si, SiFx (X=1-2) and SiF4 Molecule in 60MHz Parallel-Plate Capacitively Coupled Plasma Employing SiF4 国際会議

    T. Ohta, K. Hara, M. Hori, and T. Goto

    ESCAMPIG 16th and ICRP 6th Joint Conference 

     詳細を見る

    開催年月日: 2002年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  3163. Gas Phase and Subsurface Reactions in Organic Low-k Films Etching and Insights into Etching Mechanism Using Multi-Beams 国際会議

    M. Hori, H. Nagai, M. Hiramatsu, and T. Goto

    ESCAMPIG 16th and ICRP 6th Joint Conference 

     詳細を見る

    開催年月日: 2002年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  3164. Detection of H, CH3 and Ionic Species in a Low-Pressure Inductively Coupled Plasma for Diamond Chemical-Vapor Deposition 国際会議

    K. Teii, Hikaru Funakoshi, S. Takashima, M. Hori, and T. Goto

    ESCAMPIG 16th and ICRP 6th Joint Conference 

     詳細を見る

    開催年月日: 2002年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  3165. Behavior of Oxygen Atoms in High-Density Inductively Coupled O2 Plasma 国際会議

    H. Nagai, M. Hiramatsu, M. Hori, and T. Goto

    oint International Plasma Symposium of 6th APCPST, 15th SPSM, OS 2002 and 11th KAPRA 

     詳細を見る

    開催年月日: 2002年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  3166. Silicon Oxide Selective Etching Process and Its Plasma Chemistry Using Environmentally Benign Fluorocarbon Gases 国際会議

    M. Hori and T. Goto

    4th International Workshop on Fluorocarbon Plasma, Col de Port France 

     詳細を見る

    開催年月日: 2002年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:フランス共和国  

  3167. Diagnostics of Radicals and Formation of Microcrystalline Diamond in a Low-Pressure Inductively Coupled Plasma 国際会議

    M. Hori, K. Teii, and T. Goto (Invited)

    9th International Symposium on Advanced Materials (ISAM) 

     詳細を見る

    開催年月日: 2002年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  3168. Etching of Organic Low-k Film in ICP and UHF Plasma Employing N2/H2 and N2/NH3 Gases 国際会議

    H. Nagai, Yoritsugu Maeda, M. Hiramatsu, M. Hori, and T. Goto

    Proceedings of 1st International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2001年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3169. Generation of Fluorocarbon Species Using Rapid Thermal Evaporation Technique for Semiconductor Device Process 国際会議

    K. Fujita, M. Hori, and T. Goto

    Proceedings of 2001 International Conference on Rapid Thermal Processing for Future Semiconductor Device 

     詳細を見る

    開催年月日: 2001年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3170. Silicon Oxide Selective Etching Process in UHF Plasma Employing Low Global Warming Potential Gases 国際会議

    Yoritsugu Maeda, H. Nagai, Toshiyuki Tanaka, M. Hori, and T. Goto

    Proceedings of 1st International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2001年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3171. Development of Environmentally Benign Silicon Oxide Etching Process and novel Zero-Emission PFC Abatement System 国際会議

    K. Fujita, Mikio Nagai, Akira Suzuki, M. Ito, M. Hori, and T. Goto

    Proceedings of 1st International Symposium on Dry Process, 

     詳細を見る

    開催年月日: 2001年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3172. Synthesis of Microcrystalline Silicon Thin Films Using a Low-Pressure Microwave Plasma 国際会議

    Daisuke Kikukawa, K. Honma, M. Hori, and T. Goto

    Proceedings of 2001 International Microprocess and Nanotechnology Conference 

     詳細を見る

    開催年月日: 2001年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3173. Radical Reaction Mechanism of Organic Low-k Film Etching Employing N-H Plasmas 国際会議

    H. Nagai, Yoritsugu Maeda, M. Hiramatsu, M. Hori, and T. Goto

    Proceedings of Frontiers of Surface Engineering 2001 Conference and Exhibition 

     詳細を見る

    開催年月日: 2001年10月 - 2001年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3174. Organic Low-k Film Etching in Inductively Coupled Plasma Employing N2/H2 and N2/NH3 Gases 国際会議

    H. Nagai, M. Hiramatsu, M. Hori, and T. Goto

    48th International Symposium American Vacuum Society 

     詳細を見る

    開催年月日: 2001年10月 - 2001年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3175. Synthesis of Highly Oriented Microcrystalline Silicon Films in Pulse-Time-Modulated Ultrahigh-Frequency Silane Plasmas 国際会議

    M. Hori and T. Goto (Invited)

    54th Annual Gaseous Electronics Conference, 

     詳細を見る

    開催年月日: 2001年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  3176. Synthesis of Fluorinated SiNx Gate Dielectric Film Using ECR-PECVD Employing N2/H2/SiF4 Gases 国際会議

    Reiji Morioka, H. Ohta, M. Hori, and T. Goto

    Proceedings of 2001 International Conference on Solid State Device and Materials,  

     詳細を見る

    開催年月日: 2001年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3177. Microcrystalline Silicon Thin Film Formation Using a Low Pressure Microwave Plasma 国際会議

    Daisuke Kikukawa, K. Murata, M. Hori, and T. Goto

    Proceedings of XXV International Conference on Phenomena in Ionized Gases 

     詳細を見る

    開催年月日: 2001年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3178. Formation of SiNx:F Gate Dielectric Films Using ECR-PECVD Employing N2/SiF4/H2 Gases 国際会議

    Reiji Morioka, H. Ohta, M. Hori, and T. Goto

    Proceedings of XXV International Conference on Phenomena in Ionized Gases 

     詳細を見る

    開催年月日: 2001年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3179. Behavior of N, H Radicals and Etching of Organic Low-k Film Employing N2/H2 and N2/NH3 Plasmas 国際会議

    2. H. Nagai, S. Takashima, T. Tanaka, M. Hiramatsu, M. Hori, and T. Goto

    Proceedings of XXV International Conference on Phenomena in Ionized Gases 

     詳細を見る

    開催年月日: 2001年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3180. Microcrystalline Diamond Film Formation in Low-Pressure Inductively Coupled Plasma Using Di-t-alkyl Peroxide 国際会議

    H. Ito, Hikaru Funakoshi, K. Teii, M. Ito, M. Hori, T. Takeo, and T. Goto

    Proceedings of XXV International Conference on Phenomena in Ionized Gases 

     詳細を見る

    開催年月日: 2001年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3181. Measurement of CH3 Radicals in a Low-Pressure Microwave Plasma Using Infrared Diode Laser Absorption Spectroscopy 国際会議

    Takumi Shiomi, M. Hiramatsu, M. Nawata, K. Fujita, M. Hori, and T. Goto

    Proceedings of XXV International Conference on Phenomena in Ionized Gases,  

     詳細を見る

    開催年月日: 2001年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3182. Study of Reaction Layer on Silicon Nitride in Highly Selective Etching Process of Silicon Oxide over Silicon Nitride 国際会議

    M. Ito, S. Senda, M. Hori, and T. Goto

    Proceedings of XXV International Conference on Phenomena in Ionized Gases,  

     詳細を見る

    開催年月日: 2001年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3183. Initial Growth Process of Diamond Formation in Low-Pressure Inductively Coupled Plasma 国際会議

    M. Hori, K. Teii, Hikaru Funakoshi, T. Takeo, and T. Goto

    Proceedings of XXV International Conference on Phenomena in Ionized Gases 

     詳細を見る

    開催年月日: 2001年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3184. Effects of Fluorine for Ultrathin Fluorinated Silicon Nitride Gate Dielectric Films Formed by PECVD Employing NH3 and SiF4 国際会議

    H. Ohta, M. Hori, and T. Goto

    Proceedings of XXV International Conference on Phenomena in Ionized Gases, 

     詳細を見る

    開催年月日: 2001年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3185. Behavior of Hydrogen Atoms in Inductively Coupled Methane and Hydrogen Plasma 国際会議

    S. Takashima, M. Hori, T. Goto, and K. Yoneda

    Proceedings of XXV International Conference on Phenomena in Ionized Gases 

     詳細を見る

    開催年月日: 2001年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3186. Absolute Hydrogen Atom Density Measurements in Inductively Coupled Methane and Hydrogen Plasmas 国際会議

    M. Hori, S. Takashima T. Goto, and K. Yoneda

    roceedings of XXV International Conference on Phenomena in Ionized Gase 

     詳細を見る

    開催年月日: 2001年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3187. Effects of Seed Layers on Properties of Microcrystalline Silicon Thin Films Formed by Remote Electron Cyclotron Resonance Silane Plasma 国際会議

    K. Murata, Daisuke Kikukawa, M. Ito, M. Hori, and T. Goto

    Proceedings of XXV International Conference on Phenomena in Ionized Gases 

     詳細を見る

    開催年月日: 2001年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3188. Control of Ion and Radical Fluxes in the Limitation of Low-Pressure Diamond Formation 国際会議

    Hikaru Funakoshi, K. Teii, S. Takashima, H. Ito, M. Hori, T. Takeo, and T. Goto

    Proceedings of XXV International Conference on Phenomena in Ionized Gases 

     詳細を見る

    開催年月日: 2001年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3189. Deposition of DLC Films Using Multi-Hole-Grid Electron-Beam Excited Plasma 国際会議

    S. Tada, S. Takashima, M. Ito, Manabu Hamagaki, M. Hori, and T. Goto

    Proceedings of XXV International Conference on Phenomena in Ionized Gases 

     詳細を見る

    開催年月日: 2001年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3190. Behavior of CFx(X=1-2) Radicals at Near Surface and Etching Characteristics of SiO2 and Si in ECR C4F8/Ar Plasma 国際会議

    S. Senda Takumi Shiomi, K. Fujita, M. Hori, and T. Goto

    Proceedings of XXV International Conference on Phenomena in Ionized Gases 

     詳細を見る

    開催年月日: 2001年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3191. Behavior of Carbon Atom in Low-k Film Formation Using Electron Cyclotron Resonance C4F6, C3F6 and C4F8 Plasmas 国際会議

    K. Hara, M. Nakamura, M. Ito, M. Hori, T. Goto, and N. Ishii

    Proceedings of XXV International Conference on Phenomena in Ionized Gases 

     詳細を見る

    開催年月日: 2001年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3192. Spatial Distribution Measurement of SiF4 and SiF2 Densities in Electron Cyclotron Resonance SiF4 Plasma 国際会議

    M. Nakamura, K. Hara, M. Ito, M. Hori, T. Goto, and N. Ishii

    Proceedings of XXV International Conference on Phenomena in Ionized Gases, 

     詳細を見る

    開催年月日: 2001年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3193. Kinetics of Hydrogen Atoms in JHF SiH4/H2 Plasma for High Microcrystalline silicon Thin Films Formation 国際会議

    Y. Mizutani, Emi Iwasaka, Miho Matsutani, K. Murata, S. Takashima, M. Hori, T. Goto, S. Samukawa, and T. Tsukada

    Proceedings of XXV International Conference on Phenomena in Ionized Gases, 

     詳細を見る

    開催年月日: 2001年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3194. Formation of Preferentially Oriented Microcrystalline Silicon Thin Films in Pulse Modulated UHF Plasma CVD 国際会議

    Emi Iwasaka, Y. Mizutani, Miho Matsutani, K. Murata, S. Takashima, M. Hori, and T. Goto

    Proceedings of XXV International Conference on Phenomena in Ionized Gases 

     詳細を見る

    開催年月日: 2001年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3195. Surface Reaction Probability of Precursors for Microcrystalline Silicon Film in UHF SiH4/H2 Plasma CVD 国際会議

    Miho Matsutani, Emi Iwasaka, Y. Mizutani, K. Murata, M. Hori, T. Goto, S. Samukawa, and T. Tsukada

    Proceedings of XXV International Conference on Phenomena in Ionized Gases 

     詳細を見る

    開催年月日: 2001年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3196. SiO2 Fine Contact Hole Etching Process by CxFy/Ar Plasma Employing Solid Material Evaporation Technique 国際会議

    K. Fujita, M. Hori, and T. Goto

    Proceedings of XXV International Conference on Phenomena in Ionized Gases 

     詳細を見る

    開催年月日: 2001年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3197. Measurement of O Atom Density Employing Vacuum Ultraviolet Absorption Spectroscopy with Microdischarge Hollow-Cathode Lamp 国際会議

    H. Nagai, S. Takashima, Toshiyuki Tanaka, M. Hiramatsu, M. Hori, and T. Goto

    Proceedings of XXV International Conference on Phenomena in Ionized Gases 

     詳細を見る

    開催年月日: 2001年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3198. Effect of Molecular Structure of Feed Gases on Oxide Etching Using UHF Fluorocarbon Plasma 国際会議

    Toshiyuki Tanaka, H. Nagai, M. Ito, M. Hori, and T. Goto

    Proceedings of XXV International Conference on Phenomena in Ionized Gases 

     詳細を見る

    開催年月日: 2001年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3199. Analysis of photon-number squeezed light generation using nonlinear polarization interferometer 国際会議

    J. Higuchi, N. Nishizawa, T. Goto, M. Mori, and K. Yamane

    CLEO/Pacific Rim 2001 

     詳細を見る

    開催年月日: 2001年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3200. Measurement Techniques of Radicals and Their Gas Phase and Surface Reactions in Plasma Processes 国際会議

    M. Hori and T. Goto (Invited)

    International Workshop on Basis for Low Temperature Plasma Applications: Charged and Neutral Particle Transport in Gas Phase an in Plasma/Surface Interface 

     詳細を見る

    開催年月日: 2001年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  3201. Highly-Quality Ultrathin Fluorinated Silicon Nitride Gate Dielectric Film Formed by PECVD 国際会議

    H. Ohta, M. Hori, and T. Goto

    2nd International Workshop on Development of Thin Films for Future ULSI'S and Nano-Scale Process Integration 

     詳細を見る

    開催年月日: 2000年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3202. Environmentally Benign Etching Process for a-Si, W and SiO2 Materials in ULSIs 国際会議

    M. Hori, K. Fujita, and T. Goto

    2nd International Workshop on Development of Thin Films for Future ULSI7S and Nano-Scale Process Integration 

     詳細を見る

    開催年月日: 2000年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3203. Formation of Polytetrafluoroethylene-Like Low-k Films Employing Environmentally Benign Plasma CVD Process 国際会議

    K. Fujita, M. Ito, M. Hori, and T. Goto

    2nd International Workshop on Development of Thin Films for Future ULSI7S and Nano-Scale Process Integration 

     詳細を見る

    開催年月日: 2000年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3204. Measurement of Spatial Distribution of Radicals and Formation of Low-k Films Employing ECR Plasma CVD 国際会議

    M. Nakamura, M. Hori, and T. Goto

    2nd International Workshop on Development of Thin Films for Future ULSI'S and Nano-Scale Process Integration 

     詳細を見る

    開催年月日: 2000年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3205. Depression of Pressure Limit of Diamond Growth in an Inductively Coupled Plasma 国際会議

    K. Teii, Hikaru Funakoshi, H. Ito, M. Hori, T. Takeo, and T. Goto

    The 2000 Fall Materials Research Society Meeting 

     詳細を見る

    開催年月日: 2000年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3206. Etching Mechanism of Silicon Oxide over Silicon Nitride in ECR C4F8 Plasma Employing In-situ FT-IR RAS and In-situ XPS 国際会議

    M. Hori, S. Senda, M. Ito, and T. Goto

    2nd International Workshop on Development of Thin Films for Future ULSI'S and Nano-Scale Process Integration 

     詳細を見る

    開催年月日: 2000年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3207. Dry Etching of Organic Low-k Films Employing High Density Plasma and Its Diagnostics of Radicals 国際会議

    M. Hori, S. Nagai, S. Takashima, and T. Goto

    2nd International Workshop on Development of Thin Films for Future ULSI'S and Nano-Scale Process Integration 

     詳細を見る

    開催年月日: 2000年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3208. Silicon Oxide Contact Hole Etching Process Employing Environmentally harmonized Technique 国際会議

    K. Fujita, M. Hori, T. Goto, and M. Ito

    47th International Symposium American Vacuum Society 

     詳細を見る

    開催年月日: 2000年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3209. Loss Kinetics of Carbon Atoms in Diamond Deposition Employing Low-Pressure Inductively Coupled Plasma 国際会議

    H. Ito, K. Teii, Hikaru Funakoshi, M. Hori, T. Goto, M. Ito, and T. Takeo

    53rd Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2000年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3210. Correlation between Gas Phase and Substrate Surface on Fabrication of Low-k Film in ECR Plasma with C4F8 and Perfluorocarbon-Replacement Gases 国際会議

    M. Nakamura, K. Teii, S. Takashima, M. Hori, T. Goto, and N. Ishii

    53rd Annual Gaseous Electronics Conference, 

     詳細を見る

    開催年月日: 2000年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3211. Etching Mechanism of Silicon Nitride Film in Self-Aligned Contact Etching Process 国際会議

    M. Ito, S. Senda, K. Kamiya, M. Hori, and T. Goto

    47th International Symposium American Vacuum Society 

     詳細を見る

    開催年月日: 2000年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3212. Studies on Absolute H Atom Density in Material Plasma Processes Using Vacuum Ultraviolet Absorption Spectroscopy Employing Microplasma 国際会議

    S. Takashima M. Hori, T. Goto, and K. Yoneda

    53rd Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2000年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3213. Highly-Quality Ultrathin Fluorinated Silicon Nitride Gate Dielectric Films Prepared by Plasma Enhanced Chemical Vapor Deposition Employing NH3 and SiF4 国際会議

    H. Ohta, M. Hori, and T. Goto

    47th International Symposium American Vacuum Society 

     詳細を見る

    開催年月日: 2000年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3214. Nitrogen Atoms in a Compact Electron Beam Excited Plasma 国際会議

    S. Tada, S. Takashima M. Hori, M. Ito, S. Den, Y. Sakamoto, and T. Goto

    15th Europhysics Conference on Atomic and Molecular Physics of Ionized Gase 

     詳細を見る

    開催年月日: 2000年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ハンガリー共和国  

  3215. Ultrathin Fluorinated Silicon Nitride Gate Dielectric Film Formed by Plasma Enhanced Chemical Vapor Deposition Employing NH3 and SiF4 国際会議

    H. Ohta, A. Nagashima, M. Hori, and T. Goto

    The 2000 International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2000年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3216. Absolute Density Measurement of Hydrogen and Nitrogen Atoms in High Density Reactive Plasma Using Vacuum Ultraviolet Absorption Spectroscopy Employing a Microdischarge Hollow-Cathode Lamp 国際会議

    M. Hori, S. Takashima, S. Tada, A. Kono and T. Goto

    15th Europhysics Conference on Atomic and Molecular Physics of Ionized Gases 

     詳細を見る

    開催年月日: 2000年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ハンガリー共和国  

  3217. Behaviors of CFx (x=1-3) Radicals and Polymeric Species in High-Density Fluorocarbon Plasmas 国際会議

    M. Hori, M. Nakamura, M. Ito, and T. Goto

    3rd International Workshop on Fluorocarbon Plasma 

     詳細を見る

    開催年月日: 2000年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  3218. Diagnostics of Neutral Species and Insight into the Surface Reaction in High-Density Fluorocarbon Plasma Process 国際会議

    103. M. Hori

    5th International Workshop on Advanced Plasma Tool & Process Engineering 

     詳細を見る

    開催年月日: 2000年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  3219. Surface Reactions for Low Temperature Formation of Ultrathin Silicon Nitride Gate Dielectric Film Employing Plasma Enhanced Chemical Vapor Deposition 国際会議

    T. Goto, and M. Hori

    Proceedings of International Workshop on Basic Aspect of Non-Equilibrium Plasma Interacting with Surface (BANPIS) 

     詳細を見る

    開催年月日: 2000年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  3220. Environmentally Harmonized Silicon Oxide Selective Etching Process Employing Novel Radical Infection Technique 国際会議

    96. K. Fujita, S. Kobayashi, M. Hori, and T. Goto

    46th International Symposium American Vacuum Society 

     詳細を見る

    開催年月日: 1999年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3221. Ultra-Low-Temperature Formation of Silicon Nitride Gate Dielectric Film by Novel Plasma Technique 国際会議

    M. Hori, H. Ohta, A. Nagashima, M. Ito, and T. Goto

    46th International Symposium American Vacuum Society 

     詳細を見る

    開催年月日: 1999年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3222. Development of Vacuum Ultraviolet Absorption Spectroscopy Using High Pressure H2 Microdischarge Hollow Cathode Lamp for Measurement of H Atom Density in Plasma 国際会議

    S. Takashima, M. Hori, A. Kono, T. Goto M. Ito, and K. Yoneda

    52nd Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 1999年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3223. Spatial Distribution Measurements of Absolute CFx (X=1-2) Radical Densities Using Single Path Infrared Diode Laser Absorption Spectroscopy and Laser-Induced Fluorescence Technique 国際会議

    M. Ito, M. Nakamura, M. Hori, T. Goto, and N. Ishii

    52nd Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 1999年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3224. Diagnostics of Ultrahigh Frequency SiH4/H2 Plasmas for Synthesizing Polycrystalline Silicon Thin Film at Low Substrate Temperatures 国際会議

    M. Ito, S. Sumiya, Y. Mizutani, M. Hori, T. Goto, S. Samukawa, and T. Tsukada

    52nd Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 1999年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3225. Measurement of Atomic Species in High-Density Reactive Plasmas Using Vacuum Ultraviolet Absorption Spectroscopy with High Pressure H2 Microdischarge Hollow Cathode Lamp 国際会議

    S. Takashima, M. Hori, A. Kono, T. Goto, M. Ito, and K. Yoneda

    52nd Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 1999年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3226. Diamond Formation Using a Low-Pressure Inductively Coupled Plasma 国際会議

    H. Ito, K. Teii, M. Ito, M. Hori, T. Takeo, and T. Goto

    46th International Symposium American Vacuum Society 

     詳細を見る

    開催年月日: 1999年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3227. Spatial Distribution Measurement of Radicals in C4F8 and SiF4 Plasmas Using Infrared Diode Laser Absorption Spectroscopy and Laser-Induced Fluorescence 国際会議

    M. Ito, M. Nakamura, M. Hori, and T. Goto

    The 9th International Symposium on Laser-Aided Plasma Diagnostic 

     詳細を見る

    開催年月日: 1999年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3228. Control of Ion Bombardment and Species for Ultra Low Temperature Formation of Silicon Nitride Gate Dielectric Film Using Plasma Chemical Vapor Deposition 国際会議

    H. Ohta, A. Nagashima, M. Ito, M. Hori, and T. Goto

    The 1999 International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 1999年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3229. Measurement of Spatial Distribution of Absolute CFx Radical Densities in a Plasma Reactor Using Infrared Diode Laser A sorption and Laser-Induced Fluorescence 国際会議

    94. M. Nakamura, H. Nakayama, M. Ito, M. Hori, A. Kono, T. Goto, and N. Ishii

    Pacific Rim Conference on Lasers and Electro-Optics 

     詳細を見る

    開催年月日: 1999年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  3230. Environmentally Harmonized Plasma Etching Process Using Laser Ablation of Various Solid Materials 国際会議

    K. Fujita, S. Kobayashi, M. Ito, M. Hori, and T. Goto

    Pacific Rim Conference on Lasers and Electro-Optics 

     詳細を見る

    開催年月日: 1999年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  3231. Control of Initial Layer Low Temperature and High Deposition Rate Polycrystalline Silicon Film Formation Process 国際会議

    K. Murata, M. Ito, M. Hori, and T. Goto

    1999 International Microprocess and Nanotechnology Conference 

     詳細を見る

    開催年月日: 1999年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3232. Loss Kinetics of Carbon Atoms in Low-Pressure High Density Plasma 国際会議

    H. Ito, T. Takeo, M. Hori, and T. Goto

    The 5th International Symposium on Sputtering & Plasma Process (ISSP'99) 

     詳細を見る

    開催年月日: 1999年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3233. Optical Diagnostics of Low-Pressure Inductively Coupled Plasma for Nanocrystalline Diamond Growth 国際会議

    K. Teii, H. Ito, M. Ishikawa, M. Ito, M. Hori, T. Takeo, T. Kato, and T. Goto

    XXIV International Conference on Phenomena in Ionized Gases 

     詳細を見る

    開催年月日: 1999年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ポーランド共和国  

  3234. Spatial Distribution Measurement of Absolute CFx Radical Densities in Electron Cyclotron Resonance Fluorocarbon Plasma 国際会議

    H. Nakayama, M. Nakamura, M. Ito, M. Hori, T. Goto, A. Kono, and N. Ishii

    XXIV International Conference on Phenomena in Ionized Gases 

     詳細を見る

    開催年月日: 1999年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ポーランド共和国  

  3235. Observation of Polymeric Species Produced in High-Density Fluorocarbon Plasma 国際会議

    K. Teii, M. Ito, M. Hori, T. Goto, and N. Ishii

    XXIV International Conference on Phenomena in Ionized Gase 

     詳細を見る

    開催年月日: 1999年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ポーランド共和国  

  3236. Behaviors and Control of CFx (x=1-3) Radicals in Environmentally Harmonized ECR Plasma Employing a Novel Radical Source 国際会議

    K. Fujita, S. Kobayashi, M. Ito, M. Hori, and T. Goto

    XXIV International Conference on Phenomena in Ionized Gases 

     詳細を見る

    開催年月日: 1999年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ポーランド共和国  

  3237. Characteristics of H2 Micro Hollow Cathode Plasma and Its Application for Vacuum Ultraviolet Absorption Spectroscopy 国際会議

    S. Takashima, S. Arai, M. Hori, T. Goto, A. Kono, M. Ito, and K. Yoneda

    International Symposium on Electron-Molecule Collisions and Swarms 

     詳細を見る

    開催年月日: 1999年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3238. In-Situ Observation of Surface Reaction on Si3N4 Films in ECR Selectively Etching of SiO2 over Si3N4 Process 国際会議

    M. Hori, K. Kamiya, T. Goto, and M. Ito

    The 1999 Joint International Meeting 

     詳細を見る

    開催年月日: 1999年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3239. Photo-Induced Etching of Metal Fluoride Film Using Synchrotron Radiation 国際会議

    H. Nagai, M. Hiramatsu, M. Nawata, J. Kamiiisaka, M. Hori, and T. Goto

    The 1999 Joint International Meetin 

     詳細を見る

    開催年月日: 1999年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3240. Control over Size and Density of Sub-5nm Gold Dots by Retarding-Field Single Ion Deposition (RSID) 国際会議

    M. Hori, T. Goto, R.G. Woodham, and H. Ahmed

    4th International Symposium on New Phenomena in Mesoscopic Structure (NPMS'98)  

     詳細を見る

    開催年月日: 1998年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3241. Novel Etching Process Keeping Harmony with Environment by Radical Control 国際会議

    M. Hori, K. Fujita, M. Ito, and T. Goto

    nternational Workshop on Development of Thin Film for Future ULSI's and Nano-Scale Process Integration 

     詳細を見る

    開催年月日: 1998年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3242. Silicon Nitride Ultra Thin Film by ECR Plasma and Its Application to Gate-Insulator 国際会議

    H. Ohta, A. Nagashima, M. Ito, M. Hori, and T. Goto

    51st Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 1998年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3243. Effect of Ion Bombardment on the Initial Growth in Low Temperature Poly-Si Formation 国際会議

    K. Murata, K. Kamiya, R. Nozawa, M. Ito, M. Hori, and T. Goto

    51st Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 1998年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3244. Spatial Distribution Measurement of Absolute CFx Radical Densities in Electron Cyclotron Resonance C4F8 Plasma 国際会議

    M. Nakamura, H. Nakayama, M. Ito, M. Hori, A. Kono, T. Goto, and N. Ishii

    51st Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 1998年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3245. Silicon Oxide Selective Etching and Chamber Cleaning Process for Preventing Global Warming 国際会議

    K. Fujita, S. Kobayashi, M. Ito, M. Hori, and T. Goto

    51st Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 1998年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3246. Behaviors of CFx (x=1-3) and Polymeric Species in Electron Cyclotron Resonance Fluorocarbon Plasma 国際会議

    1st Gaseous Electronics Conference, 

     詳細を見る

    開催年月日: 1998年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3247. Behavior of Atomic C Species in Inductively Coupled Plasma 国際会議

    H. Ito, M. Ishikawa, M. Ito, M. Hori, T. Takeo, and T. Kato

    51st Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 1998年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3248. Development of Incoherent-Light Absorption Spectroscopic Technique for Measuring Atomic Species in Process Plasma 国際会議

    S. Takashima, S. Arai, M. Ito, M. Hori, T. Goto, A. Kono, and K. Yoneda

    51st Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 1998年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3249. Novel Process of SiO2/Si Selective Etching Using New Gas System against Global Warming 国際会議

    M. Hori, K. Fujita, M. Ito, and T. Goto

    1998 International Microprocesses and Nanotechnology Conference 

     詳細を見る

    開催年月日: 1998年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  3250. Effect of Charged Species on the Initial Growth in Low Temperature Poly-Si Formation 国際会議

    K. Murata, R. Nozawa, M. Ito, M. Hori, and T. Goto

    The 4th Asia-Pacific Conference on Plasma Science for Materials 

     詳細を見る

    開催年月日: 1998年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:オーストラリア連邦  

  3251. Spatial Distribution of CF and CF2 Radical Densities in a Large-Scale ECR Plasma by Using Infrared Diode Laser Absorption Spectroscopy 国際会議

    M. Hori, M. Nakamura, M. Ito, A. Kono, N. Ishii, and T. Goto

    2nd International Workshop on Fluorocarbon Plasma 

     詳細を見る

    開催年月日: 1998年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  3252. Behavior of Carbon Atom Density in Hydrocarbon and Fluorocarbon Plasma 国際会議

    H. Ito, M. Ito, M. Ishikawa, M. Hori, T. Goto, T. Takeo, and T. Kato

    The 1st Asia-Pacific International Symposium on the Basic and Application of Plasma Technology 

     詳細を見る

    開催年月日: 1997年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:台湾  

  3253. Measurement of Si Atom Density in Ultra High Frequency Discharge Silane Plasma 国際会議

    Y. Yamamoto, R. Yoshida, M. Ito, M. Hori, T. Goto, S. Samukawa, and T. Tsukada

    50th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 1997年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3254. Diamond Film Formation Using in a Low Pressure Radio Frequency Inductively Coupled Plasma 国際会議

    H. Noda, H. Nagai, M. Hiramatsu, M. Nawata, M. Hori, and T. Goto

    50th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 1997年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3255. Measurement of Absolute Densities of SiFx in Electron Cyclotron Resonance SiF4 Plasma 国際会議

    M. Nakamura, H. Arai, K. Miyata, M. Ito, M. Hori, and T. Goto

    50th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 1997年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3256. Absolute Density Measurement of FCN in CHF3 ECR Plasma Etching of Si3N4 国際会議

    K. Miyata, H. Arai, M. Ito M. Hori, and T. Goto

    50th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 1997年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3257. Absolute Density Measurement of FCN in CHF3 ECR Plasma Etching of Si3N4 国際会議

    K. Miyata, H. Arai, M. Ito M. Hori, and T. Goto

    50th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 1997年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3258. Measurement of Einstein's A Coefficient of Transition Line at 296.7nm for Carbon Atom 国際会議

    H. Ito, M. Ito, M. Hori, A. Kono, T. Takeo, H. Hattori, and T. Goto

    50th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 1997年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3259. Downflow Plasma-Enhanced Chemical Vapor Deposition Using Hexamethyl-Disiloxane for Preparation of Low Dielectric Constant Interlayer Dielectrics 国際会議

    K. Fujita, M. Hiramatsu, M. Nawata, M. Hori, and T. Goto

    50th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 1997年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3260. Development of Novel CF2 Radical Source by Using Laser Ablation of PTFE 国際会議

    K. Fujita, M. Hiramatsu, M. Nawata, M. Hori, and T. Goto

    50th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 1997年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3261. Surface Reaction of CF2 Radical with Ar Ion Bombardment in Fluorocarbon Film Formation for Highly Selective SiO2/Si Etching 国際会議

    M. Inayoshi, M. Ito, M. Hori, M. Hiramatsu, and T. Goto

    The 13th International Symposium on Plasma Chemistry, 

     詳細を見る

    開催年月日: 1997年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:中華人民共和国  

  3262. The Roles of Atomic Carbon for High Selective SiO2/Si Etching in Permanent Magnet ECR C4F8/CH4 Plasma 国際会議

    M. Hori, M. Ito, S. Den, P. O'Keeffe, Y. Hayashi, Y. Sakamoto, and T. Goto

    International 1997 Microprocesses and Nano-Technology Conference, 

     詳細を見る

    開催年月日: 1997年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3263. Measurement of Carbon Radical Density in ECR and ICP Plasma Processes 国際会議

    M. Ito, H. Ito, M. Ikeda, M. Hori, H. Hattori, and T. Goto

    he Electrochemical Society, INC., Spring Meeting271 

     詳細を見る

    開催年月日: 1997年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:カナダ  

  3264. Low Temperature Polycrystalline Silicon Formation by Neutral Reactive Species in Electron Cyclotron Resonance SiH4/H2 Plasma Chemical Vapor Deposition 国際会議

    R. Nozawa, M. Ito, M. Hori, and T. Goto

    The 3rd International Conference on Reactive Plasmas and 14th Symposium on Plasma Processing 

     詳細を見る

    開催年月日: 1997年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3265. Generation Process of Fluorocarbon Radicals in ECR-CF4 and C4F8 Plasmas 国際会議

    K. Miyata, M. Ito, M. Hori, and T. Goto

    the 3rd International Conference on Reactive Plasmas and 14th Symposium on Plasma Processing 

     詳細を見る

    開催年月日: 1997年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3266. Surface Reaction of CF2 Radical in Silicon Oxide Selective Etching Process 国際会議

    M. Hori, M. Inayoshi, M. Ito, M. Hiramatsu, and T. Goto

    International Workshop on Basic Aspect of Nonequilibrium Plasmas Interacting with Surface 

     詳細を見る

    開催年月日: 1997年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  3267. Measurement of Carbon Radical Density in High Density Plasma Process 国際会議

    H. Ito, M. Ikeda, M. Ito, M. Hori, T. Takeo, H. Hattori, and T. Goto

    The 3rd International Conference on Reactive Plasmas and 14th Symposium on Plasma Processing 

     詳細を見る

    開催年月日: 1997年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3268. Study on Growth Processes of Particles in RF SiH4 Plasmas 国際会議

    H. Kawasaki, H. Ohkura, T. Fukuzawa, M. Shiratani, Y. Watanabe, Y. Yamamoto, S. Suganuma, M. Hori, and T. Goto

    The 3rd International Conference on Reactive Plasmas and 14th Symposium on Plasma Processing 

     詳細を見る

    開催年月日: 1997年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3269. Effects of Dilution Gases on Si Atom and SiHx+(x=0-3) Ions in ECR SiH4 Plasma 国際会議

    Y. Yamamoto, S. Suganuma, M. Ito, M. Hiramatsu, M. Hori, T. Goto

    Tthe 3rd International Conference on Reactive Plasmas and 14th Symposium on Plasma Processing 

     詳細を見る

    開催年月日: 1997年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3270. Development and Characteristics of a Microwave Radical Beam Source 国際会議

    S. Den, M. Ikeda, H. Muto, M. Ito, M. Hori, and T. Goto

    The 3rd International Conference on Reactive Plasmas and 14th Symposium on Plasma Processing 

     詳細を見る

    開催年月日: 1997年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3271. Behavior of CH3 Radical in Parallel-Plate RFCH3OH Plasma with Hand OH Radical Injection and Surface Characteristics of Synthesized Diamond Film 国際会議

    M. Ikeda, H. Ito, K. Murata, M. Hori, M. Hiramatsu, and T. Goto

    Tthe 3rd International Conference on Reactive Plasmas and 14th Symposium on Plasma Processing 

     詳細を見る

    開催年月日: 1997年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3272. Diagnostics of a Newly Developed 300mm Homogeneous Permanent Magnet ECR Plasma 国際会議

    S. Den, T. Kuno, M. Hori, T. Goto, Y. Hayashi, and Y. Sakamoto

    43rd American Vacuum Society Conference 

     詳細を見る

    開催年月日: 1996年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3273. CFx (x=1-3) Radical Densities during SiO2, Si3N4 and Si Etching Employing ECR-CHF3 Plasma 国際会議

    K. Miyata, T. Kuno, M. Hori, and T. Goto

    43rd American Vacuum Society Conference 

     詳細を見る

    開催年月日: 1996年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3274. Oxygen Radical Assisted Laser Evaporation of Polysiloxane for Preparation of Insulating Films with Low Dielectric Constant 国際会議

    49th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 1996年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3275. In-Situ Surface Diagnostics of a-Si:H Film during ECR-H2 Plasma Annealing 国際会議

    M. Hori, R. Nozawa H. Takeda, M. Nakamura, M. Ito, and T. Goto

    49th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 1996年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3276. The Roles of Plasma Species on Polycrystalline Silicon Film Formation by ECR SiH4/H2 Plasma 国際会議

    M. Ito, R. Nozawa, K. Murata, M. Hori, and T. Goto

    49th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 1996年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3277. Dissociation Processes of Fluorocarbon in ECR Etching Plasmas 国際会議

    K. Miyata, H. Arai, M. Hori, and T. Goto

    49th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 1996年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3278. Behaviors of Si Atoms and SiHx+(x=0-3) Ions in ECR SiH4 Plasma 国際会議

    Y. Yamamoto, S. Suganuma M. Ito, M. Hori, and T. Goto

    9th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 1996年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3279. Carbon Radical Measurement in Inductively Coupled CO Plasma 国際会議

    M. Ikeda, M. Ito, M. Hori, T. Goto, and H. Ito

    49th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 1996年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3280. Behaviors of CFx Radicals in ECR Fluorocarbon Plasmas and Control SiO2 Etching by Radical Injection 国際会議

    T. Goto and M. Hori

    49th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 1996年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  3281. The Effect of Radicals on the Crystallinity of Polycrystalline Silicon Films Formed by Using ECR SiH4/H2 Plasma 国際会議

    M. Hori, R. Nozawa, H. Takeda, M. Ito, and T. Goto

    43rd American Vacuum Society Conference 

     詳細を見る

    開催年月日: 1996年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3282. STM/STS Characteristics of Diamond Films Prepared by RF Plasma CVD with OH Radical Infection 国際会議

    M. Ito, K. Murata, M. Hori, and T. Goto

    43rd American Vacuum Society Conference 

     詳細を見る

    開催年月日: 1996年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3283. High-Aspect-Ratio Ablation of Polytetrafluoroethylene by Synchrotron Radiation Irradiation 国際会議

    the 9th International MicroProcess Conference 

     詳細を見る

    開催年月日: 1996年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3284. Diagnostics of Fluorocarbon Radicals in a Compact Permanent Magnet ECR Etching Plasma Proceedings of the 9th International MicroProcess Conference 国際会議

    S. Den, T. Kuno, K. Miyata, M. Ito, M. Hori, T. Goto, Y. Hayashi, and Y. Sakamoto

    The 9th International MicroProcess Conference 

     詳細を見る

    開催年月日: 1996年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3285. Ion Bombardment Effects on Polycrystalline Silicon Formation in Electron Cyclotron Resonance SiH4/H2 Plasma Enhanced Chemical Vapor Deposition 国際会議

    R. Nozawa, H. Takeda, M. Ito, M. Hori, and T. Goto

    The 3rd Asia-Pacific Conference on Plasma Science & Technology, 

     詳細を見る

    開催年月日: 1996年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3286. Radical Behavior in Fluorocarbon Plasma and Control of Silicon Oxide Etching by Infection of Radicals 国際会議

    T. Goto and M. Hori

    the 9th International MicroProcess Conference 

     詳細を見る

    開催年月日: 1996年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  3287. Effects of Ions on Surface Morphology and Structures of Polycrystalline Silicon Films Prepared by Electron Cyclotron Resonance Silane/Hydrogen Plasmas 国際会議

    M. Ito, R. Nozawa, H. Takeda, M. Hori, and T. Goto

    189th Meeting of the Electrochemical Society 

     詳細を見る

    開催年月日: 1996年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3288. Surface Reaction of CF2 Radical in Fluorocarbon Plasma Etching Process 国際会議

    M. Hori, M. Inayoshi, T. Goto, and M. Hiramatsu

    189th Meeting of the Electrochemical Society 

     詳細を見る

    開催年月日: 1996年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3289. CF and CF2 Radical Chemistry in the Gaseous Phase of a Compact Permanent Magnet ECR Plasma Source 国際会議

    . Den, T. Kuno, K. Miyata, M. Ito, M. Hori, T. Goto, Y. Hayashi, and Y. Sakamoto

    189th Meeting of the Electrochemical Society 

     詳細を見る

    開催年月日: 1996年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3290. Effects of OH, H, and CH3 Radicals on Diamond Film Formation Using a Parallel-Plate RF Plasma 国際会議

    M. Ikeda, E. Mizuno, K. Yamada, S. Kato, M. Hiramatsu, M. Nawata, M. Hori,T. Goto

    Symposium on Diamond Electronics Devices 

     詳細を見る

    開催年月日: 1996年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3291. Etching Control and Clarification of Important Radical for Etching by Employing Radical Injection Technique 国際会議

    M. Hori, T. Goto

    SEMI Technology Symposium 95 

     詳細を見る

    開催年月日: 1995年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3292. Effects of Carbon Source Gases on Diamond Thin Film Formation Using RF Plasma CVD Assisted by Microwave Plasma 国際会議

    K. Yamada, E. Mizuno, S. Kato, M. Hiramatsu, M. Nawata, M. Ikeda, M. Hori, T. Goto

    48th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 1995年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3293. Diamond Film Formation by FR Plasma CVD with Radical Source Employing H2 and H2O Gases 国際会議

    E. Mizuno, K. Yamada, S. Kato, M. Hiramatsu, M. Nawata M. Ikeda, M. Hori,T. Goto

    48th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 1995年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3294. Effects of H, OH and CH3 Radicals on Diamond Formation in a Capacitively Coupled RF Plasma 国際会議

    M. Ikeda, K. Aiso, M. Hori, T. Goto, K. Yamada, E. Mizuno, M. Hiramatsu, M. Nawata

    42nd American Vacuum Society Conference 

     詳細を見る

    開催年月日: 1995年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3295. Diagnostics of CFx (x:1-3) Radicals and F Atom in ECR Etching Plasmas Employing CF4, C2F6 and C4F8 国際会議

    M. Hori, K. Miyata, K. Takahashi,T. Goto

    42nd American Vacuum Society Conference 

     詳細を見る

    開催年月日: 1995年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3296. Measurement of CH3 Radical Density in ECR and Parallel-Plate RF Plasmas 国際会議

    M. Ikeda, K. Aiso, M. Hori, T. Goto

    48th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 1995年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3297. Measurement of Radical Densities Associated with C4F8 Plasma from a Large Area ECR Plasma Source 国際会議

    S. Den, T. Kuno, K. Takahashi, M. Ito, M. Hori, Y. Hayashi, Y. Sakamoto,T. Goto

    48th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 1995年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3298. Influence of Wall Surface on CFx(X=1-3) Radicals and Film Deposition in CHF3/H2 ECR Etching Plasma 国際会議

    M. Hori, K. Takahashi, T. Goto

    48th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 1995年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3299. Interaction of CF Radical with Wall Surfaces in ECR Etching Plasma Employing CHF3/H2 国際会議

    K. Takahashi, M. Hori,T. Goto

    IUVSTA International Workshop on Plasma Source and Surface Interactions in Materials  

     詳細を見る

    開催年月日: 1995年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3300. Deposition of Polysiloxane Films Using CO2 Laser Evaporation Assisted by Remote O2 Plasma and Its Transformation to Silicon Oxide Films 国際会議

    S. Hattori, T. Fujii, T. Yokoi, M. Hiramatsu, M. Nawata, M. Hori,T. Goto

    the 12th International Symposium on Plasma Chemistry 

     詳細を見る

    開催年月日: 1995年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3301. Diamond Thin Film Formation Using RF CH3OH Plasma Assisted by Hand OH Radicals 国際会議

    M. Ikeda, M. Hori, T. Goto, K. Yamada, E. Mizuno, M. Hiramatsu,M. Nawata

    the 3rd International Symposium on Sputtering and Plasma Processes 

     詳細を見る

    開催年月日: 1995年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3302. Diamond Film Formation Using Plasma CVD Assisted by Water Vapor Enhanced Hydrogen Radical Source 国際会議

    M. Hiramatsu, K. Yamada, E. Mizuno, M. Nawata, M. Ikeda, M. Hori, T. Goto

    22nd IEEE International Conference on Plasma Science 

     詳細を見る

    開催年月日: 1995年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3303. Gas Phase Reactions of Fluorocarbon Radicals in CHF3/H2 and CHF3/O2 ECR Downstream Plasmas 国際会議

    K. Takahashi, K. Miyata, M. Hori, S. Kishimoto,T. Goto

    47th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 1994年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3304. Si and SiH3 Radicals in On-Off Modulated ECR SiH4 Plasma 国際会議

    M. Hori, Y. Yamamoto, M. Hiramatsu, T. Goto

    47th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 1994年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3305. Formation of Fluorocarbon Thin Films Using Plasma CVD Assisted by Selective Radical Source 国際会議

    M. Inayoshi, K. Yamada, M. Hiramatsu, M. Nawata, M. Ikeda, M. Hori, T. Goto

    47th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 1994年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3306. Effect of H2 and Ar Dilutions on Si and SiH3 Radical Densities in Electron Cyclotron Resonance Silane Plasma, 国際会議

    Y. Yamamoto, M. Hori, M. Hiramatsu, and T. Goto

    the 2nd Asia-Pacific Conference on Plasma Science & Technology 

     詳細を見る

    開催年月日: 1994年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  3307. Fluorocarbon Radicals and Polymerization in On-Off Modulated CHF3 Electron Cyclotron Resonance Plasma 国際会議

    K. Takahashi, M. Hori, S. Kishimoto,T. Goto

    the 2nd International Conference on Reactive Plasmas and 11th Symposium on Plasma Processing 

     詳細を見る

    開催年月日: 1994年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3308. Measurement of Absolute Densities of Si, SiH and SiH3 in SiH4/H2 Electron Cyclotron Resonance Plasma 国際会議

    Y. Yamamoto, M. Hori, H. Nomura, T. Tanaka, M. Hiramatsu, and T. Goto

    the 2nd International Conference on Reactive Plasmas and 11th Symposium on Plasma Processing  

     詳細を見る

    開催年月日: 1994年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3309. CF, CF2 and CF3 Radical Densities in On-Off Modulated ECR CHF3 Plasma 国際会議

    M. Hori, K. Takahashi, T. Goto

    46th Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 1993年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:カナダ  

  3310. Residue Free etching of Al-Si-Cu-Alloy Employing Magnetron RIE 国際会議

    K. Hattori, M. Hori, M. Aoyama

    183th Meeting of the Electrochemical Society 

     詳細を見る

    開催年月日: 1993年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3311. Radiation Damage in SiN and SiC Mask Membranes 国際会議

    M. Itoh, M. Hori, H. Komano, I. Mori

    35th International Symposium on Electron, Ion and Photon Beams 

     詳細を見る

    開催年月日: 1991年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3312. Fabrication of an Ultra-Low Stress Tungsten Absorber for X-Ray Masks 国際会議

    M. Itoh, M. Hori, H. Komano, I. Mori

    the 2nd International MicroProcess Conference 

     詳細を見る

    開催年月日: 1989年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3313. Radiation Damage in Silicon Nitride X-Ray Mask Membranes 国際会議

    M. Hori, I. Mori, S. Nadahara, Y. Kikuchi, H. Komano, and K. Tanaka

    the 1st International MicroProcess Conference 

     詳細を見る

    開催年月日: 1988年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3314. Plasma Polymerized X-Ray Resists Sensitized by High Z Atoms 国際会議

    S. Hattori, M. Hori, H. Yamada, S. Morita, and T. Yoneda

    the International Conference on Plasma Science and Technology 

     詳細を見る

    開催年月日: 1986年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:中華人民共和国  

  3315. Reconsideration of Fundamental Process and Molecular Design Principle for High Sensitivity Dry Developable X-Ray Resist 国際会議

    S. Hattori, S. Morita, M. Hori, and H. Yamada

    S. Hattori, S. Morita, M. Hori, and H. Yamada 

     詳細を見る

    開催年月日: 1986年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3316. Reverse Development of Negative Photoresist 国際会議

    K. Mori, H. Katsuragi, N. Ishikawa, M. Miyazaki, M. Hori, and S. Hattori

    XII International Conference on Photochemistry 

     詳細を見る

    開催年月日: 1985年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  3317. Au Containing Plasma Polymerized Styrene and Its Etching Characteristics 国際会議

    T. Yoneda, M. Hori, S. Morita, and S. Hattori

    the 7th International Symposium on Plasma Chemistry 

     詳細を見る

    開催年月日: 1985年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:オランダ王国  

  3318. Synchrotron Radiation Vacuum Lithography Using a Plasma Polymerized Resist 国際会議

    M. Hori, S. A. Gangal, H. Yamada, T. Yoneda, S. Morita, and S. Hattori

    the 7th International Symposium on Plasma Chemistry 

     詳細を見る

    開催年月日: 1985年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:オランダ王国  

  3319. The Polymerization Mechanism of Plasma Polymerized Methyl Methacrylate 国際会議

    S. A. Gangal, M. Hori, T. Yoneda, S. Morita, S. Hattori

    the 7th International Symposium on Plasma Chemistry 

     詳細を見る

    開催年月日: 1985年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:オランダ王国  

  3320. Effect of Carrier Gases on Plasma Polymerization Mechanism of Methyl Methacrylate 国際会議

    M. Hori, S. Hattori, and S. Morita

    Gordon Conference on Plasma Chemistry 

     詳細を見る

    開催年月日: 1984年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  3321. Plasma Polymerized Methyl Methacrylate as an X-Ray and Electron Beam Resist 国際会議

    M. Hori, J. Tamano, T. Miwa, S. Hattori, S. Morita, and T. Yoneda

    1st SPSJ International Polymer Conference 

     詳細を見る

    開催年月日: 1984年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

▼全件表示

科研費 28

  1. プラズマ誘起生体活性物質による超バイオ機能の展開

    2019年4月 - 2024年3月

    科学研究費補助金  特別推進研究

    堀 勝

      詳細を見る

    担当区分:研究代表者 

  2. プラズマ医療科学創成の総括とその破壊的イノベーションへの展開

    2017年4月 - 2018年3月

    科学研究費補助金  新学術領域研究

  3. カーボンナノウォールシートエッジエレクトロニクスの創成と単一細胞の分化誘導制御

    2015年4月 - 2018年3月

    科学研究費補助金  基盤研究(A)

    堀 勝

      詳細を見る

    担当区分:研究代表者 

  4. レーザートムソン散乱分光法による液中プラズマ中の電子エネルギー分布計測

    2013年4月 - 2014年3月

    科学研究費補助金  挑戦的萌芽研究

    堀 勝

      詳細を見る

    担当区分:研究代表者 

  5. プラズマ医療科学の創成

    2012年6月 - 2017年3月

    科学研究費補助金  新学術領域研究(研究領域提案型)

      詳細を見る

    担当区分:研究分担者 

  6. プラズマ医療科学創成に関する総括研究

    2012年6月 - 2017年3月

    科学研究費補助金  新学術領域研究(研究領域提案型)

    堀 勝

      詳細を見る

    担当区分:研究代表者 

  7. プラズマ医療のための気相・表界面反応ダイナミクスの計測と体系化

    2012年6月 - 2017年3月

    科学研究費補助金  新学術領域研究(研究領域提案型)

    堀 勝

      詳細を見る

    担当区分:研究代表者 

  8. プラズマ照射下その場原子分解能観察による垂直成長ナノグラフェン成長素過程の解明

    2012年4月 - 2015年3月

    科学研究費補助金  基盤研究(B)

      詳細を見る

    担当区分:研究分担者 

  9. アークジェットプラズマを用いたカーボンナノチューブの形成メカニズムの解明

    2010年4月 - 2013年3月

    科学研究費補助金  基盤研究(B)

      詳細を見る

    担当区分:研究分担者 

  10. カーボンナノウォールの超高速・超精密形成と次世代燃料電池デバイスへの応用

    2008年4月

    科学研究費補助金  基盤研究(A)・20246014

      詳細を見る

    担当区分:研究代表者 

  11. シリコンナノエレクトロニクスの新展開に関する総括的研究

    2006年4月 - 2011年3月

    科学研究費補助金  特定領域研究

      詳細を見る

    担当区分:研究分担者 

  12. 真空紫外レーザシステムによる大気圧非平衡プラズマ内の原子状ラジカルに関する研究

    2006年4月 - 2007年3月

    科学研究費補助金  萌芽研究、課題番号:18656214

    堀勝

      詳細を見る

    担当区分:研究代表者 

  13. 低次元カーボン系チャネルエンジニアリング

    2006年4月

    科学研究費補助金  特定領域

    堀 勝

      詳細を見る

    担当区分:研究代表者 

  14. カーボンナノウォールを基盤とする次世代高性能ディスプレイの開発

    2005年4月 - 2008年3月

    科学研究費補助金  基盤研究(A),課題番号:17206006

    堀 勝

      詳細を見る

    担当区分:研究代表者 

  15. 真空紫外レーザシステムを用いたプラズマプロセス中の酸素ラジカルに関する研究

    2005年4月 - 2006年3月

    科学研究費補助金  萌芽研究,課題番号:17656235

    堀 勝

      詳細を見る

    担当区分:研究代表者 

  16. シリコンナノエレクトロニクスの新展開-ポストスケーリングテクノロジー

    2005年4月 - 2006年3月

    科学研究費補助金  基盤研究(C)

      詳細を見る

    担当区分:研究分担者 

  17. 波長可変フェムト秒ファイバーレーザーを用いたプラズマ励起表面反応の動的計測法の構築

    2003年4月 - 2005年3月

    科学研究費補助金  基盤研究(A)(2)、課題番号:15206012

      詳細を見る

    担当区分:研究分担者 

  18. 配向性カーボンナノ構造体の大面積・低温形成と反応ダイナミックス

    2002年4月 - 2005年3月

    科学研究費補助金  基盤研究(B),課題番号:14350019

    堀 勝

      詳細を見る

    担当区分:研究代表者 

  19. ナノ臨海場制御による超高速・異方性選択エッチングに関する研究

    2001年4月 - 2004年3月

    科学研究費補助金  特定領域研究(A)(2)

      詳細を見る

    担当区分:研究代表者 

  20. 波長可変フェムト秒ファイバーレーザーを用いた近接場顕微分光測定による基板表面観察

    2001年4月 - 2004年3月

    科学研究費補助金  萌芽研究

      詳細を見る

    担当区分:研究代表者 

  21. ナノ臨界場制御による超高速・異方性選択エッチングに関する研究

    2001年4月 - 2002年3月

    科学研究費補助金  特定領域研究(A)

    堀 勝

      詳細を見る

    担当区分:研究代表者 

  22. 近接場光を用いたナノ構造機能制御に関する研究

    2000年4月 - 2004年3月

    科学研究費補助金  特定領域研究(B)(2)

      詳細を見る

    担当区分:研究分担者 

  23. 電子温度制御プラズマによるラジカルの単色化に関する研究

    1999年4月 - 2002年3月

    科学研究費補助金  基盤研究(A)(2)

      詳細を見る

    担当区分:研究分担者 

  24. UHF帯プラズマを用いた次世代大口径機能性薄膜プロセスの開発

    1997年4月 - 2000年3月

    科学研究費補助金  基盤研究(A)(2)

      詳細を見る

    担当区分:研究分担者 

  25. ラジカル制御を用いた表面反応過程および薄膜形成に関する研究

    1996年4月 - 1999年3月

    科学研究費補助金  基盤研究(A)(2)

      詳細を見る

    担当区分:研究分担者 

  26. ラジカル注入法を用いたプラズマエッチングとラジカル反応機構に関する研究

    1995年4月 - 1997年3月

    科学研究費補助金  一般研究(C)

      詳細を見る

    担当区分:研究代表者 

  27. プロセスプラズマ内の負イオンのカイネティックスに関する研究

    1994年4月 - 1995年3月

    科学研究費補助金  一般研究(B)

      詳細を見る

    担当区分:研究分担者 

  28. ECRエッチングプラズマ中のラジカル反応機構および制御に関する研究

    1994年4月 - 1995年3月

    科学研究費補助金  奨励研究(A)

      詳細を見る

    担当区分:研究代表者 

▼全件表示

 

担当経験のある科目 (本学) 4

  1. 基礎セミナーA

    2011

  2. エレクトロニクスと物性科学

    2011

  3. 電磁気学Ⅰ

    2011

  4. 誘電体工学

    2011

担当経験のある科目 (本学以外) 11

  1.  

    2010年4月 - 2011年3月 九州大学)

  2.  

    2010年4月 - 2011年3月 筑波大学)

  3.  

    2010年4月 - 2011年3月 九州大学)

  4.  

    2009年4月 - 2010年3月 東京大学)

  5.  

    2008年4月 - 2009年3月 九州大学)

  6.  

    2006年4月 - 2007年3月 九州大学)

  7.  

    2006年4月 - 2007年3月 筑波大学)

  8.  

    2005年4月 - 2006年3月 筑波大学)

  9. 電磁気学演習

    2004年4月 - 2005年3月 名城大学)

  10. 電磁気学演習

    2003年4月 - 2004年3月 名城大学)

  11. 電磁気学演習

    2002年4月 - 2003年3月 名城大学)

▼全件表示

 

社会貢献活動 3

  1. 名古屋大学 のぞいてみよう!プラズマと生物と医療の不思議な世界

    役割:出演

    2016年8月

  2. 第七回 幸田プレステージレクチャーズ 「低温プラズマ科学とその応用~幸田町でみられるオーロラ実演付~」

    役割:出演

    2015年7月

     詳細を見る

    幸田町民会館つばきホール 
    先端プラズマ技術の持つ可能性についての講演

  3. 明日の仕事に役立つプラズマエッチングの基礎と実例

    役割:出演

    2011年5月

     詳細を見る

    主催:リアライズ理工センター
    会場:池坊お茶の水学院

メディア報道 136

  1. 上記プラズマで金属炭化物のドライエッチングに成功ー名古屋大、日立などの共同研究グループー 新聞・雑誌

    科学新聞  pp. 3  2023年1月

  2. 紫綬褒章 新聞・雑誌

    日本経済新聞  pp.30  2022年11月

  3. 秋の褒章717人29団体に 新聞・雑誌

    朝日新聞  pp.27  2022年11月

  4. 秋の褒章 大沢在昌さんら717人  東海4県から89人.3団体 新聞・雑誌

    中部経済新聞  pp . 7  2022年11月

  5. 秋の褒章717人29団体 紫綬褒章 作家・大沢在昌さんら 新聞・雑誌

    岐阜新聞  pp.3  2022年11月

  6. 秋の褒章37人2団体 新聞・雑誌

    読売新聞  pp. 23  2022年11月

  7. 秋の褒章に717人 新聞・雑誌

    毎日新聞  PP.22  2022年11月

  8. 秋の褒章 喜びの声 新聞・雑誌

    日刊工業新聞  pp.25  2022年11月

  9. プラズマ研究に没頭 新聞・雑誌

    中日新聞  pp.23  2022年11月

  10. 科学の確立に挑む 新聞・雑誌

    日刊工業新聞  pp.6  2021年12月

     詳細を見る

    執筆者:本人以外 

  11. 次代の主役かプラズマ医療 新聞・雑誌

    ロハス・メディカル  pp. 2-17  http://lohasmedical.jp/e-backnumber/158/#target/page_no=1  2021年6月

     詳細を見る

    執筆者:本人以外 

  12. Three stage mode transitions and internal bullet propagation インターネットメディア

    Advances in Engineering  https://advanceseng.com/coaxial-dielectric-barrier-helium-discharges-three-stage-mode-transitions-internal-bullet-propagation/  2021年6月

     詳細を見る

    執筆者:本人以外 

  13. プラズマで医療の常識を変える(前編) 医工連携の実践者 44  インターネットメディア

    医薬経済ONLINE  pp.16-18  2021年5月

     詳細を見る

    執筆者:本人以外 

  14. プラズマで医療の常識を変える(前編) 医工連携の実践者 43  インターネットメディア

    医薬経済ONLINE  pp.22-24  2021年5月

     詳細を見る

    執筆者:本人以外 

  15. 堀 勝 低温プラズマ科学研究センター長がDPS 2020 Nishizawa Awardの受賞者に決定

    名古屋大学HP  2021年1月

  16. 堀 勝 低温プラズマ科学研究センター長が第2回AAPPS-DPP プラズマイノベーション賞の受賞者に決定

    名古屋大学HP  2020年9月

  17. チャンドラセカール賞に韓国のパク氏、プラズマイノベーション賞に名大の堀氏

    マイナビニュース  2020年9月

  18. チャンドラセカール賞に韓国のパク氏、プラズマイノベーション賞に名大の堀氏

    Yahooニュース  2020年9月

  19. チャンドラセカール賞に韓国のパク氏、プラズマイノベーション賞に名大の堀氏

    2020年9月

  20. プラズマ物理・応用分野 2賞の受賞者決定 アジア・太平洋物理学会連合の分科会

    日刊工業新聞  2020年9月

  21. プラズマによる革新的ながん治療へープラズマ照射によるがんの選択殺傷ー

    NUTopics  2020年2月

  22. 堀 勝 低温プラズマ科学研究センター長が2019年K-TRie賞を受賞!

    NU Topics 名大トピックス  2019年11月

  23. 低温プラズマ科学研究センターの設立

    PRESSe 名古屋大学工学研究科情報誌  2019年11月

  24. 低温プラズマ科学研究センター設立記念式典・講演会を開催

    NU Topics 名大トピックス  2019年9月

  25. 低温プラズマ研究センター設立式典

    中日新聞 日刊  2019年8月

  26. 低温プラズマ科学研究センター 名大 設立記念式典・講演会を挙行

    文教速報  2019年8月

  27. プラズマ研究 拠点設立

    日本経済新聞  2019年7月

  28. 名古屋大学に世界最高峰の低温プラズマ科学研究拠点 「低温プラズマ科学研究センター」を創立!

    MEIDAism -工学への道2020-  2019年7月

  29. 低温プラズマについて( プラスチック素材の加工技術や、イネやイチゴの栽培技術、それにチョウザメの養殖技術、がん治療に関する低温プラズマ技術の研究成果)

    CBCテレビ チャント  2019年5月

  30. 名大、プラズマ研究拠点を開設

    日経産業新聞  2019年4月

  31. IT風土記 愛知発 IT支える最先端技術がキャビアを産む 低温プラズマでチョウザメ養殖

    産経ビジネス  2018年11月

  32. IT風土記 愛知発 IT支える最先端技術がキャビアを産む!?

    NEC wisdom  2018年11月

  33. プラズマ応用へ共同事業体

    中日新聞  2018年7月

  34. 「抗酸化イチゴ」が誕生するかも!? 低温プラズマを農業に応用

    工学の道2019  2018年7月

  35. 名古屋大学や九州大学など、プラズマ使った生命科学の研究組織を発足

    名古屋テレビ  2018年7月

  36. プラズマが牽引する医療革命・農業革命・水産革命

    EAJ NEWS No.173(P4) 第3回EAJ中部レクチャー 名古屋大学減災ホール  2017年10月

  37. 「抗酸化イチゴ」開発 低温プラズマで栽培実験に成功

    日本農業新聞   2017年8月

  38. ものづくりの集積地で、世界のプラズマ研究をリードする

    名古屋大学プロフィール2017  2017年6月

  39. イチゴのアントシアニン低温プラズマ技術で増加

    科学新聞(平成29年6月30日4面)  2017年6月

  40. プラズマ照射で抗酸化成分増加 名大 イチゴで実験

    日本経済新聞WEB版(平成29年6月15日)  2017年6月

  41. 抗酸化力↑イチゴ実る プラズマ技術で世界初 名大と幸田町

    中日新聞朝刊29面(平成29年6月17日)  2017年6月

  42. 幸田町・名大 イチゴ実験成果 プラズマで健康成分増 促成、収穫増効果も

    読売新聞25面(平成29年6月17日)  2017年6月

  43. 幸田 「プラズマイチゴ」の実証実験 年内出荷目指す 名大が結果報告 抗酸化物質が増

    東海愛知新聞日刊 1面(平成29年6月18日)  2017年6月

  44. プラズマで健康イチゴ がん予防効果 抗酸化成分増え

    毎日新聞夕刊 6面(平成29年6月24日)  2017年6月

  45. 情報フラッシュ 抗酸化成分蓄積

    日刊工業新聞 24面(平成29年6月29日)  2017年6月

  46. 世界は未知で満ちている 「プラズマが変える未来」

    BS JAPAN 科学ミチル  2017年4月

  47. 最先端低温プラズマ技術で未来社会を変える

    名古屋大学 大学案内2018  2017年

  48. 演算子∇(ナブラ)とプラズマと未来へのアクション

    応用物理学会 プラズマエレクトロニクス分科会会報 2016 12月 発行  2016年12月

  49. NHK『おはよう東海』 プラズマ医療科学国際イノベーションセンターの取り組み

    NHK『おはよう東海』 平成28年12月6日  2016年12月

  50. 高付加価値の農作物

    東海愛知新聞 12月18日 朝刊  2016年12月

  51. 低温プラズマ実験始まる 豊根町と幸田町チョウザメ養殖の新技術

    中日新聞朝刊(平成28年9月10日)   2016年9月

  52. シュウ酸合成安価・簡単に ~低温プラズマ活用 シュウ酸カルシウム結晶構造にも変化~ 

    日刊工業新聞(平成28年8月25日)   2016年8月

  53. 名古屋大学「プラズマ科学プラットフォーム」の開所 グローバル共同利用施設の誕生

    公益財団法人 応用物理学会 プラズマエレクトロニクス分科会  2016年6月

  54. 漫画・はやのん理系漫画制作室 キラリ研究開発   第194 回・モノづくりと未来のエネルギー 名古屋大学プラズマ化学プラットフォーム(3)

    日刊工業新聞(平成28年6月20日)   2016年6月

  55. 漫画・はやのん理系漫画制作室 キラリ研究開発   第193 回・モノづくりと未来のエネルギー 名古屋大学プラズマ化学プラットフォーム(2)

    日刊工業新聞(平成28年6月6日)   2016年6月

  56. 漫画・はやのん理系漫画制作室 キラリ研究開発   第192回・モノづくりと未来のエネルギー 名古屋大学プラズマ化学プラットフォーム(1)

    日刊工業新聞(平成28年5月9日)   2016年5月

  57. プラズマ科学の研究拠点稼動

    日刊工業新聞(平成28年4月21日)   2016年4月

  58. 中京テレビ『キャッチ』 豊根村

    中京テレビ『キャッチ』(平成28年4月27日)  2016年4月

  59. Study of plasma properties for the low-temperature deposition of highly conductive Aluminum doped ZnO film using ICP assisted DC magnetron sputtering

    Plasma Processes and Polymers  2016年3月

  60. 愛知)プラズマ技術で「キャビア」特産化狙う 豊根村

    朝日新聞デジタル(WEB)  2015年10月

  61. 広報 こうた 2015 9月 No.891  P.11 (9月1日出版)

    2015年9月

  62. SiCxHy-based hydrophobic thin films with good chemical and mechanical properties synthesized by PECVD at various substrate temperatures

    Current Applied Physics 15  2015年9月

  63. 低温プラズマ科学とその応用

    2015年8月

  64. Improving the Gas Barrier and Mechanical Properties of a-SiOx Films Synthesized at Low Temperature By Using High Energy and Hydrogen Flow Rate Control

    Journal of Korean Physical Society 66  2015年8月

  65. 窒素添加結晶化法を用いたZnO 膜作製におけるN2/Ar プラズマ中のN 原子絶対密度計測

    2015年7月

  66. Utility of dual frequency hybrid source on plasma and radical generation in SiH4/H2 PECVD process

    Japanese Journal of Applied Physics 54  2015年7月

  67. Experimental evidence of warm electron populations in magnetron sputtering plasmas

    Journal of Applied Physics 117  2015年6月

  68. Effectiveness of hydrogen dilution for designing amorphous to crystalline Si thin film in ICP assisted magnetron sputtering

    Japanese Journal of Applied Physics 54  2015年5月

  69. Langmuir probe and optical emission spectroscopy studies in magnetron sputtering plasmas for Al-doped ZnO film deposition

    Journal of Applied Physics 117  2015年4月

  70. プラズマ表面改質の基礎から最前線 実演付

    化学会館(東京・御茶の水)  2015年3月

  71. プラズマ医療の可能性探る

    2015年3月

  72. Plasma diagnostic approach for high rate nanocrystalline Si synthesis in RF/UHF hybrid plasmas using PECVD process

    Plasma Processes and Polymers  2015年2月

  73. 目難病にプラズマ効果

    中日新聞(夕刊)  2015年1月

  74. Nitrogen radical and plasma diagnostics in dual frequency hybrid plasmas to investigate N2/SiH4 PECVD process

    Plasma Processes and Polymers  2015年1月

  75. Effectiveness of Plasma Diagnostic in UHF and RF Hybrid Plasmas for Synthesis of Silicon Nitride Film at Low Temperature

    Journal of Applied Physics 116  2014年4月

  76. Study on Effects of Hydrogen Flow Rates on the Properties of ZnO Thin Film Deposited by Facing Targets Sputtering System

    Japanese Journal of Applied Physical  2013年11月

  77. Study on change of electrical properties of ZnO thin films deposited in low temperature facing targets magnetron sputtering (FTS) system with H2 and O2 flow rate changes

    Journal of Physics: Conference Series  2013年11月

  78. プラズマ医療科学国際イノベーションセンター 癌治療に工学×医学

    週刊エコノミスト 臨時増刊10/16号  2013年10月

  79. プラズマの医療応用研究 名大が拠点

    日刊工業新聞  2013年8月

  80. 国内初 プラズマ医療の研究拠点設立

    毎日新聞  2013年8月

  81. 名大にプラズマ医療拠点 がん治療・創薬に応用期待

    日本経済新聞  2013年8月

  82. 名大、がん新治療法開発へ

    朝日新聞  2013年8月

  83. 医療研究センター設立

    中日新聞  2013年8月

  84. 名大、プラズマの医療応用研究拠点を設置

    日刊工業新聞  2013年8月

  85. 名大にプラズマ医療拠点 がん治療、創薬へ応用期待

    共同通信社  2013年8月

  86. 深訪・先端研究/プラズマ―作用メカニズム解析

    日刊工業新聞  2013年7月

  87. 名大など、窒化物半導体の結晶成長を5倍高速化―HDRS新開発

    日刊工業新聞  2013年5月

  88. 名大など、独自開発のプラズマ源を用いた新たながん治療法を開発(Yahooニュース)

    Yahooニュース  2013年3月

  89. プラズマ照射培養液 効いた 名大病院など がん細胞死滅を実証

    中日新聞P.32  2013年3月

  90. 名大、シリコン基板エッチング-プラズマ使わず加工

    日刊工業新聞 2013年3月21日付(紙面および電子版)  2013年3月

  91. プラズマ照射の培養液、がんを選別・殺傷-名大が発見

    日刊工業新聞 2013年3月13日付(紙面および電子版)  2013年3月

  92. 名大、凸凹発生機構 解明 表面層の組織変化が影響

    日刊工業新聞 2013年3月7日付(紙面および電子版)  2013年3月

  93. Scale-up approach for industrial plasma enhanced chemical vapor deposition processes and SiOx thin film technology

    Thin Solid Films  2013年3月

  94. 名大とゼオン、LSIの高精密化技術を開発-エッチングガスに水素添加

    日刊工業新聞 2013年2月26日付(紙面および電子版)  2013年2月

  95. 名大が発見、水素原子密度 成膜装置の壁面 高温ほど低下

    日刊工業新聞 2013年1月18日付(紙面および電子版)  2013年1月

  96. Improving the Gas Barrier Properties of a-SiOxCyNz Film at Low Temperature using High Energy and Suitable Nitrogen Flow Rate

    Current Applied Physics  2013年1月

  97. 名大、カーボンナノグラフェンの常温高速合成技術を開発

    日刊工業新聞 2012年12月18日付(紙面および電子版)  2012年12月

  98. 窒化ガリ薄膜エッチング 名大 プラズマで欠陥修復

    日刊工業新聞 2012年12月7日付(紙面および電子版)  2012年12月

  99. 常温で高速合成 名大 アルコール液とプラズマ放電活用

    日刊工業新聞 2012年12月18日付(紙面および電子版)  2012年12月

  100. 窒化ガリ薄膜エッチング 名大 プラズマで欠陥修復

    日刊工業新聞、日刊工業新聞電子版  2012年12月

  101. 世界最高レベルの低温プラズマ科学 「モノづくり」から「医療」まで貢献

    2012年5月

  102. 次世代有機フレキシブルデバイスに向けたソフト材料の超高精度・超高アスペクト比

    トピックス-ナノネット13拠点から, ナノテクジャパンNanotech Japan  2011年7月

  103. 最先端プラズマナノ科学技術が拓く未来

    スタジオチャンネル  2011年3月

  104. 自律型プラズマナノプロセス制御システムの開発~超小型トラジカル診断モニターを

    トピックス-ナノネット13拠点から, ナノテクジャパンNanotech Japan  2010年12月

  105. 新規グラフェン材料であるカーボンナノウォールを用いた次世代デバイスの創製~

    トピックス-ナノネット13拠点から, ナノテクジャパンNanotech Japan  2010年5月

  106. 化学反応制御し微細加工,片桐エンジが名大と装置

    日刊工業新聞  2007年5月

  107. スガウェザリング技術振興財団による研究助成決定

    日刊工業新聞  2007年4月

  108. 育て「第2の青色LED」・産業界との連携を強め新たなビジネスシーズ発掘

    朝日新聞  2006年12月

  109. ラジカルモニター・NUエコのワンポート型・世界初の小型 丸文が販売開始

    電波新聞  2006年8月

  110. ラジカル測定装置・名大発VBと連携・丸文が月内投入

    日刊工業新聞  2006年8月

  111. 松田大臣が名大を視察・研究員らを激励

    中日新聞  2006年8月

  112. 和大、名大ベンチャー設立・小型の金属計測装置販売へ

    読売新聞  2006年1月

  113. プラズマ光で計測・名大がVB、事業化へ

    日本経済新聞  2006年1月

  114. 家庭でも食品の汚染検出・小型計測器開発ベンチャー設立

    中日新聞  2006年1月

  115. 光ナノ計測技術でベンチャー設立へ・名大の堀教授ら

    中部経済新聞  2006年1月

  116. 有害物質計測装置を製販

    日刊工業新聞  2006年1月

  117. 微量物質の計測・プラズマ光で装置小型に

    日経産業新聞  2006年1月

  118. 名古屋大と和歌山大・共同ベンチャー設立

    朝日新聞  2006年1月

  119. NU-SKKU Joint Institute for Plasma-Nano Materials

    2006年

  120. 自律制御装置を研究

    日刊工業新聞  2005年8月

  121. 名大が大気圧プラズマ装置出展

    日刊工業新聞  2005年7月

  122. 半導体ベンチャー2005

    半導体産業新聞  2005年1月

  123. ラジカル密度保証

    日刊工業新聞  2004年12月

  124. ナノ構造、自由自在に

    日刊工業新聞  2004年9月

  125. カーボンナノウォールを形成

    電波新聞  2004年9月

  126. 炭素分子の新構造体

    日経産業新聞  2004年8月

  127. 大学初ベンチャーの挑戦17・プラズマ計測技術活用

    日刊工業新聞  2004年2月

  128. マイクロ波利用しプラズマ発生・シリコン酸化膜エッチングプロセスで新技術

    日刊工業新聞  2004年1月

  129. 酸化シリコンの加工・名大が高速化技術開発

    朝日新聞  2003年12月

  130. 大気中でプラズマ加工

    読売新聞  2003年12月

  131. 大気中で加工、速さも10倍超

    中日新聞  2003年12月

  132. 三好にベンチャー設立・名大の技術実用化

    朝日新聞  2003年6月

  133. 知的クラスター創成事業・ナノテク先進県へ第1号

    読売新聞  2003年6月

  134. 知的クラスター創成事業・愛知・名古屋で初VB

    日刊工業新聞  2003年6月

  135. 半導体製造制度高く・ベンチャー企業設立

    中日新聞  2003年6月

  136. 自律型ナノ製造装置に道・3種の活性粒子瞬時計測

    日刊工業新聞  2002年12月

▼全件表示

学術貢献活動 1

  1. SDGsの実現に向け、歴史あるINTERFINISH2020の開催 国際学術貢献

    役割:企画立案・運営等

    一般社団法人 表面技術協会  2021年9月

     詳細を見る

    種別:学会・研究会等