Updated on 2025/01/02

写真a

 
HORI Masaru
 
Organization
Center for Low-temperature Plasma Sciences (cLPS) Designated professor
Title
Designated professor
Contact information
メールアドレス

Degree 1

  1. 工学博士 ( 1986.3   名古屋大学 ) 

Research Areas 2

  1. Others / Others  / Plasma Applied Science

  2. Manufacturing Technology (Mechanical Engineering, Electrical and Electronic Engineering, Chemical Engineering) / Electron device and electronic equipment  / Surface Interface Physics

Current Research Project and SDGs 3

  1. ラジカル制御プラズマプロセスに関する研究

  2. プラズマ生命科学(医学・農水産学・薬学)の開拓に関する研究

  3. 低温プラズマ科学と応用に関する研究

Research History 13

  1. 名古屋大学低温プラズマ科学研究センター   特任教授   名古屋大学名誉教授

    2023.4

  2. 名古屋大学低温プラズマ科学研究センター長

    2019.4 - 2023.3

      More details

    Country:Japan

  3. 名古屋大学未来社会創造機構 機構長補佐

    2016.4 - 2019.3

      More details

    Country:Japan

  4. 名古屋大学未来社会創造機構 暮らし・健康基盤情報部門長(COI)

    2014.4 - 2017.3

      More details

    Country:Japan

  5. 名古屋大学プラズマ医療科学国際イノベーションセンター長

    2013.8 - 2019.3

      More details

    Country:Japan

  6. NU-SKKU 先端プラズマナノ材料研究所(韓国)研究所長

    2011.11 - 2019.9

      More details

    Country:Korea, Republic of

  7. 名古屋大学大学院工学研究科付属プラズマナノ工学研究センター長

    2009.4 - 2013.3

      More details

    Country:Japan

  8. 名古屋大学教授

    2004.4

      More details

    Country:Japan

  9. 英国ケンブリッジ大学キャベンディシュ研究所客員研究員

    1997.8 - 1997.12

      More details

    Country:Japan

  10. 名古屋大学助教授(工学部)

    1996.7 - 2004.3

      More details

    Country:Japan

  11. 名古屋大学講師(工学部)

    1994.4 - 1996.6

      More details

    Country:Japan

  12. 名古屋大学助手(工学部)

    1992.4 - 1994.3

      More details

    Country:Japan

  13. (株)東芝 総合研究所 超LSI研究所

    1986.4 - 1992.3

      More details

    Country:Japan

▼display all

Education 2

  1. Nagoya University   Graduate School, Division of Engineering

    - 1986

      More details

    Country: Japan

  2. Waseda University   Faculty of Science and Engineering   Dept of Electronics and Communication

    - 1981

      More details

    Country: Japan

Professional Memberships 6

  1. プラズマ・核融合学会

  2. 応用物理学会

  3. Materials Research Society

  4. American Vacuum Society

  5. 日本分光学会

  6. 日本表面技術科学会

▼display all

Committee Memberships 183

  1. Plasma Society   President  

    2022.6   

  2. 日本学術会議   連携委員  

    2011.4 - 2023.9   

  3. 学術振興会「153委員会」プラズマ材料科学   委員長  

    2016.4 - 2017.3   

  4. 応用物理学会   常務理事  

    2009.4 - 2011.3   

  5. 表面技術協会   理事  

    2010.4 - 2012.3   

  6. 応用物理学会 プラズマエレクトロニクス分科会   幹事長  

    2010.4 - 2012.3   

  7. ISPC25   Organizing Committee  

    2023.5   

  8. ICRP-11 / GEC 2022, 11th International Conference on Reactive Plasma / 2022 Gaseous Electronics Conference / 40th Symposium on Plasma Processing (SPP-40) / 35th Symposium on Plasma Science for Materials (SPSM35)   Advisory Committee  

    2022.10   

  9. 9th International Symposium on Control of Semiconductor Interfaces(ISCSI-IX)   International Technical Program Committee.  

    2022.9   

  10. 5th International Symposium on Plasmas for Catalysis and Energy Materials (ISPCEM)   International Advisory Committee  

    2022.7   

  11. The International Symposium of the Vacuum Society of the Philippines (ISVSP 2022) conference   Scientific Program Committee  

    2022.2   

  12. The 13th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2021)   International Scientific Committee (ISC)  

    2022.2   

  13. The 43rd International Symposium on Dry Process (DPS2022)   Organizing Committee  

    2022   

  14. 42nd International Symposium on Dry Process (DPS2021)   Organizing Committee  

    2021.11   

  15. Interfinish2020   Chair of International Organizing Committee  

    2021.9   

  16. The 2nd Plasma Thin films International Union Meeting (PLATHINIUM 2021)   International Scientific Committee  

    2021.9   

  17. The 2nd International Workshop on Plasma Agriculture (IWOPA-3)   International Organizing Committee  

    2021.3   

  18. ISplasma2021/IC-PLANTS2021   Organizing Committee.  

    2021.3   

  19. The 8th International Conference on Plasma Medicine (ICPM-8)   Board & International Scientific Committee  

    2020.11   

  20. The 10th Global Nanotechnology Congress and Expo (Nano-2020)   Organizing Committee  

    2020.11   

  21. The 11th International Symposium on Plasma Nanoscience (iPlasmaNano-XI)   Executive Committee  

    2020.9   

  22. ISplasma2020/IC-PLANTS2020   Advisory Committee.  

    2020.3   

  23. 41st International Symposium on Dry Process Symposium (DPS2019)   Vice Chair of Executive Committee and Organizing Committee  

    2019.11   

  24. 41st International Symposium on Dry Process (DPS2019)   Organizing Committee.  

    2019.11   

  25. 8th International Symposium on Control of Semiconductor Interfaces   International Technical Program Committee  

    2019.11   

  26. Plasma Thin film International Union Meeting, PLATHINIUM   International Scientific Committee  

    2019.9   

  27. The 10th International Symposium on Plasma Nanoscience (iPlasmaNano-X)   Executive Committee  

    2019.9   

  28. The 12th Asian-European International Conference on Plasma Surface Engineering(AEPSE 2019)   International Program Committee  

    2019.9   

  29. International Symposium on Plasma Chemistry (ISPC24)   International Organizing Committee  

    2019.6   

  30. 6th International Workshop on Plasma for Cancer Treatment (IWPCT 2019)   International Scientific Committee  

    2019.4   

  31. ISplasma2019/IC-PLANTS2019,   Organizing Committee  

    2019.3   

  32. 40th International Symposium on Dry Process Symposium (DPS2018)   Chair of Executive Committee and Organizing Committee  

    2018.11   

  33. 40th International Symposium on Dry Process (DPS2018)   Executive Committee Chair / Organizing Committee  

    2018.11   

  34. 2018 International Symposium on Plasmas for Catalysts and Energy Materials (ISPCEM-2018)   International Advisory Board  

    2018.10   

  35. The 8th International Workshop on Plasma Spectroscopy (IPS 2018)   International Scientific Committee  

    2018.9   

  36. The 9th International Symposium on Plasma Nanoscience (iPlasmaNano-IX)   Executive Committee  

    2018.8   

  37. 2018 Asia-Pacific Conference on Plasma and Terahertz Science (APCOPTS)   International Advisory Committee  

    2018.8   

  38. The 7th International Conference on Microelectronics and Plasma Technology (ICMAP 2018)   International Advisory Committee  

    2018.7   

  39. 7th International Conference on Microelectronics and Plasma Technology (ICMAP 2018)   International Advisory Committee  

    2018.7   

  40. Joint International Conference on ICMAP 2018, APCPST 2018, and ISPB 2018   International Advisory Committee  

    2018.6   

  41. 7th International Conference on Plasma Medicine (ICPM-7)   Board & International Scientific Committee  

    2018.6   

  42. The 2nd International Workshop on Plasma Agriculture (IWOPA-2)   International Organizing Committee  

    2018.3   

  43. ISplasma2018/IC-PLANTS2018   Organizing Committee.  

    2018.3   

  44. 5th International Workshop on Plasma for Cancer Treatment (IWPCT 2018)   International Scientific Committee  

    2018.3   

  45. 39th International Symposium on Dry Process Symposium (DPS2017)   Organizing Committee  

    2017.11   

  46. The 8th International Symposium on Plasma Nanoscience (iPlasmaNano-IIIV)   Executive Committee  

    2017.9 - 2017.10   

  47. The 11th Asian-European International Conference on Plasma Surface Engineering(AEPSE 2017)   Organizing Committee Co-Chair.  

    2017.9   

  48. 2017 International Forum on Functional Materials (IFFM2017)   International Advisory Board  

    2017.6   

  49. Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & The Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials   Co-Chair  

    2017.4   

  50. ISplasma2017/IC-PLANTS2017, March 1-5 2017   Organizing Committee  

    2017.3   

  51. The 3rd International Workshop on Advanced Plasma Technology and Applications   Organizing Committee  

    2017.1   

  52. 38th International Symposium on Dry Process Symposium (DPS2016)   Organizing Committee  

    2016.11   

  53. The 3rd International Conference on Universal Village (UV 2016)   Session Chair (Intelligent Healthcare)  

    2016.10   

  54. The 3rd International Conference on Universal Village (UV 2016)   Session Chair (Intelligent Healthcare)  

    2016.10   

  55. The 7th International Symposium on Plasma Nanoscience (iPlasmaNano-IIV)   Executive Committee.  

    2016.9 - 2016.10   

  56. ・The 6th International Conference on Microelectronics and Plasma Technology (ICMAP2016), September 26-29, 2016, Gyeongju Dream Center, Gyeongju, Korea. International Advisory Committee,   International Advisory Committee  

    2016.9   

  57. The 6th International Conference on Plasma Medicine (ICPM-6)   Board & International Scientific Committee.  

    2016.9   

  58. 15th International Conference on Plasma Surface Engineering (PSE 2016)   Conference Co-chairman  

    2016.9   

  59. 20th International Vacuum Congress (IVC-20)   International Scientific Committee in Plasma Science and Technique Division  

    2016.8   

  60. ・2016 International Symposium on Plasma for Catalysts and Energy Materials (ISPCEM-2016)   International Advisory Board.  

    2016.6 - 2016.7   

  61. 7th International Workshop on Plasma Spectroscopy (IPS 2016)   International Scientific Committee.  

    2016.6   

  62. 43rd IEEE International Conference on Plasma Science   Session Organizer  

    2016.6   

  63. ISplasma2016/IC-PLANTS2016, March 6-10, 2016   Organizing Committee  

    2016.3   

  64. The 3rd International Workshop on Plasma for Cancer Treatment, 2016   International Scientific Committee.  

    2016   

  65. 37th International Symposium on Dry Process Symposium (DPS2015)   Organizing  

    2015.11   

  66. 9th International Conference on Reactive Plasmas / 68th Gaseous Electronics Conference/ 33rd Symposium on Processing Plasmas   Advisory Committee  

    2015.10   

  67. 21th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & The Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials   Co-Chair  

    2015.10   

  68. The 6th International Symposium on Plasma Nanoscience (iPlasmaNano-IV)   Executive Committee  

    2015.9 - 2015.10   

  69. The 10th Asian-European International Conference on Plasma Surface Engineering(AEPSE 2015)   Organizing Committee Chair  

    2015.9   

  70. The 32nd International Conference on Phenomena in Ionized Gases (ICPIG2015)   International Organizing Committee  

    2015.7   

  71. 22th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics, The Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials & The 1st International Workshop on Advanced Plasma Technology and Application   Chair  

    2015.7   

  72. ISplasma2015/IC-PLANTS2015, March 26-31, 2016   Organizing Committee  

    2015.3   

  73. ・20th Workshop on Advanced Plasma Processes and Diagnostics & The 7th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, Jan. 27 ~ 29, 2015. Hokkaido University, Sapporo, Japan, Chair.   Chair  

    2015.1   

  74. The 2nd International Workshop on Plasma for Cancer Treatment (Nagoya 2015)   Chair of Organizing Committee & International Scientific Committee  

    2015   

  75. 36th International Symposium on Dry Process Symposium (DPS2014)   Organizing Committee  

    2014.11   

  76. The 5th International Symposium on Plasma Nanoscience (iPlasmaNano-V)   Executive Committee  

    2014.9 - 2014.10   

  77. 14th International Conference on Plasma Surface Engineering (PSE 2012)   International Advisory Board  

    2014.9   

  78. International Symposium on Plasmas for Catalysts and Energy Materials (ISPCEM-2014)   International Advisory Board  

    2014.9   

  79. 14th international conference on Plasma Surface Engineering (PSE 2014)   International Advisory Board  

    2014.9   

  80. The 5th International Conference on Microelectronics and Plasma Technology (ICMAP 2014)   International Advisory Committee  

    2014.7   

  81. ISplasma2014/IC-PLANTS2014   Organizing Committee  

    2014.3   

  82. 8th International Conference on Reactive Plasmas / 31st Symposium on Plasma Processing   aaaaa  

    2014.2   

  83. 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics   Chair  

    2014.2   

  84. The first International Workshop on Plasma for Cancer Treatment   International Scientific Committee  

    2014   

  85. International Conference on Plasma Medicine (ISPM)   Board & International Scientific Committee  

    2014   

  86. The 4th International Symposium on Plasma Nanoscience (iPlasmaNano-IV)   Executive Committee  

    2013.9 - 2013.10   

  87. 35th International Symposium on Dry Process Symposium (DPS2013)   Organizing Committee  

    2013.8   

  88. The 31st International Conference on Phenomena in Ionized Gases (ICPIG2013)   International Organizing Committee  

    2013.7   

  89. 17th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 4th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials   Co-Chair  

    2013.5   

  90. The 6th International Conference on Plasma-Nano Technology & Science, IC-PLANTS 2013   Organizing Committee  

    2013.2   

  91. ISplasma2013   Organizing Committee Chair  

    2013.1 - 2013.2   

  92. 16th International Workshop on Advanced Plasma Processing and Diagnostics   Chair  

    2013.1   

  93. 34th International Symposium on Dry Process Symposium (DPS2012)   Organizing Committee  

    2012.11   

  94. The 11th Asia Pacific Conference on Plasma Science and Technology (APCPST) / The 25th Symposium on Plasma Science for Materials(SPSM)   Chair of Executive Committee  

    2012.10   

  95. 13th International conference on Plasma Surface Engineering (PSE 2012)   International Advisory Board  

    2012.9   

  96. 2012 International Symposium on Plasmas for Catalysts and Energy Materials (ISPCEM-2012)   International Advisory Board  

    2012.9   

  97. The 2nd International Symposium for Plasma Biosciences (SPB 2012)   Chair of Organizing Committee  

    2012.8   

  98. The 4th International Conference on Microelectronics and Plasma Technology (ICMAP 2012)   International Advisory Committee  

    2012.7   

  99. 15th Korea- Japan workshop for Advanced Plasma Process and Diagnostics   Co-Chair  

    2012.6   

  100. The 5th International Conference on Plasma-Nano Technology & Science, IC-PLANTS 2012   Organizing Committee  

    2012.3   

  101. ISplasma2012   Organizing Committee Chair  

    2012.3   

  102. The 3rd International Symposium on Plasma Nanoscience (iPlasmaNano-III)   International Consultative and Program Committee Executive Committee  

    2012.2 - 2012.3   

  103. 14th International Workshop of Advanced Plasma Processing and Diagnostics & 2nd Workshop for NU- SKKU Joint Institute for Plasma-Nano Materials   Chair  

    2012.1   

  104. 33rd International Symposium on Dry Process Symposium (DPS2011)   Organizing Committee  

    2011.11   

  105. The 15th International Conference on Thin Films (ICTF-15)   Organizing Committee  

    2011.11   

  106. The 4th International Symposium on Plasma Nanoscience (iPlasmaNano-IV)   Executive Committee  

    2011.9 - 2011.10   

  107. The 8th Asian-European International Conference on Plasma Surface Engineering (AEPSE2011)   International Organizing Committee  

    2011.9   

  108. 112 IUVSTA Executive Council Meeting and 4th International Conference on Advanced Plasma Technologies with Workshop   Program Committee  

    2011.9   

  109. The 30th International Conference on Phenomena in Ionized Gases (ICPIG2011)   International Scientific Committee  

    2011.8 - 2011.9   

  110. The 1st International Symposium for Plasma Biosciences / The 1st Annual Workshop for Plasma Bioscinece Research Center   Chair of Organizing Committee  

    2011.8   

  111. Advanced Plasma Technology for Green Energy and Biomedical Applications (APT 2011)   International Organizing Committee  

    2011.8   

  112. International Symposium on Plasma Chemistry (ISPC20)   Board of Director of the International Plasma Chemistry Society (IPCS)  

    2011.7   

  113. 13th International Workshop on Advanced Plasma Processing and Diagnostics   Chair  

    2011.7   

  114. The 6th International Symposium on Control of Semiconductor Interfaces(ISCSI-VI)   Technical program committee  

    2011.5   

  115.   実行委員長  

    2011.4 - 2012.3   

  116. 2nd International Workshop on Plasma nano-Interface and Plasma Characterization   Organizing Committee  

    2011.3   

  117. 第58回応用物理学会関係連合講演会   運営委員長  

    2011.3   

  118. The 4th International Conference on Plasma-Nano Technology & Science, IC-PLANTS 2013   Organizing Committee  

    2011.3   

  119. The 4th International Conference on Plasma-Nano Technology & Science (IC-PLANTS 2011)   Organizing Committee  

    2011.3   

  120. ISplasma2011   Organizing Committee Chair  

    2011.3   

  121. 12th International Workshop of Advanced Plasma Processing and Diagnostics   Chair  

    2011.1   

  122. 2011 International Conference on Solid State device and materials (SSDM2011)   Chair of Steering Committee  

    2011   

  123. 32nd International Symposium on Dry Process Symposium (DPS2010)   Organizing Committee  

    2010.11   

  124. 7th International Conference on Reactive Plasmas / 63rd Gaseous Electronics Conference/28th Symposium on Processing Plasmas   Chair of Organize Committee  

    2010.10   

  125. The 2nd International Symposium on Plasma Nanoscience (iPlasmaNano-II)   Executive Committee  

    2010.9 - 2010.10   

  126. 2010 International Conference on Solid State device and materials (SSDM)   Vice Chair of Steering Committee  

    2010.9   

  127. 第71回応用物理学会学術講演会   運営委員長  

    2010.9   

  128. 11th International Workshop on Advanced Plasma Processing and Diagnostics   Co-Chair  

    2010.7   

  129. 10th Asia-Pacific Conference on Plasma Science and Technology (APCPST) and 23rd Symposium on Plasma Science for Materials (SPSM)   Program Committee  

    2010.7   

  130. The 3rd International Conference on Plasma-Nano Technology & Science (IC-PLANTS 2010)   Organizing Committee Chair  

    2010.3   

  131. ISplasma2010   Organizing Committee Chair  

    2010.3   

  132. The 3rd International Conference on Plasma-Nano Technology & Science, IC-PLANTS 2010   Organizing Committee Chair  

    2010.3   

  133. 10th International Workshop of Advanced Plasma Processing and Diagnostics   Chair  

    2010.1   

  134. The 3rd International Conference on Microelectronics and Plasma Technology (ICMAP 2011)   International Advisory Committee  

    2009.9   

  135. The 2nd International Symposium on Plasma Nanoscience (iPlasmaNano-II)   Executive Committee  

    2009.9   

  136. 7th International Workshop on Microwave Discharges: Fundamentals and Applications (MD7)   Steering Committee  

    2009.9   

  137. 31st International Symposium on Dry Process Symposium (DPS2009)   Organizing Committee  

    2009.9   

  138. Joint International Conference of Asian-European International Conference on Plasma and Ion surface Engineering (AEPSE) / The 2nd International Conference on Microelectronics and Plasma Technology (ICMAP) /DPS   International Organizing Committee and Scientific Program Committee  

    2009.9   

  139. The 2nd International Conference on Microelectronics and Plasma Technology (ICMAP 2009)   International Advisory Committee  

    2009.9   

  140. The 29th International Conference on Phenomena in Ionized Gases (ICPIG2015)   International Organizing Committee  

    2009.9   

  141. 19th International Symposium on Plasma Chemistry   International Plasma Chemistry Society (IPCS) Board of Directors  

    2009.7   

  142. 9th Korea-Japan Workshop on Thin Film and Plasma Process for Green Technology Advanced Plasma Diagnostics for Plasma-Nano Processing   Co-Chair  

    2009.7   

  143. ISplasma2009   Organizing Committee Chair  

    2009.3   

  144. The 2nd International Conference on Plasma-Nano Technology & Science, IC-PLANTS 2009   Organizing Committee Vice Chair  

    2009.1   

  145. 8th International Workshop of Advanced Plasma Processing and Diagnostics, Joint Workshop with Plasma Application Monodzukuri(PLAM)   Chair  

    2009.1   

  146. 30th International Symposium on Dry Process Symposium (DPS2008)   Organizing Committee  

    2008.11   

  147. 2008 International Microprocess and Nanotechnology Conference (MNC)   Executive Committee  

    2008.10   

  148. The 1st International Conference on Microelectronics and Plasma Technology (ICMAP 2008)   Executive Committee  

    2008.8   

  149. The 3rd International School of Advanced Plasma Technology   Scientific Organizing Committee  

    2008.7   

  150. 7th Korea-Japan Workshop on Plasma Technology Thin Film and Plasma Technology for Next Generation Energy Advanced Plasma Diagnostics for Plasma-Nano Processing   Co-Chair  

    2008.7   

  151. The 1st International Conference on Plasma-Nano Technology & Science, IC-PLANTS 2008   Organizing Committee Vice Chair  

    2008.3   

  152. 29th International Symposium on Dry Process Symposium (DPS2007)   Organizing Committee  

    2007.11   

  153. Fifth International Symposium on Control of Semiconductor Interfaces―for Next Generation ULSI Process Integrations―   Program Committee  

    2007.11   

  154. The 6th Asian-European International Conference on Plasma Surface Engineering (AEPSE)   International Organizing Committee  

    2007.9   

  155. The 18th International Symposium on Plasma Chemistry (ISPC-18)   Local Executive Committee  

    2007.8   

  156. The 16th International Colloquium on Plasma Processes (CIP)   International Science Committee  

    2007.6   

  157. 5th International Symposium on Advanced Plasma Processes and Diagnostics & The 1st International Symposium on Flexible Electronics Technology   Co-Chair  

    2007.4   

  158. 6th International Workshop on Advanced Plasma Processing and Diagnostics & The 3rd Plasma Application Monodzukuri (PLAM)   Chair  

    2007.1   

  159. 2nd International workshop on Infrared plasma diagnostics   International Science Committee  

    2007   

  160. 4th Workshop on Advanced Plasma Processing and Diagnostics   Chair  

    2006.12   

  161. 28th International Symposium on Dry Process Symposium (DPS2006)   Chair of Executive Committee and Organizing Committee  

    2006.11 - 2006.12   

  162. 38th International Symposium on Dry Process Symposium (DPS2016)   Organizing Committee  

    2006.11   

  163. The 1st International Workshop on Infrared Plasma Spectroscopy   International Scientific Committee  

    2006.6   

  164. 3rd Workshop on Advanced Plasma Processing and Diagnostics   Co-Chair  

    2006.4   

  165. The 6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing (ICRP-6/SPP-23)   Organizing Committee / Program Committee / Vice Chair of Fundraising Committee  

    2006.1   

  166. 8th Asia-Pacific Conference on Plasma Science and Technology / 19th Symposium on Plasma Science for Materials ASCPST   International Program Committee  

    2006   

  167. The Ist International workshop on Infrared plasma diagnostics   International Science Committee  

    2006   

  168. The 6th Korea-Japan Symposium on Plasma and Thin Film Technology   Advisory Committee  

    2006   

  169. 2nd Workshop on Advanced Plasma Processing and Diagnostics   Co-Chair  

    2005.12   

  170. 27th International Symposium on Dry Process Symposium (DPS2005)   Chair of Executive Committee and Organizing Committee  

    2005.11   

  171. International Symposium on EcoTopia Science 2005 (ISETS05)   Secretariats  

    2005.8   

  172. 15th International Colloquium on Plasma Process (CIP 05)   International Scientific Committee  

    2005.6   

  173. 応用物理学会   東海支部長  

    2005.4 - 2007.3   

  174. 1st Workshop on Advanced Plasma Processing and Diagnostics   Chair  

    2005.4   

  175. International Symposium on EcoTopia Science (ISETS05)   Session Organizer  

    2005   

  176. 26th International Symposium on Dry Process Symposium (DPS2004)   Chair of Executive Committee and Organizing Committee  

    2004.11 - 2004.12   

  177. The 2nd International School of Advanced Plasma Technology   Scientific Organizing Committee  

    2004.9 - 2004.10   

  178. International COE Forum on Plasma Science and Technology,   Local Organizing Committee  

    2004.4   

  179. International Workshop on Plasma Nano-Technology and Its Future Vision   Vice Chair of Organizing Committee and Chair of Local Organizing Committee  

    2004.2   

  180. 25th International Symposium on Dry Process Symposium (DPS2003)   Chair of Executive Committee and Organizing Committee  

    2003.11   

  181. 2003 International Microprocess and nanotechnology Conference,   Program Committee, Etching and Deposition Technologies, Section Head  

    2003.10   

  182. 24th International Symposium on Dry Process Symposium (DPS2002)   Organizing Committee  

    2002.11   

  183. 23th International Symposium on Dry Process Symposium (DPS2001)   Chair of Program Committee and Organizing Committee  

    2001.11   

▼display all

Awards 42

  1. Mr. Kenichiro Ishii Award

    2024.12   Nagoya University  

    Masaru Hori

     More details

    Award type:International academic award (Japan or overseas) 

    This award is based on the will of Mr Kenichiro Ishii, a graduate of Nagoya Higher Commercial School (now Nagoya University Faculty of Economics), the 8th President of Daido Steel Ltd. and the 2nd President of the Kitankai, the alumni association of the Nagoya University Faculty of Economics and Graduate School of Economics, who devoted himself to the restructuring of the Japanese special steel industry during the high economic growth period, Established in 2022 as an award system for faculty members who have made the most outstanding achievements at Nagoya University.

  2. Medal with Purple Ribbon

    2022.11   Cabinet Office  

    Masaru Hori

     More details

    Country:Japan

  3. 第76回中日文化賞

    2023.6   中日新聞社  

    堀 勝

     More details

    Country:Japan

  4. JSAP Outstanding Achievement Award

    2024.3   The Japan Society of Applied Physics   Pioneering Research on Radical Controlled Plasma Processes

    Masaru Hori

     More details

    Award type:Award from Japanese society, conference, symposium, etc.  Country:Japan

    He has conducted pioneering research on the measurement of radicals in low-temperature plasma, which is indispensable for etching, thin-film deposition, and surface modification in semiconductor and other key industries, and its application to process construction, contributing greatly to the advancement of semiconductor manufacturing equipment and process technology.

  5. Reactive Plasma Award

    2022.10   The 11th International Conference on Reactive Plasmas  

    Masaru Hori

     More details

    Award type:Award from international society, conference, symposium, etc.  Country:Japan

  6. 2018 The Plasma Medical Award

    2018.6   ICPM7  

     More details

    Award type:Award from international society, conference, symposium, etc.  Country:United States

  7. DPS Nishizawa Award 2020

    2021.11   DPS(International Symposium on Dry Process)  

     More details

    Award type:Award from international society, conference, symposium, etc.  Country:Japan

    DPS Nishizawa Award is to be presented to an individual who has made outstanding contributions to DPS as well as significant academic/technological achievements in the fields of interest to DPS.

  8. 平成22年度科学技術分野の文部科学大臣表彰・科学技術賞(研究部門)

    2010.4   文部科学省  

     More details

    Country:Japan

  9. 2020 AAPPS-DPP Plasma Innovation Prize

    2020.10   Division of Plasma Physics, Association of Asia-Pacific Physical Societies(AAPPS-DPP)  

     More details

    Award type:International academic award (Japan or overseas)  Country:Japan

  10. K-T Rie Award for the year 2019

    2019.9   Asian-European International Conference on Plasma Surface Engineering (AEPSE2019)  

    Masaru Hori

     More details

    Award type:International academic award (Japan or overseas)  Country:Korea, Republic of

  11. 第9回産学官連携功労者表彰(科学技術政策担当大臣賞)

    2011.9   第10回産学官連携推進会議  

     More details

    Country:Japan

    産学連携功労者表彰

  12. 第43回(2021年度)応用物理学会論文賞

    2022.3   (社)応用物理学会  

     More details

    Award type:Award from Japanese society, conference, symposium, etc. 

  13. DPS Paper Award

    2021.11   DPS(International Symposium on Dry Process)   Formation mechanism of sidewall striation in high-aspect-ratio hole etching

     More details

    Award type:Award from international society, conference, symposium, etc.  Country:Japan

    This award is to be offered to the authors of the best paper published in the Special Issues of Japanese Journal of Applied Physics (JJAP) for DPS in the past 3 years (i.e., DPS 2017, 2018, and 2019) . The awardee(s) of the Best Presentation Award or Young Researcher Award is/are also eligible for this award.

  14. Honorary Chair Professor

    2020.1   Taiwan National Tsing Hua UniTaiwan  

  15. 第37回(2015年度)応用物理学会論文賞

    2015.9   応用物理学会  

     More details

    Country:Japan

  16. 応用物理学会 第6回(2012年度)フェロー表彰

    2012.9   公益社団法人応用物理学会  

     More details

    Country:Japan

    ラジカル制御プラズマプロセスの研究開発

  17. 第14回プラズマ材料科学賞(基礎部門賞)

    2012.8   日本学術振興会  

     More details

    Country:Japan

    原子状ラジカル制御 プラズマプロセスの基礎的研究

  18. The Distinguished Professor Award

    2012.6  

     More details

    Country:Japan

  19. The Best Short Presentation (poster) Awards

    2022.3   14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022)   Carbon Layer Formation on Boron Nitride via a Plasma in Hydroquinone Solution

    Kenichi Inoue, Noritaka Sakakibara, Taku Goto, Tsuyohito Ito, Yoshiki Shimizu, Kenji Ishikawa, Masaru Hori and Kazuo Terashima

     More details

    Award type:International academic award (Japan or overseas)  Country:Japan

  20. The Best Oral Presentation Awards

    2022.3   14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022)   Evaluation of Selective Anti-Cancer Effect in Plasma-Activated Ringer's Lactate Solution Produced by Regulated Surrounding Atmosphere

    Daiki Ito, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Kae Nakamura, Camelia Miron, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno and Masaru Hori

     More details

    Award type:Award from international society, conference, symposium, etc.  Country:Japan

  21. The Best Short Presentation (poster) Awards

    2022.3   14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022)   Enhanced Bioremediation of 4-Chlorophenol by Oxygen Radical Treatment Based on Non-Thermal Atmospheric Pressure Plasma

    Hiroyuki Kato, Kiyota Sakai, Shou Ito, Naoyuki Iwata, Masafumi Ito, Masaru Hori, Motoyuki Shimizu and Masashi Kato

     More details

    Award type:International academic award (Japan or overseas)  Country:Japan

  22. The Best Short Presentation Awards, ISPlasma2021/IC-PLANTS2021

    2021.3   13th anniversary International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlsma2021) / 14th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2021)   Study of Etching Process Using Gas Condensed Layer at Cryogenic Temperature 2

    Masahiro Hazumi, Suganthamalar Selvaraj, Shih-Nan Hsiao, Chihiro Abe, Toshiyuki Sasaki, Hisataka Hayashi, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

  23. The Best Oral Presentation Awards, ISPlasma2021/IC-PLANTS2021

    2021.3   13th anniversary International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlsma2021) / 14th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2021)   Dependency of bactericidal effect in oxygen-radical-exposed E. coli suspension containing L-tryptophan on its concentration

    N. Iwata, K. Ishikawa, H. Hashizume, H. Tanaka, J.-S. Oh, M. Ito, M. Hori

     More details

    Award type:Award from international society, conference, symposium, etc. 

  24. The Best Short Presentation Awards, ISPlasma2021/IC-PLANTS2021

    2021.3   13th anniversary International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlsma2021) / 14th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2021)   Apoptosis-inducing Activity through Caspase-9 of Radical-Activated Lactate Ringers Solution for Melanoma Cells

    Yuki Hori, Tomiyasu Murata, Hiromasa Tanaka, Masaru Hori and Masafumi Ito

     More details

    Award type:Award from international society, conference, symposium, etc. 

  25. The Best Oral Presentation Award, ISPlasma2021/IC-PLANTS2021

    2021.3   13th anniversary International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlsma2021) / 14th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2021)   Design of Removal Process of SnO2 on Glass by H2/Ar Plasma at Atmospheric Pressure and Medium Pressure

    Thi-Thuy-Nga Nguyen, Minoru Sasaki, Takayoshi Tsutsumi, Kenji Ishikawa and Masaru Hori

     More details

    Award type:Award from international society, conference, symposium, etc. 

  26. 第18回 プラズマエレクトロニクス賞

    2020.3   公益社団法人 応用物理学会 プラズマエレクトロニクス分科会  

    大村光広、橋本惇一、足立昴拓、近藤祐介、石川勝朗、阿部淳子、酒井伊都子、林久貴、関根誠、堀勝

     More details

    Country:Japan

    Japanese Journal of Applied Physics 58, SEEB02 (2019)

  27. IAAM Award Lecture

    2019.10   International Association of Advanced material (IAAM)   Carbon Nanowalls Propelling Social Innovations

    Masaru Hori

     More details

    Award type:Award from international society, conference, symposium, etc.  Country:Japan

  28. The Best Poster Presentation Awards, ISPlasma2019/IC-PLANTS2019

    2019.3   ISPlasma2019 / IC-PLANTS2019   Cytotoxicity of Plasma-Activated Glucose and Amino Acids

    Maho Yamada, Nanami Ito, Yugo Hosoi, Hiromasa Tanaka, Kenji Ishikawa and Masaru Hori

     More details

    Award type:Award from international society, conference, symposium, etc.  Country:Japan

  29. The Best Oral Presentation Awards in the area of Plasma Science, ISPlasma2019/IC-PLANTS2019

    2019.3   ISPlasma2019 / IC-PLANTS2019   Control of sp2-C Fraction and Hardness of Amorphous Carbon Films by Formation of Precursor Radicals Depending on a Residence Time

    Hirotsugu Sugiura, Yasuyuki Ohashi, Lingyun Jia, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Toshio Hayashi, Keigo Takeda, Makoto Sekine and Masaru Hori

     More details

    Award type:Award from international society, conference, symposium, etc.  Country:Japan

  30. The Best Oral Presentation Awards, ISPlasma2019/IC-PLANTS2019

    2019.3   ISPlasma2019 / IC-PLANTS2019   The Best Oral Presentation Awards in the area of Bio Applications, ISPlasma2019/IC-PLANTS2019

    Naoyuki Iwata, Vladislav Gamaleeve, Jun-SeokOh, Hiroshi Hashizume, Takayuki Ohta, Kenji Ishikawa, Masaru Hori and Masafumi Ito

     More details

    Award type:Award from international society, conference, symposium, etc.  Country:Japan

  31. The Best Poster Presentation Awards, ISPlasma2019/IC-PLANTS2019

    2019.3   ISPlasma2019 / IC-PLANTS2019   Morphological Dynamics of Dying Cells Incubated in Plasma-Activated Medium

    Nanami Ito, Maho Yamada, Yugo Hosoi, Hiromasa Tanaka, Kenji Ishikawa and Masaru Hori

     More details

    Award type:Award from international society, conference, symposium, etc.  Country:Japan

  32. Best Presentation Award

    2016.3   ISPlasma2016/10th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  

     More details

    Country:Japan

  33. 第11回プラズマエレクトロニクス賞

    2013.3   応物理学会プラズマエレクトロニクス分科会  

     More details

    Country:Japan

    石川健治、河野昭彦、堀邊英夫、竹田圭吾、近藤博基、関根誠、堀勝

  34. ISPlasma2013 Best Poster Presentation Award

    2013.2   5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma 2013)  

     More details

    Country:Japan

  35. 五大成果(全2,000件のうちトップ5)

    2013.2   文部科学省ナノテクノロジーネットワーク事業(平成19年~24年)  

     More details

    Country:Japan

    田畑泰彦 堀勝 馬場嘉信(カーボンナノウォールの細胞培養基材としての特性評価)

  36. 応用物理学会東海支部貢献賞

    2013.1   応用物理学会  

     More details

    Country:Japan

  37. 11th APCPST and 25th SPSM Plasma Science Award

    2012.10   11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     More details

    Country:Japan

  38. 高温学会 論文賞

    2012.3   社団法人高温学会  

     More details

    Country:Japan

    フレキシブルデバイス創製に向けたプラズマーソフトマテリアル相互作用の解析

  39. Plasma Material Science Award

    2004  

     More details

    Country:Japan

  40. JJAP Editorial Contribution Award

    2004  

     More details

    Country:Japan

  41. Plasam Electronics Award

    2003  

     More details

    Country:Japan

  42. Microprocess and Nanotechnology 2000 Award

    2001   Microprocess and Nanotechnology 2000  

     More details

    Country:Japan

▼display all

 

Papers 734

  1. Selective removal of single-layer graphene over double-layer graphene on SiO2 by remote oxygen plasma irradiation Reviewed

    Liugang Hu, Kenji Ishikawa, Thi-Thuy-Nga Nguyen, Shih-Nan Hsiao, Masaru Hori

    Applied Surface Science   Vol. 669   page: 160598   2024.6

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1016/j.apsusc.2024.160598

  2. Surface surgery on TiNb2O7 electrode via N2/Air atmospheric pressure plasma jet for high-rate lithium-ion battery anode Reviewed International coauthorship

    Che-Ya Wu, Shih-Nan Hsiao, Rui-Tung Kuo, Yu-Ching Chen, Tzu-Ying Lin, Masaru Hori, Jenq-Gong Duh

    Applied Surface Science   Vol. 655   page: 159585   2024.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  3. Oxygen radical irradiation transforms an organic fertilizer l-tryptophan into an environment and human-friendly bactericide Reviewed

    Naoyuki Iwata , Kenji Ishikawa, Yasuhiro Nishikawa, Hiroyuki Kato, Motoyuki Shimizu, Masashi Kato, Hiromasa Tanaka, Masafumi Ito, Masaru Hori

    Environmental Technology & Innovation   Vol. 135 ( 5 ) page: 53301   2023.12

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1016/j.eti.2023.103496

  4. Topographically-selective atomic layer etching of SiO2 using radical fluorination of the surface followed by Ar ion bombardment Reviewed International coauthorship

    Airah Osonio, Takayoshi Tsutsumi, Bablu Mukherjee, Ranjit Borude, Nobuyoshi Kobayashi and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 62 ( 12 ) page: 121001   2023.12

     More details

    Authorship:Corresponding author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.35848/1347-4065/ad0c46

  5. An approach to reduce surface charging with cryogenic plasma etching using hydrogen-fluoride contained gases Reviewed

    Shih-Nan Hsiao ; Makoto Sekine; Kenji Ishikawa; Yuki Iijima; Yoshinobu Ohya; Masaru Hori

    Applied Physics Letters   Vol. 123 ( 21 ) page: 212106   2023.11

     More details

    Authorship:Last author   Language:English  

    DOI: https://doi.org/10.1063/5.0173553

  6. New betulin imine derivatives with antioxidant and selective antitumor activity Reviewed International coauthorship

    Manuela-Maria Iftime, Gabriela Liliana Ailiesei, Camelia Miron, Hiromasa Tanaka, Masaru Hori and Luminita Marin

    New Journal of Chemistry   Vol. 47 ( 35 ) page: 16551 - 16563   2023.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI:10.1039/d3nj02738d

  7. In Situ Monitoring of Etching Characteristic and Surface Reactions in Atomic Layer Etching of SiN Using Cyclic CF4/H2 and H2 Plasmas Reviewed

    Shih-Nan Hsiao, Makoto Sekine, Masaru Hori

    ACS Applied Materials & Interfaces   Vol. 15 ( 29 ) page: 35622 - 35630   2023.7

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.1021/acsami.3c04705

  8. Efficacy of periodic cold plasma treatment in a paddy to produce white-core grains in brewer’s rice cultivar Yamadanishiki Reviewed

    Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Yoji Hirosue, Masayoshi Maeshima, Masaaki Mizuno, Masaru Hori

    Free Radical Research   Vol. 57 ( 3 ) page: 161 - 173   2023.6

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1080/10715762.2023.2215914

  9. Elaborate cooperation of poly(rC)-binding proteins 1/2 and glutathione in ferroptosis induced by plasma-activated Ringer's lactate Reviewed

    Li Jiang, Hao Zheng, Moe Ishida, Qinying Lyu, Shinya Akatsuka, Yashiro Motooka, Kotaro Sato, Yoshitaka Sekido, Kae Nakamura, Hiromasa Tanaka, Kenji Ishikawa, Hiroaki Kajiyama, Masaaki Mizuno, Masaru Hori, Shinya Toyokuni

    Free Radical Biology and Medicine   Vol. 214   page: 28 - 41   2023.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1016/j.freeradbiomed.2024.02.001

  10. 応用物理学会業績賞受賞者随想 工学を生きる Invited Reviewed

    堀  勝

    応用物理学会誌   Vol. 93 ( 7 ) page: 383 - 387   2024.7

     More details

    Authorship:Lead author   Language:Japanese   Publishing type:Research paper (other academic)  

  11. Sputtering deposition of dense and low-resistive amorphous In2O3: Sn films under zone-T conditions of Thornton’s structural diagram Reviewed

    Yoshiharu Wada; Wafaa Magdy; Keigo Takeda, Yuta Mido, Naoto Yamashita, Takamasa Okumura, Kunihiro Kamataki, Kazunori Koga, Masaru Hori, Masaharu Shiratani, Naho Itagaki

    Appl. Phys. Lett.   Vol. 124 ( 24 ) page: 242101   2024.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1063/5.0211090

  12. Epitaxial growth of high-quality GaN with a high growth rate at low temperatures by radical-enhanced metalorganic chemical vapor deposition Invited Reviewed

    Arun Kumar Dhasiyan, Frank Wilson Amalraj, Swathy Jayaprasad, Naohiro Shimizu, Osamu Oda, Kenji Ishikawa & Masaru Hori

    Scientific Reports   Vol. 14   page: 10861   2024.5

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1038/s41598-024-61501-9

  13. Forwarding Message Invited

    Masaru Hori

    IPPD 2024 Book of Abstracts     page: 6   2024.5

     More details

    Authorship:Lead author   Language:English  

  14. In situ atom-resolved observation of Si (111) 7×7 surface with F radical and Ar ion irradiation simulated atomic layer etching Reviewed

    Takayoshi Tsutsumi, Atsuki Asano; Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    Journal of Vacuum Science & Technology A   Vol. 42 ( 3 ) page: 032603   2024.4

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1116/6.0003432

  15. Dissociative properties of C4F6 obtained using computational chemistry Reviewed

    Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 63 ( 4 ) page: 04SP26-1 - 04SP26-5   2024.4

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.35848/1347-4065/ad3166

  16. Nitrogen admixture effects on growth characteristics and properties of carbon nanowalls Reviewed

    Peter Raj Dennis Christy, Ngo Van Nong, Nikolay Britun, Ngo Quang Minh, Thi-Thuy- Nga Nguyen, Hiroki Kondo, Osamu Oda, Kenji Ishikawa, Masaru Hori

    Thin Solid Films   Vol. 395   page: 140322   2024.3

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1016/j.tsf.2024.140322

  17. クローズアップ!農業最新技術  プラズマを取り入れて農業生産性の向上を目指す Invited

    橋爪博司、堀勝

    農耕と園藝   Vol. 3   page: 45 - 48   2024.2

     More details

    Authorship:Last author   Language:Japanese   Publishing type:Research paper (other academic)  

  18. Etching Mechanism Based on Hydrogen Fluoride Interactions with Hydrogenated SiN Films Using Hf/H2 and CF4/H2 Plasmas Reviewed

    Shih-Nan Hsiao, Nikolay Britun, Thi-Thuy-Nga Nguyen, Makoto Sekine, Masaru Hori

    ACS Applied Electronic Materials   Vol. 5 ( 12 ) page: 6797 - 6804   2023.12

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1021/acsaelm.3c01258

  19. Higher N2 gas-flow rate ratios in O2- and Ar-containing feed gas mixtures enhance the cytotoxic effects of radical-activated medium against murine melanoma B16F10 cells Reviewed

    Hashimoto Kazunori, Ogawa Kazuma, Mori Yasumasa, Nishida Taiga, HORI Masaru, Murata Tomiyasu, ITO Masafumi

    Japanese Journal of Applied Physics   Vol. 62 ( 12 ) page: 127001   2023.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.35848/1347-4065/ad0b5d

  20. Ferroptosis induced by plasma-activated Ringer's lactate solution prevents oral cancer progression Reviewed

    Kotaro Sato, Ming Yang, Kae Nakamura, Hiromasa Tanaka, Masaru Hori, Miki Nishio, Akira Suzuki, Hideharu Hibi, Shinya Toyokuni

    Oral Diseases     page: 1 - 13   2023.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1111/odi.14827

  21. Hydrogen peroxide sensing with nitrogen-doped carbon nanowalls Reviewed International coauthorship

    Rakhymzhan Ye. Zhumadilov, Yerassyl Yerlanuly, Hiroki Kondo, Renata R. Nemkayeva, Tlekkabul S. Ramazanov, Masaru Hori, Maratbek T. Gabdullin

    Sensing and Bio-Sensing Research   Vol. 43   page: 100614   2023.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  22. Surface sulfurization of amorphous carbon films in the chemistry of oxygen plasma added with SO2 or OCS for high-aspect-ratio etching Reviewed

    Kenji Ishikawa, Thi-Thuy-Nga Nguyen, Yuta Aoki, Hiroyasu Sato, Junichi Kawakami, Shuji Tsuno, Shih-Nan Hsiao, Masaru Hori

    Applied Surface Science   Vol. 645   page: 158876   2023.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1016/j.apsusc.2023.158876

  23. Gas-phase study of the behavior of trimethyl gallium and triethyl gallium by optical emission spectroscopy and quadrupole mass spectroscopy for the growth of GaN by REMOCVD (radical-enhanced metalorganic chemical vapor deposition) Reviewed

    Arun Kumar Dhasiyan, Swathy Jayaprasad, Frank Wilson Amalraj, Naohiro Shimizu, Osamu Oda, Kenji Ishikawa and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 62   page: SN1019   2023.11

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI 10.35848/1347-4065/acfd34

  24. The Possibility of Cancer Therapy with a Combination of Low Temperature Plasma and Hyperthermia Reviewed

    Thermal Medicine

    Thermal Medicine   Vol. 39 ( 3 ) page: 21 - 30   2023.9

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.3191/thermalmed.39.21

  25. Plasma processing and annealing for defect management at SiO2/Si interface Reviewed

    Shota Nunomura, Takayoshi Tsutsumii, Isao Sakata, Masaru Hori

    Journal of Vacuum Science & Technology B   Vol. 41 ( 5 ) page: 052202   2023.8

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1116/6.0002822

  26. Deposition of carbon-based materials directly on copper foil and nickel foam as 2D and 3D-networked metal substrates by in-liquid plasma Invited Reviewed

    Ma. Shanlene D.C. Dela Vega, Thi-Thuy-Nga Nguyen, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, and Masaru Hori

    Plasma Processes and Polymers   Vol. 20 ( 21 ) page: e2300036   2023.8

     More details

    Authorship:Corresponding author   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1002/ppap.202300036

  27. Bias-supply timing tailored to the aspect ratio dependence of silicon trench etching in Ar plasma with alternately injected C4F8 and SF6 Invited Reviewed

    Taito Yoshie, Kenji Ishikawa, Thi-Thuy-Nga Nguyen, Shih-Nan Hsiao, Takayoshi Tsutsumi, Makoto Sekine, Masaru Hori

    Applied Surface Science   Vol. 638 ( 30 ) page: 157981-1 - 157981-14   2023.7

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1016/j.apsusc.2023.157981

  28. Tetrachloroaurate (III)–induced oxidation increases nonthermal plasma-induced aldehydes Reviewed

    Yasumasa Okazaki, Jun Yoshitake, Nanami Ito, Kanako Sasaki, Hiromasa Tanaka, Masaru Hori, Takahiro Shibata, Shinya Toyokuni

    Advances in Redox Research   Vol. 9 ( December ) page: 100074-1 - 100074-8   2023.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  29. Generation and measurement of low-temperature plasma for cancer therapy: a historical review Reviewed

    Kenji Ishikawa, Keigo Takeda, Shinji Yoshimura, Takashi Kondo, Hiromasa Tanaka, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, Masaaki Mizuno, and Masaru Hori

    FREE RADICAL RESEARCH   Vol. 57 ( 3 ) page: 239 - 270   2023.7

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: http://dx.doi.org/10.1080/10715762.2023.2230351

  30. Plasma-generated nitric oxide radical (NO•) promotes the proliferation of fibroblast cells in liquid Reviewed

    Yasumasa Mori, Kazane Oguri, Naoyuki Iwata, Tomiyasu Murata, Masaru HORI and Masafumi ITO

    Japanese Journal of Applied Physics   Vol. 62 ( SL ) page: SL1016   2023.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI 10.35848/1347-4065/acd9b6

  31. Development of an experimental system for cell viability assays of yeasts using gas-temperature controllable plasma jets Reviewed

    Yoshimura, Shinji; Otsubo, Yoko; Yamashita, Akira; Johzuka, Katsuki; TSUTSUMI, Takayoshi; Ishikawa, Kenji; HORI, Masaru

    Japanese Journal of Applied Physics   Vol. 62 ( Number SL )   2023.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/acd4ca

  32. Diagnostics of a nanosecond atmospheric plasma jet. Ionization waves, plasma density and electric field dynamics Reviewed

    Nikolay Britun, Peter Raj Dennis Christy, Vladislav Gamaleev, Shih-Nan Hsiao, Masaru Hori

    Journal of Applied Physics   Vol. 133 ( 18 )   2023.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/5.0138931

  33. Selective isotropic atomic-layer etching of thin films by using dry chemical removal tool Invited Reviewed

    Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Masaru Izawa, Kenji Ishikawa, Masaru Hori

    Advanced Etch Technology and Process Integration for Nanopatterning XII; 124990E (2023)   Vol. 12499   2023.5

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: https://doi.org/10.1117/12.2664547

  34. Synthesis of highly dense and multi-branched carbon nanowalls by two-step growth combining different plasma chemical vapor deposition methods Reviewed

    Ngo Quang Minh, Ngo Van Nong , Ma Shanlene. Dela Vega, Osamu Oda, Masaru Hori

    Vacuum   Vol. 213   2023.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.vacuum.2023.112118

  35. Cancer-specific cytotoxicity of Ringer’s acetate solution irradiated by cold atmospheric pressure plasma Reviewed

    Camelia Miron , Kenji Ishikawa, Satoshi Kashiwagura, Yuki Suda, Hiromasa Tanaka, Kae Nakamura, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno, Masaru Hori

    Free Radical Research   Vol. 57 ( 2 ) page: 91 - 104   2023.4

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1080/10715762.2023.2201390

  36. Low-temperature growth at 225 oC and characterization of carbon nanowalls synthesized by radical injection plasma-enhanced chemical vapor deposition Reviewed

    Ngo Quang Minh, Ngo Van Nong, Osamu Oda, Kenji Ishikawa, Masaru Hori

    VACUUM   Vol. 224   page: 113180   2023.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1016/j.vacuum.2024.113180

  37. Exposure of low-temperature plasma after vaccination in 6 tongue promotessystemic IgM induction against spike protein 7 of SARS-CoV-2 Reviewed

    Kotaro Sato, Kouki Fujii, Hiromasa Tanaka, Masaru Hori, Hideharu Hibi and Shinya Toyokuni

    Free Radical Research   Vol. 57 ( 1 ) page: 30 - 37   2023.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1080/10715762.2023.2190486

  38. High-performance glass filters for capturing and culturing circulating tumor cells and cancer-associated fibroblasts Reviewed

    Hiromasa Tanaka, Daijiro Iwata, Yuki Shibata, Tetsunari Hase, Daisuke Onoshima, Naoyuki Yogo, Hirofumi Shibata, Mitsuo Sato, Kenji Ishikawa, Ikuo Nagasawa, Yoshinori Hasegawa, Makoto Ishii, Yoshinobu Baba, Masaru Hori

    Scientific Reports   Vol. 13   2023.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1038/s41598-023-31265-9

  39. Plasma activated Ringer’s lactate solution Reviewed

    Hiromasa Tanaka,Masaaki Mizuno,Kenji Ishikawa,Camelia Miron,Yasumasa Okazaki,Shinya Toyokuni,Kae Nakamura,Hiroaki Kajiyama &Masaru Hori

    Free Radical Research   Vol. 57 ( 1 ) page: 14 - 20   2023.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1080/10715762.2023.2182663

  40. Organic decomposition and synthesis reactions in lactated solution exposed to non-equilibrium atmospheric pressure plasma Reviewed

    Yang Liu, Kenji Ishikawa, Hiromasa Tanaka, Camelia Miron, Takashi Kondo, Kae Nakamura, Masaaki Mizuno, Hiroaki Kajiyama, Shinya Toyokuni, Masaru Hori

    Plasma Processes and Polymers   Vol. 20 ( 5 )   2023.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1002/ppap.202200193

  41. 低温大気圧プラズマによるフリーラジカル生成とその生物学的意義-放射線との比較- Reviewed

    近藤隆、橋爪博司、田中比呂間瀬 石川健治、堀勝

    化学工業   Vol. 74 ( 2 ) page: 120 - 126   2023.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  42. GaN damage-free cyclic etching by sequential exposure to Cl2 plasma and Ar plasma with low Ar+-ion energy at substrate temperature of 400 °C Reviewed

    Shohei Nakamura, Atsushi Tanide, Takahiro Kimura, Soichi Nadahara, Kenji Ishikawa, Osamu Oda, and Masaru Hori

    Journal of Applied Physics   Vol. 133 ( 4 )   2023.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/5.0131685

  43. Manipulation of etch selectivity of silicon nitride over silicon dioxide to a-carbon by controlling substate temperature with a CF4/H2 plasma Reviewed

    Shih-Nan Hsiao, Nikolay Britun, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    Vacuum   Vol. 210   page: 111863   2023.1

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1016/j.vacuum.2023.111863

  44. Diagnostics of a nanosecond atmospheric plasma jet. II. Ionization waves, plasma density and electric field dynamics Reviewed

    Nikolay Britun, Peter Raj Dennis Christy, Vladislav Gamaleev and Masaru Hori

    Plasma Sources Science and Technology   Vol. 31 ( 12 )   2023.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6595/aca0bb

  45. Effects of plasma-activated Ringer’s lactate solution on cancer cells: evaluation of genotoxicity Reviewed

    Yang Liu , Yoshimichi Nakatsu, Hiromasa Tanaka, Kazunori Koga, Kenji Ishikawa, Masaharu Shiratani & Masaru Hori

    Genes and Environment   Vol. 45   2023.1

     More details

    Language:English  

    DOI: 10.1186/s41021-023-00260-x

  46. Role of insoluble atoms in the formation of a three-dimensional buffer layer in inverted Stranski–Krastanov mode Reviewed

    Naoto Yamashita, Ryo Mitsuishi, Yuta Nakamura, Keigo Takeda, Masaru Hori, Kunihiro Kamataki, Takamasa Okumura, Kazunori Koga & Masaharu Shiratani

    Journal of Materials Research   Vol. 38   page: 1178 - 1185   2023.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1557/s43578-022-00886-7

  47. Substrates on Surface-Assisted Laser Desorption/Ionization Mass Spectrometry Performance Reviewed

    Ryusei Sakai ,Hiroki Kondo ,Kenji Ishikawa,Takayuki Ohta, Mineo Hiramatsu, Hiromasa Tanaka and Masaru Hori

    Nanomaterials   Vol. 13 ( 1 )   2022.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3390/nano13010063

  48. Non-thermal plasma elicits ferrous chloride-catalyzed DMPO-OH Reviewed

    Yasumasa Okazaki, Nanami Ito, Hiromasa Tanaka, Masaru Hori & Shinya Toyokuni

    Free Radical Research   Vol. 56 ( 9-10 ) page: 595 - 606   2022.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  49. Indoor floor heel mark removal using spark discharges and pressurized airflow Reviewed

    Yoshihiro Sakamoto, Takayoshi Tsutsumi, Hiromasa Tanaka, Kenji Ishikawa, Hiroshi Hashizume and Masaru Hori

    Coatings 2022   Vol. 12 ( 12 )   2022.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3390/coatings12121938

  50. Leukocyte Depletion and Size-Based Enrichment of Circulating Tumor Cells Using a Pressure-Sensing Microfiltration Device Reviewed

    Daisuke Onoshima, Tetsunari Hase, Naoto Kihara, Daiki Kuboyama, Daiki Kuboyama, Hiromasa Tanaka, Naoya Ozawa, Hiroshi Yukawa, Mitsuo Sato, Kenji Ishikawa, Yoshinori Hasegawa, Makoto Ishii, Masaru Hori, and Yoshinobu Baba

    ACS Meas. Sci. Au   Vol. 3   page: 113 - 119   2022.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1021/acsmeasuresciau.2c00057

  51. Mechanical properties of maze-like carbon nanowalls synthesized by the radial injection plasma enhanced chemical vapor deposition method Reviewed

    Swapnil Ghodke, Motoyuki Murashim, Dennis Christy, Ngo Van Nong, Kenji Ishikawa, Osamu Oda, Noritsugu Umehara, Masaru Horia

    Materials Science & Engineering A   Vol. 862 ( 18 ) page: 1 - 8   2022.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.msea.2022.144428

  52. Dry etching of ternary metal carbide TiAlC via surface modification using floating wire-assisted vapor plasma Reviewed

    Thi‑Thuy‑Nga Nguyen, Kazunori Shinoda, Hirotaka Hamamura, Kenji Maeda, KenetsuYokogawa, Masaru Izawa, Kenji Ishikawa, Masaru Hor

    Scientific Reports   Vol. 12   page: 1 - 13   2022.11

     More details

    Language:English  

    DOI: 10.1038/s41598-022-24949-1

  53. Carbon Layer Formation on Hexagonal Boron Nitride by Plasma Processing in Hydroquinone Aqueous Solution Reviewed

    Inoue, Kenichi; Sakakibara, Noritaka; Goto, Taku; Ito, Tsuyohito; Shimizu, Yoshiki; Hakuta, Yukiya; Ishikawa, Kenji; Hori, Masaru; Terashima, Kazuo Terashima

    ACS Applied Materials & Interfaces   Vol. 14 ( 17 ) page: 53413 - 53420   2022.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1021/acsami.2c15951

  54. Creation of unique shapes by coordination of alumina nanopores and carbon nanowalls Reviewed

    Yerassyl Yerlanuly, Dennis Christy, Ngo Van Nong, Hiroki Kondo, Balaussa Ye. Alpysbayeva, Rakhymzhan Zhumadilov, Renata R. Nemkayeva, Tlekkabul S. Ramazanov, Masaru Hori, Maratbek T. Gabdullin

    Fullerenes, nanotubes, and carbon nanostructures   Vol. 31 ( 4 ) page: 295 - 301   2022.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1080/1536383X.2022.2146672

  55. Biocompatibility of conformal silicon carbide on carbon nanowall scaffolds Reviewed

    Koki Ono, Takashi Koide, Kenji Ishikawa, Hiromasa Tanaka, HIROKI KONDO, Ayae Sugawara-Narutaki, Yong Jin, Shigeno Yasuhara, Masaru HORI and Wakana Takeuchi

    Japanese Journal of Applied Physics (Special Issues)   Vol. 62 ( SA )   2022.11

     More details

    Language:English  

    DOI: 10.35848/1347-4065/ac9319

  56. Radical-controlled plasma processes Reviewed

    Masaru Hori

    Reviews of Modern Plasma Physics   Vol. 6 ( 1 ) page: 1 - 117   2022.11

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1007/s41614-022-00084-2

  57. Area-selective plasma-enhanced atomic layer etching (PE-ALE) of silicon dioxide using a silane coupling agent Reviewed

    Osonio, Airah P. ; Tsutsumi, Takayoshi ; Oda, Yoshinari ; Mukherjee, Bablu ; Borude, Ranjit ; Kobayashi, Nobuyoshi ; Hori, Masaru

    Journal of Vacuum Science & Technology A,   Vol. 40 ( 6 )   2022.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/6.0002044

  58. Dissociation channels of c-C4F8to C2F4in reactive plasma Reviewed

    Hayashi, Toshioa;Ishikawa, Kenjia;Iwayama, Hiroshib;Sekine, Makotoa;Hori, Masarua

    Japanese Journal of Applied Physics   Vol. 61 ( 10 )   2022.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ac895e

  59. 液中プラズマ法によるナノグラフェン合成に及ぼす超音波の影響

    安藤 啓司、長谷川 健太、近藤 博基、堀 勝

    超音波テクノ   Vol. 34 ( 5 ) page: 42 - 46   2022.10

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  60. Power Generation Characteristics of Polymer Electrolyte Fuel Cell Using Carbon Nanowalls for Catalyst-Support-Materials Reviewed

    Takayuki Ohta , Hiroaki Iwata, Mineo Hiramatsu, Hiroki Kondo, Masaru Hori

    C - Journal of Carbon Research   Vol. 8 ( 3 )   2022.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3390/c8030044

  61. Effects of deposition precursors of hydrogenated amorphous carbon films on the plasma etching resistance based on mass spectrometer measurements and machine learning analysis Reviewed

    Jumpei Kurokawa, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    Vacuum   Vol. 205   2022.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.vacuum.2022.111351

  62. The 2022 Plasma Roadmap: low temperature plasma science and technology Reviewed

    I Adamovich, S Agarwal, E Ahedo, L L Alves, S Baalrud, N Babaeva, A Bogaerts, A Bourdon, P J Bruggeman, C Canal, E H Choi, S Coulombe, Z Donkó, D B Graves, S Hamaguchi, D Hegemann, M Hori, H-H Kim, G M W Kroesen, M J Kushner, A Laricchiuta, X Li, T E Magin, S Mededovic Thagard, V Miller, A B Murphy, G S Oehrlein, N Puac, R M Sankaran, S Samukawa, M Shiratani, M Šimek, N Tarasenko, K Terashima, E Thomas Jr, J Trieschmann, S Tsikata, M M Turner, I J van der Walt, M C M van de Sanden and T von Woedtke

    Journal of Physics D: Applied Physics   Vol. 55 ( 37 )   2022.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/ac5e1c

  63. Wide range applications of process plasma diagnostics using vacuum ultraviolet absorption spectroscopy Reviewed

    Keigo Takeda, Kenji Ishikawa, Masaru Hori

    Reviews of Modern Plasma Physics   Vol. 6 ( 1 )   2022.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1007/s41614-022-00075-3

  64. 低温プラズマの農業応用への可能性と進展

    橋爪 博司・堀 勝

    アグリバイオ   Vol. 6 ( 6 ) page: 47 - 51   2022.6

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  65. Study of optical emission spectroscopy using modified Boltzmann plot in dual-frequency synchronized pulsed capacitively coupled discharges with DC bias at low-pressure in Ar/O2/C4F8 plasma etching process Reviewed

    Bibhuti Bhusan Sahu, Kazuya Nakane, Kenji Ishikawa, Makoto Sekine, Takayoshi Tsutsumi, Taku Gohira, Yoshinobu Ohya, Noriyasu Ohnoad and Masaru Hori

    Physical Chemistry Chemical Physics   Vol. 22   page: 13883 - 13896   2022.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  66. Nanosecond-scale impulse generator for biomedical applications of atmospheric-pressure plasma technology Reviewed

    Vladislav Gamaleev, Naohiro Shimizu, and Masaru Hori

    Review of Scientific Instruments   Vol. 93 ( 5 ) page: 053503-1 - 053503-10   2022.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/5.0082175

  67. High-Efficient Hydrogen Generation Study by a Reverse Tailing Pulsed-Plasma Water Dissociation Applying Wet Electrode Method Reviewed

    Naohiro Shimizu, Ranjit R Borude, Reiko Tanaka, Osamu Oda, Hiroki Hosoe, Satoshi Inou, Yousuke Inoue and Masaru Hori

    Journal of The Electrochemical Society   Vol. 169 ( 5 ) page: 054507   2022.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/1945-7111/ac6a1b

  68. Ion-induced interface defects in a-Si:H/c-Si heterojunction: possible roles and kinetics of hot mobile hydrogens Reviewed

    Shota Nunomura, Takayoshi Tsutsumi, Kazuya Nakane, Aiko Sato, Isao Sakata and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 61 ( 5 ) page: 056003-1 - 056003-11   2022.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ac5210

  69. Enhanced Bioremediation of 4-Chlorophenol by Electrically Neutral Reactive Species Generated from Nonthermal Atmospheric-Pressure Plasma Reviewed

    Hiroyuki Kato, Kiyota Sakai, Shou Itoh, Naoyuki Iwata, Masafumi Ito, Masaru Hori, Masashi Kato, and Motoyuki Shimizu

    ACS OMEGA   Vol. 7   page: 16197 - 16203   2022.4

     More details

    Language:English  

    DOI: 10.1021/acsomega.2c01615

  70. Cytotoxicity of plasma-irradiated lactate solution produced under atmospheric airtight conditions and generation of the methyl amino group Reviewed

    Daiki Ito, Naoyuki Iwata, Kenji Ishikawa, Kae Nakamura, Hiroshi Hashizume, Camelia Miron, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno and Masaru Hori

    Applied Physics Express   Vol. 15 ( 5 ) page: 56001   2022.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1882-0786/ac6360

  71. プラズマによるカーボンナノウォールの合成と応用 Reviewed

    平 松 美 根 男,竹 田 圭 吾,近 藤 博 基,堀 勝

    プラズマ・核融合学会誌   Vol. 98 ( 4 ) page: 158 - 164   2022.4

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  72. In-liquid plasma synthesis of iron–nitrogen-doped carbon nanoflakes with high catalytic activity Reviewed

    Hiroki Kondo,Ryo Hamaji,Tomoki Amano,Kenji Ishikawa,Makoto Sekine,Mineo Hiramatsu,Masaru Hori

    Plasma Processes and Polymers   Vol. 19 ( 8 )   2022.4

     More details

    Language:English  

    DOI: 10.1002/ppap.202100203

  73. Low-temperature reduction of SnO2 by floating wire-assisted medium-pressure H2/Ar plasma Reviewed

    Nguyen, Thi-Thuy-Nga; Sasaki, Minoru; Hsiao, Shih-Nan; Tsutsumi, Takayoshi; Ishikawa, Kenji; Hori, Masaru

    Plasma Processes and Polymers     2022.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1002/ppap.202100209

  74. Scaffolds with isolated carbon nanowalls promote osteogenic differentiation through Runt-related transcription factor 2 and osteocalcin gene expression of osteoblast-like cells Reviewed

    Tomonori Ichikawa, Kenji Ishikawa, Hiromasa Tanaka, Naohiro Shimizu, and Masaru Hori

    AIP Advances   Vol. 12 ( 2 )   2022.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/5.0075530

  75. Plasma-assisted thermal-cyclic atomic-layer etching of tungsten and control of its selectivity to titanium nitride, Reviewed

    Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Yuko Hanaoka, Masaru Izawa, Kenji Ishikawa, and Masaru Hori

    Journal of Vacuum Science & Technology B   Vol. 40 ( 2 )   2022.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/6.0001660

  76. Tetrachloroaurate (III)-induced oxidation increases non-thermal plasma-induced oxidative stress Reviewed

    Yasumasa Okazaki, Kanako Sasaki, Nanami Ito, Hiromasa Tanaka, Ken-Ichiro Matsumoto, Masaru Hori & Shinya Toyokuni

    Free Radical Research   Vol. 55 ( 8 )   2022.1

     More details

    Language:English  

    DOI: 10.1080/10715762.2022.2026348

  77. Nitrogen Atom Density Measurements in NAGDIS-T Using Vacuum Ultraviolet Absorption Spectroscopy

    Nishio Ryosuke, Kajita Shin, Tanaka Hirohiko, Asaoka Koji, Tsutsumi Takayoshi, Hori Masaru, Ohno Noriyasu

    PLASMA AND FUSION RESEARCH   Vol. 17   2022.1

     More details

    Language:Japanese  

    DOI: 10.1585/pfr.17.1201004

    Web of Science

  78. Enhancement of ethanol production and cell growth in budding yeast by direct irradiation of low-temperatur Reviewed

    Hiromasa Tanaka, Shogo Matsumura, Kenji Ishikawa, Hiroshi Hashizume, Masafumi Ito, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Mikako Ito, Kinji Ohno, Yasumasa Okazaki, Shinya Toyokuni, Masaaki Mizuno and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 61 ( SA )   2022.1

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ac2037

    Web of Science

  79. プラズマ生命エレクトロニクスの幕開 Invited Reviewed

    堀 勝

    化学工業   ( 863 ) page: 1 - 5   2022.1

     More details

    Authorship:Lead author   Language:Japanese   Publishing type:Research paper (scientific journal)  

  80. 低温プラズマバイオサイエンスの未来 Reviewed

    堀 勝

    プラズマ・核融合学会誌エレクトロニクス分化会会報   Vol. 75   page: 9 - 13   2021.12

     More details

    Language:Japanese   Publishing type:Research paper (other academic)  

  81. ハイパーサーミアがん治療への相乗効果―プラズマ活性酢酸リンゲル液との併用 Reviewed

    田中 宏昌, 堀 勝

    日本ハイパーサーミア学会誌   Vol. 37 ( 4 ) page: 141 - 142   2021.12

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    DOI: 10.3191/thermalmed.37.141

  82. On the etching mechanism of highly hydrogenated sin films by CF4/D2 plasma: Comparison with CF4/H2 Reviewed

    Shih-Nan Hsiao, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    Coatings   Vol. 11 ( 12 )   2021.12

     More details

    Language:English  

    DOI: https://doi.org/10.3390/coatings11121535

  83. Low Temperature Plasma for Biology, Hygiene, and Medicine: Perspective and Roadmap Reviewed

    Mounir Laroussi, Sander Bekeschus, Michael Keidar, Annemie Bogaerts, Alexander Fridman, XinPei Lu, Kostya (Ken)Ostrikov, Masaru Hori, Katharina Stapelmann, Vandana Miller, Stephan Reuter, Christophe Laux, Ali Mesbah, James Walsh, Chunqi Jiang, Selma Mededovic Thagard, Hiromasa

    IEEE Transaction on Radiation and Plasma Medical Sciences   Vol. 6 ( 2 )   2021.12

     More details

    Language:English  

    DOI: 10.48550/arXiv.2108.03158

  84. プラズマによるがん治療 -2021年の状況と将来展望- Reviewed

    石川 健治、 堀 勝

    静電気学会誌   Vol. 45 ( 6 ) page: 2 - 8   2021.12

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  85. Reaction Mechanism & Selectivity Control of Si-Compound ALE Based on Plasma Modification and F-radical Exposure Reviewed

    Vervuurt Ren, Mukherjee Bablu, Nakane Kazuya, Tsutsumi Takayoshi, Hori, Masaru, Kobayashi, Nobuyoshi

    LANGMUIR   Vol. 37 ( 43 ) page: 12519 - 12766   2021.10

     More details

    Language:English  

    DOI: 10.1021/acs.langmuir.1c02036

  86. 窒化物半導体プラズマエッチングにおける原子層反応制御と低ダメージプロセス Reviewed

    堤 隆嘉、石川 健治、近藤 博基、関根 誠、堀 勝

    プラズマ・核融合学会誌   Vol. 97 ( 9 ) page: 517 - 521   2021.9

     More details

    Language:Japanese  

  87. Low temperature plasma irradiation products of sodium lactate solution that induce cell death on U251SP glioblastoma cells were identified. Reviewed

    HiromasaTanaka, Yugo Hosoi, Kenji Ishikawa, JunYoshitake, Takahiro Shibata, Koji Uchida, Hiroshi Hashizume, Masaaki Mizuno, Yasumasa Okazaki, ShinyaToyokuni, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaru Hori

    Scientific Reports     2021.9

     More details

    Language:English  

    DOI: 10.1038/s41598-021-98020-w

  88. 先端プラズマプロセスが実現するバイオ・ライフテクノロジー Reviewed

    堀 勝

    化学工学   Vol. 85 ( 9 ) page: 478 - 479   2021.9

     More details

    Authorship:Lead author   Language:Japanese  

  89. Novel Method of Rebound Tailing Pulse (RTP) for Water Dissociation Reviewed

    Naohiro Shimizu; Ranjit R. Borude; Reiko Tanaka; Kenji Ishikawa; Osamu Oda; Hiroki Hosoe; Satoshi Ino; Yosuke Inoue; Masaru Hori

    IEEE Transactions on Plasma Science   Vol. 49 ( 9 ) page: 2893 - 2900   2021.8

     More details

    Language:English  

    DOI: 10.1109/TPS.2021.3102639

  90. Effects of hydrogen content in films on the etching of LPCVD and PECVD SiN films using CF4/H2 plasma at different substrate temperatures Reviewed

    Shih-Nan Hsiao,Nikolay Britun,Thi-Thuy-Nga Nguyen,Takayoshi Tsutsumi,Kenji Ishikawa,Makoto Sekine,Masaru Hori,

    Plasma Processes and Polymers   Vol. 18 ( 11 )   2021.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.202100078

  91. Evidence of near-the-limit energy cost NO formation in atmospheric spark discharge Reviewed

    Nikolay Britun, Vladislav Gamaleev and Masaru Hori

    Plasma Sources Science and Technology   Vol. 30 ( 8 )   2021.8

     More details

    Language:English  

    DOI: https://doi.org/10.1088/1361-6595/ac12bf

  92. Plasma-activated Ringer's lactate solution inhibits the cellular respiratory system in HeLa cells Reviewed

    Hiromasa Tanaka,Shogo Maeda,Kae Nakamura,Hiroshi Hashizume,Kenji Ishikawa,Mikako Ito,Kinji Ohno,Masaaki Mizuno,Yashiro Motooka,Yasumasa Okazaki,Shinya Toyokuni,Hiroaki Kajiyama,Fumitaka Kikkawa,Masaru Hori

    PLASMA PROCESSES AND POLYMERS   Vol. 18 ( 10 )   2021.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.202100056

  93. Non-thermal plasma-induced DMPO-OH yields hydrogen peroxide Reviewed

    Yasumasa Okazaki HiromasaTanaka Ken-Ichiro Matsumoto Masaru Hori ShinyaToyokuni

    Archives of Biochemistry and Biophysics   Vol. 705   2021.7

     More details

    Language:English  

    DOI: 10.1016/j.abb.2021.108901

  94. Brain cell proliferation in adult rats after irradiation with nonequilibrium atmospheric pressure plasma Reviewed

    Yamato Masanori, Tamura Yasuhisa, Tanaka Hiromasa, Ishikawa Kenji, Ikehara Yuzuru, Hori Masaru, Kataoka Yosky

    Applied Physics Express   Vol. 14 ( 36 )   2021.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.35848/1882-0786/ac03c1

  95. Cancer Treatments Using Low-Temperature Plasma Reviewed

    Hiromasa Tanaka , Masaaki Mizuno , Kenji Ishikawa , Shinya Toyokuni , Hiroaki Kajiyama , Fumitaka Kikkawa , Masaru Hori

    Current Medicinal Chemistry   Vol. 28 ( 41 ) page: 8549 - 8558   2021.6

     More details

    Language:English  

    DOI: 10.3390/coatings11121535

  96. Lysosomal nitric oxide determines transition from autophagy to ferroptosis after exposure to plasma-activated Ringer's lactate Reviewed

    LiJianga, Hao Zhenga, Qinying Lyua Shotaro Hayashi, Kotaro Satoa, Yoshitaka Sekido, Kae Nakamura, Hiromasa Tanaka, Kenji Ishikawa, Hiroaki Kajiyama, Masaaki Mizuno, Masaru Hori, ShinyaToyokuni

    Redox Biology   Vol. 43   2021.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.redox.2021.101989

  97. Hydrogen peroxide in lactate solutions irradiated by non-equilibrium atmospheric pressure plasma Reviewed

    Yang Liu, Kenji Ishikawa, Camelia Miron, Hiroshi Hashizume, Hiromasa Tanaka and Masaru Hori

    Plasma Sources Science and Technology   Vol. 30   2021.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  98. Plasma-Treated Solutions (PTS) in Cancer Therapy Reviewed

    Hiromasa Tanaka, Sander Bekeschus, Dayun Yan, Masaru Hori, Michael Keidar and Mounir Laroussi

    Cancer   Vol. 13 ( 7 )   2021.4

     More details

    Publishing type:Research paper (scientific journal)  

    DOI: 10.3390/cancers13071737

  99. Differential data on the responsiveness of multiple cell types to cell death induced by non-thermal atmospheric pressure plasma-activated solutions Reviewed

    Ko Eto, Chiaki Ishinada, Takuya Suemoto, Keiichiro Hyakutake, Hiromasa Tanaka, Masaru Hori

    Data in Brief   Vol. 36   2021.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.dib.2021.106995

  100. Preclinical Verification of the Efficacy and Safety of Aqueous Plasma for Ovarian Cancer Therapy Reviewed

    Kae Nakamura, Nobuhisa Yoshikawa, Yuko Mizuno, Miwa Ito, Hiromasa Tanaka, Masaaki Mizuno, Shinya Toyokuni, Masaru Hori, Fumitaka Kikkawa and Hiroaki Kajiyama

    Cancer   Vol. 13 ( 5 )   2021.3

     More details

    Language:English  

    DOI: 10.3390/cancers13051141

  101. 小特集 大気圧低温プラズマに対する細胞応答とがん治療への展開 2.プラズマ照射溶液が誘発する細胞死 Reviewed

    田 中 宏 昌,堀 勝

    プラズマ・核融合学会誌   Vol. 97 ( 3 ) page: 119 - 122   2021.3

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  102. 小特集 大気圧低温プラズマに対する細胞応答とがん治療への展開 1.はじめに Reviewed

    田 中 宏 昌,堀 勝

    プラズマ・核融合学会誌   Vol. 97 ( 3 ) page: 117 - 118   2021.3

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  103. 低温プラズマを用いたウイルスの不活性化(-ウイルス滅のプラズマ刃-) Reviewed

    堀 勝,伊藤 昌文

    応用物理学会 特別WEBコラム 新型コロナウィルス禍に学ぶ応用物理     2021.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  104. Cyclic C4F8 and O2 plasma etching of TiO2 for high-aspect-ratio three-dimensional devices Reviewed

    Tsubasa Imamura, Itsuko Sakai, Hisataka Hayashi, Makoto Sekine and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 60 ( 3 )   2021.2

     More details

    Language:English  

    DOI: 10.35848/1347-4065/abdf78

  105. A novel and distinctive mode of cell death revealed by using non-thermal atmospheric pressure plasma: The involvements of reactive oxygen species and the translation inhibitor Pdcd4 Reviewed

    Ko Eto, Chiaki Ishinada, Takuya Suemoto, Keiichiro Hyakutake, Hiromasa Tanaka, Masaru Hori

    Chemico-Biological Interactions   Vol. 338   2021.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.cbi.2021.109403

  106. Identification of key neutral species in atmospheric‐pressure plasma for promoting proliferation of fibroblast cells Reviewed

    Yuki Hori, Naoyuki Iwata, Vladislav Gamaleev, Jun‐Seok Oh, Tomiyasu Murata, Masaru Hori, Masafumi Ito

    Plasma Processes and Polymers   Vol. 18 ( 4 )   2021.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.202000225

  107. L-Dehydroascorbate efficiently degrades non-thermal plasma-induced hydrogen peroxide Reviewed

    Yasumasa Okazaki, Yuuri Ishidzu, Fumiya Itoa, Hiromasa Tanaka, Masaru Hori, Shinya Toyokuni

    Archives of Biochemistry and Biophysics   Vol. 700   2021.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.abb.2021.108762

  108. Effects of Carbon Nanowalls (CNWs) Substrates on Soft Ionization of Low-Molecular-Weight Organic Compounds in Surface-Assisted Laser Desorption/Ionization Mass Spectrometry (SALDI-MS) Reviewed

    Ryusei Sakai, Tomonori Ichikawa, Hiroki Kondo , Kenji Ishikawa, Naohiro Shimizu, Takayuki Ohta, Mineo Hiramatsu, Masaru Hori

    Nanomaterials    Vol. 11 ( 262 )   2021.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3390/nano11020262

  109. Growth inhibition effect on Trypanosoma brucei gambiense by the oxidative stress supplied from low-temperature plasma at atmospheric pressure Reviewed

    Naoaki Yokoyama, Thillaiampalam Sivakumar, Sanae Ikehara, Yoshihiro Akimoto, Takashi Yamaguchi, Ken Wakai, Kenji Ishikawa, Masaru Hori, Tetsuji Shimizu, Hajime Sakakita and Yuzuru Ikehara

    Japanese Journal of Applied Physics   Vol. 60 ( 2 )   2021.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/abd464

  110. Reduction in photon-induced interface defects by optimal pulse repetition rate in the pulse-modulated inductively coupled plasma Reviewed

    Yasufumi Miyoshi, Kenji Ishikawa, Makoto Sekine, Masaru Hori and Tetsuya Tatsumi

    Japanese Journal of Applied Physics   Vol. 60 ( 1 )   2020.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/abd113

  111. 大気圧低温プラズマとバイオ技術応用 Reviewed

    堀 勝

    応用物理    Vol. 89 ( 12 ) page: 701-706   2020.12

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  112. Inactivation mechanism of fungal spores through oxygen radicals in atmospheric-pressure plasma Reviewed

    Masafumi Ito, Hiroshi Hashizume, Jun-Seok Oh, Kenji Ishikawa, Takayuki Ohta and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 60 ( 1 )   2020.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.35848/1347-4065/abcbd1

  113. Influences of substrate temperatures on etch rates of PECVD-SiN thin films with a CF4/H2 plasma Reviewed

    Shih-NanHsiao, Kazuya Nakane, Takayoshi Tsutsumi, KenjiI shikawa, Makoto Sekine, Masaru Hori

    Applied Surface Science   Vol. 542   2020.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.apsusc.2020.148550

  114. Selective etching of SiN against SiO2 and poly-Si films in hydrofluoroethane chemistry with a mixture of CH2FCHF2, O2, and Ar Reviewed

    Shih-Nan Hsiao, Kenji Ishikawa, Toshio Hayashi, Jiwei Ni, Takayoshi Tsutsumi, Makoto Sekine, Masaru Hori

    Applied Surface Science   Vol. 541   2020.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.apsusc.2020.148439

  115. Novel Epitaxy for Nitride Semiconductors Using Plasma Technology Reviewed

    Osamu Oda, Masaru Hori

    physica status solidi (a)   Vol. 218 ( 1 )   2020.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/pssa.202000462

  116. Formation of spherical Sn particles by reducing SnO2 film in floating wire-assisted H2/Ar plasma at atmospheric pressure Reviewed

    Thi-Thuy-Nga Nguyen, Minoru Sasaki, Takayoshi Tsutsumi, Kenji Ishikawa & Masaru Hori

    Scientific Reports   Vol. 10   2020.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1038/s41598-020-74663-z

  117. Improvement of yield and grain quality by periodic cold plasma treatment with rice plants in a paddy field Reviewed

    Hiroshi Hashizume Hidemi Kitano Hiroko Mizuno Akiko Abe Genki Yuasa Satoe Tohno Hiromasa Tanaka Kenji Ishikawa Shogo Matsumoto

    Plasma Processes and Polymers   Vol. 18 ( 1 )   2020.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.202000181

  118. Roles of Atomic Nitrogen/Hydrogen in GaN Film Growth by Chemically Assisted Sputtering with Dual Plasma Sources Reviewed

    Atsushi Tanide, Shohei Nakamura, Akira Horikoshi, Shigeru Takatsuji, Takahiro Kimura, Kazuo Kinose, Soichi Nadahara, Masazumi Nishikawa, Akinori Ebe, Kenji Ishikawa, Osamu Oda, and Masaru Hori

    ACS omega   Vol. 5 ( 41 ) page: 26297-26956   2020.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1021/acsomega.0c03865

  119. Laser-induced-plasma-activated medium enables killing of HeLa cells Reviewed

    Yukihiro Kurokawa, Keigo Takeda, Kenji Ishikawa, Hiromasa Tanaka and Masaru Hori

    Applied Physics Express   Vol. 13 ( 10 )   2020.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.35848/1882-0786/abb68c

  120. Small size gold nanoparticles enhance apoptosis-induced by cold atmospheric plasma via depletion of intracellular GSH and modification of oxidative stress Reviewed

    Mati Ur Rehman, Paras Jawaid, Qing Zhao, Masaki Misawa, Kenji Ishikawa, Masaru Hori, Tadamichi Shimizu, Jun ichi Saitoh, Kyo Noguchi, and Takashi Kondo

    Cell Death Discovery   Vol. 6   2020.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1038/s41420-020-00314-x

  121. Influence of chamber pressure on the crystal quality of homo-epitaxial GaN grown by radical-enhanced MOCVD (REMOCVD) Reviewed

    Frank Wilson Amalraj, NaohiroShimizu, OsamuOda, KenjiIshikawa, MasaruHori

    Journal of Crystal Growth   Vol. 549   2020.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.jcrysgro.2020.125863

  122. Silver film etching using halogen gas plasma Reviewed

    Toshiyuki Sasaki, Kenichi Yoshikawa, Kazuhito Furumoto, Itsuko Sakai, Hisataka Hayashi, Makoto Sekine, and Masaru Hori

    Journal of Vacuum Science & Technology A   Vol. 38 ( 5 ) page: 1-12   2020.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/6.0000286

  123. Reaction science of layer-by-layer thinning of graphene with oxygen neutrals at room temperature temperature Reviewed

    Hirotsugu Sugiura, Hiroki Kondo, Kimitaka Higuchi, Shigeo Arai, Ryo Hamaji, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori

    Carbon   Vol. 170   page: 93-99   2020.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.carbon.2020.07.052

  124. Steering of surface discharges on Through-Glass-Vias (TGVs) combined with high-density nonequilibrium atmospheric pressure plasma generation Reviewed

    Yoichiro Sato, Kaede Katsuno, Hidefumi Odaka, Nobuhiko Imajyo, Kenji Ishikawa and Masaru Hori

    Journal of Physics D: Applied Physics   Vol. 53 ( 43 ) page: 1-11   2020.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aba1ad

  125. Atomic nitrogen density measurements by actinometry method in the toroidal device NAGDIS-T Reviewed

    Shin Kajita, Koji Asaoka, Hirohiko Tanaka, Ryosuke Nishio, Takayoshi Tsutsumi, Masaru Hori, and Noriyasu Ohno

    Japanese Journal of Applied Physics   Vol. 59 ( 8 ) page: 1-6   2020.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/aba456

  126. Measurements of nitrogen atom density in a microwave-excited plasma jet produced under moderate Reviewed

    Jaeho Kim, Keigo Takeda, Hirotomo Itagaki, Xue-lun Wang, Shingo Hirose, Hisato Ogiso, Tetsuji Shimizu, Naoto Kumagai, Takayoshi Tsutsumi, Hiroki Kondo, Masaru Hori, Hajime Sakakita

    IEEJ TRANSACTIONS ON ELECTRICAL AND ELECTRONIC ENGINEERING   Vol. 15 ( 9 )   2020.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/tee.23194

  127. Numerical analysis of coaxial dielectric barrier helium discharges: Three-stage mode transitions and internal bullet propagation Reviewed

    Yosuke Sato, Kenji Ishikawa, Takayoshi Tsutsumi and Masaru Hori

    Applied Physics Express   Vol. 13 ( 8 ) page: 1-5   2020.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  128. Adjusted multiple gases in the plasma flow induce differential antitumor potentials of plasma activated solutions Reviewed

    Kae Nakamura Nobuhisa Yoshikawa Masato Yoshihara Yoshiki Ikeda Akihiro Higashida Akihiro Niwa Takahiro Jindo Hiromasa Tanaka Kenji Ishikawa Masaaki Mizuno Shinya Toyokuni Masaru Hori Fumitaka Kikkawa Hiroaki Kajiyama

    Plasma Processes and Polymers   Vol. 17 ( 10 )   2020.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.201900259

  129. In situ surface analysis of an ion-energy-dependent chlorination layer on GaN during cyclic etching using Ar+ ions and Cl radicals Reviewed

    Masaki Hasegawa Takayoshi Tsutsumi, Atsushi Tanide, Shohei Nakamura, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    Journal of Vacuum Science & Technology A   Vol. 38 ( 4 ) page: 1-11   2020.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/6.0000124

  130. Study of the effect of normal load on friction coefficient and wear properties of CNx thin films Reviewed

    Satyananda Kar, Bibhuti Bhusan Sahu, Hiroyuki Kousaka, Jeon Geon Han, and Masaru Hori

    AIP Advances   Vol. 10 ( 6 ) page: 1-8   2020.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/5.0009783

  131. Atomic oxygen radical induced intracellular oxidization of mould spore Reviewed

    Yuta Tanaka, Jun Seok Oh, Hiroshi Hashizume, Takayuki Ohta, Masashi Kato, Masaru Hori, Masafumi Ito

    Plasma Processes and Polymers   Vol. 17 ( 10 )   2020.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.202000001

  132. Non-thermal plasma-activated lactate solution kills U251SP glioblastoma cells in an innate reductive manner with altered metabolism Reviewed

    Kenji Ishikawa, Yugo Hosoi, Hiromasa Tanaka, Li Jiang, ShinyaToyokuni, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Masaru Hori

    Archives of Biochemistry and Biophysics   Vol. 688   page: 1-9   2020.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.abb.2020.108414

  133. In-plane modification of hexagonal boron nitride particles via plasma in solution Reviewed

    Tsuyohito Ito, Taku Goto, Kenichi Inoue, Kenji Ishikawa, Hiroki Kondo, Masaru Hori, Yoshiki Shimizu, Yukiya Hakuta and Kazuo

    Applied Physics Express   Vol. 13 ( 6 ) page: 1-3   2020.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1882-0786/ab916c

  134. Electronic properties and primarily dissociation channels of fluoromethane Reviewed

    Toshio Hayashi, Kenji Ishikawa, Makoto Sekine and Masaru

    Japanese Journal of Applied Physics   Vol. 59 ( SJ ) page: 1-12   2020.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ab7e3f

  135. Numerical simulations of stable, high-electron-density atmospheric pressure argon plasma under pin-to-plane electrode geometry: effects of applied voltage polarity Reviewed

    Yosuke Sato, Kenji Ishikawa, Takayoshi Tsutsumi, Akio Ui1, Masato Akita, Shotaro Oka and Masaru

    Journal of Physics D: Applied Physics   Vol. 53 ( 26 ) page: 1-14   2020.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/ab7df0

  136. Synthesis of carbon nanowalls on the surface of nanoporous alumina membranes by RI-PECVD method Reviewed

    Yerassyl Yerlanuly, Dennis Christy, Ngo Van Nong, Hiroki Kondo, Balaussa Alpysbayeva, Renata Nemkayeva, Meruert Kadyr, Tlekkabul Ramazanov, Maratbek Gabdullin, Didar Batryshev, Masaru Hori

    Applied Surface Science   Vol. 523   page: 1-6   2020.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.apsusc.2020.146533

  137. Characterization of a microsecond pulsed non-equilibrium atmospheric pressure Ar plasma using laser scattering and optical emission spectroscopy Reviewed

    Fengdong JIA, Yong WU, Qi MIN, Maogen SU, Keigo TAKEDA, Kenji ISHIKAWA, Hiroki KONDO,Makoto SEKINE, Masaru HORI and Zhiping ZHONG

    Plasma Science and Technology   Vol. 22 ( 6 ) page: 1-8   2020.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/2058-6272/ab84e2

  138. Generation and diagnostics of ambient air glow discharge in centimeter-order gaps Reviewed

    Vladislav Gamaleev, Takayoshi Tsutsumi, Mineo Hiramatsu, Masafumi Ito, and Masaru Hori

    IEEE Access   Vol. 8   page: 72607 - 72619   2020.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1109/ACCESS.2020.2988091

  139. Transparent elongation and compressive strain sensors based on aligned carbon nanowalls embedded in polyurethane

    Slobodian Petr, Riha Pavel, Kondo Hiroki, Cvelbar Uros, Olejnik Robert, Matyas Jiri, Sekine Makoto, Hori Masaru

    SENSORS AND ACTUATORS A-PHYSICAL   Vol. 306   2020.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.sna.2020.111946

    Web of Science

  140. Growth of single crystalline films on lattice-mismatched substrates through 3D to 2D mode transition Reviewed

    Naho Itagaki, Yuta Nakamura, Ryota Narishige, Keigo Takeda, Kunihiro Kamataki, Kazunori Koga, Masaru Hori & Masaharu Shiratani

    Scientific Reports   Vol. 10   2020.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1038/s41598-020-61596-w

  141. Electron and negative ions dynamics in a pulsed 100 MHz capacitive discharge produced in O2 and Ar/O2/C4F8 gas mixture Reviewed

    N Sirse, T Tsutsumi, M Sekine, M Hori and A R Ellingboe

    Plasma Sources Science and Technology   Vol. 29 ( 3 ) page: 1-   2020.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6595/ab7086

  142. N-Graphene Nanowalls via Plasma Nitrogen Incorporation and Substitution: The Experimental Evidence Reviewed

    Neelakandan M. Santhosh, Gregor Filipič, Eva Kovacevic, Andrea Jagodar, Johannes Berndt, Thomas Strunskus, Hiroki Kondo, Masaru Hori, Elena Tatarova & Uroš Cvelbar

    Nano-Micro Letters   Vol. 12   page: 1-17   2020.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1007/s40820-020-0395-5

  143. Plasma-activated medium promotes autophagic cell death along with alteration of the mTOR pathway Reviewed

    Nobuhisa Yoshikawa, Wenting Liu, Kae Nakamura, Kosuke Yoshida, Yoshiki Ikeda, Hiromasa Tanaka, Masaaki Mizuno, Shinya Toyokuni, Masaru Hori, Fumitaka Kikkawa & Hiroaki Kajiyama

    Scientific Reports   Vol. 10   page: 1-8   2020.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1038/s41598-020-58667-3

  144. Oxygen radical based on non-thermal atmospheric pressure plasma alleviates ligninderived phenolic toxicity in yeast Reviewed

    Shou Ito, Kiyota Sakai, Vladislav Gamaleev, Masafumi Ito, Masaru Hori, Masashi Kato, Motoyuki Shimizu

    Biotechnology for Biofuels   Vol. 13   page: 1-13   2020.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1186/s13068-020-1655-9

  145. Scalable Treatment of Flowing Organic Liquids Using Ambient-Air Glow Discharge for Agricultural Applications Reviewed

    Vladislav Gamaleev, Naoyuki Iwata, Ginji Ito, Masaru Hori, Mineo Hiramatsu and Masafumi Ito

    Applied Sciences   Vol. 10 ( 3 ) page: 1-17   2020.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3390/app10030801

  146. Synthesis of isolated carbon nanowalls via high-voltage nanosecond pulses in conjunction with CH4/H2 plasma enhanced chemical vapor deposition Reviewed

    Tomonori Ichikawa, Naohiro Shimizu, Kenji Ishikawa, Mineo Hiramatsu, and Masaru Hori

    Carbon   Vol. 161   page: 403-412   2020.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.carbon.2020.01.064

  147. Interaction of oxygen with polystyrene and polyethylene polymer films: A mechanistic study Reviewed

    Yusuke Fukunaga, Roberto C. Longo, Peter L. G. Ventzek, Barton Lane, Alok Ranjan, Gyeong S. Hwang, Gregory Hartmann, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Journal of Applied Physics   Vol. 127 ( 2 )   2020.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.5127863

  148. Initial growth analysis of ALD Al2O3 film on hydrogen-terminated Si substrate via in situ XPS Reviewed

    Hiroyuki Fukumizu, Makoto Sekine, Masaru Hori and Paul C. McIntyre

    Japanese Journal of Applied Physics   Vol. 59 ( 1 ) page: 1-6   2020.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/ab6273

  149. In-liquid plasma synthesis of nanographene with a mixture of methanol and 1-butanol Reviewed

    Atsushi Ando, Kenji Ishikawa, Keigo Takeda, Takayuki Ohta, Masafumi Ito, Mineo Hiramatsu, Hiroki Kondo, Makoto Sekine, Masaru Hori

      Vol. Volume6 ( Issue4 )   2019.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/cnma.201900676

  150. Gas-phase and film analysis of hydrogenated amorphous carbon films: Effect of ion bombardment energy flux on sp2 carbon structures Reviewed

    Hirotsugu Sugiura, Yasuyuki Ohashi, Kenji Ishikawa, Hiroki Kondo, Toshiaki Kato, Toshiro Kaneko, Keigo Takeda, Takayoshi Tsutsumi, Toshio Hayashi, Makoto Sekine, Masaru Hori

    Diamond & Related Materials   Vol. 104   page: 1-10   2019.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.diamond.2019.107651

  151. Simulation-aided design of very-high-frequency excited nitrogen plasma confinement using a shield plate Reviewed

    Yasuhiro Isobe, Takayuki Sakai, Kyoichi Suguro, Naoto Miyashita, Hiroki Kondo, Kenji Ishikawa, Amalraj Frank Wilson, Naohiro Shimizu, Osamu Oda, Makoto Sekine, and Masaru Hori

    Journal of Vacuum Science & Technology B   Vol. 37 ( 6 )   2019.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1116/1.5114831

  152. Exosomal Analysis of ALK Rearrangements by Spin Column with Porous Glass Filter

    Hatta T., Hase T., Ozawa N., Yogo N., Yukawa H., Tanaka H., Onoshima D., Sato M., Hori M., Baba Y., Hasegawa Y.

    JOURNAL OF THORACIC ONCOLOGY   Vol. 14 ( 10 ) page: S676-S676   2019.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Web of Science

  153. Oxidative stress-dependent and -independent death of glioblastoma cells induced by non-thermal plasma-exposed solutions Reviewed

    Tanaka Hiromasa, Mizuno Masaaki, Katsumata Yuko, Ishikawa Kenji, Kondo Hiroki, Hashizume Hiroshi, Okazaki Yasumasa, Toyokuni Shinya, Nakamura Kae, Yoshikawa Nobuhisa, Kajiyama Hiroaki, Kikkawa Fumitaka, Hori Masaru

    SCIENTIFIC REPORTS   Vol. 9   2019.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1038/s41598-019-50136-w

    Web of Science

  154. In Situ Monitoring of Surface Reactions during Atomic Layer Etching of Silicon Nitride Using Hydrogen Plasma and Fluorine Radicals Reviewed

    Kazuya Nakane, René H. J. Vervuurt, Takayoshi Tsutsumi, Nobuyoshi Kobayashi, and Masaru Hori

    ACS Applied Materials & Interfaces   Vol. 11 ( 40 )   2019.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1021/acsami.9b11489

  155. Rapid thermal-cyclic atomic-layer etching of titanium nitride in CHF3/O-2 downstream plasma Reviewed

    Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Masaru Izawa, Kenji Ishikawa and Masaru Hori

    Journal of Physics D: Applied Physics   Vol. 52 ( 47 )   2019.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/ab3cf3

  156. Direct Treatment of Liquids Using Low-Current Arc in Ambient Air for Biomedical Applications Reviewed

    Gamaleev Vladislav, Iwata Naoyuki, Hori Masaru, Hiramatsu Mineo, Ito Masafumi

    APPLIED SCIENCES-BASEL   Vol. 9 ( 17 )   2019.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3390/app9173505

  157. Self-limiting reactions of ammonium salt in CHF3/O2 downstream plasma for thermal-cyclic atomic layer etching of silicon nitride Reviewed

    Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Masaru Izawa, Tomonori Saeki, Kenji Ishikawa, and Masaru Hori

    Journal of Vacuum Science & Technology A   Vol. 37 ( 5 )   2019.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/1.5111663

  158. Atmospheric Pressure Plasma-Treated Carbon Nanowalls' Surface-Assisted Laser Desorption/Ionization Time-of-Flight Mass Spectrometry (CNW-SALDI-MS) Reviewed

    Takayuki Ohta , Hironori Ito , Kenji Ishikawa , Hiroki Kondo , Mineo Hiramatsu and Masaru Hori

    Special Issue "Plasma Processing for Carbon-based Materials   Vol. 5 ( 3 )   2019.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3390/c5030040

  159. l-Dehydroascorbic acid recycled by thiols efficiently scavenges non-thermal plasma-induced hydroxyl radicals Reviewed

    Yasumasa Okazaki, Hiromasa Tanaka, Masaru Hori, Shinya Toyokuni

    Archives of Biochemistry and Biophysics   Vol. 669   page: 87-95   2019.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.abb.2019.05.019

  160. Review of methods for the mitigation of plasma-induced damage to low-dielectric-constant interlar dielectrics used for semiconductor logic device interconnects Reviewed

    Hideshi Miyajima , Kenji Ishikawa, Makoto Sekine , Masaru Hori

    Plasma Processes and Polymers   Vol. 16 ( 9 )   2019.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1002/ppap.201900039

  161. Investigation on the long-term bactericidal effect and chemical composition of radical-activated water Reviewed

    Naoyuki Iwata, Vladislav Gamaleev, Jun-Seok Oh, Takayuki Ohta, Masaru Hori, Masafumi Ito

    Plasma Processes and Polymers   Vol. 16 ( 10 )   2019.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.201900055

  162. Gene Expression of Osteoblast-like Cells on Carbon-Nanowall as Scaffolds during Incubation with Electrical Stimulation Reviewed

    Tomonori Ichikawa, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Hiromasa Tanaka, Makoto Sekine, Masaru Hori

    ACS Applied Bio Materials   Vol. 2 ( 8 )   2019.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1021/acsabm.9b00178

  163. Non-thermal plasma specifically kills oral squamous cell carcinoma cells in a catalytic Fe(II)-dependent manner Reviewed

    Kotaro Sato, Lei Shi, Fumiya Ito, Yuuki Ohara, Yashiro Motooka, Hiromasa Tanaka, Masaaki Mizuno, Masaru Hori, Tasuku Hirayama, Hideharu Hibi and Shinya Toyokuni

    Journal of Clinical Biochemistry and Nutrition   Vol. 65 ( 1 ) page: 8-15   2019.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3164/jcbn.18-91

  164. Simultaneous Achievement of Antimicrobial Property and Plant Growth Promotion using Plasma Activated Benzoic Compound Solution Reviewed

    Naoyuki Iwata, Vladislav Gamaleev, Hiroshi Hashizume, Jun-Seok Oh, Takayuki Ohta, Kenji Ishikawa, Masaru Hori, and Masafumi Ito

    Plasma Processes and Polymers   Vol. 16 ( 8 )   2019.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.201900023

  165. Formation mechanism of sidewall striation in high-aspect-ratio hole etching Reviewed

    Mitsuhiro Omura, Junichi Hashimoto, Takahiro Adachi, Yusuke Kondo, Masao Ishikawa, Junko Abe, Itsuko Sakai, Hisataka Hayashi, Makoto Sekine and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 58 ( SE )   2019.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/ab163c

  166. Plasma-activated solution alters the morphological dynamics of supported lipid bilayers observed by high-speed atomic force microscopy Reviewed

    Sotaro Yamaoka, Hiroki Kondo, Hiroshi Hashizume, Kenji Ishikawa, Hiromasa Tanaka and Masaru Hori

    Applied Physics Express   Vol. 12 ( 6 )   2019.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1882-0786/ab1a58

  167. Electronic properties and primarily dissociation channels of fluoroethane compounds Reviewed

    Toshio Hayashi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 58 ( SE )   2019.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/ab09ca

  168. プラズマプロセスにおける吸収分光計測の基礎

    竹田圭吾、高島成剛、堀 勝

    プラズマ・核融合学会誌   Vol. 195 ( 4 ) page: 180-186   2019.4

     More details

    Language:Japanese  

  169. Facile synthesis of SnO2-graphene composites employing nonthermal plasma and SnO2 nanoparticles-dispersed ethanol

    Borude Ranjit R., Sugiura Hirotsugu, Ishikawa Kenji, Tsutsumi Takayoshi, Kondo Hiroki, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 52 ( 17 )   2019.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/ab03c4

    Web of Science

  170. Laser-drilling formation of through-glass-via (TGV) on polymer-laminated glass Reviewed

    Yoichiro Sato, Nobuhiko Imajyo, Kenji Ishikawa, Rao Tummala, Masaru Hori

    Journal of Materials Science: Materials in Electronics   Vol. 30 ( 11 ) page: pp10183-10190   2019.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1007/s10854-019-01354-5

  171. Systematic diagnostics of the electrical, optical, and physicochemical characteristics of low-temperature atmospheric-pressure helium plasma sources

    Takeda Keigo, Yamada Hiromasa, Ishikawa Kenji, Sakakita Hajime, Kim Jaeho, Ueda Masashi, Ikeda Jun-ichiro, Akimoto Yoshihiro, Kataoka Yosky, Yokoyama Naoaki, Ikehara Yuzuru, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 52 ( 16 )   2019.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aaff44

    Web of Science

  172. Effects of plasma shield plate design on epitaxial GaN films grown for large-sized wafers in radical-enhanced metalorganic chemical vapor deposition Reviewed

    Yasuhiro Isobe, Takayuki Sakai, Naoharu Sugiyama, Ichiro Mizushima, Kyoichi Suguro, Naoto Miyashita, Yi Lu, Amalraj Frank Wilson, Dhasiyan Arun Kumar, Nobuyuki Ikarashi, Hiroki Kondo, Kenji Ishikawa, Naohiro Shimizu, Osamu Oda, Makoto Sekine, and Masaru Hori

    Journal of Vacuum Science & Technology B   Vol. 37 ( 3 )   2019.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/1.5083970

  173. A 65-nm CMOS Fully Integrated Analysis Platform Using an On-Chip Vector Network Analyzer and a Transmission-Line-Based Detection Window for Analyzing Circulating Tumor Cell and Exosome

    Niitsu Kiichi, Nakanishi Taiki, Murakami Shunya, Matsunaga Maya, Kobayashi Atsuki, Karim Nissar Mohammad, Ito Jun, Ozawa Naoya, Hase Tetsunari, Tanaka Hiromasa, Sato Mitsuo, Kondo Hiroki, Ishikawa Kenji, Odaka Hidefumi, Hasegawa Yoshinori, Hori Masaru, Nakazato Kazuo

    IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS   Vol. 13 ( 2 ) page: 470-479   2019.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1109/TBCAS.2018.2882472

    Web of Science

  174. Effects of 3D structure on electrochemical oxygen reduction characteristics of Pt- nanoparticle-supported carbon nanowalls

    Imai Shun, Naito Kenichi, Kondo Hiroki, Cho Hyung Jun, Ishikawa Kenji, Tsutsumi Takayoshi, Sekine Makoto, Hiramatsu Mineo, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 52 ( 10 )   2019.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aaf8e0

    Web of Science

  175. Chemical bonding structure in porous SiOC films (k<2.4) with high plasma-induced damage resistance Reviewed

    Hideshi Miyajima, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    Micro and Nano Engineering   Vol. 3   page: 1-6   2019.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.mne.2019.02.005

  176. Atomic layer etching of AlGaN using Cl-2 and Ar gas chemistry and UV damage evaluation

    Fukumizu Hiroyuki, Sekine Makoto, Hori Masaru, Kanomaru Koji, Kikuchi Takuo

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A   Vol. 37 ( 2 )   2019.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/1.5063795

    Web of Science

  177. Effects of BCl3 addition to Cl-2 gas on etching characteristics of GaN at high temperature

    Tanide Atsushi, Nakamura Shohei, Horikoshi Akira, Takatsuji Shigeru, Kohno Motohiro, Kinose Kazuo, Nadahara Soichi, Ishikawa Kenji, Sekine Makoto, Hori Masaru

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B   Vol. 37 ( 2 )   2019.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/1.5082345

    Web of Science

  178. Real-time control of a wafer temperature for uniform plasma process

    T. Tsutsumi, Y. Fuknaga, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    2018 International Symposium on Semiconductor Manufacturing (ISSM)     2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1109/ISSM.2018.8651183

  179. Non-thermal plasma-activated medium modified metabolomic profiles in the glycolysis of U251SP glioblastoma Reviewed

    Naoyuki Kurake, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Shinya Toyokuni, Fumitaka Kikkawa, Masaaki Mizuno, Masaru Hori

    Archives of Biochemistry and Biophysics   Vol. 662   page: 83-92   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  180. Remotely floating wire-assisted generation of high-density atmospheric pressure plasma and SF6-added plasma etching of quartz glass

    Thi-Thuy-Nga Nguyen, Sasaki Minoru, Odaka Hidefumi, Tsutsumi Takayoshi, Ishikawa Kenji, Hori Masaru

    JOURNAL OF APPLIED PHYSICS   Vol. 125 ( 6 )   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.5081875

    Web of Science

  181. Control of sp2-C cluster incorporation of amorphous carbon films grown by H-radical-injection CH4/H2 plasma-enhanced chemical vapor deposition Reviewed

    Hirotsugu Sugiura, Lingyun Jia, Yasuyuki Ohashi, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Toshio Hayashi, Keigo Takeda, Makoto Sekine and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 58 ( 3 )   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/aafd49

  182. Single-Step, Low-Temperature Simultaneous Formations and in Situ Binding of Tin Oxide Nanoparticles to Graphene Nanosheets by In-Liquid Plasma for Potential Applications in Gas Sensing and Lithium-Ion Batteries Reviewed

    Ranjit R. Borude, Hirotsugu Sugiura, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo, Nobuyuki Ikarashi, and Masaru Hori

    ACS Applied Nano Materials   Vol. 2 ( 2 ) page: 649-654   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  183. Adhesion enhancement and amine reduction using film redeposited at the interface of a stack of plasma-enhanced CVD dielectrics for Cu/low-k interconnects

    Miyajima Hideshi, Watanabe Kei, Ishikawa Kenji, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 58 ( 2 )   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/aafb5b

    Web of Science

  184. Hetero-epitaxial growth of a GaN film by the combination of magnetron sputtering with Ar/Cl-2 gas mixtures and a separate supply of nitrogen precursors from a high density radical source

    Tanide Atsushi, Nakamura Shohei, Horikoshi Akira, Takatsuji Shigeru, Kohno Motohiro, Kinose Kazuo, Nadahara Soichi, Nishikawa Masazumi, Ebe Akinori, Ishikawa Kenji, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 58 ( SA )   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/aaeb39

    Web of Science

  185. Narrow free-standing features fabricated by top-down self-limited trimming of organic materials using precisely temperature-controlled plasma etching system

    Fukunaga Yusuke, Tsutsumi Takayoshi, Kondo Hiroki, Ishikawa Kenji, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 58 ( 2 )   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/aaf92a

    Web of Science

  186. Effects of Ion Bombardment Energy Flux on Chemical Compositions and Structures of Hydrogenated Amorphous Carbon Films Grown by a Radical-Injection Plasma-Enhanced Chemical Vapor Deposition Reviewed

    Hirotsugu Sugiura , Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa and Masaru Hori

    C-Journal of Carbon Research   Vol. 5 ( 1 )   2019.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3390/c5010008

  187. Electrochemical Reaction in Hydrogen Peroxide and Structural Change of Platinum Nanoparticle-Supported Carbon Nanowalls Grown Using Plasma-Enhanced Chemical Vapor Deposition Reviewed

    Masakazu Tomatsu 1, Mineo Hiramatsu, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine and Masaru Hori

    Journal of Carbon Research   Vol. 5 ( 1 )   2019.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3390/c5010007

  188. Effect of electrical stimulation on proliferation and bone-formation by osteoblast-like cells cultured on carbon nanowalls scaffolds Reviewed

    Tomonori Ichikawa, Suiki Tanaka, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine and Masaru Hori

    Applied Physics Express   Vol. 12 ( 2 )   2019.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1882-0786/aaf469

  189. Modifications of surface and bulk properties of magnetron-sputtered carbon films employing a post-treatment of atmospheric pressure plasma Reviewed

    Ranjit R. Borude, Hirotsugu Sugiura, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo, Jeon Geon Han and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 58 ( SA )   2019.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/aaec87

  190. Polyethylene terephthalate (PET) surface modification by VUV and neutral active species in remote oxygen or hydrogen plasmas Reviewed

    Yan Zhang, Kenji Ishikawa, Miran Mozetič, Takayoshi Tsutsumi, Hiroki Kondo , Makoto Sekine, Masaru Hori

    Plasma Processes and Polymers   Vol. 16 ( 6 )   2019.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.201800175

  191. Batch Fabrication of Nano-Gap Electrode Array Using Photo-Patterning and Resist UV-Curing Reviewed

    Hai Minh Nguyer, Mako Kumeuchi, Shinya Kumagai, Kenji Ishikawa, Masaru Hori, Minoru Sasaki

    IEEJ Transactions on Sensors and Micromachines   Vol. 139 ( 1 ) page: 27-28   2019.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1541/ieejsmas.139.27

  192. Liquid dynamics in response to an impinging low-temperature plasma jet Reviewed

    Timothy R. Brubaker, Kenji Ishikawa, Hiroki Kondo, Takayoshi Tsutsumi, Hiroshi Hashizume, Hiromasa Tanaka, Sean D. Knecht, Sven G. Bilen, and Masaru Hori

    Journal of Physics D: Applied Physics   Vol. 52 ( 7 )   2018.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aaf460

  193. Pt nanoparticle-supported carbon nanowalls electrode with improved durability for fuel cell applications using C2F6/H2 plasma-enhanced chemical vapor deposition Reviewed

    Shun Imai, Hiroki Kondo, Cho Hyungjun, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    Applied Physics Express   Vol. 12 ( 1 )   2018.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1882-0786/aaf0ab

  194. 大気圧プラズマを用いたがん治療へ向けた基礎研究

    田中宏昌、堀勝

    腎とフリーラジカル 第13集     page: 46-49   2018.11

     More details

    Language:Japanese  

  195. Effect of N2/H2 plasma on GaN substrate cleaning for homoepitaxial GaN growth by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) Reviewed

    Frank Wilson Amalraj, Arun Kumar Dhasiyan, Yi Lu1, Naohiro Shimizu, Osamu Oda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, Nobuyuki Ikarashi, and Masaru Hori

    AIP Advances   Vol. 8 ( 11 )   2018.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.5050819

  196. Oriented Carbon Nanostructures by Plasma Processing: Recent Advances and Future Challenges

    Santhosh Neelakandan M., Filipic Gregor, Tatarova Elena, Baranov Oleg, Kondo Hiroki, Sekine Makoto, Hori Masaru, Ostrikov Kostya (Ken), Cvelbar Uros

    MICROMACHINES   Vol. 9 ( 11 )   2018.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3390/mi9110565

    Web of Science

  197. Time Evolution Of Reactive Oxygen Nitrogen Species in Plasma-Activated Essential Media and Water

    Brubaker Timothy, Ishikawa Kenji, Takeda Keigo, Hashizume Hiroshi, Tanaka Hiromasa, Kondo Hiroki, Sekine Makoto, Hori Masaru

    2017 IEEE INTERNATIONAL CONFERENCE ON PLASMA SCIENCE (ICOPS)     2018.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1109/PLASMA.2017.8496057

  198. Molecular mechanisms of non-thermal plasma-induced effects in cancer cells Reviewed

    Tanaka, Hiromasa; Mizuno, Masaaki; Ishikawa, Kenji; Toyokuni, Shinya; Kajiyama, Hiroaki; Kikkawa, Fumitaka; Hori, Masaru

    Biological Chemistry     2018.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1515/hsz-2018-0199

  199. 大気圧プラズマ処理による異種材料接合

    近藤博基、堤隆嘉、石川健治、関根 誠、堀 勝 

    化学工学(公益社団法人 化学工学会)   Vol. 82 ( 9 ) page: 487-490   2018.9

     More details

    Language:Japanese  

  200. Mechanism of asymmetric etched profiles in trimming process Reviewed

    Nobuyuki Negishi, Masatoshi Miyake, Keigo Takeda, and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 57 ( 10 )   2018.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.106201

  201. Reaction mechanisms between chlorine plasma and a spin-on-type polymer mask for high-temperature plasma etching Reviewed

    Yan Zhang, Masato Imamura, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, Masaru Hori

    Japanese Journal of Applied Physics   Vol. 57 ( 10 )   2018.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.106502

  202. New hopes for plasma-based cancer treatment Reviewed

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Shinya Toyokuni, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaru Hori

    Plasma 2018   Vol. 1   page: 150-155   2018.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3390/plasma1010014

  203. Cytotoxic effects of plasma-irradiated fullerenol Reviewed

    Daiki Kanno, Hiromasa Tanaka, Kenji Ishikawa, Hiroshi Hashizume and Masaru Hori

    Journal of Physics D: Applied Physics   Vol. 51 ( 37 )   2018.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aad510

  204. Effect of substrate temperature on sidewall erosion in high-aspect-ratio Si hole etching employing HBr/SF6/O2 plasma Reviewed

    Itsuko Sakai, Katsunori Yahashi, Satoshi Shimonishi, Makoto Sekine and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 57 ( 9 )   2018.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.098004

  205. Elevated-temperature etching of gallium nitride (GaN) in dual-frequency capacitively coupled plasma of CH4/H2 at 300-500°C Reviewed

    Takashi Kako, Zecheng Liu, Kenji Ishikawa, Hiroki Kondo, Osamu Oda, Makoto Sekine, and Masaru Hori

    Vacuum   Vol. 156   page: 219-223   2018.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.vacuum.2018.07.040

  206. プラズマ技術概論

    堀 勝

    自動車技術   Vol. 72   page: P12-17   2018.6

     More details

    Language:Japanese  

  207. Cell Deposition Microchip with Micropipette Control over Liquid Interface Motion Reviewed

    Onoshima Daisuke, Hattori Yuya, Yukawa Hiroshi, Ishikawa Kenji, Hori Masaru, Baba Yoshinobu

    CELL MEDICINE   Vol. 10   2018.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1177/2155179017733152

    Web of Science

  208. Imaging Differences between Neuromyelitis Optica Spectrum Disorders and Multiple Sclerosis: A Multi-Institutional Study in Japan Reviewed

    Tatekawa H., Sakamoto S., Hori M., Kaichi Y., Kunimatsu A., Akazawa K., Miyasaka T., Oba H., Okubo T., Hasuo K., Yamada K., Taoka T., Doishita S., Shimono T., Miki Y.

    AMERICAN JOURNAL OF NEURORADIOLOGY   Vol. 39 ( 7 ) page: 1239-1247   2018.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3174/ajnr.A5663

    Web of Science

  209. Effects of gas residence time of CH4/H2 on sp2 fraction of amorphous carbon films and dissociated methyl density during radical-injection plasma-enhanced chemical vapor deposition Reviewed

    Hirotsugu Sugiura, Lingyun Jia, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Toshio Hayashi, Keigo Takeda, Makoto Sekine and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 57 ( 6s2 )   2018.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.06JE03

  210. Low-autofluorescence fluoropolymer membrane filters for cell filtration Reviewed

    Naoto Kihara, Daiki Kuboyama, Daisuke Onoshima, Kenji Ishikawa, Hiromasa Tanaka, Naoya Ozawa, Tetsunari Hase, Ryohei Koguchi, Hiroshi Yukawa, Hidefumi Odaka, Yoshinori Hasegawa, Yoshinobu Baba and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 57 ( 6s2 )   2018.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.06JF03

  211. Temperature dependence on plasma-induced damage and chemical reactions in GaN etching processes using chlorine plasma Reviewed

    Zecheng Liu, Kenji Ishikawa, Masato Imamura, Takayoshi Tsutsumi, Hiroki Kondo, Osamu Oda, Makoto Sekine and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 57 ( 6s2 )   2018.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.06JD01

  212. Plasma Activated Medium

    Hiromasa Tanaka, and Masaru Hori

    Comprehensive Clinical Plasma Medicine     page: 431-440   2018.5

     More details

    Language:English  

    DOI: 10.1007/978-3-319-67627-2_26

  213. Dissociative properties of 1,1,1,2-tetrafluoroethane obtained by computational chemistry Reviewed

    Toshio Hayashi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 57 ( 6s2 )   2018.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.06JC02

  214. Impact of helium pressures in arc plasma synthesis on crystallinity of single-walled carbon nanotubes Reviewed

    Atsushi Ando, Keigo Takeda, Takayuki Ohta, Masafumi Ito, Mineo Hiramatsu, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, Tomoko Suzuki, Sakae Inoue, Yoshinori Ando, and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 57 ( 6S2 )   2018.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.06JF01

  215. Isotropic atomic level etching of tungsten using formation and desorption of tungsten fluoride Reviewed

    Shinoda Kazunori, Miyoshi Nobuya, Kobayashi Hiroyuki, Hanaoka Yuko, Kawamura Kohei, Izawa Masaru, Ishikawa Kenji, Hori Masaru

    ADVANCED ETCH TECHNOLOGY FOR NANOPATTERNING VII   Vol. 10589   2018.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1117/12.2297241

    Web of Science

  216. Nanographene synthesized in triple-phase plasmas as a highly durable support of catalysts for polymer electrolyte fuel cells Reviewed

    Amano Tomoki, Kondo Hiroki, Takeda Keigo, Ishikawa Kenji, Hiramatsu Mineo, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 4 )   2018.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.045101

  217. Disruption of the pacemaker activity of interstitial cells of Cajal via nitric oxide contributes to postoperative ileus Reviewed

    Kaji N., Nakayama S., Horiguchi K., Iino S., Ozaki H., Hori M.

    NEUROGASTROENTEROLOGY AND MOTILITY   Vol. 30 ( 8 )   2018.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1111/nmo.13334

  218. Reduced HeLa cell viability in methionine-containing cell culture medium irradiated with microwave-excited atmospheric-pressure plasma

    Takahashi Yohei, Taki Yusuke, Takeda Keigo, Hashizume Hiroshi, Tanaka Hiromasa, Ishikawa Kenji, Hori Masaru

    PLASMA PROCESSES AND POLYMERS   Vol. 15 ( 3 )   2018.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.201700200

    Web of Science

  219. Glioblastoma Cell Lines Display Different Sensitivities to Plasma-Activated Medium

    Tanaka Hiromasa, Mizuno Masaaki, Ishikawa Kenji, Takeda Keigo, Hashizume Hiroshi, Nakamura Kae, Utsumi Fumi, Kajiyama Hiroaki, Okazaki Yasumasa, Toyokuni Shinya, Akiyama Shinichi, Maruyama Shoichi, Kikkawa Fumitaka, Hori Masaru

    IEEE TRANSACTIONS ON RADIATION AND PLASMA MEDICAL SCIENCES   Vol. 2 ( 2 ) page: 99-102   2018.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1109/TRPMS.2017.2721973

    Web of Science

  220. NHM-SMAP: spatially and temporally high-resolution nonhydrostatic atmospheric model coupled with detailed snow process model for Greenland Ice Sheet Reviewed

    Niwano Masashi, Aoki Teruo, Hashimoto Akihiro, Matoba Sumito, Yamaguchi Satoru, Tanikawa Tomonori, Fujita Koji, Tsushima Akane, Iizuka Yoshinori, Shimada Rigen, Hori Masahiro

    CRYOSPHERE   Vol. 12 ( 2 ) page: 635-655   2018.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.5194/tc-12-635-2018

    Web of Science

  221. Cytotoxicity of cancer HeLa cells sensitivity to normal MCF10A cells in cultivations with cell culture medium treated by microwave-excited atmospheric pressure plasmas Reviewed

    Takahashi Yohei, Taki Yusuke, Takeda Keigo, Hashizume Hiroshi, Tanaka Hiromasa, Ishikawa Kenji, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 51 ( 11 )   2018.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aaab09

    Web of Science

  222. Oxygen reduction reaction properties of nitrogen-incorporated nanographenes synthesized using in-liquid plasma from mixture of ethanol and iron phthalocyanine Reviewed

    Amano Tomoki, Kondo Hiroki, Takeda Keigo, Ishikawa Kenji, Hiramatsu Mineo, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 4 )   2018.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.040303

    Web of Science

  223. Free radical generation by non-equilibrium atmospheric pressure plasma in alcohol-water mixtures: an EPR-spin trapping study Reviewed

    Uchiyama Hidefumi, Ishikawa Kenji, Zhao Qing-Li, Andocs Gabor, Nojima Nobuyuki, Takeda Keigo, Krishna Murali C., Ishijima Tatsuo, Matsuya Yuji, Hori Masaru, Noguchi Kyo, Kondo Takashi

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 51 ( 9 )   2018.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aaa885

    Web of Science

  224. Intraperitoneal Treatment With Plasma-Activated Liquid Inhibits Peritoneal Metastasis In Ovarian Cancer Mouse Model Reviewed

    Kae Nakamura, Hiroaki Kajiyama, Yang Peng, Fumi Utsumi, Nobuhisa Yoshikawa, Hiromasa Tanaka, Masaaki Mizuno, Shinya Toyokuni, Masaru Hori, and Fumitaka Kikkawa

    Clinical Plasma Medicine   Vol. 9   page: 47-48   2018.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.cpme.2017.12.073

  225. Plasma-Activated Medium Inhibites Metastatic Activities Of Ovarian Cancer Cells In Vitro Via Repressing Mapk Pathway Reviewed

    Yang Peng, Hiroaki Kajiyama, Kae Nakamura, Fumi Utsumi, Nobuhisa Yoshikawa, Hiromasa Tanaka, Masaaki Mizuno, Shinya Toyokuni, Masaru Hori, and Fumitaka Kikkawa

    Clinical Plasma Medicine   Vol. 9   page: 41-42   2018.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.cpme.2017.12.065

  226. Similarities And Differences In The Cellular Resposnses Between Plasma-Activated Medium-Treated Glioblastomas And Plasma-Activated Ringer's Lactate Solution-Treated Glioblastomas Reviewed

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Yasumasa Okazaki, Shinya Toyokuni, Shinichi Akiyama, Shoichi Maruyama, Fumitaka Kikkawa, and Masaru Hori

    Clinical Plasma Medicine   Vol. 9   page: 42-43   2018.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.cpme.2017.12.066

  227. Current status and future prospects of agricultural applications using atmospheric-pressure plasma technologies

    Ito Masafumi, Oh Jun-Seok, Ohta Takayuki, Shiratani Masaharu, Hori Masaru

    PLASMA PROCESSES AND POLYMERS   Vol. 15 ( 2 )   2018.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.201700073

    Web of Science

  228. Facile fabrication of a poly(ethylene terephthalate) membrane filter with precise arrangement of through-holes Reviewed

    Kihara Naoto, Odaka Hidefumi, Kuboyama Daiki, Onoshima Daisuke, Ishikawa Kenji, Baba Yoshinobu, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 3 )   2018.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.037001

    Web of Science

  229. Effect of Plasma-Activated Lactated Ringer's Solution on Pancreatic Cancer Cells In Vitro and In Vivo Reviewed

    Sato Yusuke, Yamada Suguru, Takeda Shigeomi, Hattori Norifumi, Nakamura Kae, Tanaka Hiromasa, Mizuno Masaaki, Hori Masaru, Kodera Yasuhiro

    ANNALS OF SURGICAL ONCOLOGY   Vol. 25 ( 1 ) page: 299-307   2018.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1245/s10434-017-6239-y

    Web of Science

  230. Nanographene synthesis employing in-liquid plasmas with alcohols or hydrocarbons Reviewed

    Atsushi Ando, Kenji Ishikawa, Hiroki Kondo, Takayoshi Tsutsumi, Keigo Takeda, Takayuki Ohta, Masafumi Ito, Mineo Hiramatsu, Makoto Sekine and Masaru Hori

    The Japan Society of Applied Physics   Vol. 57 ( 2 )   2018.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.7567/JJAP.57.026201

  231. Nanographene synthesis employing in-liquid plasmas with alcohols or hydrocarbons Reviewed

    Ando Atsushi, Ishikawa Kenji, Kondo Hiroki, Tsutsumi Takayoshi, Takeda Keigo, Ohta Takayuki, Ito Masafumi, Hiramatsu Mineo, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 2 )   2018.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.026201

    Web of Science

  232. Electron impact ionization of perfluoro-methyl-vinyl-ether C3F6O

    Kondo Yusuke, Ishikawa Kenji, Hayashi Toshio, Sekine Makoto, Hori Masaru

    PLASMA SOURCES SCIENCE & TECHNOLOGY   Vol. 27 ( 1 )   2018.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6595/aaa22e

    Web of Science

  233. Rapid growth of micron-sized graphene flakes using in-liquid plasma employing iron phthalocyanine-added ethanol Reviewed

    Tomoki Amano, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Keigo Takeda, Mineo Hiramatsu, Makoto Sekine and Masaru Hori

    The Japan Society of Applied Physics   Vol. 11 ( 1 )   2017.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/APEX.11.015102

  234. Plasma-activated medium (PAM) kills human cancer-initiating cells Reviewed

    Ikeda Jun-ichiro, Tanaka Hiromasa, Ishikawa Kenji, Sakakita Hajime, Ikehara Yuzuru, Hori Masaru

    PATHOLOGY INTERNATIONAL   Vol. 68 ( 1 ) page: 23-30   2017.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1111/pin.12617

    Web of Science

  235. Dynamic analysis of reactive oxygen nitrogen species in plasma-activated culture medium by UV absorption spectroscopy Reviewed

    Timothy Ryan Brubaker, Kenji Ishikawa, Keigo Takeda, Jun-Seok Oh, Hiroki KONDO, Hiroshi Hashizume, Hiromasa Tanaka, Sean David Knecht, Sven Bilén, and Masaru Hori

    Journal of Applied Physics   Vol. 122 ( 21 )   2017.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4999256

  236. Oxygen-radical pretreatment promotes cellulose degradation by cellulolytic enzymes Reviewed

    Kiyota Sakai, Saki Kojiya, Junya Kamijo, Yuta Tanaka, Kenta Tanaka, Masahiro Maebayashi, Jun-Seok Oh, Masafumi Ito, Masaru Hori, Motoyuki Shimizu, and Masashi Kato

    Biotechnology for Biofuels   Vol. 10   2017.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1186/s13068-017-0979-6

  237. Abstract 18: Therapeutic potential of cold physical plasma in palliative cancer care: Introduction and perspectives Reviewed

    Christian Seebauer, Thomas von Woedtke, Klaus-Dieter Weltmann, Vandana Miller, Masaru Hori and Hans-Robert Metelmann

    CLINICAL CANCER RESEARCH 23(23 supplement) pp. 18 (December, 2017)     2017.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1158/1557-3265.AACRAHNS17-18

  238. Intracellular responses to reactive oxygen and nitrogen species, and lipid peroxidation: Induction of apoptotic death in HeLa cells following cultivation in non-equilibrium (cold) atmospheric pressure plasma-activated medium Reviewed

    Ryo Furuta, Naoyuki Kurake, Kenji Ishikawa, Keigo Takeda, Hiromasa Tanaka, Hiroshi Hashizume, Hiroki Kondo, Makoto Sekine and Masaru Hori

    Plasma Process and Polymers   Vol. 14 ( 11 )   2017.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.201700123

  239. Dependence of absolute photon flux on infrared absorbance alteration and surface roughness on photoresist polymers irradiated with vacuum ultraviolet photons emitted from HBr plasma Reviewed

    Yan Zhang, Takuya Takeuchi, Kenji Ishikawa, Toshio Hayashi, Keigo Takeda, Makoto Sekine, and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 56 ( 12 )   2017.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.126503

  240. Selective production of reactive oxygen and nitrogen species in the plasma-treated water by using a nonthermal high-frequency plasma jet Reviewed

    Uchida Giichiro, Takenaka Kosuke, Takeda Keigo, Ishikawa Kenji, Hori Masaru, Setsuhara Yuichi

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 1 )   2017.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.0102B4

    Web of Science

  241. Crystallization of calcium oxalate dihydrate in a buffered calcium-containing glucose Reviewed

    Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama,

    Journal of Applied Physics   Vol. 122 ( 14 )   2017.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.5006598

  242. 大気圧プラズマ処理における殺菌・医療・バイオ分野への応用

    橋爪博司、田中宏昌、堀勝、伊藤昌文

    工業材料   Vol. Vol.65. ( No.10 ) page: 27-30   2017.10

     More details

    Language:Japanese  

  243. Clinical Experience with Cold Plasma in the Treatment of Locally Advanced Head and Neck Cancer Reviewed

    Hans-Robert Metelmann, Christian Seebauer,Vandana Miller, Alexander Fridman, Georg Bauer, David B.Graves, Jean-Michel Pouvesle Rico Rutkowski, Matthias Schuster Sander Bekeschus, Kristian Wende, Kai Masur, Sybille Hasse, Torsten Gerling, Masaru Hori, Hiromasa Tanaka, Eun Ha Choi, Klaus-Dieter Weltmann, and Thomas von Woedtke

    Clinical Plasma Medicine,     2017.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.cpme.2017.09.001

  244. Thermal Cyclic Atomic-Level Etching of Nitride Films: A Novel Way for Atomic-Scale Nanofabrication Reviewed

    Kazunori Shinodaa, Nobuya Miyoshia, Hiroyuki Kobayashia, Masaru Kuriharaa, Masaru Izawa, Kenji Ishikawa, and Masaru Hori

    ECS Transactions     2017.9

     More details

    Language:English  

    DOI: 10.1149/08003.0003ecst

  245. Cold atmospheric helium plasma causes synergistic enhancement in cell death with hyperthermia and an additive enhancement with radiation Reviewed

    Moniruzzaman Rohan, Qing-Li Zhao, Paras Jawaid, Keigo Takeda, Kenji Ishikawa, Masaru Hori, Kei Tomihara, Noguchi Kyo, Takashi Kondo, and Makoto Noguchi

    Scientific Reports   Vol. 7   2017.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1038/s41598-017-11877-8

  246. Surface roughening of photoresist after change of the photon/radical and ion treatment sequence Reviewed

    Yan Zhanga, Takuya Takeuchi, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films J.   Vol. 135 ( 6 )   2017.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/1.4994218

  247. High-durability catalytic electrode composed of Pt nanoparticle-supported carbon nanowalls synthesized by radical-injection plasma-enhanced chemical vapor deposition Reviewed

    Shun Imai, Hiroki Kondo, Hyungjun Cho, Hiroyuki Kano, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, Masafumi Ito, Masaru Hori

    Journal of Physics D: Applied Physics,   Vol. Vol 50 ( 40 )   2017.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aa8131

  248. Thermally enhanced formation of photon-induced damage on GaN films in Cl2 plasma Reviewed

    Zecheng Liu*, Atsuki Asano, Masato Imamura, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo,

    Japanese Journal of Applied Physics   Vol. 56   2017.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.096501

  249. Palliative Plasmabehandlung von Kopf-Hals-Tumoren und kurative Konzepte

    Christian Seebauer, Hiromasa Tanaka, Masaru Hori, Hans Robert Metelmann

    Plasmamedizin (in German)     page: 99-109   2017.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1007/978-3-662-52645-3_8

  250. The 2017 Plasma Roadmap: Low temperature plasma science and technology

    Adamovich I., Baalrud S. D., Bogaerts A., Bruggeman P. J., Cappelli M., Colombo V., Czarnetzki U., Ebert U., Eden J. G., Favia P., Graves D. B., Hamaguchi S., Hieftje G., Hori M., Kaganovich I. D., Kortshagen U., Kushner M. J., Mason N. J., Mazouffre S., Thagard S. Mededovic, Metelmann H-R, Mizuno A., Moreau E., Murphy A. B., Niemira B. A., Oehrlein G. S., Petrovic Z. Lj, Pitchford L. C., Pu Y-K, Rauf S., Sakai O., Samukawa S., Starikovskaia S., Tennyson J., Terashima K., Turner M. M., van de Sanden M. C. M., Vardelle A.

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 50 ( 32 )   2017.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aa76f5

    Web of Science

  251. Reduction of chlorine radical chemical etching of GaN under simultaneous plasma-emitted photon irradiation Reviewed

    Zecheng Liu, Masato Imamura, Atsuki Asano, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Osamu Oda, Makoto Sekine, and Masaru Hori

    Applied Physics Express   Vol. 10   2017.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/APEX.10.086502

  252. Lipid Droplets Exhaustion with Caspases Activation in HeLa Cells Cultured in Plasma-Activated Medium (PAM) Observed By Multiplex Coherent Anti-Stokes Raman Scattering (CARS) Microscopy Reviewed

    Ryo Furuta, Naoyuki Kurake, Keigo Takeda, Kenji Ishikawa, Takayuki Ohta, Masafumi Ito, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine and Masaru Hori

    Biointerphases   Vol. 12 ( 3 )   2017.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/1.4997170

  253. Measurement of F-, O- and CF3- densities in 60 and 100 MHz asymmetric capacitively coupled plasma discharge produced in an Ar/O2/C4F8 gas mixture Reviewed

    N Sirse, T Tsutsumi, M Sekine, M Hori and A R Ellingboe

    Journal of Physics D: Applied Physics   Vol. 50 ( 33 )   2017.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aa77c4

  254. Novel Intraperitoneal Treatment With Non-Thermal Plasma-Activated Medium Inhibits Metastatic Potential of Ovarian Cancer Cells Reviewed

    Kae Nakamura, Yang Peng, Fumi Utsumi, Hiromasa Tanaka, Masaaki Mizuno, Shinya Toyokuni, Masaru Hori, Fumitaka Kikkawa & Hiroaki Kajiyama

    Scientific Reports   Vol. 7   2017.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1038/s41598-017-05620-6

  255. State of the art in medical applications using non-thermal atmospheric pressure plasma Reviewed

    Hiromasa Tanaka, Kenji Ishikawa, Masaaki Mizuno, Shinya Toyokuni, Hiroaki Kajiyama, Fumitaka Kikkawa, Hans-Robert Metelmann, Masaru Hori

    Plasma Physics, Association of Asia Pacific Physical Societies 2017   Vol. 1   2017.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1007/s41614-017-0004-3

  256. Non-thermal plasma induces a stress response in mesothelioma cells resulting in increased endocytosis, lysosome biogenesis and autophagy Reviewed

    Lei Shi, Fumiya Ito, Yue Wang, Yasumasa Okazaki, Hiromasa Tanaka, Masaaki Mizuno, Masaru Hori, Tasuku Hirayama, Hideko Nagasawa, Des R. Richardson, Shinya Toyokuni

    Free Rad. Biol. Med   Vol. 108   page: 904-917   2017.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.freeradbiomed.2017.04.368

  257. Temperature dependence of protection layer formation on organic trench sidewall in H2/N2 plasma etching with control of substrate temperature Reviewed

    Yusuke Fukunaga, Takayoshi Tsutsumi, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 56 ( 21 )   2017.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.076202

  258. Intracellular-Molecular Changes in Plasma-irradiated Budding Yeast Cells Studied Using Multiplex Coherent Anti-Stokes Raman Scattering Microscopy Reviewed

    Ryo Furuta, Naoyuki Kurake, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto, Sekine, and Masaru Hori

    Physical Chemistry Chemical Physics   Vol. 19 ( 21 )   2017.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1039/C7CP00489C

  259. Hydrogen peroxide sensor based on carbon nanowalls grown by plasma-enhanced chemical vapor deposition Reviewed

    Masakazu Tomatsu, Mineo Hiramatsu, John S. Foord, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Keigo Takeda and Masaru Hori

    Journal of Applied Physics   Vol. 56 ( 6S2 )   2017.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.06HF03

  260. Progress and prospects in nanoscale dry processes: How can we control atomic layer reactions? Reviewed

    K. Ishikawa, K. Karahashi, T. Ichiki, J. P. Chang, S. M. George, W. M. M. Kessels, H. J. Lee, S. Tinck, J. H. Um, K. Kinoshita

    Japanese Journal of Applied Physics   Vol. 56 ( 6S2 )   2017.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.06HA02

  261. Micro-Coordination of Pacemaker Potentials in the Intestine of the Mouse

    Morishita Hirotaka, Iwata Naoko, Takai Chiho, Mochizuki Naoto, Kaji Noriyuki, Hori Masatoshi, Kajioka Shunichi, Nakayama Shinsuke

    GASTROENTEROLOGY   Vol. 152 ( 8 ) page: 1831-+   2017.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1053/j.gastro.2017.04.016

    Web of Science

  262. Electron behaviors in afterglow of synchronized dc-imposed pulsed fluorocarbon-based plasmas Reviewed

    Toshinari Ueyama, Yusuke Fukunaga, Takayoshi Tsutsumi, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Manabu Iwata, Yoshinobu Ohya, Hideo Sugai, and Masaru Hori

    Journal of Applied Physics   Vol. 56 ( 6S2 )   2017.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.06HC03

  263. Advanced Plasma Etching Processing: Atomic Layer Etching for Nanoscale Devices Reviewed

    Takayoshi Tsutsumi, M. Zaitsu, Akiko Kobayashi, N. Kobayashi, and Masaru Hori

    ECS Transactions   Vol. 77 ( 3 )   2017.5

     More details

    Language:English  

    DOI: 10.1149/07703.0025ecst

  264. Growth of InN firms by radical-enhanced metal organic chemical vapor deposition at a low temperature of 200 °C Reviewed

    S. Takai, Y. Lu, O. Oda, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine and M. Hori

    Journal of Applied Physics   Vol. 56 ( 6S2 )   2017.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.06HE08

  265. 電子スピン共鳴法を活用したプラズマバイオ反応プロセスの診断

    石川健治 近藤隆 竹田圭吾 呉準席 橋爪博司 田中宏昌 近藤博基 太田貴之 伊藤昌文 関根誠 堀勝

    プラズマ・核融合学会誌   Vol. 93 ( 5 ) page: 246-252   2017.5

     More details

    Language:Japanese  

  266. Selective atomic-level etching using two heating procedures, infrared irradiation and ion bombardment, for next-generation semiconductor device manufacturing

    Shinoda K., Miyoshi N., Kobayashi H., Miura M., Kurihara M., Maeda K., Negishi N., Sonoda Y., Tanaka M., Yasui N., Izawa M., Ishii Y., Okuma K., Saldana T., Manos J., Ishikawa K., Hori M.

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 50 ( 19 )   2017.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aa6874

    Web of Science

  267. Intraperitoneal Administration of Plasma-Activated Medium: Proposal of a Novel Treatment Option for Peritoneal Metastasis From Gastric Cancer Reviewed

    Shigeomi Takeda, Suguru Yamada, Norifumi Hattori, Kae Nakamura, Hiromasa Tanaka, Hiroaki Kajiyama, Mitsuro Kanda, Daisuke Kobayashi, Chie Tanaka, Tsutomu Fujii, Michitaka Fujiwara, Masaaki Mizuno, Masaru Hori, Yasuhiro Kodera

    Annals of Surgical Oncology   Vol. 24 ( 5 )   2017.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1245/s10434-016-5759-1

  268. Effect of plasma-activated medium on the decrease of tumorigenic population in lymphoma Reviewed

    N. Wada, J. Ikeda, H. Tanaka, H. Sakakita, M. Hori, Y. Ikehara, E. Morii

    Pathology - Researchand Practice   Vol. 213   2017.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.prp.2017.04.003

  269. Bactericidal pathway of Escherichia coli in buffered saline treated with oxygen radicals Reviewed

    Tsuyoshi Kobayashi, Natsumi Iwata, Jun-Seok Oh, Hiroshi Hashizume, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, 20Masaru Hori, and Masafumi Ito

    J. Phys. D: Appl. Phys   Vol. 50 ( 15 )   2017.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aa61d7/

  270. Absolute density of precursor SiH3 radicals and H atoms in H2-diluted SiH4 gas plasma for deposition of microcrystalline silicon films Reviewed

    Yusuke Abe , Kenji Ishikawa , Keigo Takeda , Takayoshi Tsutsumi , Atsushi Fukushima , Hiroki KONDO , Makoto Sekine , Masaru Hori

    Journal of Physics D: Applied Physics   Vol. 50 ( 19 )   2017.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.1088/1361-6463/aa6874

  271. Spatial distributions of O, N, NO, OH and vacuum ultraviolet light along gas flow direction in an AC-excited atmospheric pressure Ar plasma jet generated in open air Reviewed

    Keigo Takeda, Kenji Ishikawa, Hiromasa Tanaka, Makoto Sekine, and Masaru Hori

    Journal of Physics D: Applied Physics   Vol. 50 ( 19 )   2017.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aa6555

  272. Investigation of the radially resolved oxygen dissociation degree and local mean electron energy in oxygen plasmas in contact with different surface materials Reviewed

    T. Tsutsumi, A. Greb, A. R. Gibson, M. Hori, D. OConnell, T. Gans

    Journal of Physics D: Applied Physics   Vol. 50 ( 19 )   2017.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1063/1.4979855

  273. Bactericidal pathway of Escherichia coli in buffered saline treated with oxygen radicals Reviewed

    Tsuyoshi Kobayashi, Natsumi Iwata, Jun-Seok Oh, Hiroshi Hahizume, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori and Masafumi Ito

    Journal of Physics D: Applied Physics   Vol. 50 ( 15 )   2017.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.1088/1361-6463/aa61d7

  274. Spatial profiles of interelectrode electron density in direct current superposed dual-frequency capacitively coupled plasmas Reviewed

    Yoshinobu Ohya, Kenji Ishikawa, Tatsuya Komuro, Tsuyoshi Yamaguchi, Keigo Takeda, Hiroki Kondo, Makoto Sekine and Masaru Hori

    Journal of Physics D: Applied Physics   Vol. 50 ( 15 )   2017.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.1088/1361-6463/aa60f7

  275. Spatial profiles of interelectrode electron density in direct current superposed dual-frequency capacitively coupled plasmas Reviewed

    Ohya Yoshinobu, Ishikawa Kenji, Komuro Tatsuya, Yamaguchi Tsuyoshi, Takeda Keigo, Kondo Hiroki, Sekine Makoto, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 50 ( 15 )   2017.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aa60f7

    Web of Science

  276. Effects of center dot OH and center dot NO radicals in the aqueous phase on H2O2 and NO2- generated in plasma-activated medium

    Kurake Naoyuki, Tanaka Hiromasa, Ishikawa Kenji, Takeda Keigo, Hashizume Hiroshi, Nakamura Kae, Kajiyama Hiroaki, Kondo Takashi, Kikkawa Fumitaka, Mizuno Masaaki, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 50 ( 15 )   2017.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aa5f1d

    Web of Science

  277. Effects of OH and NO radicals in the aqueous phase on H2O2 and NO2-generated in plasma-activated medium Reviewed

    Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Takashi Kondo, Fumitaka Kikkawa, Masaaki Mizuno and Masaru Hori

    Journal of Physics D: Applied Physics   Vol. 50 ( 15 )   2017.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.1088/1361-6463/aa5f1

  278. Postpartum atypical hemolytic uremic syndrome with complement factor H mutation complicated by reversible cerebrovascular constriction syndrome successfully treated with eculizumab Reviewed

    Yamaguchi Makoto, Hori Mayuko, Hiroshi Nagaya, Maruyama Shoichi

    THROMBOSIS RESEARCH   Vol. 151   page: 79-81   2017.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.thromres.2017.01.013

    Web of Science

  279. Behavior of absolute densities of atomic oxygen in the gas phase near an object surface in an AC-excited atmospheric pressure He plasma jet Reviewed

    Keigo Takeda, Takumi Kumakura, Kenji Ishikawa, Hiromasa Tanaka, Makoto Sekine and Masaru Hori

    Applied Physics Express   Vol. 10 ( 3 )   2017.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.7567/APEX.10.036201

  280. Characteristics of optical emissions of arc plasma processing for high-rate synthesis of highly crystalline single-walled carbon nanotubes Reviewed

    A. Ando, K. Takeda, T. Ohta, M. Ito, M. Hiramatsu, K. Ishikawa, H. Kondo, M. Sekine, T. Suzuki, S. Inoue, Y. Ando, M. Hori

    Japanese Journal of Applied Physics   Vol. 56 ( 3 )   2017.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.035101

  281. Investigation of effects of ion energies on both plasma-induced damage and surface morphologies and optimization of high-temperature Cl2 plasma etching of GaN Reviewed

    Zecheng Liu, Jialin Pan, Atsuki Asano, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Osamu Oda, Makoto Sekine and Masaru Hori

    "Japanese Journal of Applied   Vol. 56   2017.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI:10.7567/JJAP.56.026502

  282. Absolute density of precursor SiH3 radicals and H atoms in H2-diluted SiH4 gas plasma for deposition of microcrystalline silicon films

    Yusuke Abe , Kenji Ishikawa , Keigo Takeda , Takayoshi Tsutsumi , Atsushi Fukushima , Hiroki KONDO , Makoto Sekine , Masaru Hori

    Applied Physics Letters (2017)     2017.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4974821

  283. Densities and Surface Reaction Probabilities of Oxygen and Nitrogen Atoms During Sputter Deposition of ZnInON on ZnO Reviewed

    Matsushima Koichi, Ide Tomoaki, Takeda Keigo, Hori Masaru, Yamashita Daisuke, Seo Hyunwoong, Koga Kazunori, Shiratani Masaharu, Itagaki Naho

    IEEE TRANSACTIONS ON PLASMA SCIENCE   Vol. 45 ( 2 ) page: 323-327   2017.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1109/TPS.2016.2632124

    Web of Science

  284. Intraperitoneal Administration of Plasma-Activated Medium: Proposal of a Novel Treatment Option for Peritoneal Metastasis From Gastric Cancer Reviewed

    Shigeomi Takeda, Suguru Yamada, Norifumi Hattori, Kae Nakamura, Hiromasa Tanaka, Hiroaki Kajiyama, Mitsuro Kanda, Daisuke Kobayashi, Chie Tanaka, Tsutomu Fujii, Michitaka Fujiwara, Masaaki Mizuno, Masaru Hori, Yasuhiro Kodera

    Annals of Surgical Oncology   Vol. 24 ( 5 ) page: 1-7   2017.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.1245/s10434-016-5759-1

  285. Annual shell growth pattern of the Stimpson's hard clam Mercenaria stimpsoni as revealed by sclerochronological and oxygen stable isotope measurements

    Kubota Kaoru, Shirai Kotaro, Murakami-Sugihara Naoko, Seike Koji, Hori Masako, Tanabe Kazushige

    PALAEOGEOGRAPHY PALAEOCLIMATOLOGY PALAEOECOLOGY   Vol. 465   page: 307-315   2017.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.palaeo.2016.05.016

    Web of Science

  286. Medical applications of non-thermal atmospheric pressure plasma

    Tanaka Hiromasa, Hori Masaru

    JOURNAL OF CLINICAL BIOCHEMISTRY AND NUTRITION   Vol. 60 ( 1 ) page: 29-32   2017.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3164/jcbn.16-67

    Web of Science

  287. Thermal Cyclic Atomic-Level Etching of Nitride Films: A Novel Way for Atomic-Scale Nanofabrication

    Shinoda K., Miyoshi N., Kobayashi H., Kurihara M., Izawa M., Ishikawa K., Hori M.

    ATOMIC LAYER DEPOSITION APPLICATIONS 13   Vol. 80 ( 3 ) page: 3-14   2017

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/08003.0003ecst

    Web of Science

  288. Plasma-inspired biomaterials Reviewed

    Cvelbar Uros, Canal Cristina, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 50 ( 4 )   2016.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/50/4/040201

    Web of Science

  289. Medical applications of non-thermal atmospheric pressure plasma

    Hiromasa Tanaka, Masaru Hori

    J. Clin. Biochem. Nutr.   Vol. 60 ( 1 ) page: 29-32   2016.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  290. Future perspective of strategic non-thermal plasma therapy for cancer treatment

    Hiroaki Kajiyama, fumi Utsumi, Kae Nakamura, Hiromasa Tanaka, Shinya Toyokuni, Masaru Hori, Fumitaka Kikkawa

    J. Clin. Biochem. Nutr.   Vol. 60 ( 1 ) page: 33-38   2016.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  291. Atomic layer etching of SiO2 by alternating an O2 plasma with fluorocarbon film deposition

    Takayoshi Tsutsumi, Hiroki Kondo, Masaru Hori, Masaru Zaitsu, Akiko Kobayashi, Toshihisa Nozawa, Nobuyoshi Kobayashi

    Journal of Vacuum Science & Technology   Vol. 35   2016.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/1.4971171

  292. Non-Thermal atmospheric pressure plasma activated lactate in Ringer's solution for anti-tumor effects

    H.Tanaka, K.Nakamura, M.Mizuno, K.Ishikawa, K.Takeda, h.Kajiyama, F.Utsumi, F.Kikkawa, M.Hori

    Scientific Report   Vol. 35   2016.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1038/srep36282

  293. Effects of assisted magnetic field to an atomospheric-pressure plasma jet on radical generation at the plasma-surface interface and bactericidal function Reviewed

    Chih-Tung Liu, Takumi Kumakura, Kenji Ishikawa, Hiroshi Hashizume, Keigo Takeda, Masafumi Ito, Masaru Hori, Jong-Shinn Wu

    Plasam Source Science and Technology   Vol. 25 ( 6 )   2016.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0963-0252/25/6/065005

  294. Cell survival of glioblastoma grown in medium containing hydrogen peroxide and/or nitrite, or in plasma-activated medium

    Naoyuki Kurake,Hiromasa Tanaka, Kenji Ishikawa, Takashi Kondo, Makoto Sekine, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Masaru Hori

    Archives of Biochemistry and Biophysics   Vol. 605   page: 102-108   2016.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI :10.1016/j.abb.2016.01.011

  295. Low temperature plasma processing for cell growth inspired carbon thin films fabrication Reviewed

    M. Kumar, J. X. Piao, S. B. Jin, J. H. Lee, S. Tajima, M. Hori, and J. G. Han

    Archives of Biochemistry and Biophysics   Vol. 605   page: 41–48   2016.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.1016/j.abb.2016.03.026

  296. Low-temperature plasma in biology and medicine

    Masaru Hori, Eun Ha Choi, Shinya Toyokuni

    Archives of Biochemistry and Biophysics   Vol. 605   page: 1-2   2016.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  297. Red Blood Cell Coagulation Induced by Low-temperature Plasma Treatment

    K. Miyamoto, S. Ikehara, H. Takei, Y. Akimoto, H. Sakakita, K. Ishikawa, M. Ueda, J. Ikeda, M. Yamagishi, J. Kim, T. Yamaguchi, H. Nakanishi, T. Shimizu, N. Shimizu, M. Hori, and Y. Ikehara

    Arch. Biochem. Biophys   Vol. 605   page: 95–101   2016.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.abb.2016.03.023

  298. Galectin expression in healing wounded skin treated with low-temperature plasma: Comparison with treatment by electronical coagulation

    Y. Akimoto, S. Ikehara, T. Yamaguchi, J. Kim, H. Kawakami, N. Shimizu, M. Hori, H. Sakakita, and Y. Ikehara

    Arch. Biochem. Biophys.   Vol. 605   page: 1-9   2016.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.abb.2016.01.012

  299. Feedback Control System of Wafer Temperature for Advanced Plasma Processing and its Application to Organic Film Etching

    T. Tsutsumi, Y. Fukunaga, K. Ishikawa, K. Takeda, H. Kondo, T. Ohta, M. Ito, M. Sekine, M. Hori

    IEEE Trans. Semicond. Manuf. 28 (4)     page: pp. 515-520   2016.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1109/TSM.2015.2470554

  300. Synthesis of calcium oxalate crystals in culture medium irradiated with non-equilibrium atmospheric-pressure plasma Reviewed

    Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Yoko Yamanishi and Masaru Hori

    Applied Physics Express   Vol. 9 ( 9 )   2016.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.7567/APEX.9.096201

  301. Rapid electron density decay observed by surface-wave probe in afterglow of pulsed fluorocarbon-based plasma

    Y. Ohya, M. Iwata, K. Ishikawa, M.Sekine, M.Hori and H.Sugai

    Japanese Journal of Applied Physics   Vol. 55 ( 8 ) page: 10.7567/JJAP.55.080309   2016.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  302. Non-thermal plasma prevents progression of endometriosis in mice

    Chiharu Ishida, Masahiko Mori, Kae Nakamura, Hiromasa Tanaka, Masaaki Mizuno, Masaru Hori, Akira Iwase, Fumitaka Kikkawa and Shinya Toyokuni

        page: 111   2016.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  303. Possible therapeutic option of aqueous plasma for refractory ovarian cancer

    H. Kajiyama, F. Utsumi, K. Nakamura, H. Tanaka, M. Mizuno, S. Toyokuni, M. Hori, and F. Kikkawa

    Clinical Plasma Medicine   Vol. 4 ( 1 ) page: 14-18   2016.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.cpme.2015.12.002

  304. Plasma-Activated Medium Selectively Eliminates Undifferentiated Human Induced Pluripotent Stem Cells

    R. Matsumoto, K. Shimizu, T. Nagashima, H. Tanaka, M. Mizuno, F. Kikkawa, M. Hori, and H. Honda

    Regenerative Therapy   Vol. 5   page: 55-63   2016.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.reth.2016.07.001

  305. Microfluidic Transport Through Microsized Holes Treated by Nonequilibrium Atmospheric-Pressure Plasma

    Takumi Ito, Kenji Ishikawa,Daisuke Onoshima, Naoto Kihara,Kentaro Tatsukoshi Hidefumi Odaka, Hiroshi Hashizume,Hiromasa Tanaka,Hiroshi Yukawa, Keigo Takeda Hiroki Kondo, Makoto Sekine, Yoshinobu Baba, Masaru Hori

    IEEE Transactions on Plasma Science     2016.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1109/TPS.2016.2571721

  306. Computational study on SiH4 dissociation channels and H abstraction reactions Reviewed

    Toshio Hayashi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 55 ( 7S2 )   2016.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.7567/JJAP.55.07LD07

  307. Helium-based cold atmospheric plasma-induced reactive oxygen species-mediated apoptotic pathway attenuated by platinum nanoparticles Reviewed

    Jawaid P, Rehman MU, Zhao QL, Takeda K, Ishikawa K, Hori M, Shimizu T, Kondo T.

    J Cell Mol Med     2016.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1111/jcmm.12880

  308. Role of surface-electrical properties on the cell-viability of carbon thin films grown in nanodomain morphology

    Amjed Javid,, Manish Kumar, Seokyoung Yoon, Jung Heon Lee,Satomi Tajima, Masaru Hori and Jeon Geon Han

    J. Phys. D: Appl. Phys.   Vol. 49 ( 26 )   2016.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0022-3727/49/26/264001

  309. Biphasic effects of l-ascorbate on the tumoricidal activity of non-thermal plasma against malignant mesothelioma cells

    L. Shi, Y.Wang, F.Ito, Y.Okazaki, H.Tanaka, M.Mizuno, M.Hori, D.R.Richardson, S.Toyokuni

    Archives of Biochemistry and Biophysics   Vol. 605   page: 109-116   2016.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  310. Nanopore formation process in artificial cell membrane induced by plasma-generated reactive oxygen species

    R.Tero, R.Yamashita, H.Hashizume, Y.Suda, H.Takikawa, M.Hori, M.Ito

    Archives of Biochemistry and Biophysics   Vol. 605   page: 26-33   2016.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI:10.1016/j.abb.2016.05.014

  311. Formation of a SiOF reaction intermixing layer on SiO2 etching using C4F6/O2/Ar plasmas Reviewed

    Y. Ohya, M. Tomura, K. Ishikawa, M. Sekine, and M. Hori

    Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films   Vol. 34 ( 4 )   2016.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.1116/1.4949570

  312. The role of plasma chemistry on functional silicon nitride film properties deposited at low-temperature by mixing two frequency powers using PECVD

    B. B. Sahu,Y. Y. Yin,T. Tsutsumi, M. Hori, Jeon G. Han

    Phys. Chem. Chem. Phys     2016.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1039/C6CP00986G

  313. Effects of nitrogen on the apoptosis of and changes in gene expression in human lymphoma U937 cells exposed to argon-based cold atmospheric pressure plasma

    Yoshikawa Tabuchi, Hidefumi Uchiyama, Quing-Li XZhao, Tatsuya Yunoki, Gabor Andocs, Nobuyuki Nojima, Kengo Takeda, Kenji Ishikawa, Masaru Hori, Takashi Kondo

    International Journal of Molecular Medicine   Vol. 37 ( 6 ) page: 1706-1714   2016.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI:10.3892/ijmm.2016.2574

  314. Variable susceptibility of ovarian cancer cells to non-thermal plasma-activated medium Reviewed

    F. Utsumi, H. Kajiyama, K. Nakamura, H. Tanaka, M. Mizuno, S. Toyokuni, M. Hori, and F. Kikkawa

    Oncology Report   Vol. 35 ( 6 ) page: 3169–3177   2016.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.3892/or.2016.4726

  315. Low temperature plasma processing for cell growth inspired carbon thin films fabrication

    M.Kumar, J.X.Piao, S.B.Jin, J.H.Lee, S.Tajima, M.Hori, J.G.Han

    Archives of Biochemistry and Biophysics   Vol. 605   page: 41-48   2016.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  316. Red blood cell coagulation induced by low-temperature plasma treatment

    K,Miyamoto, S.Ikehara, H.Takei, Y.Akimoto, H.Sakakita, K. Ishikawa, M.Ueda, J.Ikeda, M.Yamagishi, J.Kim, T. Yamaguchi, H. Nakanishi, T.Shimizu, N.Shimizu, M.Hori, Y.Ikehara

    Archives of Biochemistry and Biophysics   Vol. 605   page: 95-101   2016.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  317. Galectin expression in healing wounded skin treated with low-temperature plasma: Comparison with treatment by electronical coagulation

    Y.Akimoto, S.Ikehara, T.Yamaguchi, J.Kim, H. Kawakami, N.Shimizu, M.Hori, H. Sakakita, Y.Ikehara

    Archives of Biochemistry and Biophysics   Vol. 305   page: 86-94   2016.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  318. Synthesis of calcium oxalate crystals in culture medium irradiated with non-equilibrium atmospheric-pressure plasma

    M. Hori, N.Kurake, Y.Yamanishi, M.Mizuno, K.Nakamura, K.Ishikawa, H.Tanaka

        page: 111   2016

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  319. Plasma-Activated Medium Selectively Eliminates Undifferentiated Human Induced Pluripotent Stem Cells

    Ryo Matsumoto, Kazunori Shimizu, Takunori Nagashima, Hiromasa Tanaka, Masaaki Mizuno, Fumitaka Kikkawa, Masaru Hori, Hiroyuki Honda

    Regenerative Therapy     page: 111   2016

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  320. Effects of assisted magnetic field to an atmospheric-pressure plasma jet on radical generation at the plasma-surface interface and bactericidal function

    Liu, Chih-Tung; Kumakura, Takumi; Ishikawa, Kenji; Hashizume, Hiroshi; Takeda, Keigo; Ito, Masafumi; Hori, Masaru; Wu, Jong-Shinn

    Plasma Sources Science and Technology     page: 111   2016

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  321. Plasma with high electron density and plasma-activated medium for cancer treatment

    H. Tanaka, M. Mizuno, K. Ishikawa, H. Kondo, K. Takeda, H. Hashizume, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, Y. Okazaki, S. Toyokuni, S. Akiyama, S. Maruyama, S. Yamada, Y. Kodera, H. Kaneko, H. Terasaki, H. Hara, T. Adachi, M. Iida, I. Yajima, M. Kato, F. Kikkawa, M. Hori

    Clinical Plasma Medicine 3     page: pp. 72-76   2015.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.cpme.2015.09.001

  322. Histological and Nuclear Medical Comparison of Inflammation After Hemostasis with Non-Thermal Plasma and Thermal Coagulation

    Masashi Ueda, Daiki Yamagami, Keiko Watanabe, Asami Mori,Hiroyuki Kimura, Kohei Sano, Hideo Saji, Kenji Ishikawa, Masaru Hori,Hajime Sakakita, Yuzuru Ikehara, Shuichi Enomoto

    Plasma Process and Polymers     2015.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.201500099

  323. Plasma and Cancer

    Masashi Ueda, Daiki Yamagami, Keiko watanabe, Asami Mori, Hiroyuki Kimura, Masaru Hori, Mounir Laroussi, Kai Masur, Yuzuru Ikehara ,

    Plasma Processes and Polymers 12,No. 12     page: p.p. 1329-1469   2015.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.201570043

  324. プラズマ医療の可能性と今後の展望

    田中宏昌、堀 勝

    ファルマシア/メカノバイオロジーと薬の融合   Vol. 51 ( 11 ) page: 1053   2015.11

     More details

    Language:Japanese  

  325. Effects of deposition rate and ion bombardment on properties of a-C:H films deposited by H-assisted plasma CVD method Reviewed

    X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    Jpn. J. Appl. Phys. 55 (1S)   Vol. 55 ( 1S ) page: 01AA11   2015.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.55.01AA11

  326. Real-time temperature-monitoring of Si substrate during plasma processing and its heat-flux analysis

    T. Tsutsumi, K. Ishikawa, K. Takeda, H. Kondo, T. Ohta, M. Ito, M. Sekine, M. Hori

    Jpn. J. Appl. Phys. 55 (1S)     page: 01AB04:1-4   2015.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.55.01AB04

  327. Cancer therapy using non-thermal atmospheric pressure plasma with ultra-highelectron density

    H. Tanaka, M. Mizuno, S. Toyokuni, S. Maruyama, Y. Kodera, H. Terasaki, T. Adachi, M. Kato, F. Kikkawa, M. Hori

    Phys Plasmas     page: 122004   2015.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4933402

  328. Development of Microelectrode Arrays Using Electroless Plating for CMOS-Based Direct Counting of Bacterial and HeLa Cells

    Niitsu K, Ota S, Gamo K, Kondo H, Hori M, Nakazato K

    IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS, VOL. 9, NO. 5     page: p.p.607-619   2015.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1109/TBCAS.2015.2479656

  329. Raman Spectroscopy of a-C:H Films Deposited Using Ar + H2+ C7H8 Plasma CVD Reviewed

    X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    Proc. 68th GEC/9th ICRP/33rd SPP   Vol. 60 ( 9 ) page: GT1.145   2015.10

     More details

    Language:English  

  330. Measurements of nitrogen atom density in N2/Ar sputtering plasma for fabrication of high-mobility amorphous In2O3:Sn films Reviewed

    T. Takasaki, T. Ide, K. Matsushima, K. Takeda, M. Hori, D. Yamashita, H. Seo, K. Koga, M, Shiratani, N. Itagaki

    Proc. 68th GEC/9th ICRP/33rd SPP   Vol. 60 ( 9 ) page: GT1.150   2015.10

     More details

    Language:English  

  331. Measurements of absolute densities of nitrogen and oxygen atoms in sputtering plasma for fabrication of ZnInON films Reviewed

    K. Matsushima, T. Ide, K. Takeda, M. Hori, D. Yamashita, H. Seo, K. Koga, M, Shiratani, N. Itagaki

    Proc. 68th GEC/9th ICRP/33rd SPP   Vol. 60 ( 9 ) page: GT1.154   2015.10

     More details

    Language:English  

  332. Measurement of absolute density of N atom in sputtering plasma for epitaxial growth ZnO films via nitrogen mediated crystallization Reviewed

    T. Ide, K. Matsushima, T. Takasaki, K. Takeda, M. Hori, D. Yamashita, H. Seo, K. Koga, M, Shiratani, N. Itagaki

    Proc. 68th GEC/9th ICRP/33rd SPP   Vol. 60 ( 9 ) page: GT1.155   2015.10

     More details

    Language:English  

  333. Effectiveness of plasma treatment on pancreatic cancer cells

    N. Hattori, S. Yamada, K. Torii, S. Takeda, K. Nakamura, H. Tanaka, H. Kajiyama, M. Kanda, T. Fujii, G. Nakayama, H. Sugimoto, M. Koike, S. Nomoto, M. Fujiwara, M. Mizuno, M. Hori, Y. Kodera

    International journal of oncology, 47     page: pp. 1655-1662   2015.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3892/ijo.2015.3149

  334. Growth control of Saccharomyces cerevisiae through dose of oxygen atoms

    Hiroshi Hashizume, Takayuki Ohta, Masaru Hori, and Masafumi Ito

    APPLIED PHYSICS LETTERS     2015.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4929952

  335. EPR-Spin Trapping and Flow Cytometric Studies of Free Radicals Generated Using Cold Atmospheric Argon Plasma and X-Ray Irradiation in Aqueous Solutions and Intracellular Milieu Reviewed

    Hidefumi Uchiyama, Qing-Li Zhao, Mariame Ai Hassan, Gabor Andocs, Nobuyuki Nojima, Keigo Takeda, Kenji ishikawa, Masaru Hori, Takashi Kondo

    PLOS one     2015.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    top 10% most cited PLOS One (2015)

    DOI: 10.1371/journal.pone.0136956

  336. Wavelength dependence for silicon-wafer temperature measurement by autocorrelationtype frequency-domain low-coherence interferometry

    T. Tsutsumi, T. Ohta, K. Takeda, M. Ito, M. Hori

    Appl. Opt. 54 (23)     page: pp. 7088-7093   2015.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1364/AO.54.007088

  337. Effects of discharge voltage on the characteristics of a-C:H films prepared by H-assisted Plasma CVD method Reviewed

    X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    Trans. Mater. Res. Soc. Jpn.   Vol. 40 ( 2 ) page: 123-128   2015.7

     More details

    Language:English  

    DOI: 10.14723/tmrsj.40.123

  338. Utility of dual frequency hybrid source for plasma and radical generation

    Kyung Sik Shin, Bibhuti Bhusan Sahu, Jeon Geon Han, and Masaru Hori

    Japanese Journal of Applied Physics     2015.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.54.076201

  339. Emission spectroscopy of Ar + H-2+ C7H8 plasmas: C7H8 flow rate dependence and pressure dependence Reviewed

    X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Y. Setsuhara, M. Sekine and M. Hori

    J. Phys. : Conf. Series (SPSM26)   Vol. 518 ( 1 ) page: 012010   2015.6

     More details

    Language:English  

    DOI: 10.1088/1742-6596/518/1/012010

  340. Suppression of plasma-induced damage on GaN etched by a Cl2 plasma at high temperatures

    Zecheng Liu, Jialin Pan, Takashi Kako, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo,Osamu Oda, Makoto Sekine, and Masaru Hori

    Jpn. J. Appl. Phys. 54 (6S2)     page: 06GB04   2015.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.54.06GB04

  341. Effectiveness of hydrogen dilution for designing amorphous to crystalline Si thin film in inductively coupled plasma assisted magnetron sputtering

    Kyung Sik Shin, Bibhuti Bhusan Sahu, Jeon Geon Han, and Masaru Hori

    Japanese Journal of Applied Physics     2015.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.54.060303

  342. Electronic properties of HBr, O2 and Cl2 used in Si etching

    Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    Japanese Journal of Applied Physics     2015.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.54.06GA03

  343. シリコン薄膜形成プロセスにおけるプラズマ中の水素原子の計測とその挙動

    堀勝、阿部祐介、竹田圭吾、石川健治、近藤博基、関根誠、韓銓健

    プラズマ・核融合学会誌   Vol. 91 ( 5 ) page: 317   2015.5

     More details

    Language:Japanese  

  344. プラズマ活性溶液:作用機序解明と臨床応用・産業化を目指して

    田中宏昌 、水野正明、豊國伸哉、丸山彰一、小寺泰弘、吉川史隆、堀勝

    福岡医学雑誌   Vol. 106 ( 4 ) page: 71-76   2015.4

     More details

    Language:Japanese  

  345. Silicon nitride (SiN) etch performance of CH2F2 plasmas diluted with argon or krypton

    Yusuke Kondo, Kenji Ishikawa, Toshio Hayashi, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Japan. J. Appl. Phys. 54 (4)     page: 40303   2015.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.54.040303

  346. Plasma diagnostic approach for high rate nanocrystalline Si synthesis in RF/UHF hybrid plasmas using a PECVD process Plasma Sources

    Bibhuti Bhusan Sahu, Jeon G. Han, Kyung-Sik Shin, Kenji Ishikawa, Masaru Hori, and Yudai Miyawaki

    Plasma Sources Science and Technology 24 (2)     page: 25019   2015.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0963-0252/24/2/025019

  347. CF3+ fragmentation by electron impact ionization of perfluoro-propyl-vinyl-ethers, C5F10O, in gas phase

    Yusuke Kondo, Kenji Ishikawa, Toshio Hayashi, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Japan. J. Appl. Phys. 54 (4)     page: 40301   2015.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.54.040301

  348. Deposition of Carbon Films on PMMA Using H-assisted Plasma CVD Reviewed

    X. Dong, R. Torigoe, K. Koga, G. Uchida, M. Shiratani, N. Itagaki, Y. Setsuhara, K. Takenaka, M. Sekine, .M. Hori

    Jpn. Phys. Soc. Conf. Proc (APPC12)   Vol. 1   page: 015072   2015.3

     More details

    Language:English  

    DOI: 10.7566/JPSCP.1.015072

  349. New line plasma source excited by 2.45 GHz microwave at atmospheric pressure

    Haruka Suzuki,Suguru Nakano,Hitoshi Itoh,Makoto Sekine,Masaru Hori,and Hirotaka Toyoda

    Applied Physics Express 8     2015.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/APX.8.036001

  350. Estimation of activation energies for decomposition reaction of polymer by hydrogen radicals generated using hot-wire catalyzer

    Akihiko Kono, Yu Arai, Yousuke Goto, Masashi Yamamoto, Seiji Takahashi, Tadaaki Yamagishi, Kenji Ishikawa, Masaru Hori, and Hideo Horibe

    Thin Solid Film 575     page: pp. 17-20   2015.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2014.10.020

  351. Study of the decomposition mechanism of PMMA-type polymers by hydrogen radicals

    Yu Arai, Yusuke Noto, Yousuke Goto, Seiji Takahashi, Masashi Yamamoto, Akihiko Kono, Tatsuo Ishijima, Kenji Ishikawa, Masaru Hori, and Hideo Horibe

    Thin Solid Film 575     page: pp. 12-16   2015.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1016/j.tsf.2014.10.021

  352. Experimental evidence of warm electron populations in magnetron sputtering plasmas

    B. B. Sahu, Jeon G. Han, Hye R. Kim, Kenji Ishikawa, and Masaru Hori

    J. Appl. Phys. 117     page: 33301   2015.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4905901

  353. Hydrofluorocarbon ion density of argon- or krypton-diluted CH2F2 plasmas: Generation of CH2F+ and CHF2+ by dissociative-ionization in charge exchange collisions

    Yusuke Kondo, Yudai Miyawaki, Kenji Ishikawa, Toshio Hayashi, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    J. Phys. D: Appl. Phys. 48 (4)     page: 45202   2015.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0022-3727/48/4/045202

  354. Plasma-activated medium suppresses choroidal neovascularization in mice: a new therapeutic concept for age-related macular degeneration

    F. Ye, H. Kaneko, Y. Nagasaka, R. Ijima, K. Nakamura, M. Nagaya, K. Takayama, H. Kajiyama, T. Senga, H. Tanaka, M. Mizuno, F. Kikkawa, M. Hori, and H. Terasaki

    Sci Rep, vol. 5     page: 7705   2015.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1038/srep07705

  355. Langmuir probe and optical emission spectroscopy studies in magnetron sputtering plasmas for Al-doped ZnO film deposition

    B. B. Sahu, Jeon G. Han, Masaru Hori and Keigo Takeda

    J. Appl. Phys. 117     page: 23301   2015.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4905541

  356. Electrical,Optical and Structural Properties of AZO Thin Film Deposited Using Facing Targets Magnetron Sputtering System with Inductively Coupled Plasma

    Hye R.Kim,Jay B.Kim,Yoon S.Choi,M.Hori,and Jeon G.Han

    American Scientific Publishers 7     page: p.p. 107-112   2015.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1166/sam.2015.2088

  357. Carbon nanowall scaffold to control culturing of cervical cancer cells Reviewed

    Hitoshi Watanabe, Hiroki Kondo, Yukihiro Okamoto, Mineo Hiramatsu, Makoto Sekine, Yoshinobu Baba, and Masaru Hori

    Applied Physics Letters   Vol. 105 ( 24 )   2014.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4902054

  358. Plasma Medical Science for Cancer Therapy: Toward Cancer Therapy Using Nonthermal Atmospheric Pressure Plasma

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Kae Nakamura, Fumi Utsumi,Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Kae Nakamura, Fumi Utsumi, Shoichi Maruyama, Fumitaka Kikkawa, and Masaru Hori

    IEEE TRANSACTIONS ON PLASMA SCIENCE   Vol. 42 ( 12 ) page: 3760-3764   2014.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  359. Plasma-activated medium induces A549 cell injury via a spiral apoptotic cascade involving the mitochondrial-nuclear network

    Tetsuo Adachi, Hiromasa Tanaka, Saho Nonomura, Hirokazu Hara, Shin-ichi Kondo, Masaru Hori

    Free Radical Biology and Medicine   Vol. 79   page: p.p. 28-44   2014.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.freeradbiomed.2014.11.014

  360. Quantitative clarification of inactivation mechanism of Penicillium digitatum spores treated with neutral oxygen radicals

    Hiroshi Hashizume,Takayuki Ohta,Keigo Takeda,Kenji Ishikawa,Masaru Hori,and Masafumi Ito

    Japanese Journal of Applied Physics 54,01ag05(2015)     2014.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.54.01AG05

  361. Robust characteristics of semiconductor-substrate temperature measurement by autocorrelation-type frequency-domain low-coherence interferometry

    T. Tsutsumi, T. Ohta, K. Ishikawa, K. Takeda, H. Kondo, M. Sekine, M. Hori, and M. Ito

    Jpn. J. Appl. Phys.   Vol. 54 ( 1S )   2014.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.54.01AB03

  362. Plasma Nitriding Process for Aluminum Alloy and its Al Nitride Material Reviewed

    Seigo Takashima, Etsuo Asami, Masahiro Hayakawa, Koji Yamakawa, Hiroyuki Yamamoto, Shoji Den, Hitoshi Wada, Masaru Hori

      Vol. 44 ( 10 ) page: 18-23   2014.10

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  363. Localized plasma irradiation through a micronozzle for individual cell treatment Reviewed

    Ryutaro Shimane, Shinya Kumagai, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Masaru Hori and Minoru Sasaki

    Japanese Journal of Applied Physics   Vol. 53 ( 11S )   2014.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.53.11RB03

  364. Effectiveness of plasma diagnostic in ultra high frequency and radio frequency hybrid plasmas for synthesis of silicon nitride film at low temperature

    B.B. Sahu, Kyung Sik Shin, Su B. Jin, Jeon G.Han, K.Ishikawa, M. Hori

    Journal of Applied Physics   Vol. 116   2014.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4896833

  365. Recovery of atom density drift caused by change in reactor wall conditions by real-time autonomous control Reviewed

    Toshiya Suzuki, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine and Masaru Hori

    Journal of Physics D: Applied Physics   Vol. 47 ( 42 )   2014.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0022-3727/47/42/422002

  366. Direct exposure of non-equilibrium atmospheric pressure plasma confers simultaneous oxidative and ultraviolet modifications in biomoleculels Reviewed

    Yasumasa Okazaki, Yue Wang, Hiromasa Tanaka, Masaaki Mizuno, Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Koji Uchida, Fumitaka Kikkawa, Masaru Hori and Shinya Toyokuni

    Journal of Clinical Biochemistry and Nutrition (JCBN)   Vol. 55 ( 3 ) page: 207-215   2014.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3164/jcbn.14-40

  367. Non-thermal atmospheric pressure plasmas as a novel candidate for preventive therapy of melanoma. Reviewed

    Omata Y, Iida M, Yajima I, Takeda K, Ohgami N, Hori M, Kato M

    Environmental health and preventive medicine   Vol. 19 ( 5 ) page: 367-9   2014.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1007/s12199-014-0399-1.

  368. An atmospheric pressure inductively coupled microplasma source of vacuum ultraviolet light

    Ryoto Sato, Daisuke Yasumatsu, Shinya Kumagai, Keigo Takeda, Masaru Hori, Minoru Sasaki

    Sensors and Actuators A: Physical   Vol. 215   page: 144-149   2014.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  369. Effectiveness of plasma treatment on gastric cancer cells Reviewed

    Koji Torii, Suguru Yamada, Kae Nakamura, Hiromasa Tanaka, Hiroaki Kajiyama, Kuniaki Tanahashi, Naoki Iwata, Mitsuro Kanda, Daisuke Kobayashi, Chie Tanaka, Tsutomu Fujii, Goro Nakayama,Masahiko Koike, Hiroyuki Sugimoto, Shuji Nomoto, Atsushi Natsume, Michitaka Fujiwara,Masaaki Mizuno, Masaru Hori, Hideyuki Saya, Yasuhiro Kodera

    Gastric Cancer     2014.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1007/s10120-014-0395-6

  370. Perspective of strategic plasma therapy in patients with epithelial ovarian cancer: A short review of plasma in cancer treatment

    Hiroaki Kajiyama, Kae Nakamura, Fumi Utsumi, Hiromasa Tanaka, Masaru Hori and Fumitaka Kikkawa

    Jpn. J. Appl. Phys. 53 (5S1) (Apr 14, ,2014) 05FA05     2014.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.53.05FA05

  371. Effects of Different Chamber-Wall Conditions on Temporal Changes of H and N Radicals Densities in H2 and N2 Mixture Gas Plasma

    Toshiya Suzuki, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    Jpn. J. Appl. Phys. 53 (5) (Apr 7, 2014) 050301     2014.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.53.050301

  372. Temporal changes in absolute atom densities in H2 and N2 mixture gas plasmas by surface modifications of reactor wall

    Toshiya Suzuki, KeigoTakeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    Japanese Journal of Applied Physics   ( 53 )   2014.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.53.050301

  373. Epitaxial growth of GaN by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) in the downflow of a very high frequency (VHF) N2/H2 excited plasma-effect of TMG flow rate and VHF power

    Yi Lu, Hiroki Kondo, Kenji Ishikawa, Osamu Oda, Keigo Takeda, Makoto Sekine, Hiroshi Amano, and Masaru Hori

    Journal of Crystal Growth 391 (Jan 23; Apr 1, 2014)     page: 97-103   2014.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.jcrysgro.2014.01.014

  374. Hierarchical regrowth of flowerlike nanographene sheets on oxygen-plasma-treated carbon nanowalls

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori

    Appl. Phys. Express 7 (4) (Mar 25, 2014) 046201     2014.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/APEX.7.046201

  375. Effects of nitrogen plasma post-treatment on electrical conduction of carbon nanowalls

    Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

    Jpn. J. Appl. Phys. 53 (4) (Mar 20, 2014) 040307     2014.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.53.040307

  376. Nanostructure modification to carbon nanowall surface employing hydrogen peroxide solution

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori

    Jpn. J. Appl. Phys. 53 (4) (Mar 7, 2014) 040305     page: 1-4   2014.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.53.040305

  377. Spatiotemporal behaviors of absolute density of atomic oxygen in a planar type of Ar/O2 non-equilibrium atmospheric pressure plasma jet

    Fengdong Jia, Kenji Ishikawa, Keigo Takeda, Hiroyuki Kano, Jagath Kularatne, Hiroki Kondo, Makoto Sekine and Masaru Hori

    Plasma Source Sci. Technol. 23 (Mar. 3, 2014) 025004     2014.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0963-0252/23/2/025004

  378. Density Control of Carbon Nanowalls Grown by CH4/H2 plasma and Their Electrical Properties

    Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

    Carbon 68 (Nov 15, 2013; Mar, 2014)     page: 380-388   2014.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.carbon.2013.11.014

  379. O2 and Ar plasma processing over SiO2/Si stack: Effects of processing gas on interface defect generation and recovery Reviewed

    Shota Nunomura, Takayoshi Tsutsumi, Isao Sakata, Masaru Hori

    Journal of Applied Physics   Vol. 135 ( 5 ) page: 53301   2014.2

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1063/5.0184779

  380. 未来を創るプラズマ ─ ものづくりから医療まで

    堀 勝

    応用物理   Vol. 83 ( 2 ) page: 132-135   2014

     More details

    Language:Japanese  

  381. Chemical reactions during plasma-enhanced atomic layer deposition of SiO2 films employing aminosilane and O2/Ar plasma at 50 °C

    Yi Lu, Akiko Kobayashi, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    Jpn. J. Appl. Phys. 53 (1) (Dec 30, 2013; 2014) 010305     page: 1-4   2014

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.53.010305

  382. Chemical reactions during plasma-enhanced atomic layer deposition of SiO2 films employing aminosilane and O2/Ar plasma at 50 °C

    Yi Lu, Akiko Kobayashi, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    Jpn. J. Appl. Phys.     2013.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.7567/JJAP.53.010305

  383. A Development of Atmospheric Pressure Plasma Equipment and Its Applications for Treatment of Ag Films Formed from Nano-Particle Ink

    H. Itoh, Y. Kubota, Y. Kashiwagi, K. Takeda, K. Ishikawa, H. Kondo, M.Sekine, H. Toyoda, and M. Hori

    Journal of Physics: Conference Series   Vol. 441   2013.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: doi:10.1088/1742-6596/441/1/012019

  384. Effect of Indirect Nonequilibrium Atmospheric Pressure Plasma on Anti-Proliferative Activity against Chronic Chemo-Resistant Ovarian Cancer Cells In Vitro and In Vivo

    Fumi Utsumi, Hiroaki Kajiyama, Kae Nakamura, Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Hiroki Kondo, Hiroyuki Kano, Masaru Hori, and Fumitaka Kikkawa

    PLoS ONE 8 (12) (Dec. 18, 2013) e81576     2013.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1371/journal.pone.0081576

  385. Oxidation mechanism of Penicillium digitatum spores through neutral oxygen radicals

    Hiroshi Hashizume, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, Masafumi Ito

    Jpn. J. Appl. Phys. 53 (1) (Dec 16 2013; 2014) 010209     page: 1-6   2013.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.53.010209

  386. Investigations on Plasma-Biomolecules Interactions as Fundamental Process for Plasma Medicine

    K. Takenaka, K. Cho, Y. Setsuhara, M. Shiratani, M. Sekine, and M. Hori

    Journal of Physics: Conference Series   Vol. 441   2013.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: doi:10.1088/1742-6596/441/1/012001

  387. Scale-up approach for industrial plasma enchanced chemical vapor deposition processes and Siox thin film technology

    Su B. Jin, Joon S Lee, Yoon S. Choi, In S Choi, Jeon G.Han, M. Hori

    Thin Solid Films   Vol. 547   page: 193-197   2013.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  388. Perspective of strategic plasma therapy for prognostic improvement of patients with ovarian cancer

    Hiroaki Kajiyama, Fumi Utsumi, Kae Nakamura, Hiromasa Tanaka, Masaru Hori and Fumitaka Kikkawa

    MRS Proc 1598     2013.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1557/opl.2013.1188

  389. Plasma Interactions with Biological Molecules in Aqueous Solution

    Yuichi Setsuhara, Atsushi Miyazaki, Kosuke Takenaka, and Masaru Hori

    MRS Proc 1598     2013.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1557/opl.2013.1155

  390. High H Radical Density Produced by 1-m-length Atmospheric Pressure Microwave Plasma System

    Hitoshi Itoh, Yusuke Kubota, Yusaku Kashiwagi, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Hirotaka Toyoda, and Masaru Hori

    Jpn. J. Appl. Phys. 52 (11) (Nov 20, 2013) 11NE01     2013.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.11NE01

  391. Study on Effects of Hydrogen Flow Rates on the Properties of ZnO Thin Film Deposited by Facing Targets Sputtering System

    Hye Ran Kim, L. Wen, Su Bong Jin, Yoon Seok Choi, In Sik Choi, M. Hori, and Jeon Geon Han

    Jpn. J. Appl. Phys. 52 (11) (Nov 20, 2013) 11NB01     2013.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.11NB01

  392. Field Emissions from Organic Nanorods Armored with Metal Nanoparticles

    Toshiya Suzuki, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Jpn. J. Appl. Phys. 52 (12) (Nov 15, 2013) 120203     page: 1-4   2013.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.120203

  393. 卵巣癌治療におけるプラズマの応用をめざして

    梶山広明、中村香江、内海史、堀勝、古川史隆

    産婦人科の実際   Vol. 62 ( 11 ) page: 1550-1552   2013.11

     More details

    Language:Japanese  

  394. Effect of gas flow on transport of O (3Pj) atoms produced in ac power excited non-equilibrium atmospheric-pressure O2/Ar plasma jet

    Keigo Takeda, Masaki Kato, Fendong Jia, Kenji Ishikawa, Hiroyuki Kano, Makoto Sekine, and Masaru Hori

    J. Phys. D: Appl. Phys. 46 (Oct 30, 2013) 464006     2013.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0022-3727/46/46/464006

  395. Inactivation effects of neutral reactive-oxygen species on Penicillium digitatum spores using non-equilibrium atmospheric-pressure oxygen radical source

    Hiroshi Hashizume, Takayuki Ohta, Jia Fengdong, Keigo Takeda,Kenji Ishikawa,Masaru Hori, and Masafumi Ito

    Appl. Phys. Lett. 103 (15) (Oct 11, 2013) 153708-1:4     2013.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4824892

  396. An Atmpospheric pressure inductively coupled microplasma source of vacuum ultraviolet light

    Ryoto Sato, Daisuke Yasumatsu, Shinya Kumagai Keigo Takeda, Masaru Hori, Minoru Sasaki

    Sensors and Actuators   Vol. 215   page: 144-149   2013.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.sna.2013.09.018

  397. Formation of Nanoporous Features, Flat Surfaces, or Crystallographically Oriented Etched Profiles by the Si Chemical Dry Etching Using the Reaction of F2 + NO -> F + FNO at an Elevated Temperature

    Satomi Tajima, Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    J. Phys. Chem. C 117 (40)     page: 20810–20818   2013.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1021/jp4084794

  398. Atomic Oxygen Etching from the Top Edges of Carbon Nanowalls

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori

    Appl. Phys. Express 6 (9) (Aug 27, 2013) 095201     2013.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/APEX.6.095201

  399. Mass density control of carbon films deposited by H-assisted plasma CVD method

    Surf. Coat Technol. 228 (S1)

    Tatsuya Urakawa, Hidehumi Matsuzaki, Daisuke Yamashita, Giichiro Uchida, Kazunori Koga, Masaharu Shiratani, Yuichi Setsuhara, Makoto Sekine, Masaru Hori     page: S15-S18   2013.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.surfcoat.2012.10.002

  400. A novel fast and flexible technique of radical kinetic behaviour investigation based on pallet for plasma evaluation structure and numerical analysis

    Arkadiusz Malinowski, Takuya Takeuchi, Shang Chen, Toshiya Suzuki, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Lidia Lukasiak, and Andrzej Jakubowski

    J. Phys. D: Appl. Phys. 46 (26) (Jul 3, 2013) 265201     2013.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0022-3727/46/26/265201

  401. Improving the Gas Barrier Properties of a-SiOxCyNz Film at Low Temperature using High Energy and Suitable Nitrogen Flow Rate

    Su B. Jin, Joon S.Lee, Yoon S.Choi, In S.Choi, Jeon G.Han, M.Hori

    Current Applied Physics   Vol. 13   page: 885-889   2013.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  402. A Development of Atmospheric Pressure Plasma Equipment and Its Applications for Treatment of Ag Films Formed from Nano-Particle Ink

    H Itoh,Y Kubota, Y Kashiwagi, K Takeda, K Ishikawa, H Kondo, M Sekine, H Toyoda, M Hori

    Journal of Physics: Conference Series   Vol. 441   2013.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1742-6596/441/1/012019

  403. Investigations on Plasma-Biomolecules Interactions as Fundamental Process for Plasma Medicine

    Kosuke Takenaka, Ken Cho, Yuichi Setsuhara, Masaharu Shiratani, Makoto Sekine, and Masaru Hori

    J. Phys.: Conf. Ser.   Vol. 441 ( 1 )   2013.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1742-6596/441/1/012001

  404. Surface morphology on high-temperature plasma-etched gallium nitride Reviewed

    Ryosuke Kometani, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Trans. Mater. Res. Soc. Jpn.   Vol. 38 ( 2 ) page: 325-328   2013.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.14723/tmrsj.38.325

  405. Photoluminescence Study of Plasma-Induced Damage of GaInN Single Quantum Well

    Shouichiro Izumi, Masaki Minami, Michiru Kamada, Tetsuya Tatsumi, Atsushi A. Yamaguchi, Kenji Ishikawa, Masaru Hori, and Shigetaka Tomiya

    Jpn. J. Appl. Phys. 52 (8) (May 31, 2013) 08JL09     page: 1-4   2013.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.08JL09

  406. Dissociations of C5F8 and C5HF7 in Etching Plasma

    Hayashi Toshio, Ishikawa Kenji, Sekine Makoto, Hori Masaru

    Japanese Journal of Applied Physics   Vol. 52 ( 5 )   2013.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.05EB02

  407. Wavelength dependence of photon-induced interface defects in hydrogenated silicon nitride/Si structure during plasma etching processes

    Masanaga Fukasawa, Hiroyasu Matsugai, Takayoshi Honda, Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Kazunori Nagahata, Fumikatsu Uesawa, Masaru Hori, Tetsuya Tatsumi

    Jpn. J. Appl. Phys. 52 (5) (May 20, 2013) 05ED01     page: 1-4   2013.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.05ED01

  408. Surface Chemical Modification of Carbon Nanowalls for Wide-Range Control of Surface Wettability

    H. Watanabe, H. Kondo, M. Hiramatsu, M. Sekine, S. Kumar, K. Ostrikov, M. Hori

    Plasma Process. Polym. 10 (7) (May 20, 2013)     page: 582-592   2013.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.201200141

  409. A High-Temperature Nitrogen Plasma Etching for Preserving Smooth and Stoichiometric GaN Surface

    Ryosuke Kometani, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Appl. Phys. Express 6 (5) (April 25, 2013) 056201     page: 1-4   2013.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/APEX.6.056201

  410. Inactivation Process of Penicillium digitatum Spores Treated with Non-equilibrium Atmospheric Pressure Plasma

    H. Hashizume, T. Ohta, T. Mori, S. Iseki, M. Hori, and M. Ito

    Jpn. J. Appl. Phys. 52 (5) (Apr 15, 2013) 056202     page: 1-4   2013.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.056202

  411. Supercritical Fluid Deposition of High-Density Nanoparticles of Photo-Catalytic TiO2 on Carbon Nanowalls

    Takeyoshi Horibe, Hiroki Kondo, Kenji Ishikawa, Hiroyuki Kano, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

    Appl. Phys. Express 6 (4) (2013) 045103     page: 1-4   2013.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/APEX.6.045103

  412. Study on change of electrical properties of ZnO thin films deposited in low temperature facing targets magnetron sputtering (FTS) system with H2 and O2 flow rate changes

    Hye R. Kim, Su B. Jin, Long Wen, Yoon S. Choi, In S. Choi, M. Hori, and Jeon G. Han

    Journal of Ceramic Processing Research   Vol. 14 ( 2 ) page: pp.188-193   2013.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  413. Graphene Nanowalls

    Mineo Hiramatsu, Hiroki Kondo and Masaru Hori

    Chapter 9 in Book "New Progress on Graphene Research"     2013.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.5772/3358

  414. Plasma interactions with aminoacid (l-alanine) as a basis of fundamental processes in plasma medicine

    Yuichi Setsuhara, Ken Cho, Masaharu Shiratani, Makoto Sekine, Masaru Hori

    Current Applied Physics   Vol. 13   page: S59-S63   2013.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  415. Optical-Fiber-Type Broadband Cavity Ring-Down Spectroscopy Using Wavelength-Tunable Ultrashort Pulsed Light

    T. Hiraoka, T. Ohta, M. Ito, N. Nishizawa, M. Hori

    Jpn. J. Appl. Phys.   ( 52 ) page: DOI: 10.7567/JJAP.52.040201   2013.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  416. Room-Temperature Si Etching in NO/F2 Gases and the Investigation of Surface Reaction Mechanisms

    S.Tajima, T. Hayashi , K. Ishikawa , M. Sekine , M. Hori

    J. Phys. Chem. C   ( 117 ) page: pp 5118-5125, DOI: 10.1021/jp3119132   2013.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  417. Etching-Enhancement Followed by Nitridation on Low-k SiOCH Film in Ar/C5F10O Plasma

    Y. Miyawaki, E. Shibata, Y. Kondo, K. Takeda, H. Kondo, K. Ishikawa, H. Okamoto, M. Sekine, M. Hori

    Jpn. J. Appl. Phys.   ( 52 ) page: P.020204:1-4   2013.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  418. Plasma-activated medium selectively kills glioblastoma brain tumor cells by downregulating a survival signaling molecule, AKT kinase

    H. Tanaka, M. Mizuno, K. Ishikawa, K. Nakamura, H. Kajiyama, H. Kano, F. Kikkawa, M. Hori

    Plasma Medicine   Vol. 3   page: 265-277   2013.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  419. Surface roughness development on ArF-photoresist studied by beam-irradiation of CF4 plasma

    T. Takeuchi, K. Ishikawa, Y. Setsuhara, K. Takeda, H. Kondo, M. Sekine, M. Hori

    J. Phys. D: Appl. Phys.   ( 46 ) page: P. 102001:1-5.   2013.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  420. Temperature Measurement of Si Substrate Using Optical-Fiber-Type Low-Coherence Interferometry Employing Supercontinuum Light

    Takehiro Hiraoka, Takayuki Ohta, Tetsunori Kageyama, Masafumi Ito, Norihiko Nishizawa, Masaru Hori

    Jpn. J. Appl. Phys.   ( 52 ) page: 026602-1:6   2013.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  421. Fabrication of Graphene-Based Films Using Microwave-Plasma-Enhanced Chemical Vapor Deposition

    M. Hiramatsu, M. Naito, H. Kondo, and M. Hori

    Jpn. J. Appl. Phys. 52 (1) 01AK04     2013.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.01AK04

  422. Nucleation Control of Carbon Nanowalls Using Inductively Coupled Plasma-Enhanced Chemical Vapor Deposition

    M. Hiramatsu, Y. Nihashi, H. Kondo, and M. Hori

    Jpn. J. Appl. Phys. 52 (1) (Jan 21, 2013) 01AK05     2013.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.01AK05

  423. Impact of hydrogen radical-injection plasma on fabrication of microcrystalline silicon thin film for solar cells

    Yusuke Abe, Sho Kawashima, Atsushi Fukushima, Ya Lu, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    J. Appl. Phys.   Vol. 113   page: DOI: 10.1063/1.4778608 P.033304:1-6   2013.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  424. Development of High-Density Nitrogen Radical Source for Low Mosaicity and High Rate Growth of InGaN Films in Molecular Beam Epitaxy

    Shang Chen, Yohjiro Kawai, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Hiroyuki Kano, Makoto Sekine, Hiroshi Amano, Masaru Hori

    Jpn.J.Appl.Phys   ( 52 ) page: DOI: 10.7567/JJAP.52.021001   2013.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  425. Highly selective etching of SiO2 over Si3N4 and Si in capacitivlly coupled plasma employing C5HF7 gas

    Y. Miyawaki, Y. Kondo, M. Sekine, K. Ishikawa,T. Hayashi, K. Takeda, H. Kondo, M. Hori

    Jpn. J. Appl. Phys.   ( 52 ) page: 016201:1-9   2013.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  426. H2/N2 plasma etching rate of carbon films deposited by H-assisted plasma CVD

    Tatsuya Urakawa, Ryuhei Torigoe, Hidefumi Matsuzaki, Daisuke Yamashita, Giichiro Uchida, Kazunori Koga, Masaharu Shiratani, Yuichi Setsuhara, Keigo Takeda, Makoto Sekine, Masaru Hori

    Jpn. J. Appl. Phys.   ( 52 ) page: 1,01AB01   2013.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  427. Development of the sputtering yields of ArF photoresist after the onset of argon ion bombardment

    T. Takeuchi, C. Corbella, S. Grosse-Kreul, A. von Keudell, K. Ishikawa, H. Kondo, K. Takeda, M. Sekine, M. Hori

    J. Appl. Phys.   Vol. 113   page: 014306:1-6.   2013.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  428. Surface loss probability of H radicals on silicon thin films in SiH4/H2 plasma

    Y. Abe, A. Fukushima, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, and M.Hori

    J. Appl. Phys.   Vol. 113 ( 1 )   2013.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: http://dx.doi.org/10.1063/1.4773104

  429. Rapid measurement of substrate temperatures by frequency-domain low-coherence interferometry

    Takayoshi Tsutsumi, Takayuki Ohta, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori, and Masafumi Ito

    Appl. Phys. Lett. 103 (18) (2013) 182102     2013

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4827426

  430. Study on change of electrical properties of ZnO thin films deposited in low temperature facing targets magnetron sputtering (FTS) system with H2 and O2 flow rate changes

    Hye R. Kim, Su B. Jin, Long Wen, Yoon S. Choi, In S. Choi, M. Hori, and Jeon G. Han

    Journal of Ceramic Processing Research 14 (2)     page: 188-193   2013

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  431. プラズマ異方性化学気相堆積法による硬質カーボン薄膜の低温製膜

    古閑 一憲、白谷 正治、節原 裕一、関根 誠、堀 勝

    化学工業/化学工業社   Vol. 63 ( 12 ) page: 908-912   2013

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  432. Highly selective etching of SiO2 over Si3N4 and Si in capacitivlly coupled plasma employing C5HF7 gas

    Y. Miyawaki, Y. Kondo, M. Sekine, K. Ishikawa, T. Hayashi, K. Takeda, H. Kondo, M. Hori

    Jpn. J. Appl. Phys.   ( 52 ) page: 016201:1-9   2012.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  433. プラズマ異方性化学気相堆積法による硬質カーボン薄膜の低温製膜

    古閑 一憲、 白谷 正治、 節原 裕一、 関根 誠、 堀 勝

    月刊 化学工業/化学工業社   Vol. 63 ( 12 ) page: 908-912   2012.12

     More details

    Language:Japanese  

  434. 社会イノベーションを実現する低温プラズマ科学技術

    堀 勝

      Vol. 32   page: 17   2012.12

     More details

    Language:Japanese  

  435. MINIMIZING PLASMA IRRADIATION AREA BY MICRO-NOZZLE DEVICE TOWARDS SINGLE CELL TREATMENT

    Ryutaro Shimane, Shinya Kumagai, Masaru Hori, Minoru Sasaki

    Micro&Nano letters   Vol. 7   page: 1210-1212   2012.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1049/mln.2012.0555

  436. Investigation of chemical bonding states at interface of Zn/organic materials for analysis of early stage of inorganic/organic hybrid multi-layer formation

    Ken Cho, Kosuke Takenaka, Yuichi Setsuhara, Masaharu Shiratani, Makoto Sekine, Masaru Hori

    Thin Solid Films   Vol. 523   page: 15-19   2012.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  437. Properties of Indium-Zinc-Oxide Films Synthesized by Radio Frequency Magnetron Sputtering Based on Gas Phase Monitoring Using Multi-Micro Hollow Cathode Lamp

    M. Inoue, T. Ohta, N. Takota, S. Tsuchitani, M. Ito, S. Takashima, K. Yamakawa, H. Kano, K. Takeda, M. Hori

    Jpn. J. Appl. Phys.   ( 51 ) page: DOI: 10.1143/JJAP.51.116202   2012.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  438. Individual Roles of Atoms and Ions during Hydrogen Plasma Passivivation of Surface Defects on GaN Created by Plasma Etching

    Shang Chen, Kenji Ishikawa, Yi Lu, Ryosuke Kometani, Keigo Takeda, Hiroki Kondo, Yutaka Tokuda, Takashi Egawa, Hiroshi Amano, Makoto Sekine, Masaru Hori

    Jpn.J.Appl.Phys   Vol. 51   page: DOI: 10.1143/JJAP.51.111002   2012.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  439. Critical flux ratio of hydrogen radical to film precursor in microcrystalline silicon deposition for solar cells

    Yusuke Abe, Atsushi Fukushima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    Appl. Phys. Volume 101, 172109     2012.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4764065

  440. As-grown deep-level defects in n-GaN grown by metal-organic chemical vapor deposition on freestanding GaN

    Shang Chen, Unhi Honda, Tatsunari Shibata, Toshiya Matsumura, Yutaka Tokuda, Kenji Ishikawa, Masaru Hori, Hiroyuki Ueda, Tsutomu Uesugi, Tetsu Kachi

    J. Appl. Phys.   Vol. 112 ( 5 ) page: 10.1063/1.4748170   2012.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  441. プラズマナノテクノロジーによる10mmサイズ、超高アスペクト比有機ナノピラーの室温近傍形成とフレキシブルディスプレイへの応用

    堀 勝、鈴木 俊哉、竹田 圭吾、近藤 博基、石川 健治、関根 誠

    名古屋大学ベンチャー・ビジネス・ラボラトリーニュース 研究紹介(1)   Vol. 17 ( 1 ) page: 2012, Summere, No.33   2012.8

     More details

    Language:Japanese  

  442. Line-Profiles and Translational Temperatures of Pb Atoms in Multi-Micro Hollow Cathode Lamp Measured by Diode Laser Absorption Spectroscopy

    M. Inoue, T. Ohta, N. Takota, S. Tsuchitani, M. Ito, S. Takashima, K. Yamakawa, H. Kano, K. Takeda, M. Hori

    Jpn. J. Appl. Phys.   ( 51 ) page: DOI: 10.1143/JJAP.51.086301   2012.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  443. Real-time in situ electron spin resonance measurements on fungal spores of Penicillium digitatum during exposure of oxygen plasmas

    Kenji Ishikawa, Hiroko Mizuno, Hiromasa Tanaka, Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Sachiko Iseki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Appl. Phys. Lett.   Vol. 101 ( 1 )   2012.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4733387

  444. An Autonomously Controllable Plasma Etching System Based on Radical Monitoring

    Shunji Takahashi, Ryota Kawauchi, Seigo Takashima, Shoji Den, Toshiro Katagiri, Hiroyuki Kano, Takayuki Ohta, Masafumi Ito, Tatsuya Suzuki, Keigo Takeda, and Masaru Hori

    Jpn. J. Appl. Phys. 51 (2012) 076502     2012.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.51.076502

  445. The 2012 Plasma Roadmap

    Seiji Samukawa, Masaru Hori, Shahid Rauf, Kunihide Tachibana, Peter Bruggeman, Gerrit Kroesen, J Christopher Whitehead, Anthony B Murphy, Alexander F Gutsol, Svetlana Starikovskaia, Uwe Kortshagen, Jean-Pierre Boeuf, Timothy J Sommerer, Mark J Kushner, Uwe Czarnetzki and Nigel Mason

    J. Phys. D: Appl. Phys. 45 253001     2012.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0022-3727/45/25/253001

  446. Photoluminescence recovery by in-situ exposure of plasma-damaged n-GaN to atomic hydrogen at room temperature

    S. Chen, Y. Lu, R. Kometani, K. Ishikawa, H. Kondo, Y. Tokuda, M. Sekine, and M. Hori

    AIP Advances 2, 022149     2012.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4729448

  447. タングステン加熱触媒体により生成した水素ラジカルによるレジスト用ベースポリマーの分解除去

    新井 祐, 渡邉 誠, 河野 昭彦, 山岸 忠明, 石川 健治, 堀 勝, 堀邊 英夫

    高分子論文集Vol. 69 (2012) No. 6     page: p. 266-273   2012.6

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    DOI: 10.1295/koron.69.266

  448. トリフルオロメチルトリフルオロビニルエーテル混合ガスを用いた60Hz非平衡大気圧プラズマによるビア底残渣のドライデスミア

    岩田義幸, 坂本一, 竹田圭吾, 堀 勝

    表面技術, Vol.63, No.4,     page: pp.247-251   2012.4

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  449. Ultrahigh-Speed Synthesis of Nanographene Using Alcohol In-Liquid Plasma

    T. Hagino, H. Kondo, K. Ishikawa, H. Kano, M. Sekine, M. Hori

    Applied Physics Express (2012).   Vol. Vol.5   page: pp. 035101-1:3   2012.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/APEX.5.035101

  450. Plasma Agriculture

    Masafumi Ito and Takayuki Ohta, Masaru Hori

    Journal of the Korean Physical Society, Vol. 60, No. 6,     2012.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.3938/jkps.60.937

  451. Nitriding of Polymer by Low Energy Nitrogen Neutral Beam Source

    Yasuhiro Hara, Keigo Takeda, Koji Yamakawa, Shoji Den, Hirotaka Toyoda, Makoto Sekine, and Masaru Hori

    Appl. Phys. Express 5 (2012) 035801     2012.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.1143/APEX.5.035801

  452. Quantum Chemical Investigation of Si Chemical Dry Etching by Flowing NF3 into N2 Downflow Plasma

    Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Akihiro Kono, and Koukou Suu

    Japanese Journal of Applied Physics 51 (2012) 026505     2012.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.1143/JJAP.51.026505

  453. Pressure dependence of carbon film deposition using H-assisted plasma CVD

    X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, K. Takenaka, Y. Setsuhara, M. Sekine, M. Hori

    Proc. 8th Int. Conf. Reactive Plasmas     page: 5P-PM-S08-P14   2012.2

     More details

    Language:English  

  454. Effects of Irradiation with Ions and Photons in Ultraviolet--Vacuum Ultraviolet Regions on Nano-Surface Properties of Polymers Exposed to Plasmas

    Ken Cho, Kosuke Takenaka, Yuichi Setsuhara, Masaharu Shiratani, Makoto Sekine, Masaru Hori

    Jpn. J. Appl. Phys.   ( 51 ) page: 01AJ02-01AJ02-5   2012.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  455. Floating Wire for Enhancing Ignition of Atmospheric Pressure Inductively Coupled Microplasma

    Shinya Kumagai, Hirotaka Asano, Masaru Hori, and Minoru Sasaki

    Jpn. J. Appl. Phys. 51 (2012) 01AA01     2012.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.1143/JJAP.51.01AA01

  456. Multiple-Height Microstructure Fabricated by Deep Reactive Ion Etching and Selective Ashing of Resist Layer Combined with Ultraviolet Curing

    Shinya Kumagai, Akiyoshi Hikita, Takuya Iwamoto, Takashi Tomikawa, Masaru Hori, and Minoru Sasaki

    Jpn. J. Appl. Phys. 51 (2012) 01AB04     2012.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.1143/JJAP.51.01AB04

  457. Vacuum Ultraviolet and Ultraviolet Radiation-Induced Effect of Hydrogenated Silicon Nitride Etching: Surface Reaction Enhancement and Damage Generation

    Masanaga Fukasawa, Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo,K. Ishikawa, M. Sekine, H. Matsugai, T. Honda, M. Minam, F. Uesawa, M. Hori, and T. Tatsumi

    Jpn. J. Appl. Phys.     2012.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.51.026201

  458. Control of Super Hydrophobic and Super Hydrophilic Surfaces of Carbon Nanowalls Using Atmospheric Pressure Plasma Treatments

    H. Watanabe, H. Kondo, M. Sekine, M. Hiramatsu ,M. Hori

    Jpn. J. Appl. Phys. 51 (2012) 01AJ07 (4 pages)     2012.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.51.01AJ07

  459. Chemical bond modification in porous SiOCH films by H2 and H2/N2 plasmas investigated by in situ infrared reflection absorption spectroscopy

    Hiroshi Yamamoto, Kohei Asano, Kenji Ishikawa, Makoto Sekine, Hisataka Hayashi, Itsuko Sakai, Tokuhisa Ohiwa, Keigo Takeda, Hiroki Kondo, and Masaru Hori

    Journal of Applied Physics 2011   Vol. 110 ( 123301 )   2011.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: doi:10.1063/1.3671547

  460. Quantum Chemical Investigation for Chemical Dry Etching of SiO2 by Flowing NF3 into H2 Downflow Plasma

    Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Akihiro Kono, and Koukou Suu

    Japanese Journal of Applied Physics (JJAP)     2011.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.51.016201

  461. Feature Profiles on Plasma Etch of Organic Films by a Temporal Control of Radical Densities and Real-Time Monitoring of Substrate Temperature

    Hiroshi Yamamoto, Hiroki Kuroda, Masafumi Ito1, Takayuki Ohta1, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Japanese Journal of Applied Physics (JJAP)     2011.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.51.016202

  462. Direct current superposed dual-frequency capacitively coupled plasmas in selective etching of SiOCH over SiC

    Tsuyoshi Yamaguchi, Tatsuya Komuro, Chishio Koshimizu, Seigo Takashima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    Journal of Physics D: Applied Physics     2011.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0022-3727/45/2/025203

  463. Synchrotron x-ray analyses of crystalline and electronic structures of carbon nanowalls

    Hiroki Kondo, Wakana Takeuchi, Masaru Hori, Shigeru Kimura, Yukako Kato, Takayuki Muro, Toyohiko Kinoshita, Osami Sakata, Hiroo Tajiri, and Mineo Hiramatsu

    Appl. Phys. Lett   Vol. 99   2011.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.3659470

  464. Investigations on Plasma Interactions with Soft Materials for Fabrication of Flexible Devices

    Ken CHO, Yuichi SETSUHARA, Kosuke TAKENAKA, Masaharu SHIRATANI, Makoto SEKINE and Masaru HORI

      Vol. Vol.37 ( No.6 ) page: pp.289-297   2011.11

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  465. Optical emission spectroscopy of Ar+H2+ C7H8 discharges for anisotropic plasma CVD of carbon

    T. Urakawa, H. Matsuzaki, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    Proc. Intern. Symp. on Dry Process   Vol. 33   page: 123-124   2011.11

     More details

    Language:English  

  466. Investigation of plasma interactions with organic semiconductors for fabrication of flexible electronics devices

    K. Cho, K. Takenaka, Y. Setsuhara, M. Shiratani, M. Sekine, M. Hori

    Proc. Intern. Symp. on Dry Process   Vol. 33   page: 69-70   2011.11

     More details

    Language:English  

  467. Effects of substrate bias voltage on plasma anisotropic CVD of carbon using H-assisted plasma CVD reactor

    T. Urakawa, H. Matsuzaki, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    Proc. Intern. Symp. on Dry Process   Vol. 33   page: 24P007-O   2011.11

     More details

    Language:English  

  468. Self-organized carbon Mk formation on the top surface of fine trenches using a low temperature plasma anisotropic CVD for depositing fine organic structure

    K. Koga, T. Urakawa, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    Proc. Plasma Conf. 2011     page: 23G03   2011.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  469. High-Performance Decomposition and Fixation of Dry Etching ExhaustPerfluoro-Compound Gases and Study of Their Mechanism

    Kei Hattori, Masaaki Osato, Takeshi Maeda, Katsuya Okumura, Makoto Sekine, and Masaru Hori

    Jpn. J. Appl. Phys   Vol. 50   2011.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.50.117301

  470. Inactivation of Penicillium digitatum Spores by a High-Density Ground-State Atomic Oxygen-Radical Source Employing an Atmospheric-Pressure Plasma

    S. Iseki, H. Hashizume, F. Jia, K. Takeda, K. Ishikawa, T. Ohta, M. Ito, and M. Hori

    Appl. Phys. Express   Vol. Vol. 4   2011.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/APEX.4.116201

  471. Analysis of GaN Damage Induced by Cl2/SiCl4/Ar Plasma

    Masaki Minami Shigetaka Tomiya, Kenji Ishikawa, Ryosuke Matsumoto, Shang Chen, Masanaga Fukasawa,Fumikatsu Uesawa, Makoto Sekine, Masaru Hori, and Tetsuya Tatsumi

    Japanese Journal of Applied Physics   Vol. 50   page: 08JE03   2011.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.50.08JE03

  472. Impacts of CF+,CF2+,CF3+,andAr Ion Beam Bombardment with Energies of 100 and 400 eV on Surface Modification of Photoresist

    TakuyaTakeuchi,ShinpeiAmasaki,HirokiKondo,KenjiIshikawa,HirotakaToyoda,MakotoSekine,Song-Yun Kang,IkuoSawada,MasaruHori

    JapaneseJournalOfAppliedPhysics   Vol. 50 ( 8 )   2011.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.50.08JE05

  473. Novel Atmospheric Pressure Inductively Coupled Micro Plasma Source Using Floating Wire Electrode

    Shinya Kumagai, Hiroki Matsuyama, Yoshihiro Yokoyama, Masaru Hori, and Minoru Sasaki

    Jpn. J. Appl. Phys. 50 (2011) 08JA02     2011.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.1143/JJAP.50.08JA02

  474. Reactive Ion Etching of Carbon Nanowalls

    Shingo Kondo, Hiroki Kondo, Yudai Miyawaki, Hajime Sasaki,Hiroyuki Kano,Mineo Hiramatsu,Masaru Hori

    Japanese Journal of Applied Physics   Vol. 50 ( 7 )   2011.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.50.075101

  475. Achieving high-growth-rate in GaN homoepitaxy using high-density nitrogen radical source

    Yohjiro Kawai1,*, Shang Chen1, Yoshio Honda1,2, Masahito Yamaguchi1,2, Hiroshi Amano1,2, Hiroki Kondo1, Mineo Hiramatsu3, Hiroyuki Kano4, Koji Yamakawa5, Shoji Den5, Masaru Hori1

    physica status solidi (c)   Vol. 8 ( 7--8 ) page: 2089-2091   2011.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/pssc.201000969

  476. Synergistic Formation of Radicals by Irradiation with Both Vacuum Ultraviolet and Atomic Hydrogen :A Real-time In Situ Electron Spin Resonance Study

    Kenji Ishikwa,Naoya Sumi,Akihiko Kono Hideo Horibe,Keigo Takeda,Hiroki Kondo,Makoto Sekine,and Masaru Hori

    Physical Chemistry   Vol. 2 ( 11 ) page: 1278-1281   2011.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  477. Spatial Distributions of Electron, CF, and CF2 Radical Densities and Gas Temperature in DC-Superposed Dual-Frequency Capacitively Coupled Plasma Etch Reactor Employing Cyclic-C4F8/N2/Ar Gas

    Tsuyoshi Yamaguchi, Tetsuya Kimura, Chishio Koshimizu, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    Jpn. J. Appl. Phys   Vol. 50   page: 056101-1:6   2011.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  478. H2/N2 plasma damage on porous dielectric SiOCH film evaluated by in situ film characterization and plasma diagnostics

    Hiroshi Yamamoto, Keigo Takeda, Kenji Ishikawa, Masafumi Ito, Makoto Sekine, Masaru Hori, Takeshi Kaminatsui, Hisataka Hayashi, Itsuko Sakai, and Tokuhisa Ohiwa

    J. Appl. Phys.   Vol. 109   page: pp.084112-1-8   2011.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.3562161

  479. O2/N2ガスを用いた60Hz非平衡大気圧プラズマによるソルダーレジストとドライフィルムの表面改質

    岩田義幸,坂本一,乾裕俊,堀勝

    表面技術   Vol. 62 ( 6 ) page: 311-316   2011.4

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  480. Radical-controlled plasma processing for nanofabrication

    Hori Masaru Hori, Hiroki Kondo and Mineo Hiramatsu

    J. Phys. D: Appl. Phys.     page: 44, 174027   2011.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  481. Spontaneous formation of highly regular superlattice structure in InGaN epilayers grown by molecular beam epitaxy

    Z. H. Wu, Y. Kawai, Y.-Y. Fang, C. Q. Chen, H. Kondo, M. Hori, Y. Honda, M. Yamaguchi, and H. Amano

    Appl. Phys. Lett.     page: 98, 141905   2011.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  482. Formation and mechanism of ultrahigh density platinum nanoparticles on vertically grown graphene sheets by metal-organic chemical supercritical fluid deposition

    Kota Mase, Hiroki Kondo, Shingo Kondo, Masaru Hori, Mineo Hiramatsu, and Hiroyuki Kano

    APPLIED PHYSICS LETTERSGRAPHENE, CARBON NANOTUBES, C60, AND RELATED STUDIES     page: 98, 193108   2011.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  483. Dissociation Channels of c-C4F8 to CF2 Radical in Reactive Plasma

    Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Akihiro Kono, and Koukou Suu

    Japanese Journal of Applied Physics     page: 50   2011.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  484. Electron field emission enhancement of carbon nanowalls by plasma surface nitridation

    Wakana Takeuchi, Hiroki Kondo, Tomomi Obayashi, Mineo Hiramatsu, and Masaru Hori

    Appl. Phys. Lett.     page: 98, 123107   2011.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  485. Hydrophobic treatment of organics against glass employing nonequilibrium atmospheric pressure pulsed plasmas with a mixture of CF4 and N2 gases

    Hirotoshi Inui, Keigo Takeda, Kenji Ishikawa, Takuya Yara, Tsuyoshi Uehara, Makoto Sekine, and Masaru Hori

    Journal of Applied Physics   Vol. 109   page: 013310   2011.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  486. Mechanism of plasma-induced damage to low-k SiOCH films during plasma ashing of organic resists

    Keigo Takeda, Yudai Miyawaki, Seigo Takashima, Masanaga Fukasawa, Keiji Oshima, Kazunori Nagahata, Tetsuya Tatsumi, and Masaru Hori

    J. Appl. Phys.   Vol. 109   page: 033303   2011.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  487. Controlled Synthesis of Carbon Nanowalls for Carbon Channel Engineering

    Hiroki Kondo, Masaru Hori, Wakana Takeuchi, Mineo Hiramatsu

    Key Engineering Materials   Vol. 470   page: 85-91   2011.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  488. Laser Scattering Diagnosis of a 60-Hz Non-Equilibrium Atmospheric Pressure Plasma Jet

    Fengdong Jia, Naoya Sumi, Kenji Ishikawa, Hiroyuki Kano, Hirotoshi Inui,Jagath Kularatne, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Akihiro Kono, and Masaru Hori

    Applied Physics   Vol. 4   page: 026101   2011.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  489. Behaviors of Absolute Densities of N, H, and NH3 at Remote Region of High-Density Radical Source Employing N2?H2 Mixture Plasmas

    Shang Chen, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Makoto Sekine, Hiroyuki Kano, Shoji Den, and Masaru Hori

    Jpn. J. Appl. Phys.   Vol. 50   page: 01AE03   2011.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  490. プラズマ技術とバイオアプリケーション -非均衡大気圧プラズマのミドリカビ殺菌への応用-

    伊藤昌文、堀勝

    化学工業   Vol. 61 ( 6 ) page: 44-48   2010.6

     More details

    Language:Japanese  

  491. 研究開発の効率を飛躍的に高めるコンビ名とリアルプラズマ解析装置

    白谷正治、節原裕一、関根誠、堀勝

    化学工業   Vol. 60 ( 5 ) page: 43-47   2010.5

     More details

    Language:Japanese  

  492. 巻頭言・プラズマ誘起表面科学の魅力

    堀勝

    表面科学   Vol. 31 ( 3 ) page: 123   2010.3

     More details

    Language:Japanese  

  493. プラズマCVD法を用いたカーボンナノウォールの形成

    平松美根男、堀勝

    表面科学   Vol. 31 ( 3 ) page: 144-149   2010.3

     More details

    Language:Japanese  

  494. 自律型プラズマナノエッチング製造装置の創製~装置が自己判断、自己制御、自己修正する究極のプラズマプロセスの実現~

    堀勝、竹田圭吾

      Vol. 14 ( 2 ) page: 4   2010.2

     More details

    Language:Japanese  

  495. Contrast Enhancement of Wavelength Selective Detection on Mid-InfraredUsing Localized Atmospheric Plasma Treatment

    K. Masuno, K. Tashiro, M. Hori, S. Kumagai, M. Sasaki

    Jpn J. Appl. Phys   Vol. 49 ( 4 )   2010

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  496. Preparation of Platinum Nanoparticles on Carbon Nanostructures Using Metal-Organic Chemical Fluid Deposition Employing Supercritical Carbon Dioxide

    M. Hiramatsu, T. Machino, K. Mase, M. Hori, and H. Kano

    J. Nanosci. Nanotechnol   Vol. 10   page: 4023-4029   2010

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  497. Monolithic self-sustaining nanographene sheet grown using plasma-enhanced chemical vapor deposition

    Wakana Takeuchi, Keigo Takeda, Mineo Hiramatsu, Yutaka Tokuda, Hiroyuki Kano, Shigeru Kimura, Osami Sakata, Hiroo Tajiri, and Masaru Hori

    Phys. Status Solidi A 207   Vol. 1   page: 139-143   2010

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  498. Critical Factors for Nucleation and Vertical Growth of Two Dimensional Nano-Graphene Sheets Employing a Novel Ar+ Beam with Hydrogen and Fluorocarbon Radical Injection

    Shingo Kondo, Hiroki Kondo, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    Applied Physics Express   Vol. 3 ( 4 ) page: 045102   2010

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  499. “High performance of compact radical monitoring probe in H2/N2 mixture plasma"

    Chang S. Moon, K. Takeda, S. Takashima, M. Sekine, Y. Setsuhara, M. Shiratani, and M. Hori

    J. Vac. Sci. Technol.   Vol. B 28 ( L17 )   2010

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  500. Preparation of Dispersed Platinum Nanoparticles on a Carbon Nanostructured Surface Using Supercritical Fluid Chemical Deposition Materials

    M. Hiramatsu, M. Hori

      Vol. 3 ( 3 ) page: 1559-1572   2010

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  501. Rapid inactivation of Penicillium digitatum spores using high-density nonequilibrium atmospheric pressure plasma

    Sachiko Iseki, Takayuki Ohta, Akiyoshi Aomatsu, Masafumi Ito, Hiroyuki Kano, Yasuhiro Higashijima, and Masaru Hori

    Appl. Phys.   Vol. 96   page: 153704   2010

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  502. Surface loss probabilities of H and N radicals on different materials in afterglow plasmas employing H2 and N2 mixture gases

    Chang S. Moon, Keigo Takeda, Seigo Takashima, Makoto Sekine, Yuichi Setsuhara, Masaharu Shiratani, and Masaru Hori

    J. Appl. Phys   Vol. 107 ( 10 ) page: 103310   2010

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  503. Etching characteristics of organic low-k films interpreted by internal parameters employing a combinatorial plasma process in an inductively coupled H2/N2 plasma

    Moon Chang Sung; Takeda Keigo; Sekine Makoto; Setsuhara Yuichi; Shiratani Masaharu; Hori Masaru

    J. Appl. Phys   Vol. 107 ( 11 ) page: 113310 - 113310-8   2010

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  504. Optical Properties of Evolutionary Grown Layers of Carbon Nanowalls Analyzed by Spectroscopic Ellipsometry

    Shinji Kawai, Shingo Kondo, Wakana Takeuchi, Hiroki Kondo, Mineo Hiramatsu, Masaru Hori

    Japanese Journal of Applied Physics   Vol. 49   page: 060220   2010

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  505. Surface Loss Probability of Nitrogen Atom on Stainless-Steel in N2 Plasma Afterglow

    S. Takashima, K. Takeda, S. Kato, M. Hiramatsu, and M. Hori

    Jpn J. Appl. Phys.   Vol. 49   page: 076101-1 - 4   2010

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  506. Dependence of Surface-Loss Probability of Hydrogen Atom on Pressures in Very High Frequency Parallel-Plate Capacitively Coupled Plasma

    Yusuke Abe, Sho Kawashima, Keigo Takeda, Makoto Sekine, and Masaru Hori

    Applied Physics Express   Vol. 13 ( 10 ) page: 106001   2010

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  507. Modeling considerations and performance estimation of Single Carbon Nano Wall based Field Effect Transistor by 3D TCAD simulation study

    Malinowski A., Hori M., Sekine M., Takeuchi W., ?ukasiak L., Jakubowski A., Tomaszewski D.

    Journal Transactions of the Materials Research Society of Japan   Vol. 35 ( 3 ) page: 669-674   2010

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  508. Measurement of Hydrogen Radical Density and its Impact on Reduction of Copper Oxide in Atmospheric-Pressure Remote Plasma Using H2 and Ar Mixture Gases

    Hirotoshi Inui, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Sekine Makoto, Hiroyuki Kano, Naofumi Yoshida, and Masaru Hori

    Appl. Phys. Express   Vol. 3   page: 126101   2010

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  509. Initial growth process of carbon nanowalls synthesized by radical injection plasma-enhanced chemical vapor deposition

    S. Kondo, S. Kawai, W. Takeuchi, K. Yamakawa, S. Den, H. Kano, M. Hiramatsu, and M. Hori

    J. Appl. Phys   Vol. 106   page: 094302   2009.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  510. A scientific look at plasma technology

    SPOTLIGHT ON NAGOYA     page: 16   2009.10

     More details

    Language:English  

  511. *Development of atomic monitoring probe and its application to spatial distribution measurements of H and O atomic radical densities in radical-based plasma processing

    S. Takahashi, S.Takashima, K.Yamakawa, S. Den, H.Kano, K. Takeda, and M. Hori

    J. Appl. Phys   Vol. 106 ( 5 )   2009.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  512. ラジカル制御プラズマとその応用

    堀 勝

      Vol. 52 ( 9 ) page: 491-497   2009.9

     More details

    Authorship:Lead author   Language:Japanese  

  513. Monolithic self-sustaining nanographene sheet grown using plasma-enhanced chemical vapor deposition

    Wakana Takeuchi, Keigo Takeda, Mineo Hiramatsu, Yutaka Tokuda, Hiroyuki Kano, Shigeru Kimura, Osami Sakata, Hiroo Tajiri, and Masaru Hori

    Phys. Status Solidi A   Vol. 1-5   2009.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  514. Analysis of dispersion of electrical parameters and characteristics of FinFET devices

    Malinowski A., Sekine M., Hori M., Jakubowski A., Lukasiak L., Tomaszewski D

    Journal of Telecommunications and Information Technology (JTIT)   ( 4 )   2009.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  515. SiH4 /H2 &not;プラズマによる高品質微結晶シリコンの低温形成

    堀 勝

    月間ディスプレイ   Vol. 15 ( 8 ) page: 3-8   2009.8

     More details

    Language:Japanese  

  516. Development of measurement technique for carbon atoms employing vacuum ultraviolet absorption spectroscopy with a microdischarge hollow-cathode lamp and its application to diagnostics of nanographene sheet material formation plasmas Reviewed

    W. Takeuchi, H. Sasaki, S. Kato, S. Takashima, M. Hiramatsu, and M. Hori

    J. Appl. Phys   Vol. 105   page: 113305 -1- 113305 -6   2009.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  517. 低誘電率(Low-k)材料のドライエッチング

    堀 勝、関根 誠

    プラズマ・核融合学会誌   Vol. 85 ( 4 ) page: 193,194   2009.4

     More details

    Language:Japanese  

  518. Synthesis of Platinum Nanoparticles on Two-Dimensional Carbon Nanostructures with an Ultrahigh Aspect Ratio Employing Supercritical Fluid Chemical Vapor Deposition Process Reviewed

    T. Machino, W. Takeuchi, H. Kano, M. Hiramatsu, and M. Hori

    Appl. Phys. Express   Vol. 2 ( 2 ) page: 025001-1-025001-3   2009.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  519. Combinatorial Plasma Etching Process

    Chang Sung Moon, Keigotakeda, Makoto Sekine, Yuichi Setsuhara, Masaharu Shiratani, and Masaru Hori

    Applied Physics Express   Vol. 2   2009

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  520. Substrate temperature dependence of deposition profile of plasma CVD carbon films in trenches

    Jun Umetsu, Kazuhiko Inoue, Takuya Nomura, Hidefumi Matsuzaki, Kazunori Koga, Masaharu Shiratani, Yuichi Setsuhara, Makoto Sekine, and Masaru Hori

    Journal of Plasma and Fusion Research Series   Vol. 8   page: 1443-1446   2009

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  521. Development of d ensity-inclination plasmas for analysis of plasma nano-processes via combinatorial method

    Y. Setsuhara, K. Nagao, M. Shiratani, M. Sekine, M. Hori

    Thin Solid Films   Vol. 518   page: 1020-1023   2009

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  522. Plasma Surface Treatment of Polymers with Inductivity Coupled RF Plasmas Driven by Low inductance Antenna Units

    Y. Setsuhara, K. Cho, K. Takenaka, A. Ebe, M. Shiratani, M. Sekine, M. Hori E. Ikeitaga, H. Kondo, O. Nakatsuka and S. Zaima

    Thin Solid Films   Vol. 518   page: 1006-1011   2009

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  523. Low-Damage Surface Modification of Polymethylmethacrylate with Argon-Oxygen Mixture Plasmas Driven by Multiple Low-Inductance Antenna Units

    Y. Setsuhara, K. Cho, K. Takenaka, M. Shiratani, M. Sekine, M. Hori, E. Ikeitaga and S. Zaima

    Thin Solid Films   Vol. -   2009

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  524. X-Ray Photoelectron Spectroscopy for Analysis of Plasma-Polymer Interactions in Ar Plasmas Sustained via RF Inductive-Coupling with Low-Inductance Antenna Units

    Y. Setsuhara, K. Cho, M. Shiratani, M. Sekine and M. Hori, E. Ikeitaga and S. Zaima

    Thin Solid Films   Vol. -   2009

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  525. DEVELOPMENT OF COMBINATORIAL PLASMA PROCESS ANALYZER FOR ADVANCED R&D OF NEXT GENERATION NANODEVICE FABRICATIONS

    K. Takenaka, K. Cho, Y. Setsuhara, M. Shiratani, M. Sekine, M. Hori

    Cramics Transactions   Vol. -   2009

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  526. 半導体特性を持つカーボンナノウォールの合成及びその電気伝導特性の制御に世界で初めて成功

    堀 勝

    科研費NEWS   Vol. 2   page: 7   2008.11

     More details

    Language:Japanese  

  527. Fabrication of Carbon Nanowalls using Electron Beam Excited Plasma-Enhanced Chemical Vapor Deposition Reviewed

    T. Mori, M. Hiramatsu, K. Yamakawa, K. Takeda, and M. Hori

    Diamond & Related Materials   Vol. 17 ( 7-10 ) page: 1513-1517   2008.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  528. New Compact Continuous Spectrum Light Source Using Atmospheric Pressure Microplasma with High-Velocity Ar Gas Flow Reviewed

    H. Ito, H. Kano, and M. Hori

    Appl. Phys. Express   Vol. 1 ( 10 ) page: 106001-1-106001-3   2008.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  529. 先進プラズマナノプロセス技術~プラズマナノ科学創成による製造技術の革新~

    堀 勝

    真空ジャーナル   Vol. 120   page: 18,25   2008.9

     More details

    Language:Japanese  

  530. Novel Silicon Wafer Slicing Technology Using Atmospheric-Pressure Reactive Microplasma Reviewed

    T. Ideno, H. Inui, S. Takashima, H. Kano, M. Kondo, M. Hiramatsu, and M. Hori

    Jpn. J. Appl. Phys.   Vol. 47 ( 7 ) page: 5648-5651   2008.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  531. グラファイト(黒鉛)から半導体を創る――カーボンナノウォールの電気伝導制御に成功――

    堀 勝

    名大トピックス   Vol. 182   page: 12,13   2008.7

     More details

    Language:Japanese  

  532. Absolute Density and Temperature of O(1D2) in Highly Ar or Kr Diluted O2 Plasma Reviewed

    K. Takeda, S. Takashima, M. Ito, and M. Hori

    Appl. Phys. Lett   Vol. 93 ( 2 ) page: 021501-1-021501-3   2008.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  533. Surface Reactions during Low-k Etching using N2/H2 Plasma Reviewed

    M. Fukasawa, T. Tatsumi, K. Oshima, K. Nagahata, S. Uchida, S. Takashima, M. Hori, and Y. Kamide

    J. Vac. Sci. Technol   ( A26 ) page: 870-874   2008.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  534. 大気圧プラズマを用いた加工技術 Invited

    堀 勝

    放電研究   Vol. 51 ( 2 ) page: 27-31   2008.6

     More details

    Authorship:Lead author   Language:Japanese  

  535. Evaluation of Property Changes due to Radiation, Radicals, and Ions on Organic Low-k Films in H2/N2 Plasma Etching Reviewed

    S. Uchida, S. Takashima, M. Hori, M. Fukasawa, K. Ohshima, K. Nagahata, and T. Tatsumi

    Jpn. J. Appl. Phys.   Vol. 47 ( 5 ) page: 3621-3624   2008.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  536. Surface Modification Process of Contact Lens Using Three-Phase AC Excited Nonequilibrium Atmospheric Pressure Ar Plasma Reviewed

    M. Iwasaki, H. Inui, H. Kano, M. Ito, Y. Suzuki, D. Sutou, K. Nakada, and M. Hori

    Jpn. J. Appl. Phys   Vol. 47 ( 5 ) page: 3625-3629   2008.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  537. Electrical Conduction Control of Carbon Nanowalls Reviewed

    W. Takeuchi, M. Ura, M. Hiramatsu, Y. Tokuda, H. Kano, and M. Hori

    Appl. Phys. Lett.   Vol. 92   page: 213103-1-213103-3   2008.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  538. Plasma Damage Mechanisms for Low-k Porous SiOCH Films due to Radiation, Radicals, and Ions in the Plasma Etching Process Reviewed

    S. Uchida, S. Takashima, M. Hori, M. Fukasawa, K. Ohshima, K. Nagahata, and T. Tatsumi

    J. Appl. Phys.   Vol. 103 ( 7 ) page: 073303-1-073303-5   2008.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  539. プラズマ中のラジカル制御によるカーボンナノウォールの合成

    堀 勝、平松 美根男

    応用物理   Vol. 77 ( 4 ) page: 406-410   2008.4

     More details

    Language:Japanese  

  540. のぞいてみよう!“プラズマの世界”

    堀 勝

    青少年のための科学の祭典・岐阜大会実験解説集     page: 10   2008.3

     More details

    Language:Japanese  

  541. Characteristics of Low Energy Atom and Molecule Beams Generated by the Charge Exchange Reaction Reviewed

    Y. Hara, S. Takashima, K. Yamakawa, S. Den, H. Toyoda, M. Sekine, and M. Hori

    J. Appl. Phys.   Vol. 103 ( 5 ) page: 053301-1-053301-5   2008.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  542. Nonequilibrium Atmospheric Pressure Plasma with Ultrahigh Electron Density and High Performance for Glass Surface Cleaning Reviewed

    M. Iwasaki, H. Inui, Y. Matsudaira, H. Kano, N. Yoshida, M. Ito, and M, Hori

    Appl. Phys. Lett.   Vol. 92   page: 081503-1-081503-3   2008.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  543. Octave Spanning High Quality Super Continuum Generation Using 10 nJ and 104 fs High Energy Ultrashort Soliton Pulse Reviewed

    N. Nishizawa and M. Hori

    Appl. Phys. Express 1     page: 022009-1-022009-2   2008.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  544. プラズマイノベーションによる学と産の世界拠点を目指して!

    堀 勝

      Vol. 112   page: 23-25   2008.1

     More details

    Language:Japanese  

  545. Roles of Oxidizing Species in a Nnonequilibrium Atmospheric-Pressure Pulsed Remote O2/N2 Plasma Glass Cleaning Process Reviewed

    M. Iwasaki, Y. Matsudaira, K. Takeda, M. Ito, E. Miyamoto, T. Yara, T. Uehara, and M. Hori

    J. Appl. Phys.   Vol. 103   page: 023303-1-023303-7   2008.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  546. Highly Reliable Growth Process of Carbon Nanowalls using Radical Injection Plasma-Enhanced Chemical Vapor Deposition Reviewed

    S. Kondo, K. Yamakawa, S. Den, H. Kano, M. Hiramatsu, and M. Hori

    J. Vac. Sci. Technol   ( B26 ) page: 1294   2008

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  547. Analysis of Process Plasma via Computer Simulations and Plasma Diagnostics, for N2 Plasma and H2 Plasma Reviewed

    Journal of the Vacuum Society of Japan   Vol. 51 ( 12 ) page: 807-813   2008

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  548. Tunable Low-Energy Ar Fast Atom Source with Large Diameter Reviewed

    Y. Hara, S. Takashima, K. Yamakawa, S. Den, H. Toyoda, and M. Hori

    Appl. Phys. Lett.   Vol. 91 ( 23 ) page: 231502-1-231502-3   2007.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  549. カーボンナノウォールの超精密形成と機能デバイスへの応用 Invited

    堀 勝、平松 美根男

      Vol. 7 ( 11 ) page: 10-16   2007.11

     More details

    Language:Japanese  

  550. シリコン表面の窒化初期過程とエネルギーバンドギャップの形成

    近藤 博基、財満 鎮明、堀 勝、酒井 朗、小川 正毅

    真空   Vol. 50 ( 11 ) page: 665- 671   2007.11

     More details

    Language:Japanese  

  551. 高密度プラズマとその応用技術の最前線 展望『高密度プラズマプロセッシングの現状と将来展望』 Invited

    堀 勝

    精密工学学会誌   Vol. 73 ( 9 ) page: 971-974   2007.9

     More details

    Authorship:Lead author   Language:Japanese  

  552. VBLニュース 研究紹介 「大気圧プラズマによるフレキシブルエレクトロニクスの技術革新」

    堀 勝

    名古屋大学ベンチャー・ビジネス・ラボラトリー ニュースNo. 23   Vol. 12 ( 1 ) page: 3   2007.8

     More details

    Authorship:Lead author   Language:Japanese  

  553. ラジカル制御CVD法によるカーボンナノウォールの成長

    堀 勝、平松 美根男

      Vol. 23 ( 3 ) page: 13-17   2007.7

     More details

    Language:Japanese  

  554. Effect of Low Level O2 Addition to N2 on Surface Cleaning by Nonequilibrium Atmospheric-Pressure Pulsed Remote Pmasma

    M .Iwasaki, K. Takeda, M. Ito, T. Yara, T. Uehara, and M. Hori

    Jpn. J. Appl. Phys., Express Letter   Vol. 46 ( 23 ) page: L540-L542   2007.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  555. *Insights into Sticking of Radicals on Surfaces for Smart Plasma Nano-Processing

    M. Hori and T. Goto

    Applied Surface Science   Vol. 253 ( 16 ) page: 6657-6671   2007.6

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  556. 小特集「材料プロセス用フルオロカーボンプラズマ――現状と展望―― 9.フルオロカーボンプラズマを用いたナノ構造体の形成」

    平松美根男、堀 勝、

    プラズマ・核融合学会誌   Vol. 83 ( 4 ) page: 356-360   2007.4

     More details

    Language:Japanese  

  557. 小特集「材料プロセス用フルオロカーボンプラズマ――現状と展望―― 7.環境調和型ゼロエミッション・リサイクルナノエッチングプロセスの開発」

    高橋俊次、堀 勝

    プラズマ・核融合学会誌   Vol. 83 ( 4 ) page: 346-349   2007.4

     More details

    Language:Japanese  

  558. 小特集「材料プロセス用フルオロカーボンプラズマ――現状と展望―― 1.はじめに」

    堀 勝

    プラズマ・核融合学会誌   Vol. 83 ( 4 ) page: 317-318   2007.4

     More details

    Authorship:Lead author   Language:Japanese  

  559. Aligned Growth of Single-Walled and Double-Walled Carbon Nanotube Films by Control of the Catalyst Preparation

    M. Hiramatsu, T. Deguchi, H. Nagao, and M. Hori

    Jpn. J. Appl. Phys.   Vol. 46 ( 13 ) page: L303 - L306   2007.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  560. Growth and Energy Bandgap Formation of Silicon Nitride Films in Radical Nitridation

    H. Kondo, K. Kawaai, A. Sakai, M. Hori, S. Zaima, and Y. Yasuda

    Jpn. J. Appl. Phys.   Vol. 46 ( 1 ) page: 71-75   2007.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  561. 巻頭言

    堀 勝

    応用物理学会東海支部創立40周年記念リフレッシュ理科教室「たのしい工作大集合!」     2007.1

     More details

    Authorship:Lead author   Language:Japanese  

  562. Silicon Oxide Selective Etching Employing Dual Frequency Superimposed Magnetron Sputtering of Carbon Using F2/Ar Gases

    M. Nagai and M. Hori

    Jpn. J. Appl. Phys.   Vol. 46 ( 2 ) page: 799-802   2007

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  563. Plasma Etching Technology for Low-k Porous SiOCH Films

    M. Hori

    Silicon Nitride, Silicon Dioxide, and Emerging Dielectrics 9   Vol. 6 ( 3 ) page: 485-500   2007

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  564. Formation of Microcrystalline Diamond Using a Low-Pressure Inductively Coupled Plasma Assisted by Thermal Decomposition of Di-t-alkyl Peroxide

    H. Ito, K. Teii, M. Ito, and M. Hori

    Diamond and Related Materials   Vol. 16   page: 393-396   2007

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  565. Initial Stage of Processes and Energy Bandgap Formation in Nitridation of Silicon Surface Using Nitrogen Radicals

    H. Kondo, S. Zaima, M. Hori, A. Sakai, M. Ogawa

    J. Vac. Soc. Jpn   Vol. 50 ( 11 ) page: 665- 671   2007

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  566. Simultaneous Monitoring of Multimetallic Atom Densities in Plasma Processes Employing a Multimicrohollow Cathode Lamp

    T. Ohta, M. Ito, Y. Tachibana, S. Taneda, S. Takashima, M. Hori, H. Kano, and S. Den

    Appl. Phys. Lett.   Vol. 90   page: 251502.1- 251502.3   2007

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  567. Area-Selective Growth of Aligned Single-Walled Carbon Nanotube Films using Microwave Plasma-Enhanced CVD

    M. Hiramatsu, T. Deguchi, H. Nagao, and M. Hori

    Diamond and Related Materials   Vol. 16   page: 1126-1130   2007

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  568. The Silicon Mold Fabrication of a Kind of Micro-Optical Resonator and Coupler

    H. Ju, T. Ohta, S. Takao, M. Ito, M. Sasaki, K. Hane, and M. Hori

    Proceedings of SPIE   Vol. 6462   page: 64620I   2007

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  569. Diagnostics of Surface Wave Excited Kr/O2 Plasma for Low-Temperature Oxidation Processes

    K. Takeda, Y. Kubota, S. Takashima, M. Hori, A. Serdyuchenko, M. Ito, and Y. Matsumi

    J. Appl. Phys.,   Vol. 102   page: 013302-1-013302-6   2007

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  570. Geometric Characteristics of Silicon Cavities Etched in EDP Reviewed

    H. Ju, T. Ohta, M. Ito, M. Sasaki, K. Hane, and M. Hori

    J. Micromech, & Microeng.   Vol. 17   page: 1012-1016   2007

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  571. 精密シリコンスライスでフッ素系ガスプラズマ切断浮上

    堀 勝

    ガスレビュー   Vol. 614   page: 25   2006.12

     More details

    Authorship:Lead author   Language:Japanese  

  572. 研究室紹介

    堀 勝

    応用物理学会プラズマエレクトロニクス分科会会報   Vol. 45   2006.12

     More details

    Authorship:Lead author   Language:Japanese  

  573. Ion Attachment Mass Spectrometry of Nonequilibrium Atmospheric-Pressure Pulsed Remote Plasma for SiO2 Etching

    M. Iwasaki, M. Ito, T. Uehara, M. Nakamura, and M. Hori

    J. Appl. Phys.   Vol. 100   2006.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  574. Carbon Nanowalls Formation by Radical Controlled Plasma Process

    M. Hori and M. Hiramatsu

    Advanced in Science and Technology   Vol. 48   page: 119-126   2006.11

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  575. Nitriding of a Tool Steel with an Electron-beam-excited Plasma

    H. Shoyama, T. Hishida, T. Hara, Y. Dake, T. Mori, H. Nagai, M. Hori, and T. Goto

    J. Vac. Sci. Techno.   Vol. A24   page: 1999-2002   2006.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  576. Development of a Low Pressure Microwave Excited Plasma and its Application to the Formation of Microcrystalline Silicon Films

    D. Kikukawa, M. Hori, K. Honma, M. Yamamoto, T. Goto, S. Takahashi, and S. Den

    J. Vac. Sci. Technol.   Vol. A24   page: 2128-2132   2006.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  577. プラズマ化学気相堆積法を用いたカーボンナノウォールの作製

    平松美根男、堀 勝

    真空   Vol. 49 ( 9 ) page: 368-372   2006.9

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  578. Low-k SiOCH Film Etching Process and Its Diagnostics Employing Ar/C5F10O/N2 Plasma

    M. Nagai, T. Hayashi, M. Hori, and H. Okamoto

    Jpn. J. Appl. Phys.   Vol. 45 ( 9A ) page: 7100-7104   2006.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  579. Effects of N2 Addition on Density and Temperature of Radicals in 60 MHz Capacitively Coupled C-C4F8 Gas Plasma

    M. Nagai and M. Hori

    J. Vac. Sci. Technol.   Vol. A24   page: 1760-1763   2006.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  580. Silicon Dioxide Etching Process for Fabrication of Micro-optics Employing Pulse-Modulated Electron-beam-excited Plasma

    K. Takeda, T. Ohta, M. Ito, and M. Hori

    J. Vac. Sci. Technol.   Vol. A24   page: 1725-1729   2006.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  581. Fabrication of Carbon Nanowalls Using Novel Plasma Processing

    M. Hiramatsu and M. Hori

    Jpn. J. Appl. Phys.   Vol. 45 ( 6B ) page: 5522-5527   2006.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  582. Progress of Radical Measurements in Plasmas for Semiconductor Processing

    M. Hori and T. Goto

    Plasma Sources Sci. Technol.   Vol. 15 ( 2 ) page: S74-S83   2006.5

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  583. Atmospheric Pressure Fluorocarbon-Particle Plasma Chemical Vapor Deposition for Hydrophobic Film Coating

    M. Nagai, O. Takai, and M. Hori

    Jpn. J. Appl. Phys.   Vol. 45 ( 17 ) page: L460-L462   2006.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  584. 新規エッチングガスを用いた半導体微細加工プロセス

    堀 勝、高橋俊次

    化学工業   Vol. 57 ( 3 ) page: 55-58   2006.3

     More details

    Authorship:Lead author   Language:Japanese  

  585. プラズマCVDを用いたカーボンナノウォールの成長

    平松美根男、堀 勝

    日本結晶成長学会誌   Vol. 32 ( 32 ) page: 27-32   2005.12

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  586. スマートプラズマプロセス

    堀 勝

    応用物理   Vol. 74 ( 10 ) page: 1328-1335   2005.10

     More details

    Authorship:Lead author   Language:Japanese  

  587. プラズマで遊ぼう

    堀 勝

    WEC青少年のための科学の祭典 2005年岐阜大会in岐阜メモリアルセンター     page: 5   2005.10

     More details

    Authorship:Lead author   Language:Japanese  

  588. RFプラズマCVDによるカーボンナノウォールの配向成長

    平松美根男、堀 勝

    プラズマ・核融合学会誌   Vol. 81 ( 9 ) page: 669-673   2005.9

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  589. 巻頭言

    堀 勝

    応用物理学会東海支部第8回リフレッシュ理科教室「あつい!つめたい!熱の不思議」     2005.7

     More details

    Authorship:Lead author   Language:Japanese  

  590. 名古屋大学ナノプロセス研究Gr.自立型ナノ製造装置を開発 LTPS向け各種製膜から平面バックライト向けCNWの形成まで

    堀 勝

    EExpress     page: 24-31   2005.6

     More details

    Authorship:Lead author   Language:Japanese  

  591. 巻頭言

    堀 勝

    応用物理学会シリコンテクノロジー分科会「65nmから45nmノードlow-kエッチングの最前線」特集号   ( 71 ) page: 1   2005.6

     More details

    Authorship:Lead author   Language:Japanese  

  592. 第52回応用物理学関係連合講演会 講演会報告「シリコンナノエレクトロニクスの新展開――ポストスケーリングテクノロジー――」

    堀 勝、宮崎誠一、田畑仁

    応用物理   Vol. 74 ( 6 ) page: 804-805   2005.6

     More details

    Authorship:Lead author   Language:Japanese  

  593. カーボンナノウォールの合成と合成装置の実用化開発

    堀 勝、平松美根男

    放電研究   Vol. 48 ( 2 ) page: 33-38   2005.5

     More details

    Authorship:Lead author   Language:Japanese   Publishing type:Research paper (scientific journal)  

  594. カーボンナノ構造体製膜装置の開発

    平松美根男、堀 勝

    Display Asia(韓国)     2005.5

     More details

    Language:Japanese  

  595. Property of Atmospheric Pressure Plasma with Microwave Excitation of Plasma Processing

    M. Nagai, M. Hori, and T. Goto

    J. Vac. Sci. Technol. A   Vol. 23 ( 2 ) page: 221-225   2005.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  596. マイクロ波励起非平衡大気圧プラズマを用いたシリコン酸化膜の超高速エッチングおよびカーボンナノチューブの形成

    山川晃司、堀 勝

    真空 Journal of the Vacuum Society of Japan   Vol. 48 ( 2 ) page: 51-56   2005.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  597. Vertical Growth of Carbon Nanowalls Using RF Plasma-Enhanced Chemical Vapor Deposition

    K. Shiji, M. Hiramatsu, A. Enomoto, M. Nakamura, H. Amano and M. Hori

    Diamond & Related Materials   Vol. 14   page: 831-834   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  598. Preparation of Dense Carbon Nanotube Film Using Microwave Plasma-Enhanced Chemical Vapor Deposition

    M. Taniguchi, H. Nagao, M. Hiramatsu, Y. Ando, and M. Hori

    Diamond & Related Materials   Vol. 14   page: 855-858   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  599. Fabrication of Dense Carbon Nanotube Films Using Microwave Plasma-Enhanced Chemical Vapor Deposition

    M. Hiramatsu, M. Taniguchi, H. Nagao, Y. Ando, and M. Hori

    Jpn. J. Appl. Phys.   Vol. 44 ( 2 ) page: 1150-1154   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  600. High-Rate Growth of Films of Dense, Aligned Double-Walled Carbon Nanotubes Using Microwave Plasma-Enhanced Chemical Vapor Deposition

    M. Hiramatsu, H. Nagao, M. Taniguchi, H. Amano, Y. Ando, and M. Hori

    Jpn. J. Appl. Phys.   Vol. 44   page: L693-L695   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  601. Etching Process of Silicon Dioxide with Nonequilibrium Atmospheric Pressure Plasma

    K. Yamakawa, M. Hori, T. Goto, S. Den, T. Katagiri, and H. Kano

    J. Appl. Phys.   Vol. 98   page: 13301-1-13301-6   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  602. *Ultra-High-Speed Etching of Organic Films Using Microwave-Excited Nonequilibrium Atmospheric-Pressure Plasma

    K. Yamakawa, M. Hori, T. Goto, S. Den, T. Katagiri, and H. Kano

    J. Appl. Phys.   Vol. 98   page: 43311-1-43311-5   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  603. Decomposition and Polymerization of Perfluorinated Compounds in Microwave-Excited Atmospheric Pressure Plasma

    M. Nagai, M. Hori, and T. Goto

    J. Appl. Phys.   Vol. 97   page: 123304-1-123304-5   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  604. Development of Compact C2F4 Gas Supply Equipment and Its Application to Etching of Dielectrics in an Environmental Benign Process

    S. Takahashi, S. Den, T. Katagiri, K. Yamakawa, H. Kano, and M. Hori

    Jpn. J. Appl. Phys.   Vol. 44 ( 24 ) page: L781-L783   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  605. マイクロ波励起非平衡大気圧プラズマを用いた超高速加工技術

    堀 勝、山川晃司

    表面技術   Vol. 55 ( 12 ) page: 38-42   2004.12

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  606. Study on the Absolute Density and Translational Temperature of Si Atoms in Very High Frequency Capacitively Coupled SiH4 Plasma with Ar, N2, and H2 Dilution Gases Reviewed

    T. Ohta, M. Hori, T. Ishida, T. Goto, M. Ito, and S. Kawakami

    Jpn. J. Appl. Phys.   Vol. 43 ( 9A ) page: 6405-6412   2004.9

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  607. A Novel Silicon-Dioxide Etching Process Employing Pulse-Modulated Electron-Beam-Excited Plasma Reviewed

    K. Takeda, Y. Tomekawa, M. Iwasaki, M. Ito, T. Ohta, K. Yamakawa, and M. Hori

    Jpn. J. Appl. Phys.   Vol. 43 ( 9A/B ) page: L1166-L1168   2004.8

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  608. Ultrahigh-Speed Etching of SiO2 with Ultrahigh Selectivity over Si in Microwave-Excited Non Equilibrium Atmospheric Pressure Plasma Reviewed

    K. Yamakawa, M. Hori, T. Goto, S. Den, T. Katagiri, and H. Kano

    Applied Physics Letters   Vol. 84 ( 4 ) page: 549-551   2004.7

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  609. Fabrication of Vertically Aligned Carbon Nanowalls Using Capacitively Coupled Plasma-Enhanced Chemical Vapor Deposition Assisted by Hydrogen Radical Injection Reviewed

    M. Hiramatsu, K. Shiji, H. Amano, and M. Hori

    Applied Physics Letters   Vol. 84 ( 23 ) page: 4708-4710   2004.6

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  610. Fabrication of Vertically Aligned Carbon Nanowalls Using Capacitively Coupled Plasma-Enhanced Chemical Vapor Deposition Assisted by Hydrogen Radical Infection

    M. Hiramatsu, K. Shiji, H. Amano, and M. Hori

    Virtual Journal of Nanoscale Science & Technology   Vol. 9 ( 21 )   2004.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  611. Diagnostic and analytical study on a low-pressure limit of diamond chemical vapor deposition in inductively coupled CO-CH4-H2 plasmas Reviewed

    K. Teii, M. Hori, and T. Goto

    J. Appl. Phys.   Vol. 95 ( 8 ) page: 4463-4470   2004.4

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  612. Silicon-oxide etching process employing an electron-beam-excited plasma Reviewed

    M. Ito, K. Takeda, T. Shiina, Y. Okamura, H. Nagai, M. Hori, and T. Goto

    J. Vac. Sci. & Technol.   Vol. 22 ( 2 ) page: 543-547   2004.3

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  613. High Performance of Silicon Oxide Selective Etching Using F2 Gas and Graphite Instead of Perfluorinated Compound Gases

    M.Nagai,M.Hori,and T.Goto

    Jpn.P.Appl.Phys.(Express Letter)   Vol. 43 ( 4A ) page: pp.L501-L503   2004

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  614. Effects of Driving Frequency on the Translation Temperature and Absolute Density of Si Atoms In Very High Frequency Capacitively Coupled SiF4 Plasma

    Takayuki Ohta, Masaru Hori, Tetsuro Ishida, Toshio Goto, Masafumi Ito, Satoshi Kawakami, Nobuo Ishii

    Jpn.J.Appl.Phys.   Vol. Vol.42 ( No.12B ) page: pp L1532-L1534   2003.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  615. MBE-growth, characterization and properties of InN and InGaN Reviewed

    Y. Nanishi, Y. Saito, T. Yamaguchi, M. Hori, F. Matsuda, T. Araki, A. Suzuki, T. Miyajima

    Physica Status Solidi (a)   Vol. 200 ( 1 ) page: 202-208   2003.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/pssa.200303327

  616. Synthesis of polytetrafluoroethylene-like Film by a Novel Plasma Enhanced Chemical vapor Deposition Employing Solid material Evaporation Technique Reviewed

    K. Fujita, M. Ito, M. Hori and T. Goto

    Jpn. J. Appl. Phys.   Vol. 42 ( 2A ) page: 650-656   2003

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  617. Effect of Oxygen and Nitrogen Atoms on SiOCH Film Etching in Ultrahigh Frequency Plasma Reviewed

    H. Nagai, Y. Maeda, M. Hiramatsu, M. Hori and T. Goto

    Jpn. J. Appl. Phys.   Vol. 42 ( 3B ) page: L326-L328   2003

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  618. Measurement of C2 Radical Density in Microwave Methane/Hydrogen Plasma Used For Nanocrystalline Diamond Film Formation Reviewed

    M.Hiramatsu, K.Kato, C.H.Lau, J.S.Food, M.Hori

    Diamond & Related Materials   Vol. 12 ( 3月7日 ) page: 366-369   2003

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  619. *Fabrication of Vertically Aligned Carbon Nanostructures by Microwave Plasma-enhanced Vapor Deposition Reviewed

    M.Hiramatsu, K.Ito, C.H.Lau, J.S.Food, M.Hori

    Diamond & Related Materials   Vol. 12 ( 3月7日 ) page: 787-790   2003

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  620. Fabrication of Multilayered SiOCH Films with Low Dielectric Constant Employing Layer-by-Layer Process of Plasma Enhanced Chemical Vapor Deposition and Oxidation Reviewed

    H. Nagai, M. Hori, T. Goto, T. Fujii, M. Hiramatsu

    Jpn. J. Appl. Phys.   Vol. 42 ( 5A ) page: 2775-2779   2003

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  621. Measurement of Oxgen Atom Density Employing Vacuum Ultraviolet Absorption Spectroscopy with Microdischarge Hollow Cathode Lamp Reviewed

    H. Nagai,M. Hiramatsu, M. Hori, T. Goto

    Review of Scientific Instruiments   Vol. 74 ( 7 ) page: 3453-3459   2003

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  622. Etching Organic Low Dielectric Film in Ultrahigh Frequency Plasma Using N2/H2 and N2/NH3 Reviewed

    H.Nagai, M. Hiramatsu, M. Hori, T. Goto

    J. Appl. Phys.   Vol. 94 ( 3 ) page: 1362-1367   2003

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  623. Measurement of S, SiF, and SiF2 Radicals and SiF4 Molecule Using Very High Frequency Capacitively Coupled Plasma Employing SiF4 Reviewed

    T.Ohta, K. Hara, T. Ishida, M. Hori, T. Goto

    J. Appl. Phys.   Vol. 94 ( 3 ) page: 1428-1435   2003

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  624. Environmentally Benign Etching Process of Amorphous Silicon and Tungsten Using Species Evaporated from Polytetrafluoroethylene and Fluorinated Ethylene Propylene Reviewed

    K. Fujita, M. Hori, T. Goto and M. Ito

    J. Vac. Sci.Technol.   Vol. B21 ( 1 ) page: 302-309   2003

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  625. Plasma Induced Subsurface Reactions for Anisotropic Etching of Organic Low Dielectric Film Employing N2 and H2 Gas Chemistry Reviewed

    H. Nagai, M. Hiramatsu, M. Hori and T. Goto

    Jpn. J. Appl. Phys.(Express Letter)   Vol. 42 ( 3A ) page: L212-L214   2003

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  626. Dry Etching Invited Reviewed

    Masaru Hori

    Electrochemistry   Vol. 71 ( 7 ) page: 603-604   2003

     More details

    Authorship:Lead author   Language:Japanese   Publishing type:Research paper (scientific journal)  

  627. Effects of Driving Frequency on the Translation Temperature and Absolute Density of Si Atoms in Very High Frequency Capacitively Coupled SiF4 Plasma Reviewed

    Takayuki Ohta, Masaru Hori, Tetsuro Ishida, Toshio Goto, Masafumi Ito, Satoshi Kawakami, Nobuo Ishii

    Jpn. J. Appl. Phys.   Vol. 42 ( 12B ) page: L1532-L1534   2003

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  628. Subsurface reaction of silicon nitride in a high selective etching process of silicon oxide over silicon nitride Reviewed

    M. Ito, K. Kamiya, M. Hori and T. Goto

    J. Appl. Phys.   Vol. 91 ( 3 ) page: 3452   2002

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  629. Deposition of diamond-Like Carbon Using Compact Electron-Beam-Excited Plasma Source Reviewed

    S.Tada, M. Ito, m. Hamagaki, m. Hori and T. Goto

    Jpn. J. Appl. Phys.   Vol. 41 ( 8 ) page: 5408   2002

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  630. Ion-to CH3 Flux Ratio in Diamond Chemical-vapor Deposition Reviewed

    K. Teii, M. Hori and T. Goto

    J. Appl. Phys.   Vol. 92 ( 7 ) page: 4103   2002

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  631. Cleaning of Glass Disk in Oxygen Plasma by Using Compact Electron-Beam-Excited Plasma Source Reviewed

    S. Tada, M. Ito, M. Hamagaki, M. Hori and T.GOTO

    Jpn. J. Appl. Phys.   Vol. 41 ( 11A ) page: 6553   2002

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  632. Silicon Oxide Contact Hole Etching Employing an Environmentally Benign Process Reviewed

    K. Fujita, M. Hori, T. Goto and M. Ito

    J. Vac. Sci & Technol.   Vol. B20 ( 6 ) page: 2192   2002

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  633. Formation of Preferentially Oriented Polycrystalline Silicon Thin Film Employing Pulse-Modulated Plasma CVD Invited Reviewed

    M. Hori and T. Goto

    Jouranl of The Surface Finishing Society of Japan   Vol. 53 ( 12 ) page: 860   2002

     More details

    Authorship:Lead author   Language:Japanese  

  634. Behavior of Atomic Radicals and Their Effects on Organic Low Dielectric Constant Film Etching in High Density N2/H2 and N2/NH3 Plasmas Reviewed

    H. Nagai, S. Takashima, M. Hiramatsu, M. Hori and T. Goto

    J. Appl. Phys.   Vol. 91 ( 5 ) page: 2615   2002

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  635. Effects of Initial Layers on Surface Roughness and Crystallinity of Microcrystalline Silicon Thin Films Formed by Remote Electron Cyclotron Resonance Silane Plasma Reviewed

    K. Murata, D. Kikukawa, M. Hori and T.Goto

    J. Vac. Sci. Technolo.   Vol. A20 ( 3 ) page: 953   2002

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  636. Investigation of Nitrogen Atoms in Low-Pressure Nitrogen Plasmas Using a Compact Electron-Beam-Excited Plasma Source Reviewed

    S.Tada, S. Takashima, M. Ito, M. Hamagaki, M. Hori and T. Goto

    Jpn. J. Appl. Phys.   Vol. 41 ( 7A ) page: 4691   2002

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  637. Measurement technique of radicals, their gas phase and surface reactions in reactive plasma prosessing Reviewed

    M. Hori and T. Goto

    Applied Surface Science   Vol. 192   page: 135   2002

     More details

    Language:English  

  638. Growth of Preferentially Oriented Microcrystalline Silicon Film Using Pulse-Modulated Ultrahigh-Frequency Plasma

    Jpn. J. Appl. Phys.(Express Letter)   Vol. 40 ( 1 ) page: L4   2001

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  639. Negative Bias Dependence of Surfur and Fluorine Incorporation in Diamond Films Etched by an SF6 Plasma

    J. Electrochem. Soc.   Vol. 148 ( 2 ) page: G55   2001

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  640. Amorphous Silicon and Tungsten Etching Employing Environmentally Benign Plasma Process

    Jpn. J. Appl. Phys.   Vol. 40 ( 2A ) page: 832   2001

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  641. Effect of Ions and Radicals on Formation of Silicon Nitride Gate Dielectric Film Using Plasma Chemical Vapor Deposition Reviewed

    Hiroyuki Ohta Atsushi Nagashima Hiroyuki Ohta Atsushi Nagashima Masaru Hori Toshio Goto

    J. Appl. Phys.   Vol. 89 ( 9 ) page: 5083   2001

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  642. Spatial Distribution of the Absolute Densities of CFx Radicals in Fluorocarbon Plasmas Determined from Single-Path Infrared Laser Absorption and Laser-Induced Fluorescence Reviewed

    Masayuki Nakamura Masaru Hori Toshio Goto Masafumi Ito Nobuo Ishii

    J. Appl. Phys.   Vol. 90 ( 2 ) page: 580   2001

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  643. Behavior of Hydrogen Atoms in Ultrahigh-Frequency Silane Plasmas Reviewed

    Seigou Takashima Masaru Hori Toshio Goto Katsumi Yoneda

    J. Appl. Phys.   Vol. 89 ( 9 ) page: 4727   2001

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  644. Dual-Electrode Biasing for Controlling Ion-to Adatom Flus ratio during Ion-Assisted Deposition of Diamond Reviewed

    Kungen Teii Masaru Hori Toshio Goto

    J. Appl. Phys.   Vol. 89 ( 9 ) page: 4714   2001

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  645. Measurement of Spatial Distribution of SiF4 and SiF2 Densities in High Density SiF4 Plasma Using Single -Path Infrared Diode Laser Absorption Spectroscopy and laser-Induced Fluorescence Technique Reviewed

    M.Nakamura, M. Hori, T. Goto, M. Ito and N. Ishii

    Jpn. J. Appl. Phys.   Vol. 40 ( 7 ) page: 4730   2001

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  646. Ultrathin Fluorinated Silicon Nitride Gate Dielectric Films Formed by Remote Plasma Enhanced Chemical Vapor Deposition Employing NH3 and SiF4 Reviewed

    H. Ohta, M. Hori and T.Goto

    J. Appl. Phys.   Vol. 90 ( 4 ) page: 1955   2001

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  647. Measurement of absolute density of atomic species using vacuum ultraviolet absorption spectroscopy with microdischarge lamp Reviewed

    J. Vac. Soc. Jpn.   Vol. 44 ( 9 ) page: 802   2001

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  648. Semiconductor Process Monitoring Using Infrared Laser Absorption Spectroscopy Reviewed

      Vol. 11 ( 1 ) page: 2   2001

     More details

    Language:Japanese  

  649. Spatial distribution of the absolute CF and CF2 radical densities in high-density plasma employing low global warming potential fluorocarbon gases and precursors for film formation Reviewed

    Masayuki Nakamura, Masaru Hori, Toshio Goto, Masafumi Ito, Nobuo Ishii

    J. Vac. Sci. Technol.   Vol. A19 ( 5 ) page: 2134   2001

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  650. Absolute Cocentration and Loss Kinetics of Hydrogen Atom in Methane and Hydrogen Plasma Reviewed

    Seigou Takashima, Masaru Hori, Akihiro Kono, Toshio Goto, Katsumi Yoneda

    J. Appl. Phys   Vol. 90 ( 11 ) page: 5497   2001

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  651. Development of Vacuum Ultraviolet Absorption Spectroscopy Technique Employing Nitrogen Molecule Microdischarge Hollow Cathode Lamp for Absolute Density Measurements of Nitrogen Atoms in Process Plasmas Reviewed

    J.Vac. Sci. Technol. A   Vol. A19 ( 2 ) page: 599   2001

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  652. On the Mechanism of Polytetrafluoroethylene Ablation Using a Synchrotron Radiation-Induced Photochemical Process Reviewed

    Hisao Nagai, Muneto Inayoshi, Masaru Hori, Toshio Goto, Mineo Hiramatsu

    Appl. Surf. Sci.   Vol. 183   page: 284   2001

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  653. Codeposition on Diamond Film Surface during Reactive Ion Etching in SF6 and O2 Plasma

    J. Vac. Sci. & Technol.   Vol. 18 ( 6 ) page: 2779   2000

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  654. Plasma Absorption Spectroscopy Using Microdischarge Light Source

    J. Plasma and Fusion Res.   Vol. 76 ( 5 ) page: 435   2000

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  655. Kinetics and Role of C, O, and OH in Low-Pressure Nanocrystalline Diamond Growth

    J. Appl. Phys.   Vol. 87 ( 9 ) page: 4572   2000

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  656. Precursors of Fluorocarbon Film Growth Studied by Mass Spectroscopy

    J. Appl. Phys.   Vol. 87 ( 10 ) page: 7185   2000

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  657. Plasma Diagnostics and Low-Temperature Deposition of Microcrystalline Silicon in Ultrahigh-Frequency Silane Plasma

    J. Appl. Phys.   Vol. 88 ( 1 ) page: 576   2000

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  658. Measurement and Control of Absolute Nitrogen Atom Density in an Electron Beam-Excited Plasma Using Vacuum Ultraviolet Absorption Spectroscopy

    J. Appl. Phys.   Vol. 88 ( 33 ) page: 1756   2000

     More details

    Author