Updated on 2023/10/11

写真a

 
HORI Masaru
 
Organization
Center for Low-temperature Plasma Sciences (cLPS) Designated professor
Title
Designated professor
Contact information
メールアドレス

Degree 1

  1. 工学博士 ( 1986.3   名古屋大学 ) 

Research Areas 2

  1. Others / Others  / Plasma Applied Science

  2. Manufacturing Technology (Mechanical Engineering, Electrical and Electronic Engineering, Chemical Engineering) / Electron device and electronic equipment  / Surface Interface Physics

Current Research Project and SDGs 3

  1. ラジカル制御プラズマプロセスに関する研究

  2. プラズマ生命科学(医学・農水産学・薬学)の開拓に関する研究

  3. 低温プラズマ科学と応用に関する研究

Research History 13

  1. 名古屋大学低温プラズマ科学研究センター   特任教授

    2023.4

  2. 名古屋大学低温プラズマ科学研究センター長

    2019.4 - 2023.3

      More details

    Country:Japan

  3. 名古屋大学未来社会創造機構 機構長補佐

    2016.4 - 2019.3

      More details

    Country:Japan

  4. 名古屋大学未来社会創造機構 暮らし・健康基盤情報部門長(COI)

    2014.4 - 2017.3

      More details

    Country:Japan

  5. 名古屋大学プラズマ医療科学国際イノベーションセンター長

    2013.8 - 2019.3

      More details

    Country:Japan

  6. NU-SKKU 先端プラズマナノ材料研究所(韓国)研究所長

    2011.11 - 2019.9

      More details

    Country:Korea, Republic of

  7. 名古屋大学大学院工学研究科付属プラズマナノ工学研究センター長

    2009.4 - 2013.3

      More details

    Country:Japan

  8. 名古屋大学教授

    2004.4

      More details

    Country:Japan

  9. 英国ケンブリッジ大学キャベンディシュ研究所客員研究員

    1997.8 - 1997.12

      More details

    Country:Japan

  10. 名古屋大学助教授(工学部)

    1996.7 - 2004.3

      More details

    Country:Japan

  11. 名古屋大学講師(工学部)

    1994.4 - 1996.6

      More details

    Country:Japan

  12. 名古屋大学助手(工学部)

    1992.4 - 1994.3

      More details

    Country:Japan

  13. (株)東芝 総合研究所 超LSI研究所

    1986.4 - 1992.3

      More details

    Country:Japan

▼display all

Education 2

  1. Nagoya University   Graduate School, Division of Engineering

    - 1986

      More details

    Country: Japan

  2. Waseda University   Faculty of Science and Engineering   Dept of Electronics and Communication

    - 1981

      More details

    Country: Japan

Professional Memberships 6

  1. プラズマ・核融合学会

  2. 応用物理学会

  3. Materials Research Society

  4. American Vacuum Society

  5. 日本分光学会

  6. 日本表面技術科学会

▼display all

Committee Memberships 182

  1. 学術振興会「153委員会」プラズマ材料科学   委員長  

    2016.4 - 2017.3   

  2. 日本学術会議   連携委員  

    2011.4   

  3. 応用物理学会   常務理事  

    2009.4 - 2011.3   

  4. 表面技術協会   理事  

    2010.4 - 2012.3   

  5. 応用物理学会 プラズマエレクトロニクス分科会   幹事長  

    2010.4 - 2012.3   

  6. ISPC25   Organizing Committee  

    2023.5   

  7. ICRP-11 / GEC 2022, 11th International Conference on Reactive Plasma / 2022 Gaseous Electronics Conference / 40th Symposium on Plasma Processing (SPP-40) / 35th Symposium on Plasma Science for Materials (SPSM35)   Advisory Committee  

    2022.10   

  8. 9th International Symposium on Control of Semiconductor Interfaces(ISCSI-IX)   International Technical Program Committee.  

    2022.9   

  9. 5th International Symposium on Plasmas for Catalysis and Energy Materials (ISPCEM)   International Advisory Committee  

    2022.7   

  10. The International Symposium of the Vacuum Society of the Philippines (ISVSP 2022) conference   Scientific Program Committee  

    2022.2   

  11. The 13th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2021)   International Scientific Committee (ISC)  

    2022.2   

  12. The 43rd International Symposium on Dry Process (DPS2022)   Organizing Committee  

    2022   

  13. 42nd International Symposium on Dry Process (DPS2021)   Organizing Committee  

    2021.11   

  14. Interfinish2020   Chair of International Organizing Committee  

    2021.9   

  15. The 2nd Plasma Thin films International Union Meeting (PLATHINIUM 2021)   International Scientific Committee  

    2021.9   

  16. The 2nd International Workshop on Plasma Agriculture (IWOPA-3)   International Organizing Committee  

    2021.3   

  17. ISplasma2021/IC-PLANTS2021   Organizing Committee.  

    2021.3   

  18. The 8th International Conference on Plasma Medicine (ICPM-8)   Board & International Scientific Committee  

    2020.11   

  19. The 10th Global Nanotechnology Congress and Expo (Nano-2020)   Organizing Committee  

    2020.11   

  20. The 11th International Symposium on Plasma Nanoscience (iPlasmaNano-XI)   Executive Committee  

    2020.9   

  21. ISplasma2020/IC-PLANTS2020   Advisory Committee.  

    2020.3   

  22. 41st International Symposium on Dry Process Symposium (DPS2019)   Vice Chair of Executive Committee and Organizing Committee  

    2019.11   

  23. 41st International Symposium on Dry Process (DPS2019)   Organizing Committee.  

    2019.11   

  24. 8th International Symposium on Control of Semiconductor Interfaces   International Technical Program Committee  

    2019.11   

  25. Plasma Thin film International Union Meeting, PLATHINIUM   International Scientific Committee  

    2019.9   

  26. The 10th International Symposium on Plasma Nanoscience (iPlasmaNano-X)   Executive Committee  

    2019.9   

  27. The 12th Asian-European International Conference on Plasma Surface Engineering(AEPSE 2019)   International Program Committee  

    2019.9   

  28. International Symposium on Plasma Chemistry (ISPC24)   International Organizing Committee  

    2019.6   

  29. 6th International Workshop on Plasma for Cancer Treatment (IWPCT 2019)   International Scientific Committee  

    2019.4   

  30. ISplasma2019/IC-PLANTS2019,   Organizing Committee  

    2019.3   

  31. 40th International Symposium on Dry Process Symposium (DPS2018)   Chair of Executive Committee and Organizing Committee  

    2018.11   

  32. 40th International Symposium on Dry Process (DPS2018)   Executive Committee Chair / Organizing Committee  

    2018.11   

  33. 2018 International Symposium on Plasmas for Catalysts and Energy Materials (ISPCEM-2018)   International Advisory Board  

    2018.10   

  34. The 8th International Workshop on Plasma Spectroscopy (IPS 2018)   International Scientific Committee  

    2018.9   

  35. The 9th International Symposium on Plasma Nanoscience (iPlasmaNano-IX)   Executive Committee  

    2018.8   

  36. 2018 Asia-Pacific Conference on Plasma and Terahertz Science (APCOPTS)   International Advisory Committee  

    2018.8   

  37. The 7th International Conference on Microelectronics and Plasma Technology (ICMAP 2018)   International Advisory Committee  

    2018.7   

  38. 7th International Conference on Microelectronics and Plasma Technology (ICMAP 2018)   International Advisory Committee  

    2018.7   

  39. Joint International Conference on ICMAP 2018, APCPST 2018, and ISPB 2018   International Advisory Committee  

    2018.6   

  40. 7th International Conference on Plasma Medicine (ICPM-7)   Board & International Scientific Committee  

    2018.6   

  41. The 2nd International Workshop on Plasma Agriculture (IWOPA-2)   International Organizing Committee  

    2018.3   

  42. ISplasma2018/IC-PLANTS2018   Organizing Committee.  

    2018.3   

  43. 5th International Workshop on Plasma for Cancer Treatment (IWPCT 2018)   International Scientific Committee  

    2018.3   

  44. 39th International Symposium on Dry Process Symposium (DPS2017)   Organizing Committee  

    2017.11   

  45. The 8th International Symposium on Plasma Nanoscience (iPlasmaNano-IIIV)   Executive Committee  

    2017.9 - 2017.10   

  46. The 11th Asian-European International Conference on Plasma Surface Engineering(AEPSE 2017)   Organizing Committee Co-Chair.  

    2017.9   

  47. 2017 International Forum on Functional Materials (IFFM2017)   International Advisory Board  

    2017.6   

  48. Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & The Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials   Co-Chair  

    2017.4   

  49. ISplasma2017/IC-PLANTS2017, March 1-5 2017   Organizing Committee  

    2017.3   

  50. The 3rd International Workshop on Advanced Plasma Technology and Applications   Organizing Committee  

    2017.1   

  51. 38th International Symposium on Dry Process Symposium (DPS2016)   Organizing Committee  

    2016.11   

  52. The 3rd International Conference on Universal Village (UV 2016)   Session Chair (Intelligent Healthcare)  

    2016.10   

  53. The 3rd International Conference on Universal Village (UV 2016)   Session Chair (Intelligent Healthcare)  

    2016.10   

  54. The 7th International Symposium on Plasma Nanoscience (iPlasmaNano-IIV)   Executive Committee.  

    2016.9 - 2016.10   

  55. ・The 6th International Conference on Microelectronics and Plasma Technology (ICMAP2016), September 26-29, 2016, Gyeongju Dream Center, Gyeongju, Korea. International Advisory Committee,   International Advisory Committee  

    2016.9   

  56. The 6th International Conference on Plasma Medicine (ICPM-6)   Board & International Scientific Committee.  

    2016.9   

  57. 15th International Conference on Plasma Surface Engineering (PSE 2016)   Conference Co-chairman  

    2016.9   

  58. 20th International Vacuum Congress (IVC-20)   International Scientific Committee in Plasma Science and Technique Division  

    2016.8   

  59. ・2016 International Symposium on Plasma for Catalysts and Energy Materials (ISPCEM-2016)   International Advisory Board.  

    2016.6 - 2016.7   

  60. 7th International Workshop on Plasma Spectroscopy (IPS 2016)   International Scientific Committee.  

    2016.6   

  61. 43rd IEEE International Conference on Plasma Science   Session Organizer  

    2016.6   

  62. ISplasma2016/IC-PLANTS2016, March 6-10, 2016   Organizing Committee  

    2016.3   

  63. The 3rd International Workshop on Plasma for Cancer Treatment, 2016   International Scientific Committee.  

    2016   

  64. 37th International Symposium on Dry Process Symposium (DPS2015)   Organizing  

    2015.11   

  65. 9th International Conference on Reactive Plasmas / 68th Gaseous Electronics Conference/ 33rd Symposium on Processing Plasmas   Advisory Committee  

    2015.10   

  66. 21th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & The Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials   Co-Chair  

    2015.10   

  67. The 6th International Symposium on Plasma Nanoscience (iPlasmaNano-IV)   Executive Committee  

    2015.9 - 2015.10   

  68. The 10th Asian-European International Conference on Plasma Surface Engineering(AEPSE 2015)   Organizing Committee Chair  

    2015.9   

  69. The 32nd International Conference on Phenomena in Ionized Gases (ICPIG2015)   International Organizing Committee  

    2015.7   

  70. 22th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics, The Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials & The 1st International Workshop on Advanced Plasma Technology and Application   Chair  

    2015.7   

  71. ISplasma2015/IC-PLANTS2015, March 26-31, 2016   Organizing Committee  

    2015.3   

  72. ・20th Workshop on Advanced Plasma Processes and Diagnostics & The 7th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, Jan. 27 ~ 29, 2015. Hokkaido University, Sapporo, Japan, Chair.   Chair  

    2015.1   

  73. The 2nd International Workshop on Plasma for Cancer Treatment (Nagoya 2015)   Chair of Organizing Committee & International Scientific Committee  

    2015   

  74. 36th International Symposium on Dry Process Symposium (DPS2014)   Organizing Committee  

    2014.11   

  75. The 5th International Symposium on Plasma Nanoscience (iPlasmaNano-V)   Executive Committee  

    2014.9 - 2014.10   

  76. 14th International Conference on Plasma Surface Engineering (PSE 2012)   International Advisory Board  

    2014.9   

  77. International Symposium on Plasmas for Catalysts and Energy Materials (ISPCEM-2014)   International Advisory Board  

    2014.9   

  78. 14th international conference on Plasma Surface Engineering (PSE 2014)   International Advisory Board  

    2014.9   

  79. The 5th International Conference on Microelectronics and Plasma Technology (ICMAP 2014)   International Advisory Committee  

    2014.7   

  80. ISplasma2014/IC-PLANTS2014   Organizing Committee  

    2014.3   

  81. 8th International Conference on Reactive Plasmas / 31st Symposium on Plasma Processing   aaaaa  

    2014.2   

  82. 18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics   Chair  

    2014.2   

  83. The first International Workshop on Plasma for Cancer Treatment   International Scientific Committee  

    2014   

  84. International Conference on Plasma Medicine (ISPM)   Board & International Scientific Committee  

    2014   

  85. The 4th International Symposium on Plasma Nanoscience (iPlasmaNano-IV)   Executive Committee  

    2013.9 - 2013.10   

  86. 35th International Symposium on Dry Process Symposium (DPS2013)   Organizing Committee  

    2013.8   

  87. The 31st International Conference on Phenomena in Ionized Gases (ICPIG2013)   International Organizing Committee  

    2013.7   

  88. 17th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 4th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials   Co-Chair  

    2013.5   

  89. The 6th International Conference on Plasma-Nano Technology & Science, IC-PLANTS 2013   Organizing Committee  

    2013.2   

  90. ISplasma2013   Organizing Committee Chair  

    2013.1 - 2013.2   

  91. 16th International Workshop on Advanced Plasma Processing and Diagnostics   Chair  

    2013.1   

  92. 34th International Symposium on Dry Process Symposium (DPS2012)   Organizing Committee  

    2012.11   

  93. The 11th Asia Pacific Conference on Plasma Science and Technology (APCPST) / The 25th Symposium on Plasma Science for Materials(SPSM)   Chair of Executive Committee  

    2012.10   

  94. 13th International conference on Plasma Surface Engineering (PSE 2012)   International Advisory Board  

    2012.9   

  95. 2012 International Symposium on Plasmas for Catalysts and Energy Materials (ISPCEM-2012)   International Advisory Board  

    2012.9   

  96. The 2nd International Symposium for Plasma Biosciences (SPB 2012)   Chair of Organizing Committee  

    2012.8   

  97. The 4th International Conference on Microelectronics and Plasma Technology (ICMAP 2012)   International Advisory Committee  

    2012.7   

  98. 15th Korea- Japan workshop for Advanced Plasma Process and Diagnostics   Co-Chair  

    2012.6   

  99. The 5th International Conference on Plasma-Nano Technology & Science, IC-PLANTS 2012   Organizing Committee  

    2012.3   

  100. ISplasma2012   Organizing Committee Chair  

    2012.3   

  101. The 3rd International Symposium on Plasma Nanoscience (iPlasmaNano-III)   International Consultative and Program Committee Executive Committee  

    2012.2 - 2012.3   

  102. 14th International Workshop of Advanced Plasma Processing and Diagnostics & 2nd Workshop for NU- SKKU Joint Institute for Plasma-Nano Materials   Chair  

    2012.1   

  103. 33rd International Symposium on Dry Process Symposium (DPS2011)   Organizing Committee  

    2011.11   

  104. The 15th International Conference on Thin Films (ICTF-15)   Organizing Committee  

    2011.11   

  105. The 4th International Symposium on Plasma Nanoscience (iPlasmaNano-IV)   Executive Committee  

    2011.9 - 2011.10   

  106. The 8th Asian-European International Conference on Plasma Surface Engineering (AEPSE2011)   International Organizing Committee  

    2011.9   

  107. 112 IUVSTA Executive Council Meeting and 4th International Conference on Advanced Plasma Technologies with Workshop   Program Committee  

    2011.9   

  108. The 30th International Conference on Phenomena in Ionized Gases (ICPIG2011)   International Scientific Committee  

    2011.8 - 2011.9   

  109. The 1st International Symposium for Plasma Biosciences / The 1st Annual Workshop for Plasma Bioscinece Research Center   Chair of Organizing Committee  

    2011.8   

  110. Advanced Plasma Technology for Green Energy and Biomedical Applications (APT 2011)   International Organizing Committee  

    2011.8   

  111. International Symposium on Plasma Chemistry (ISPC20)   Board of Director of the International Plasma Chemistry Society (IPCS)  

    2011.7   

  112. 13th International Workshop on Advanced Plasma Processing and Diagnostics   Chair  

    2011.7   

  113. The 6th International Symposium on Control of Semiconductor Interfaces(ISCSI-VI)   Technical program committee  

    2011.5   

  114.   実行委員長  

    2011.4 - 2012.3   

  115. 2nd International Workshop on Plasma nano-Interface and Plasma Characterization   Organizing Committee  

    2011.3   

  116. 第58回応用物理学会関係連合講演会   運営委員長  

    2011.3   

  117. The 4th International Conference on Plasma-Nano Technology & Science, IC-PLANTS 2013   Organizing Committee  

    2011.3   

  118. The 4th International Conference on Plasma-Nano Technology & Science (IC-PLANTS 2011)   Organizing Committee  

    2011.3   

  119. ISplasma2011   Organizing Committee Chair  

    2011.3   

  120. 12th International Workshop of Advanced Plasma Processing and Diagnostics   Chair  

    2011.1   

  121. 2011 International Conference on Solid State device and materials (SSDM2011)   Chair of Steering Committee  

    2011   

  122. 32nd International Symposium on Dry Process Symposium (DPS2010)   Organizing Committee  

    2010.11   

  123. 7th International Conference on Reactive Plasmas / 63rd Gaseous Electronics Conference/28th Symposium on Processing Plasmas   Chair of Organize Committee  

    2010.10   

  124. The 2nd International Symposium on Plasma Nanoscience (iPlasmaNano-II)   Executive Committee  

    2010.9 - 2010.10   

  125. 2010 International Conference on Solid State device and materials (SSDM)   Vice Chair of Steering Committee  

    2010.9   

  126. 第71回応用物理学会学術講演会   運営委員長  

    2010.9   

  127. 11th International Workshop on Advanced Plasma Processing and Diagnostics   Co-Chair  

    2010.7   

  128. 10th Asia-Pacific Conference on Plasma Science and Technology (APCPST) and 23rd Symposium on Plasma Science for Materials (SPSM)   Program Committee  

    2010.7   

  129. The 3rd International Conference on Plasma-Nano Technology & Science (IC-PLANTS 2010)   Organizing Committee Chair  

    2010.3   

  130. The 3rd International Conference on Plasma-Nano Technology & Science, IC-PLANTS 2010   Organizing Committee Chair  

    2010.3   

  131. ISplasma2010   Organizing Committee Chair  

    2010.3   

  132. 10th International Workshop of Advanced Plasma Processing and Diagnostics   Chair  

    2010.1   

  133. The 3rd International Conference on Microelectronics and Plasma Technology (ICMAP 2011)   International Advisory Committee  

    2009.9   

  134. The 2nd International Symposium on Plasma Nanoscience (iPlasmaNano-II)   Executive Committee  

    2009.9   

  135. 7th International Workshop on Microwave Discharges: Fundamentals and Applications (MD7)   Steering Committee  

    2009.9   

  136. 31st International Symposium on Dry Process Symposium (DPS2009)   Organizing Committee  

    2009.9   

  137. Joint International Conference of Asian-European International Conference on Plasma and Ion surface Engineering (AEPSE) / The 2nd International Conference on Microelectronics and Plasma Technology (ICMAP) /DPS   International Organizing Committee and Scientific Program Committee  

    2009.9   

  138. The 2nd International Conference on Microelectronics and Plasma Technology (ICMAP 2009)   International Advisory Committee  

    2009.9   

  139. The 29th International Conference on Phenomena in Ionized Gases (ICPIG2015)   International Organizing Committee  

    2009.9   

  140. 19th International Symposium on Plasma Chemistry   International Plasma Chemistry Society (IPCS) Board of Directors  

    2009.7   

  141. 9th Korea-Japan Workshop on Thin Film and Plasma Process for Green Technology Advanced Plasma Diagnostics for Plasma-Nano Processing   Co-Chair  

    2009.7   

  142. ISplasma2009   Organizing Committee Chair  

    2009.3   

  143. The 2nd International Conference on Plasma-Nano Technology & Science, IC-PLANTS 2009   Organizing Committee Vice Chair  

    2009.1   

  144. 8th International Workshop of Advanced Plasma Processing and Diagnostics, Joint Workshop with Plasma Application Monodzukuri(PLAM)   Chair  

    2009.1   

  145. 30th International Symposium on Dry Process Symposium (DPS2008)   Organizing Committee  

    2008.11   

  146. 2008 International Microprocess and Nanotechnology Conference (MNC)   Executive Committee  

    2008.10   

  147. The 1st International Conference on Microelectronics and Plasma Technology (ICMAP 2008)   Executive Committee  

    2008.8   

  148. The 3rd International School of Advanced Plasma Technology   Scientific Organizing Committee  

    2008.7   

  149. 7th Korea-Japan Workshop on Plasma Technology Thin Film and Plasma Technology for Next Generation Energy Advanced Plasma Diagnostics for Plasma-Nano Processing   Co-Chair  

    2008.7   

  150. The 1st International Conference on Plasma-Nano Technology & Science, IC-PLANTS 2008   Organizing Committee Vice Chair  

    2008.3   

  151. 29th International Symposium on Dry Process Symposium (DPS2007)   Organizing Committee  

    2007.11   

  152. Fifth International Symposium on Control of Semiconductor Interfaces―for Next Generation ULSI Process Integrations―   Program Committee  

    2007.11   

  153. The 6th Asian-European International Conference on Plasma Surface Engineering (AEPSE)   International Organizing Committee  

    2007.9   

  154. The 18th International Symposium on Plasma Chemistry (ISPC-18)   Local Executive Committee  

    2007.8   

  155. The 16th International Colloquium on Plasma Processes (CIP)   International Science Committee  

    2007.6   

  156. 5th International Symposium on Advanced Plasma Processes and Diagnostics & The 1st International Symposium on Flexible Electronics Technology   Co-Chair  

    2007.4   

  157. 6th International Workshop on Advanced Plasma Processing and Diagnostics & The 3rd Plasma Application Monodzukuri (PLAM)   Chair  

    2007.1   

  158. 2nd International workshop on Infrared plasma diagnostics   International Science Committee  

    2007   

  159. 4th Workshop on Advanced Plasma Processing and Diagnostics   Chair  

    2006.12   

  160. 28th International Symposium on Dry Process Symposium (DPS2006)   Chair of Executive Committee and Organizing Committee  

    2006.11 - 2006.12   

  161. 38th International Symposium on Dry Process Symposium (DPS2016)   Organizing Committee  

    2006.11   

  162. The 1st International Workshop on Infrared Plasma Spectroscopy   International Scientific Committee  

    2006.6   

  163. 3rd Workshop on Advanced Plasma Processing and Diagnostics   Co-Chair  

    2006.4   

  164. The 6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing (ICRP-6/SPP-23)   Organizing Committee / Program Committee / Vice Chair of Fundraising Committee  

    2006.1   

  165. 8th Asia-Pacific Conference on Plasma Science and Technology / 19th Symposium on Plasma Science for Materials ASCPST   International Program Committee  

    2006   

  166. The Ist International workshop on Infrared plasma diagnostics   International Science Committee  

    2006   

  167. The 6th Korea-Japan Symposium on Plasma and Thin Film Technology   Advisory Committee  

    2006   

  168. 2nd Workshop on Advanced Plasma Processing and Diagnostics   Co-Chair  

    2005.12   

  169. 27th International Symposium on Dry Process Symposium (DPS2005)   Chair of Executive Committee and Organizing Committee  

    2005.11   

  170. International Symposium on EcoTopia Science 2005 (ISETS05)   Secretariats  

    2005.8   

  171. 15th International Colloquium on Plasma Process (CIP 05)   International Scientific Committee  

    2005.6   

  172. 応用物理学会   東海支部長  

    2005.4 - 2007.3   

  173. 1st Workshop on Advanced Plasma Processing and Diagnostics   Chair  

    2005.4   

  174. International Symposium on EcoTopia Science (ISETS05)   Session Organizer  

    2005   

  175. 26th International Symposium on Dry Process Symposium (DPS2004)   Chair of Executive Committee and Organizing Committee  

    2004.11 - 2004.12   

  176. The 2nd International School of Advanced Plasma Technology   Scientific Organizing Committee  

    2004.9 - 2004.10   

  177. International COE Forum on Plasma Science and Technology,   Local Organizing Committee  

    2004.4   

  178. International Workshop on Plasma Nano-Technology and Its Future Vision   Vice Chair of Organizing Committee and Chair of Local Organizing Committee  

    2004.2   

  179. 25th International Symposium on Dry Process Symposium (DPS2003)   Chair of Executive Committee and Organizing Committee  

    2003.11   

  180. 2003 International Microprocess and nanotechnology Conference,   Program Committee, Etching and Deposition Technologies, Section Head  

    2003.10   

  181. 24th International Symposium on Dry Process Symposium (DPS2002)   Organizing Committee  

    2002.11   

  182. 23th International Symposium on Dry Process Symposium (DPS2001)   Chair of Program Committee and Organizing Committee  

    2001.11   

▼display all

Awards 40

  1. 第76回中日文化賞

    2023.6   中日新聞社  

    堀 勝

     More details

    Country:Japan

  2. 紫綬褒章

    2022.11   内閣府  

    堀 勝

     More details

    Country:Japan

  3. Reactive Plasma Award

    2022.10   The 11th International Conference on Reactive Plasmas  

    Masaru Hori

     More details

    Award type:Award from international society, conference, symposium, etc.  Country:Japan

  4. 第43回(2021年度)応用物理学会論文賞

    2022.3   応用物理学会  

     More details

    Award type:Award from Japanese society, conference, symposium, etc. 

  5. The Best Oral Presentation Awards

    2022.3   14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022)   Evaluation of Selective Anti-Cancer Effect in Plasma-Activated Ringer's Lactate Solution Produced by Regulated Surrounding Atmosphere

    Daiki Ito, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Kae Nakamura, Camelia Miron, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno and Masaru Hori

     More details

    Award type:Award from international society, conference, symposium, etc.  Country:Japan

  6. The Best Short Presentation (poster) Awards

    2022.3   14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022)   Enhanced Bioremediation of 4-Chlorophenol by Oxygen Radical Treatment Based on Non-Thermal Atmospheric Pressure Plasma

    Hiroyuki Kato, Kiyota Sakai, Shou Ito, Naoyuki Iwata, Masafumi Ito, Masaru Hori, Motoyuki Shimizu and Masashi Kato

     More details

    Award type:International academic award (Japan or overseas)  Country:Japan

  7. The Best Short Presentation (poster) Awards

    2022.3   14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022)   Carbon Layer Formation on Boron Nitride via a Plasma in Hydroquinone Solution

    Kenichi Inoue, Noritaka Sakakibara, Taku Goto, Tsuyohito Ito, Yoshiki Shimizu, Kenji Ishikawa, Masaru Hori and Kazuo Terashima

     More details

    Award type:International academic award (Japan or overseas)  Country:Japan

  8. DPS Paper Award

    2021.11   DPS(International Symposium on Dry Process)   Formation mechanism of sidewall striation in high-aspect-ratio hole etching

     More details

    Award type:Award from international society, conference, symposium, etc.  Country:Japan

    This award is to be offered to the authors of the best paper published in the Special Issues of Japanese Journal of Applied Physics (JJAP) for DPS in the past 3 years (i.e., DPS 2017, 2018, and 2019) . The awardee(s) of the Best Presentation Award or Young Researcher Award is/are also eligible for this award.

  9. DPS Nishizawa Award 2020

    2021.11   DPS(International Symposium on Dry Process)  

     More details

    Award type:Award from international society, conference, symposium, etc.  Country:Japan

    DPS Nishizawa Award is to be presented to an individual who has made outstanding contributions to DPS as well as significant academic/technological achievements in the fields of interest to DPS.

  10. The Best Short Presentation Awards, ISPlasma2021/IC-PLANTS2021

    2021.3   13th anniversary International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlsma2021) / 14th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2021)   Study of Etching Process Using Gas Condensed Layer at Cryogenic Temperature 2

    Masahiro Hazumi, Suganthamalar Selvaraj, Shih-Nan Hsiao, Chihiro Abe, Toshiyuki Sasaki, Hisataka Hayashi, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

  11. The Best Oral Presentation Awards, ISPlasma2021/IC-PLANTS2021

    2021.3   13th anniversary International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlsma2021) / 14th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2021)   Dependency of bactericidal effect in oxygen-radical-exposed E. coli suspension containing L-tryptophan on its concentration

    N. Iwata, K. Ishikawa, H. Hashizume, H. Tanaka, J.-S. Oh, M. Ito, M. Hori

     More details

    Award type:Award from international society, conference, symposium, etc. 

  12. The Best Short Presentation Awards, ISPlasma2021/IC-PLANTS2021

    2021.3   13th anniversary International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlsma2021) / 14th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2021)   Apoptosis-inducing Activity through Caspase-9 of Radical-Activated Lactate Ringers Solution for Melanoma Cells

    Yuki Hori, Tomiyasu Murata, Hiromasa Tanaka, Masaru Hori and Masafumi Ito

     More details

    Award type:Award from international society, conference, symposium, etc. 

  13. The Best Oral Presentation Award, ISPlasma2021/IC-PLANTS2021

    2021.3   13th anniversary International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlsma2021) / 14th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2021)   Design of Removal Process of SnO2 on Glass by H2/Ar Plasma at Atmospheric Pressure and Medium Pressure

    Thi-Thuy-Nga Nguyen, Minoru Sasaki, Takayoshi Tsutsumi, Kenji Ishikawa and Masaru Hori

     More details

    Award type:Award from international society, conference, symposium, etc. 

  14. 2020 AAPPS-DPP Plasma Innovation Prize

    2020.10   Division of Plasma Physics, Association of Asia-Pacific Physical Societies(AAPPS-DPP)  

     More details

    Award type:International academic award (Japan or overseas)  Country:Japan

  15. 第18回 プラズマエレクトロニクス賞

    2020.3   公益社団法人 応用物理学会 プラズマエレクトロニクス分科会  

    大村光広、橋本惇一、足立昴拓、近藤祐介、石川勝朗、阿部淳子、酒井伊都子、林久貴、関根誠、堀勝

     More details

    Country:Japan

    Japanese Journal of Applied Physics 58, SEEB02 (2019)

  16. Honorary Chair Professor

    2020.1   Taiwan National Tsing Hua UniTaiwan  

  17. IAAM Award Lecture

    2019.10   International Association of Advanced material (IAAM)   Carbon Nanowalls Propelling Social Innovations

    Masaru Hori

     More details

    Award type:Award from international society, conference, symposium, etc.  Country:Japan

  18. K-T Rie Award for the year 2019

    2019.9   Asian-European International Conference on Plasma Surface Engineering (AEPSE2019)  

    Masaru Hori

     More details

    Award type:International academic award (Japan or overseas)  Country:Korea, Republic of

  19. The Best Poster Presentation Awards, ISPlasma2019/IC-PLANTS2019

    2019.3   ISPlasma2019 / IC-PLANTS2019   Cytotoxicity of Plasma-Activated Glucose and Amino Acids

    Maho Yamada, Nanami Ito, Yugo Hosoi, Hiromasa Tanaka, Kenji Ishikawa and Masaru Hori

     More details

    Award type:Award from international society, conference, symposium, etc.  Country:Japan

  20. The Best Oral Presentation Awards in the area of Plasma Science, ISPlasma2019/IC-PLANTS2019

    2019.3   ISPlasma2019 / IC-PLANTS2019   Control of sp2-C Fraction and Hardness of Amorphous Carbon Films by Formation of Precursor Radicals Depending on a Residence Time

    Hirotsugu Sugiura, Yasuyuki Ohashi, Lingyun Jia, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Toshio Hayashi, Keigo Takeda, Makoto Sekine and Masaru Hori

     More details

    Award type:Award from international society, conference, symposium, etc.  Country:Japan

  21. The Best Oral Presentation Awards, ISPlasma2019/IC-PLANTS2019

    2019.3   ISPlasma2019 / IC-PLANTS2019   The Best Oral Presentation Awards in the area of Bio Applications, ISPlasma2019/IC-PLANTS2019

    Naoyuki Iwata, Vladislav Gamaleeve, Jun-SeokOh, Hiroshi Hashizume, Takayuki Ohta, Kenji Ishikawa, Masaru Hori and Masafumi Ito

     More details

    Award type:Award from international society, conference, symposium, etc.  Country:Japan

  22. The Best Poster Presentation Awards, ISPlasma2019/IC-PLANTS2019

    2019.3   ISPlasma2019 / IC-PLANTS2019   Morphological Dynamics of Dying Cells Incubated in Plasma-Activated Medium

    Nanami Ito, Maho Yamada, Yugo Hosoi, Hiromasa Tanaka, Kenji Ishikawa and Masaru Hori

     More details

    Award type:Award from international society, conference, symposium, etc.  Country:Japan

  23. 2018 The Plasma Medical Award

    2018.6   ICPM7  

     More details

    Award type:Award from international society, conference, symposium, etc.  Country:United States

  24. Best Presentation Award

    2016.3   ISPlasma2016/10th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials  

     More details

    Country:Japan

  25. 第37回(2015年度)応用物理学会論文賞

    2015.9   応用物理学会  

     More details

    Country:Japan

  26. 第11回プラズマエレクトロニクス賞

    2013.3   応物理学会プラズマエレクトロニクス分科会  

     More details

    Country:Japan

    石川健治、河野昭彦、堀邊英夫、竹田圭吾、近藤博基、関根誠、堀勝

  27. ISPlasma2013 Best Poster Presentation Award

    2013.2   5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma 2013)  

     More details

    Country:Japan

  28. 五大成果(全2,000件のうちトップ5)

    2013.2   文部科学省ナノテクノロジーネットワーク事業(平成19年~24年)  

     More details

    Country:Japan

    田畑泰彦 堀勝 馬場嘉信(カーボンナノウォールの細胞培養基材としての特性評価)

  29. 応用物理学会東海支部貢献賞

    2013.1   応用物理学会  

     More details

    Country:Japan

  30. 11th APCPST and 25th SPSM Plasma Science Award

    2012.10   11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     More details

    Country:Japan

  31. 応用物理学会 第6回(2012年度)フェロー表彰

    2012.9   公益社団法人応用物理学会  

     More details

    Country:Japan

    ラジカル制御プラズマプロセスの研究開発

  32. 第14回プラズマ材料科学賞(基礎部門賞)

    2012.8   日本学術振興会  

     More details

    Country:Japan

    原子状ラジカル制御 プラズマプロセスの基礎的研究

  33. The Distinguished Professor Award

    2012.6  

     More details

    Country:Japan

  34. 高温学会 論文賞

    2012.3   社団法人高温学会  

     More details

    Country:Japan

    フレキシブルデバイス創製に向けたプラズマーソフトマテリアル相互作用の解析

  35. 第9回産学官連携功労者表彰(科学技術政策担当大臣賞)

    2011.9   第10回産学官連携推進会議  

     More details

    Country:Japan

    産学連携功労者表彰

  36. 平成22年度科学技術分野の文部科学大臣表彰・科学技術賞(研究部門)

    2010.4   文部科学省  

     More details

    Country:Japan

  37. Plasma Material Science Award

    2004  

     More details

    Country:Japan

  38. JJAP Editorial Contribution Award

    2004  

     More details

    Country:Japan

  39. Plasam Electronics Award

    2003  

     More details

    Country:Japan

  40. Microprocess and Nanotechnology 2000 Award

    2001   Microprocess and Nanotechnology 2000  

     More details

    Country:Japan

▼display all

 

Papers 708

  1. In Situ Monitoring of Etching Characteristic and Surface Reactions in Atomic Layer Etching of SiN Using Cyclic CF4/H2 and H2 Plasmas Reviewed

    Shih-Nan Hsiao, Makoto Sekine, Masaru Hori

    ACS Applied Materials & Interfaces   Vol. 15 ( 29 ) page: 35622 - 35630   2023.7

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.1021/acsami.3c04705

  2. Efficacy of periodic cold plasma treatment in a paddy to produce white-core grains in brewer’s rice cultivar Yamadanishiki Reviewed

    Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Yoji Hirosue, Masayoshi Maeshima, Masaaki Mizuno, Masaru Hori

    Free Radical Research   Vol. 57 ( 3 ) page: 161 - 173   2023.6

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1080/10715762.2023.2215914

  3. Bias-supply timing tailored to the aspect ratio dependence of silicon trench etching in Ar plasma with alternately injected C4F8 and SF6 Invited Reviewed

    Taito Yoshie, Kenji Ishikawa, Thi-Thuy-Nga Nguyen, Shih-Nan Hsiao, Takayoshi Tsutsumi, Makoto Sekine, Masaru Hori

    Applied Surface Science   Vol. 638 ( 30 ) page: 157981-1 - 157981-14   2023.7

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1016/j.apsusc.2023.157981

  4. Tetrachloroaurate (III)–induced oxidation increases nonthermal plasma-induced aldehydes Reviewed

    Yasumasa Okazaki, Jun Yoshitake, Nanami Ito, Kanako Sasaki, Hiromasa Tanaka, Masaru Hori, Takahiro Shibata, Shinya Toyokuni

    Advances in Redox Research   Vol. 9 ( December ) page: 100074-1 - 100074-8   2023.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  5. Generation and measurement of low-temperature plasma for cancer therapy: a historical review Reviewed

    Kenji Ishikawa, Keigo Takeda, Shinji Yoshimura, Takashi Kondo, Hiromasa Tanaka, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, Masaaki Mizuno, and Masaru Hori

    FREE RADICAL RESEARCH   Vol. 57 ( 3 ) page: 239 - 270   2023.7

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: http://dx.doi.org/10.1080/10715762.2023.2230351

  6. Plasma-generated nitric oxide radical (NO•) promotes the proliferation of fibroblast cells in liquid Reviewed

    Yasumasa Mori, Kazane Oguri, Naoyuki Iwata, Tomiyasu Murata, Masaru HORI and Masafumi ITO

    Japanese Journal of Applied Physics   Vol. 62 ( SL ) page: SL1016   2023.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI 10.35848/1347-4065/acd9b6

  7. Development of an experimental system for cell viability assays of yeasts using gas-temperature controllable plasma jets Reviewed

    Yoshimura, Shinji; Otsubo, Yoko; Yamashita, Akira; Johzuka, Katsuki; TSUTSUMI, Takayoshi; Ishikawa, Kenji; HORI, Masaru

    Japanese Journal of Applied Physics   Vol. 62 ( Number SL )   2023.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/acd4ca

  8. Diagnostics of a nanosecond atmospheric plasma jet. Ionization waves, plasma density and electric field dynamics Reviewed

    Nikolay Britun, Peter Raj Dennis Christy, Vladislav Gamaleev, Shih-Nan Hsiao, Masaru Hori

    Journal of Applied Physics   Vol. 133 ( 18 )   2023.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/5.0138931

  9. Selective isotropic atomic-layer etching of thin films by using dry chemical removal tool Invited Reviewed

    Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Masaru Izawa, Kenji Ishikawa, Masaru Hori

    Advanced Etch Technology and Process Integration for Nanopatterning XII; 124990E (2023)   Vol. 12499   2023.5

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: https://doi.org/10.1117/12.2664547

  10. Synthesis of highly dense and multi-branched carbon nanowalls by two-step growth combining different plasma chemical vapor deposition methods Reviewed

    Ngo Quang Minh, Ngo Van Nong , Ma Shanlene. Dela Vega, Osamu Oda, Masaru Hori

    Vacuum   Vol. 213   2023.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.vacuum.2023.112118

  11. Cancer-specific cytotoxicity of Ringer’s acetate solution irradiated by cold atmospheric pressure plasma Reviewed

    Camelia Miron , Kenji Ishikawa, Satoshi Kashiwagura, Yuki Suda, Hiromasa Tanaka, Kae Nakamura, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno, Masaru Hori

    Free Radical Research   Vol. 57 ( 2 ) page: 91 - 104   2023.4

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1080/10715762.2023.2201390

  12. Exposure of low-temperature plasma after vaccination in 6 tongue promotessystemic IgM induction against spike protein 7 of SARS-CoV-2 Reviewed

    Kotaro Sato, Kouki Fujii, Hiromasa Tanaka, Masaru Hori, Hideharu Hibi and Shinya Toyokuni

    Free Radical Research   Vol. 57 ( 1 ) page: 30 - 37   2023.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1080/10715762.2023.2190486

  13. High-performance glass filters for capturing and culturing circulating tumor cells and cancer-associated fibroblasts Reviewed

    Hiromasa Tanaka, Daijiro Iwata, Yuki Shibata, Tetsunari Hase, Daisuke Onoshima, Naoyuki Yogo, Hirofumi Shibata, Mitsuo Sato, Kenji Ishikawa, Ikuo Nagasawa, Yoshinori Hasegawa, Makoto Ishii, Yoshinobu Baba, Masaru Hori

    Scientific Reports   Vol. 13   2023.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1038/s41598-023-31265-9

  14. Plasma activated Ringer’s lactate solution Reviewed

    Hiromasa Tanaka,Masaaki Mizuno,Kenji Ishikawa,Camelia Miron,Yasumasa Okazaki,Shinya Toyokuni,Kae Nakamura,Hiroaki Kajiyama &Masaru Hori

    Free Radical Research   Vol. 57 ( 1 ) page: 14 - 20   2023.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1080/10715762.2023.2182663

  15. Organic decomposition and synthesis reactions in lactated solution exposed to non-equilibrium atmospheric pressure plasma Reviewed

    Yang Liu, Kenji Ishikawa, Hiromasa Tanaka, Camelia Miron, Takashi Kondo, Kae Nakamura, Masaaki Mizuno, Hiroaki Kajiyama, Shinya Toyokuni, Masaru Hori

    Plasma Processes and Polymers   Vol. 20 ( 5 )   2023.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1002/ppap.202200193

  16. 低温大気圧プラズマによるフリーラジカル生成とその生物学的意義-放射線との比較- Reviewed

    近藤隆、橋爪博司、田中比呂間瀬 石川健治、堀勝

    化学工業   Vol. 74 ( 2 ) page: 120 - 126   2023.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  17. GaN damage-free cyclic etching by sequential exposure to Cl2 plasma and Ar plasma with low Ar+-ion energy at substrate temperature of 400 °C Reviewed

    Shohei Nakamura, Atsushi Tanide, Takahiro Kimura, Soichi Nadahara, Kenji Ishikawa, Osamu Oda, and Masaru Hori

    Journal of Applied Physics   Vol. 133 ( 4 )   2023.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/5.0131685

  18. Manipulation of etch selectivity of silicon nitride over silicon dioxide to a-carbon by controlling substate temperature with a CF4/H2 plasma Reviewed

    Shih-Nan Hsiao, Nikolay Britun, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    Vacuum   Vol. 210   page: 111863   2023.1

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1016/j.vacuum.2023.111863

  19. Diagnostics of a nanosecond atmospheric plasma jet. II. Ionization waves, plasma density and electric field dynamics Reviewed

    Nikolay Britun, Peter Raj Dennis Christy, Vladislav Gamaleev and Masaru Hori

    Plasma Sources Science and Technology   Vol. 31 ( 12 )   2023.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6595/aca0bb

  20. Effects of plasma-activated Ringer’s lactate solution on cancer cells: evaluation of genotoxicity Reviewed

    Yang Liu , Yoshimichi Nakatsu, Hiromasa Tanaka, Kazunori Koga, Kenji Ishikawa, Masaharu Shiratani & Masaru Hori

    Genes and Environment   Vol. 45   2023.1

     More details

    Language:English  

    DOI: 10.1186/s41021-023-00260-x

  21. Role of insoluble atoms in the formation of a three-dimensional buffer layer in inverted Stranski–Krastanov mode Reviewed

    Naoto Yamashita, Ryo Mitsuishi, Yuta Nakamura, Keigo Takeda, Masaru Hori, Kunihiro Kamataki, Takamasa Okumura, Kazunori Koga & Masaharu Shiratani

    Journal of Materials Research   Vol. 38   page: 1178 - 1185   2023.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1557/s43578-022-00886-7

  22. Substrates on Surface-Assisted Laser Desorption/Ionization Mass Spectrometry Performance Reviewed

    Ryusei Sakai ,Hiroki Kondo ,Kenji Ishikawa,Takayuki Ohta, Mineo Hiramatsu, Hiromasa Tanaka and Masaru Hori

    Nanomaterials   Vol. 13 ( 1 )   2022.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3390/nano13010063

  23. Non-thermal plasma elicits ferrous chloride-catalyzed DMPO-OH Reviewed

    Yasumasa Okazaki, Nanami Ito, Hiromasa Tanaka, Masaru Hori & Shinya Toyokuni

    Free Radical Research   Vol. 56 ( 9-10 ) page: 595 - 606   2022.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  24. Indoor floor heel mark removal using spark discharges and pressurized airflow Reviewed

    Yoshihiro Sakamoto, Takayoshi Tsutsumi, Hiromasa Tanaka, Kenji Ishikawa, Hiroshi Hashizume and Masaru Hori

    Coatings 2022   Vol. 12 ( 12 )   2022.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3390/coatings12121938

  25. Leukocyte Depletion and Size-Based Enrichment of Circulating Tumor Cells Using a Pressure-Sensing Microfiltration Device Reviewed

    Daisuke Onoshima, Tetsunari Hase, Naoto Kihara, Daiki Kuboyama, Daiki Kuboyama, Hiromasa Tanaka, Naoya Ozawa, Hiroshi Yukawa, Mitsuo Sato, Kenji Ishikawa, Yoshinori Hasegawa, Makoto Ishii, Masaru Hori, and Yoshinobu Baba

    ACS Meas. Sci. Au   Vol. 3   page: 113 - 119   2022.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1021/acsmeasuresciau.2c00057

  26. Mechanical properties of maze-like carbon nanowalls synthesized by the radial injection plasma enhanced chemical vapor deposition method Reviewed

    Swapnil Ghodke, Motoyuki Murashim, Dennis Christy, Ngo Van Nong, Kenji Ishikawa, Osamu Oda, Noritsugu Umehara, Masaru Horia

    Materials Science & Engineering A   Vol. 862 ( 18 ) page: 1 - 8   2022.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.msea.2022.144428

  27. Dry etching of ternary metal carbide TiAlC via surface modification using floating wire-assisted vapor plasma Reviewed

    Thi‑Thuy‑Nga Nguyen, Kazunori Shinoda, Hirotaka Hamamura, Kenji Maeda, KenetsuYokogawa, Masaru Izawa, Kenji Ishikawa, Masaru Hor

    Scientific Reports   Vol. 12   page: 1 - 13   2022.11

     More details

    Language:English  

    DOI: 10.1038/s41598-022-24949-1

  28. Carbon Layer Formation on Hexagonal Boron Nitride by Plasma Processing in Hydroquinone Aqueous Solution Reviewed

    Inoue, Kenichi; Sakakibara, Noritaka; Goto, Taku; Ito, Tsuyohito; Shimizu, Yoshiki; Hakuta, Yukiya; Ishikawa, Kenji; Hori, Masaru; Terashima, Kazuo Terashima

    ACS Applied Materials & Interfaces   Vol. 14 ( 17 ) page: 53413 - 53420   2022.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1021/acsami.2c15951

  29. Creation of unique shapes by coordination of alumina nanopores and carbon nanowalls Reviewed

    Yerassyl Yerlanuly, Dennis Christy, Ngo Van Nong, Hiroki Kondo, Balaussa Ye. Alpysbayeva, Rakhymzhan Zhumadilov, Renata R. Nemkayeva, Tlekkabul S. Ramazanov, Masaru Hori, Maratbek T. Gabdullin

    Fullerenes, nanotubes, and carbon nanostructures   Vol. 31 ( 4 ) page: 295 - 301   2022.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1080/1536383X.2022.2146672

  30. Biocompatibility of conformal silicon carbide on carbon nanowall scaffolds Reviewed

    Koki Ono, Takashi Koide, Kenji Ishikawa, Hiromasa Tanaka, HIROKI KONDO, Ayae Sugawara-Narutaki, Yong Jin, Shigeno Yasuhara, Masaru HORI and Wakana Takeuchi

    Japanese Journal of Applied Physics (Special Issues)   Vol. 62 ( SA )   2022.11

     More details

    Language:English  

    DOI: 10.35848/1347-4065/ac9319

  31. Radical-controlled plasma processes Reviewed

    Masaru Hori

    Reviews of Modern Plasma Physics   Vol. 6 ( 1 ) page: 1 - 117   2022.11

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1007/s41614-022-00084-2

  32. Area-selective plasma-enhanced atomic layer etching (PE-ALE) of silicon dioxide using a silane coupling agent Reviewed

    Osonio, Airah P. ; Tsutsumi, Takayoshi ; Oda, Yoshinari ; Mukherjee, Bablu ; Borude, Ranjit ; Kobayashi, Nobuyoshi ; Hori, Masaru

    Journal of Vacuum Science & Technology A,   Vol. 40 ( 6 )   2022.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/6.0002044

  33. Dissociation channels of c-C4F8to C2F4in reactive plasma Reviewed

    Hayashi, Toshioa;Ishikawa, Kenjia;Iwayama, Hiroshib;Sekine, Makotoa;Hori, Masarua

    Japanese Journal of Applied Physics   Vol. 61 ( 10 )   2022.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ac895e

  34. 液中プラズマ法によるナノグラフェン合成に及ぼす超音波の影響

    安藤 啓司、長谷川 健太、近藤 博基、堀 勝

    超音波テクノ   Vol. 34 ( 5 ) page: 42 - 46   2022.10

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  35. Power Generation Characteristics of Polymer Electrolyte Fuel Cell Using Carbon Nanowalls for Catalyst-Support-Materials Reviewed

    Takayuki Ohta , Hiroaki Iwata, Mineo Hiramatsu, Hiroki Kondo, Masaru Hori

    C - Journal of Carbon Research   Vol. 8 ( 3 )   2022.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3390/c8030044

  36. Effects of deposition precursors of hydrogenated amorphous carbon films on the plasma etching resistance based on mass spectrometer measurements and machine learning analysis Reviewed

    Jumpei Kurokawa, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    Vacuum   Vol. 205   2022.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.vacuum.2022.111351

  37. The 2022 Plasma Roadmap: low temperature plasma science and technology Reviewed

    I Adamovich, S Agarwal, E Ahedo, L L Alves, S Baalrud, N Babaeva, A Bogaerts, A Bourdon, P J Bruggeman, C Canal, E H Choi, S Coulombe, Z Donkó, D B Graves, S Hamaguchi, D Hegemann, M Hori, H-H Kim, G M W Kroesen, M J Kushner, A Laricchiuta, X Li, T E Magin, S Mededovic Thagard, V Miller, A B Murphy, G S Oehrlein, N Puac, R M Sankaran, S Samukawa, M Shiratani, M Šimek, N Tarasenko, K Terashima, E Thomas Jr, J Trieschmann, S Tsikata, M M Turner, I J van der Walt, M C M van de Sanden and T von Woedtke

    Journal of Physics D: Applied Physics   Vol. 55 ( 37 )   2022.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/ac5e1c

  38. Wide range applications of process plasma diagnostics using vacuum ultraviolet absorption spectroscopy Reviewed

    Keigo Takeda, Kenji Ishikawa, Masaru Hori

    Reviews of Modern Plasma Physics   Vol. 6 ( 1 )   2022.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1007/s41614-022-00075-3

  39. 低温プラズマの農業応用への可能性と進展

    橋爪 博司・堀 勝

    アグリバイオ   Vol. 6 ( 6 ) page: 47 - 51   2022.6

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  40. Study of optical emission spectroscopy using modified Boltzmann plot in dual-frequency synchronized pulsed capacitively coupled discharges with DC bias at low-pressure in Ar/O2/C4F8 plasma etching process Reviewed

    Bibhuti Bhusan Sahu, Kazuya Nakane, Kenji Ishikawa, Makoto Sekine, Takayoshi Tsutsumi, Taku Gohira, Yoshinobu Ohya, Noriyasu Ohnoad and Masaru Hori

    Physical Chemistry Chemical Physics   Vol. 22   page: 13883 - 13896   2022.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  41. Nanosecond-scale impulse generator for biomedical applications of atmospheric-pressure plasma technology Reviewed

    Vladislav Gamaleev, Naohiro Shimizu, and Masaru Hori

    Review of Scientific Instruments   Vol. 93 ( 5 ) page: 053503-1 - 053503-10   2022.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/5.0082175

  42. High-Efficient Hydrogen Generation Study by a Reverse Tailing Pulsed-Plasma Water Dissociation Applying Wet Electrode Method Reviewed

    Naohiro Shimizu, Ranjit R Borude, Reiko Tanaka, Osamu Oda, Hiroki Hosoe, Satoshi Inou, Yousuke Inoue and Masaru Hori

    Journal of The Electrochemical Society   Vol. 169 ( 5 ) page: 054507   2022.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/1945-7111/ac6a1b

  43. Ion-induced interface defects in a-Si:H/c-Si heterojunction: possible roles and kinetics of hot mobile hydrogens Reviewed

    Shota Nunomura, Takayoshi Tsutsumi, Kazuya Nakane, Aiko Sato, Isao Sakata and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 61 ( 5 ) page: 056003-1 - 056003-11   2022.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ac5210

  44. Enhanced Bioremediation of 4-Chlorophenol by Electrically Neutral Reactive Species Generated from Nonthermal Atmospheric-Pressure Plasma Reviewed

    Hiroyuki Kato, Kiyota Sakai, Shou Itoh, Naoyuki Iwata, Masafumi Ito, Masaru Hori, Masashi Kato, and Motoyuki Shimizu

    ACS OMEGA   Vol. 7   page: 16197 - 16203   2022.4

     More details

    Language:English  

    DOI: 10.1021/acsomega.2c01615

  45. Cytotoxicity of plasma-irradiated lactate solution produced under atmospheric airtight conditions and generation of the methyl amino group Reviewed

    Daiki Ito, Naoyuki Iwata, Kenji Ishikawa, Kae Nakamura, Hiroshi Hashizume, Camelia Miron, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno and Masaru Hori

    Applied Physics Express   Vol. 15 ( 5 ) page: 56001   2022.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1882-0786/ac6360

  46. プラズマによるカーボンナノウォールの合成と応用 Reviewed

    平 松 美 根 男,竹 田 圭 吾,近 藤 博 基,堀 勝

    プラズマ・核融合学会誌   Vol. 98 ( 4 ) page: 158 - 164   2022.4

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  47. In-liquid plasma synthesis of iron–nitrogen-doped carbon nanoflakes with high catalytic activity Reviewed

    Hiroki Kondo,Ryo Hamaji,Tomoki Amano,Kenji Ishikawa,Makoto Sekine,Mineo Hiramatsu,Masaru Hori

    Plasma Processes and Polymers   Vol. 19 ( 8 )   2022.4

     More details

    Language:English  

    DOI: 10.1002/ppap.202100203

  48. Low-temperature reduction of SnO2 by floating wire-assisted medium-pressure H2/Ar plasma Reviewed

    Nguyen, Thi-Thuy-Nga; Sasaki, Minoru; Hsiao, Shih-Nan; Tsutsumi, Takayoshi; Ishikawa, Kenji; Hori, Masaru

    Plasma Processes and Polymers     2022.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1002/ppap.202100209

  49. Scaffolds with isolated carbon nanowalls promote osteogenic differentiation through Runt-related transcription factor 2 and osteocalcin gene expression of osteoblast-like cells Reviewed

    Tomonori Ichikawa, Kenji Ishikawa, Hiromasa Tanaka, Naohiro Shimizu, and Masaru Hori

    AIP Advances   Vol. 12 ( 2 )   2022.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/5.0075530

  50. Plasma-assisted thermal-cyclic atomic-layer etching of tungsten and control of its selectivity to titanium nitride, Reviewed

    Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Yuko Hanaoka, Masaru Izawa, Kenji Ishikawa, and Masaru Hori

    Journal of Vacuum Science & Technology B   Vol. 40 ( 2 )   2022.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/6.0001660

  51. Tetrachloroaurate (III)-induced oxidation increases non-thermal plasma-induced oxidative stress Reviewed

    Yasumasa Okazaki, Kanako Sasaki, Nanami Ito, Hiromasa Tanaka, Ken-Ichiro Matsumoto, Masaru Hori & Shinya Toyokuni

    Free Radical Research   Vol. 55 ( 8 )   2022.1

     More details

    Language:English  

    DOI: 10.1080/10715762.2022.2026348

  52. Nitrogen Atom Density Measurements in NAGDIS-T Using Vacuum Ultraviolet Absorption Spectroscopy

    Nishio Ryosuke, Kajita Shin, Tanaka Hirohiko, Asaoka Koji, Tsutsumi Takayoshi, Hori Masaru, Ohno Noriyasu

    PLASMA AND FUSION RESEARCH   Vol. 17   2022.1

     More details

    Language:Japanese  

    DOI: 10.1585/pfr.17.1201004

    Web of Science

  53. Enhancement of ethanol production and cell growth in budding yeast by direct irradiation of low-temperatur Reviewed

    Hiromasa Tanaka, Shogo Matsumura, Kenji Ishikawa, Hiroshi Hashizume, Masafumi Ito, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Mikako Ito, Kinji Ohno, Yasumasa Okazaki, Shinya Toyokuni, Masaaki Mizuno and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 61 ( SA )   2022.1

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ac2037

    Web of Science

  54. プラズマ生命エレクトロニクスの幕開 Invited Reviewed

    堀 勝

    化学工業   ( 863 ) page: 1 - 5   2022.1

     More details

    Authorship:Lead author   Language:Japanese   Publishing type:Research paper (scientific journal)  

  55. 低温プラズマバイオサイエンスの未来 Reviewed

    堀 勝

    プラズマ・核融合学会誌エレクトロニクス分化会会報   Vol. 75   page: 9 - 13   2021.12

     More details

    Language:Japanese   Publishing type:Research paper (other academic)  

  56. ハイパーサーミアがん治療への相乗効果―プラズマ活性酢酸リンゲル液との併用 Reviewed

    田中 宏昌, 堀 勝

    日本ハイパーサーミア学会誌   Vol. 37 ( 4 ) page: 141 - 142   2021.12

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    DOI: 10.3191/thermalmed.37.141

  57. On the etching mechanism of highly hydrogenated sin films by CF4/D2 plasma: Comparison with CF4/H2 Reviewed

    Shih-Nan Hsiao, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    Coatings   Vol. 11 ( 12 )   2021.12

     More details

    Language:English  

    DOI: https://doi.org/10.3390/coatings11121535

  58. Low Temperature Plasma for Biology, Hygiene, and Medicine: Perspective and Roadmap Reviewed

    Mounir Laroussi, Sander Bekeschus, Michael Keidar, Annemie Bogaerts, Alexander Fridman, XinPei Lu, Kostya (Ken)Ostrikov, Masaru Hori, Katharina Stapelmann, Vandana Miller, Stephan Reuter, Christophe Laux, Ali Mesbah, James Walsh, Chunqi Jiang, Selma Mededovic Thagard, Hiromasa

    IEEE Transaction on Radiation and Plasma Medical Sciences   Vol. 6 ( 2 )   2021.12

     More details

    Language:English  

    DOI: 10.48550/arXiv.2108.03158

  59. プラズマによるがん治療 -2021年の状況と将来展望- Reviewed

    石川 健治、 堀 勝

    静電気学会誌   Vol. 45 ( 6 ) page: 2 - 8   2021.12

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  60. Reaction Mechanism & Selectivity Control of Si-Compound ALE Based on Plasma Modification and F-radical Exposure Reviewed

    Vervuurt Ren, Mukherjee Bablu, Nakane Kazuya, Tsutsumi Takayoshi, Hori, Masaru, Kobayashi, Nobuyoshi

    LANGMUIR   Vol. 37 ( 43 ) page: 12519 - 12766   2021.10

     More details

    Language:English  

    DOI: 10.1021/acs.langmuir.1c02036

  61. 窒化物半導体プラズマエッチングにおける原子層反応制御と低ダメージプロセス Reviewed

    堤 隆嘉、石川 健治、近藤 博基、関根 誠、堀 勝

    プラズマ・核融合学会誌   Vol. 97 ( 9 ) page: 517 - 521   2021.9

     More details

    Language:Japanese  

  62. Low temperature plasma irradiation products of sodium lactate solution that induce cell death on U251SP glioblastoma cells were identified. Reviewed

    HiromasaTanaka, Yugo Hosoi, Kenji Ishikawa, JunYoshitake, Takahiro Shibata, Koji Uchida, Hiroshi Hashizume, Masaaki Mizuno, Yasumasa Okazaki, ShinyaToyokuni, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaru Hori

    Scientific Reports     2021.9

     More details

    Language:English  

    DOI: 10.1038/s41598-021-98020-w

  63. 先端プラズマプロセスが実現するバイオ・ライフテクノロジー Reviewed

    堀 勝

    化学工学   Vol. 85 ( 9 ) page: 478 - 479   2021.9

     More details

    Authorship:Lead author   Language:Japanese  

  64. Novel Method of Rebound Tailing Pulse (RTP) for Water Dissociation Reviewed

    Naohiro Shimizu; Ranjit R. Borude; Reiko Tanaka; Kenji Ishikawa; Osamu Oda; Hiroki Hosoe; Satoshi Ino; Yosuke Inoue; Masaru Hori

    IEEE Transactions on Plasma Science   Vol. 49 ( 9 ) page: 2893 - 2900   2021.8

     More details

    Language:English  

    DOI: 10.1109/TPS.2021.3102639

  65. Effects of hydrogen content in films on the etching of LPCVD and PECVD SiN films using CF4/H2 plasma at different substrate temperatures Reviewed

    Shih-Nan Hsiao,Nikolay Britun,Thi-Thuy-Nga Nguyen,Takayoshi Tsutsumi,Kenji Ishikawa,Makoto Sekine,Masaru Hori,

    Plasma Processes and Polymers   Vol. 18 ( 11 )   2021.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.202100078

  66. Evidence of near-the-limit energy cost NO formation in atmospheric spark discharge Reviewed

    Nikolay Britun, Vladislav Gamaleev and Masaru Hori

    Plasma Sources Science and Technology   Vol. 30 ( 8 )   2021.8

     More details

    Language:English  

    DOI: https://doi.org/10.1088/1361-6595/ac12bf

  67. Plasma-activated Ringer's lactate solution inhibits the cellular respiratory system in HeLa cells Reviewed

    Hiromasa Tanaka,Shogo Maeda,Kae Nakamura,Hiroshi Hashizume,Kenji Ishikawa,Mikako Ito,Kinji Ohno,Masaaki Mizuno,Yashiro Motooka,Yasumasa Okazaki,Shinya Toyokuni,Hiroaki Kajiyama,Fumitaka Kikkawa,Masaru Hori

    PLASMA PROCESSES AND POLYMERS   Vol. 18 ( 10 )   2021.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.202100056

  68. Non-thermal plasma-induced DMPO-OH yields hydrogen peroxide Reviewed

    Yasumasa Okazaki HiromasaTanaka Ken-Ichiro Matsumoto Masaru Hori ShinyaToyokuni

    Archives of Biochemistry and Biophysics   Vol. 705   2021.7

     More details

    Language:English  

    DOI: 10.1016/j.abb.2021.108901

  69. Brain cell proliferation in adult rats after irradiation with nonequilibrium atmospheric pressure plasma Reviewed

    Yamato Masanori, Tamura Yasuhisa, Tanaka Hiromasa, Ishikawa Kenji, Ikehara Yuzuru, Hori Masaru, Kataoka Yosky

    Applied Physics Express   Vol. 14 ( 36 )   2021.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.35848/1882-0786/ac03c1

  70. Cancer Treatments Using Low-Temperature Plasma Reviewed

    Hiromasa Tanaka , Masaaki Mizuno , Kenji Ishikawa , Shinya Toyokuni , Hiroaki Kajiyama , Fumitaka Kikkawa , Masaru Hori

    Current Medicinal Chemistry   Vol. 28 ( 41 ) page: 8549 - 8558   2021.6

     More details

    Language:English  

    DOI: 10.3390/coatings11121535

  71. Lysosomal nitric oxide determines transition from autophagy to ferroptosis after exposure to plasma-activated Ringer's lactate Reviewed

    LiJianga, Hao Zhenga, Qinying Lyua Shotaro Hayashi, Kotaro Satoa, Yoshitaka Sekido, Kae Nakamura, Hiromasa Tanaka, Kenji Ishikawa, Hiroaki Kajiyama, Masaaki Mizuno, Masaru Hori, ShinyaToyokuni

    Redox Biology   Vol. 43   2021.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.redox.2021.101989

  72. Hydrogen peroxide in lactate solutions irradiated by non-equilibrium atmospheric pressure plasma Reviewed

    Yang Liu, Kenji Ishikawa, Camelia Miron, Hiroshi Hashizume, Hiromasa Tanaka and Masaru Hori

    Plasma Sources Science and Technology   Vol. 30   2021.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  73. Plasma-Treated Solutions (PTS) in Cancer Therapy Reviewed

    Hiromasa Tanaka, Sander Bekeschus, Dayun Yan, Masaru Hori, Michael Keidar and Mounir Laroussi

    Cancer   Vol. 13 ( 7 )   2021.4

     More details

    Publishing type:Research paper (scientific journal)  

    DOI: 10.3390/cancers13071737

  74. Differential data on the responsiveness of multiple cell types to cell death induced by non-thermal atmospheric pressure plasma-activated solutions Reviewed

    Ko Eto, Chiaki Ishinada, Takuya Suemoto, Keiichiro Hyakutake, Hiromasa Tanaka, Masaru Hori

    Data in Brief   Vol. 36   2021.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.dib.2021.106995

  75. Preclinical Verification of the Efficacy and Safety of Aqueous Plasma for Ovarian Cancer Therapy Reviewed

    Kae Nakamura, Nobuhisa Yoshikawa, Yuko Mizuno, Miwa Ito, Hiromasa Tanaka, Masaaki Mizuno, Shinya Toyokuni, Masaru Hori, Fumitaka Kikkawa and Hiroaki Kajiyama

    Cancer   Vol. 13 ( 5 )   2021.3

     More details

    Language:English  

    DOI: 10.3390/cancers13051141

  76. 小特集 大気圧低温プラズマに対する細胞応答とがん治療への展開 2.プラズマ照射溶液が誘発する細胞死 Reviewed

    田 中 宏 昌,堀 勝

    プラズマ・核融合学会誌   Vol. 97 ( 3 ) page: 119 - 122   2021.3

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  77. 小特集 大気圧低温プラズマに対する細胞応答とがん治療への展開 1.はじめに Reviewed

    田 中 宏 昌,堀 勝

    プラズマ・核融合学会誌   Vol. 97 ( 3 ) page: 117 - 118   2021.3

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  78. 低温プラズマを用いたウイルスの不活性化(-ウイルス滅のプラズマ刃-) Reviewed

    堀 勝,伊藤 昌文

    応用物理学会 特別WEBコラム 新型コロナウィルス禍に学ぶ応用物理     2021.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  79. Cyclic C4F8 and O2 plasma etching of TiO2 for high-aspect-ratio three-dimensional devices Reviewed

    Tsubasa Imamura, Itsuko Sakai, Hisataka Hayashi, Makoto Sekine and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 60 ( 3 )   2021.2

     More details

    Language:English  

    DOI: 10.35848/1347-4065/abdf78

  80. A novel and distinctive mode of cell death revealed by using non-thermal atmospheric pressure plasma: The involvements of reactive oxygen species and the translation inhibitor Pdcd4 Reviewed

    Ko Eto, Chiaki Ishinada, Takuya Suemoto, Keiichiro Hyakutake, Hiromasa Tanaka, Masaru Hori

    Chemico-Biological Interactions   Vol. 338   2021.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.cbi.2021.109403

  81. Identification of key neutral species in atmospheric‐pressure plasma for promoting proliferation of fibroblast cells Reviewed

    Yuki Hori, Naoyuki Iwata, Vladislav Gamaleev, Jun‐Seok Oh, Tomiyasu Murata, Masaru Hori, Masafumi Ito

    Plasma Processes and Polymers   Vol. 18 ( 4 )   2021.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.202000225

  82. L-Dehydroascorbate efficiently degrades non-thermal plasma-induced hydrogen peroxide Reviewed

    Yasumasa Okazaki, Yuuri Ishidzu, Fumiya Itoa, Hiromasa Tanaka, Masaru Hori, Shinya Toyokuni

    Archives of Biochemistry and Biophysics   Vol. 700   2021.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.abb.2021.108762

  83. Effects of Carbon Nanowalls (CNWs) Substrates on Soft Ionization of Low-Molecular-Weight Organic Compounds in Surface-Assisted Laser Desorption/Ionization Mass Spectrometry (SALDI-MS) Reviewed

    Ryusei Sakai, Tomonori Ichikawa, Hiroki Kondo , Kenji Ishikawa, Naohiro Shimizu, Takayuki Ohta, Mineo Hiramatsu, Masaru Hori

    Nanomaterials    Vol. 11 ( 262 )   2021.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3390/nano11020262

  84. Growth inhibition effect on Trypanosoma brucei gambiense by the oxidative stress supplied from low-temperature plasma at atmospheric pressure Reviewed

    Naoaki Yokoyama, Thillaiampalam Sivakumar, Sanae Ikehara, Yoshihiro Akimoto, Takashi Yamaguchi, Ken Wakai, Kenji Ishikawa, Masaru Hori, Tetsuji Shimizu, Hajime Sakakita and Yuzuru Ikehara

    Japanese Journal of Applied Physics   Vol. 60 ( 2 )   2021.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/abd464

  85. Reduction in photon-induced interface defects by optimal pulse repetition rate in the pulse-modulated inductively coupled plasma Reviewed

    Yasufumi Miyoshi, Kenji Ishikawa, Makoto Sekine, Masaru Hori and Tetsuya Tatsumi

    Japanese Journal of Applied Physics   Vol. 60 ( 1 )   2020.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/abd113

  86. 大気圧低温プラズマとバイオ技術応用 Reviewed

    堀 勝

    応用物理    Vol. 89 ( 12 ) page: 701-706   2020.12

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  87. Inactivation mechanism of fungal spores through oxygen radicals in atmospheric-pressure plasma Reviewed

    Masafumi Ito, Hiroshi Hashizume, Jun-Seok Oh, Kenji Ishikawa, Takayuki Ohta and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 60 ( 1 )   2020.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.35848/1347-4065/abcbd1

  88. Influences of substrate temperatures on etch rates of PECVD-SiN thin films with a CF4/H2 plasma Reviewed

    Shih-NanHsiao, Kazuya Nakane, Takayoshi Tsutsumi, KenjiI shikawa, Makoto Sekine, Masaru Hori

    Applied Surface Science   Vol. 542   2020.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.apsusc.2020.148550

  89. Selective etching of SiN against SiO2 and poly-Si films in hydrofluoroethane chemistry with a mixture of CH2FCHF2, O2, and Ar Reviewed

    Shih-Nan Hsiao, Kenji Ishikawa, Toshio Hayashi, Jiwei Ni, Takayoshi Tsutsumi, Makoto Sekine, Masaru Hori

    Applied Surface Science   Vol. 541   2020.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.apsusc.2020.148439

  90. Novel Epitaxy for Nitride Semiconductors Using Plasma Technology Reviewed

    Osamu Oda, Masaru Hori

    physica status solidi (a)   Vol. 218 ( 1 )   2020.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/pssa.202000462

  91. Formation of spherical Sn particles by reducing SnO2 film in floating wire-assisted H2/Ar plasma at atmospheric pressure Reviewed

    Thi-Thuy-Nga Nguyen, Minoru Sasaki, Takayoshi Tsutsumi, Kenji Ishikawa & Masaru Hori

    Scientific Reports   Vol. 10   2020.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1038/s41598-020-74663-z

  92. Improvement of yield and grain quality by periodic cold plasma treatment with rice plants in a paddy field Reviewed

    Hiroshi Hashizume Hidemi Kitano Hiroko Mizuno Akiko Abe Genki Yuasa Satoe Tohno Hiromasa Tanaka Kenji Ishikawa Shogo Matsumoto

    Plasma Processes and Polymers   Vol. 18 ( 1 )   2020.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.202000181

  93. Roles of Atomic Nitrogen/Hydrogen in GaN Film Growth by Chemically Assisted Sputtering with Dual Plasma Sources Reviewed

    Atsushi Tanide, Shohei Nakamura, Akira Horikoshi, Shigeru Takatsuji, Takahiro Kimura, Kazuo Kinose, Soichi Nadahara, Masazumi Nishikawa, Akinori Ebe, Kenji Ishikawa, Osamu Oda, and Masaru Hori

    ACS omega   Vol. 5 ( 41 ) page: 26297-26956   2020.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1021/acsomega.0c03865

  94. Laser-induced-plasma-activated medium enables killing of HeLa cells Reviewed

    Yukihiro Kurokawa, Keigo Takeda, Kenji Ishikawa, Hiromasa Tanaka and Masaru Hori

    Applied Physics Express   Vol. 13 ( 10 )   2020.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.35848/1882-0786/abb68c

  95. Small size gold nanoparticles enhance apoptosis-induced by cold atmospheric plasma via depletion of intracellular GSH and modification of oxidative stress Reviewed

    Mati Ur Rehman, Paras Jawaid, Qing Zhao, Masaki Misawa, Kenji Ishikawa, Masaru Hori, Tadamichi Shimizu, Jun ichi Saitoh, Kyo Noguchi, and Takashi Kondo

    Cell Death Discovery   Vol. 6   2020.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1038/s41420-020-00314-x

  96. Influence of chamber pressure on the crystal quality of homo-epitaxial GaN grown by radical-enhanced MOCVD (REMOCVD) Reviewed

    Frank Wilson Amalraj, NaohiroShimizu, OsamuOda, KenjiIshikawa, MasaruHori

    Journal of Crystal Growth   Vol. 549   2020.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.jcrysgro.2020.125863

  97. Silver film etching using halogen gas plasma Reviewed

    Toshiyuki Sasaki, Kenichi Yoshikawa, Kazuhito Furumoto, Itsuko Sakai, Hisataka Hayashi, Makoto Sekine, and Masaru Hori

    Journal of Vacuum Science & Technology A   Vol. 38 ( 5 ) page: 1-12   2020.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/6.0000286

  98. Reaction science of layer-by-layer thinning of graphene with oxygen neutrals at room temperature temperature Reviewed

    Hirotsugu Sugiura, Hiroki Kondo, Kimitaka Higuchi, Shigeo Arai, Ryo Hamaji, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori

    Carbon   Vol. 170   page: 93-99   2020.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.carbon.2020.07.052

  99. Steering of surface discharges on Through-Glass-Vias (TGVs) combined with high-density nonequilibrium atmospheric pressure plasma generation Reviewed

    Yoichiro Sato, Kaede Katsuno, Hidefumi Odaka, Nobuhiko Imajyo, Kenji Ishikawa and Masaru Hori

    Journal of Physics D: Applied Physics   Vol. 53 ( 43 ) page: 1-11   2020.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aba1ad

  100. Atomic nitrogen density measurements by actinometry method in the toroidal device NAGDIS-T Reviewed

    Shin Kajita, Koji Asaoka, Hirohiko Tanaka, Ryosuke Nishio, Takayoshi Tsutsumi, Masaru Hori, and Noriyasu Ohno

    Japanese Journal of Applied Physics   Vol. 59 ( 8 ) page: 1-6   2020.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/aba456

  101. Measurements of nitrogen atom density in a microwave-excited plasma jet produced under moderate Reviewed

    Jaeho Kim, Keigo Takeda, Hirotomo Itagaki, Xue-lun Wang, Shingo Hirose, Hisato Ogiso, Tetsuji Shimizu, Naoto Kumagai, Takayoshi Tsutsumi, Hiroki Kondo, Masaru Hori, Hajime Sakakita

    IEEJ TRANSACTIONS ON ELECTRICAL AND ELECTRONIC ENGINEERING   Vol. 15 ( 9 )   2020.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/tee.23194

  102. Numerical analysis of coaxial dielectric barrier helium discharges: Three-stage mode transitions and internal bullet propagation Reviewed

    Yosuke Sato, Kenji Ishikawa, Takayoshi Tsutsumi and Masaru Hori

    Applied Physics Express   Vol. 13 ( 8 ) page: 1-5   2020.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  103. Adjusted multiple gases in the plasma flow induce differential antitumor potentials of plasma activated solutions Reviewed

    Kae Nakamura Nobuhisa Yoshikawa Masato Yoshihara Yoshiki Ikeda Akihiro Higashida Akihiro Niwa Takahiro Jindo Hiromasa Tanaka Kenji Ishikawa Masaaki Mizuno Shinya Toyokuni Masaru Hori Fumitaka Kikkawa Hiroaki Kajiyama

    Plasma Processes and Polymers   Vol. 17 ( 10 )   2020.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.201900259

  104. In situ surface analysis of an ion-energy-dependent chlorination layer on GaN during cyclic etching using Ar+ ions and Cl radicals Reviewed

    Masaki Hasegawa Takayoshi Tsutsumi, Atsushi Tanide, Shohei Nakamura, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    Journal of Vacuum Science & Technology A   Vol. 38 ( 4 ) page: 1-11   2020.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/6.0000124

  105. Study of the effect of normal load on friction coefficient and wear properties of CNx thin films Reviewed

    Satyananda Kar, Bibhuti Bhusan Sahu, Hiroyuki Kousaka, Jeon Geon Han, and Masaru Hori

    AIP Advances   Vol. 10 ( 6 ) page: 1-8   2020.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/5.0009783

  106. Atomic oxygen radical induced intracellular oxidization of mould spore Reviewed

    Yuta Tanaka, Jun Seok Oh, Hiroshi Hashizume, Takayuki Ohta, Masashi Kato, Masaru Hori, Masafumi Ito

    Plasma Processes and Polymers   Vol. 17 ( 10 )   2020.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.202000001

  107. Non-thermal plasma-activated lactate solution kills U251SP glioblastoma cells in an innate reductive manner with altered metabolism Reviewed

    Kenji Ishikawa, Yugo Hosoi, Hiromasa Tanaka, Li Jiang, ShinyaToyokuni, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Masaru Hori

    Archives of Biochemistry and Biophysics   Vol. 688   page: 1-9   2020.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.abb.2020.108414

  108. In-plane modification of hexagonal boron nitride particles via plasma in solution Reviewed

    Tsuyohito Ito, Taku Goto, Kenichi Inoue, Kenji Ishikawa, Hiroki Kondo, Masaru Hori, Yoshiki Shimizu, Yukiya Hakuta and Kazuo

    Applied Physics Express   Vol. 13 ( 6 ) page: 1-3   2020.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1882-0786/ab916c

  109. Electronic properties and primarily dissociation channels of fluoromethane Reviewed

    Toshio Hayashi, Kenji Ishikawa, Makoto Sekine and Masaru

    Japanese Journal of Applied Physics   Vol. 59 ( SJ ) page: 1-12   2020.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ab7e3f

  110. Numerical simulations of stable, high-electron-density atmospheric pressure argon plasma under pin-to-plane electrode geometry: effects of applied voltage polarity Reviewed

    Yosuke Sato, Kenji Ishikawa, Takayoshi Tsutsumi, Akio Ui1, Masato Akita, Shotaro Oka and Masaru

    Journal of Physics D: Applied Physics   Vol. 53 ( 26 ) page: 1-14   2020.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/ab7df0

  111. Synthesis of carbon nanowalls on the surface of nanoporous alumina membranes by RI-PECVD method Reviewed

    Yerassyl Yerlanuly, Dennis Christy, Ngo Van Nong, Hiroki Kondo, Balaussa Alpysbayeva, Renata Nemkayeva, Meruert Kadyr, Tlekkabul Ramazanov, Maratbek Gabdullin, Didar Batryshev, Masaru Hori

    Applied Surface Science   Vol. 523   page: 1-6   2020.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.apsusc.2020.146533

  112. Characterization of a microsecond pulsed non-equilibrium atmospheric pressure Ar plasma using laser scattering and optical emission spectroscopy Reviewed

    Fengdong JIA, Yong WU, Qi MIN, Maogen SU, Keigo TAKEDA, Kenji ISHIKAWA, Hiroki KONDO,Makoto SEKINE, Masaru HORI and Zhiping ZHONG

    Plasma Science and Technology   Vol. 22 ( 6 ) page: 1-8   2020.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/2058-6272/ab84e2

  113. Generation and diagnostics of ambient air glow discharge in centimeter-order gaps Reviewed

    Vladislav Gamaleev, Takayoshi Tsutsumi, Mineo Hiramatsu, Masafumi Ito, and Masaru Hori

    IEEE Access   Vol. 8   page: 72607 - 72619   2020.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1109/ACCESS.2020.2988091

  114. Transparent elongation and compressive strain sensors based on aligned carbon nanowalls embedded in polyurethane

    Slobodian Petr, Riha Pavel, Kondo Hiroki, Cvelbar Uros, Olejnik Robert, Matyas Jiri, Sekine Makoto, Hori Masaru

    SENSORS AND ACTUATORS A-PHYSICAL   Vol. 306   2020.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.sna.2020.111946

    Web of Science

  115. Growth of single crystalline films on lattice-mismatched substrates through 3D to 2D mode transition Reviewed

    Naho Itagaki, Yuta Nakamura, Ryota Narishige, Keigo Takeda, Kunihiro Kamataki, Kazunori Koga, Masaru Hori & Masaharu Shiratani

    Scientific Reports   Vol. 10   2020.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1038/s41598-020-61596-w

  116. Electron and negative ions dynamics in a pulsed 100 MHz capacitive discharge produced in O2 and Ar/O2/C4F8 gas mixture Reviewed

    N Sirse, T Tsutsumi, M Sekine, M Hori and A R Ellingboe

    Plasma Sources Science and Technology   Vol. 29 ( 3 ) page: 1-   2020.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6595/ab7086

  117. N-Graphene Nanowalls via Plasma Nitrogen Incorporation and Substitution: The Experimental Evidence Reviewed

    Neelakandan M. Santhosh, Gregor Filipič, Eva Kovacevic, Andrea Jagodar, Johannes Berndt, Thomas Strunskus, Hiroki Kondo, Masaru Hori, Elena Tatarova & Uroš Cvelbar

    Nano-Micro Letters   Vol. 12   page: 1-17   2020.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1007/s40820-020-0395-5

  118. Plasma-activated medium promotes autophagic cell death along with alteration of the mTOR pathway Reviewed

    Nobuhisa Yoshikawa, Wenting Liu, Kae Nakamura, Kosuke Yoshida, Yoshiki Ikeda, Hiromasa Tanaka, Masaaki Mizuno, Shinya Toyokuni, Masaru Hori, Fumitaka Kikkawa & Hiroaki Kajiyama

    Scientific Reports   Vol. 10   page: 1-8   2020.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1038/s41598-020-58667-3

  119. Oxygen radical based on non-thermal atmospheric pressure plasma alleviates ligninderived phenolic toxicity in yeast Reviewed

    Shou Ito, Kiyota Sakai, Vladislav Gamaleev, Masafumi Ito, Masaru Hori, Masashi Kato, Motoyuki Shimizu

    Biotechnology for Biofuels   Vol. 13   page: 1-13   2020.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1186/s13068-020-1655-9

  120. Scalable Treatment of Flowing Organic Liquids Using Ambient-Air Glow Discharge for Agricultural Applications Reviewed

    Vladislav Gamaleev, Naoyuki Iwata, Ginji Ito, Masaru Hori, Mineo Hiramatsu and Masafumi Ito

    Applied Sciences   Vol. 10 ( 3 ) page: 1-17   2020.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3390/app10030801

  121. Synthesis of isolated carbon nanowalls via high-voltage nanosecond pulses in conjunction with CH4/H2 plasma enhanced chemical vapor deposition Reviewed

    Tomonori Ichikawa, Naohiro Shimizu, Kenji Ishikawa, Mineo Hiramatsu, and Masaru Hori

    Carbon   Vol. 161   page: 403-412   2020.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.carbon.2020.01.064

  122. Interaction of oxygen with polystyrene and polyethylene polymer films: A mechanistic study Reviewed

    Yusuke Fukunaga, Roberto C. Longo, Peter L. G. Ventzek, Barton Lane, Alok Ranjan, Gyeong S. Hwang, Gregory Hartmann, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Journal of Applied Physics   Vol. 127 ( 2 )   2020.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.5127863

  123. Initial growth analysis of ALD Al2O3 film on hydrogen-terminated Si substrate via in situ XPS Reviewed

    Hiroyuki Fukumizu, Makoto Sekine, Masaru Hori and Paul C. McIntyre

    Japanese Journal of Applied Physics   Vol. 59 ( 1 ) page: 1-6   2020.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/ab6273

  124. In-liquid plasma synthesis of nanographene with a mixture of methanol and 1-butanol Reviewed

    Atsushi Ando, Kenji Ishikawa, Keigo Takeda, Takayuki Ohta, Masafumi Ito, Mineo Hiramatsu, Hiroki Kondo, Makoto Sekine, Masaru Hori

      Vol. Volume6 ( Issue4 )   2019.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/cnma.201900676

  125. Gas-phase and film analysis of hydrogenated amorphous carbon films: Effect of ion bombardment energy flux on sp2 carbon structures Reviewed

    Hirotsugu Sugiura, Yasuyuki Ohashi, Kenji Ishikawa, Hiroki Kondo, Toshiaki Kato, Toshiro Kaneko, Keigo Takeda, Takayoshi Tsutsumi, Toshio Hayashi, Makoto Sekine, Masaru Hori

    Diamond & Related Materials   Vol. 104   page: 1-10   2019.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.diamond.2019.107651

  126. Simulation-aided design of very-high-frequency excited nitrogen plasma confinement using a shield plate Reviewed

    Yasuhiro Isobe, Takayuki Sakai, Kyoichi Suguro, Naoto Miyashita, Hiroki Kondo, Kenji Ishikawa, Amalraj Frank Wilson, Naohiro Shimizu, Osamu Oda, Makoto Sekine, and Masaru Hori

    Journal of Vacuum Science & Technology B   Vol. 37 ( 6 )   2019.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1116/1.5114831

  127. Exosomal Analysis of ALK Rearrangements by Spin Column with Porous Glass Filter

    Hatta T., Hase T., Ozawa N., Yogo N., Yukawa H., Tanaka H., Onoshima D., Sato M., Hori M., Baba Y., Hasegawa Y.

    JOURNAL OF THORACIC ONCOLOGY   Vol. 14 ( 10 ) page: S676-S676   2019.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Web of Science

  128. Oxidative stress-dependent and -independent death of glioblastoma cells induced by non-thermal plasma-exposed solutions Reviewed

    Tanaka Hiromasa, Mizuno Masaaki, Katsumata Yuko, Ishikawa Kenji, Kondo Hiroki, Hashizume Hiroshi, Okazaki Yasumasa, Toyokuni Shinya, Nakamura Kae, Yoshikawa Nobuhisa, Kajiyama Hiroaki, Kikkawa Fumitaka, Hori Masaru

    SCIENTIFIC REPORTS   Vol. 9   2019.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1038/s41598-019-50136-w

    Web of Science

  129. In Situ Monitoring of Surface Reactions during Atomic Layer Etching of Silicon Nitride Using Hydrogen Plasma and Fluorine Radicals Reviewed

    Kazuya Nakane, René H. J. Vervuurt, Takayoshi Tsutsumi, Nobuyoshi Kobayashi, and Masaru Hori

    ACS Applied Materials & Interfaces   Vol. 11 ( 40 )   2019.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1021/acsami.9b11489

  130. Rapid thermal-cyclic atomic-layer etching of titanium nitride in CHF3/O-2 downstream plasma Reviewed

    Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Masaru Izawa, Kenji Ishikawa and Masaru Hori

    Journal of Physics D: Applied Physics   Vol. 52 ( 47 )   2019.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/ab3cf3

  131. Direct Treatment of Liquids Using Low-Current Arc in Ambient Air for Biomedical Applications Reviewed

    Gamaleev Vladislav, Iwata Naoyuki, Hori Masaru, Hiramatsu Mineo, Ito Masafumi

    APPLIED SCIENCES-BASEL   Vol. 9 ( 17 )   2019.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3390/app9173505

  132. Self-limiting reactions of ammonium salt in CHF3/O2 downstream plasma for thermal-cyclic atomic layer etching of silicon nitride Reviewed

    Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Masaru Izawa, Tomonori Saeki, Kenji Ishikawa, and Masaru Hori

    Journal of Vacuum Science & Technology A   Vol. 37 ( 5 )   2019.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/1.5111663

  133. Atmospheric Pressure Plasma-Treated Carbon Nanowalls' Surface-Assisted Laser Desorption/Ionization Time-of-Flight Mass Spectrometry (CNW-SALDI-MS) Reviewed

    Takayuki Ohta , Hironori Ito , Kenji Ishikawa , Hiroki Kondo , Mineo Hiramatsu and Masaru Hori

    Special Issue "Plasma Processing for Carbon-based Materials   Vol. 5 ( 3 )   2019.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3390/c5030040

  134. l-Dehydroascorbic acid recycled by thiols efficiently scavenges non-thermal plasma-induced hydroxyl radicals Reviewed

    Yasumasa Okazaki, Hiromasa Tanaka, Masaru Hori, Shinya Toyokuni

    Archives of Biochemistry and Biophysics   Vol. 669   page: 87-95   2019.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.abb.2019.05.019

  135. Review of methods for the mitigation of plasma-induced damage to low-dielectric-constant interlar dielectrics used for semiconductor logic device interconnects Reviewed

    Hideshi Miyajima , Kenji Ishikawa, Makoto Sekine , Masaru Hori

    Plasma Processes and Polymers   Vol. 16 ( 9 )   2019.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1002/ppap.201900039

  136. Investigation on the long-term bactericidal effect and chemical composition of radical-activated water Reviewed

    Naoyuki Iwata, Vladislav Gamaleev, Jun-Seok Oh, Takayuki Ohta, Masaru Hori, Masafumi Ito

    Plasma Processes and Polymers   Vol. 16 ( 10 )   2019.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.201900055

  137. Gene Expression of Osteoblast-like Cells on Carbon-Nanowall as Scaffolds during Incubation with Electrical Stimulation Reviewed

    Tomonori Ichikawa, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Hiromasa Tanaka, Makoto Sekine, Masaru Hori

    ACS Applied Bio Materials   Vol. 2 ( 8 )   2019.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1021/acsabm.9b00178

  138. Non-thermal plasma specifically kills oral squamous cell carcinoma cells in a catalytic Fe(II)-dependent manner Reviewed

    Kotaro Sato, Lei Shi, Fumiya Ito, Yuuki Ohara, Yashiro Motooka, Hiromasa Tanaka, Masaaki Mizuno, Masaru Hori, Tasuku Hirayama, Hideharu Hibi and Shinya Toyokuni

    Journal of Clinical Biochemistry and Nutrition   Vol. 65 ( 1 ) page: 8-15   2019.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3164/jcbn.18-91

  139. Simultaneous Achievement of Antimicrobial Property and Plant Growth Promotion using Plasma Activated Benzoic Compound Solution Reviewed

    Naoyuki Iwata, Vladislav Gamaleev, Hiroshi Hashizume, Jun-Seok Oh, Takayuki Ohta, Kenji Ishikawa, Masaru Hori, and Masafumi Ito

    Plasma Processes and Polymers   Vol. 16 ( 8 )   2019.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.201900023

  140. Formation mechanism of sidewall striation in high-aspect-ratio hole etching Reviewed

    Mitsuhiro Omura, Junichi Hashimoto, Takahiro Adachi, Yusuke Kondo, Masao Ishikawa, Junko Abe, Itsuko Sakai, Hisataka Hayashi, Makoto Sekine and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 58 ( SE )   2019.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/ab163c

  141. Plasma-activated solution alters the morphological dynamics of supported lipid bilayers observed by high-speed atomic force microscopy Reviewed

    Sotaro Yamaoka, Hiroki Kondo, Hiroshi Hashizume, Kenji Ishikawa, Hiromasa Tanaka and Masaru Hori

    Applied Physics Express   Vol. 12 ( 6 )   2019.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1882-0786/ab1a58

  142. Electronic properties and primarily dissociation channels of fluoroethane compounds Reviewed

    Toshio Hayashi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 58 ( SE )   2019.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/ab09ca

  143. プラズマプロセスにおける吸収分光計測の基礎

    竹田圭吾、高島成剛、堀 勝

    プラズマ・核融合学会誌   Vol. 195 ( 4 ) page: 180-186   2019.4

     More details

    Language:Japanese  

  144. Facile synthesis of SnO2-graphene composites employing nonthermal plasma and SnO2 nanoparticles-dispersed ethanol

    Borude Ranjit R., Sugiura Hirotsugu, Ishikawa Kenji, Tsutsumi Takayoshi, Kondo Hiroki, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 52 ( 17 )   2019.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/ab03c4

    Web of Science

  145. Laser-drilling formation of through-glass-via (TGV) on polymer-laminated glass Reviewed

    Yoichiro Sato, Nobuhiko Imajyo, Kenji Ishikawa, Rao Tummala, Masaru Hori

    Journal of Materials Science: Materials in Electronics   Vol. 30 ( 11 ) page: pp10183-10190   2019.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1007/s10854-019-01354-5

  146. Systematic diagnostics of the electrical, optical, and physicochemical characteristics of low-temperature atmospheric-pressure helium plasma sources

    Takeda Keigo, Yamada Hiromasa, Ishikawa Kenji, Sakakita Hajime, Kim Jaeho, Ueda Masashi, Ikeda Jun-ichiro, Akimoto Yoshihiro, Kataoka Yosky, Yokoyama Naoaki, Ikehara Yuzuru, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 52 ( 16 )   2019.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aaff44

    Web of Science

  147. Effects of plasma shield plate design on epitaxial GaN films grown for large-sized wafers in radical-enhanced metalorganic chemical vapor deposition Reviewed

    Yasuhiro Isobe, Takayuki Sakai, Naoharu Sugiyama, Ichiro Mizushima, Kyoichi Suguro, Naoto Miyashita, Yi Lu, Amalraj Frank Wilson, Dhasiyan Arun Kumar, Nobuyuki Ikarashi, Hiroki Kondo, Kenji Ishikawa, Naohiro Shimizu, Osamu Oda, Makoto Sekine, and Masaru Hori

    Journal of Vacuum Science & Technology B   Vol. 37 ( 3 )   2019.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/1.5083970

  148. A 65-nm CMOS Fully Integrated Analysis Platform Using an On-Chip Vector Network Analyzer and a Transmission-Line-Based Detection Window for Analyzing Circulating Tumor Cell and Exosome

    Niitsu Kiichi, Nakanishi Taiki, Murakami Shunya, Matsunaga Maya, Kobayashi Atsuki, Karim Nissar Mohammad, Ito Jun, Ozawa Naoya, Hase Tetsunari, Tanaka Hiromasa, Sato Mitsuo, Kondo Hiroki, Ishikawa Kenji, Odaka Hidefumi, Hasegawa Yoshinori, Hori Masaru, Nakazato Kazuo

    IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS   Vol. 13 ( 2 ) page: 470-479   2019.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1109/TBCAS.2018.2882472

    Web of Science

  149. Effects of 3D structure on electrochemical oxygen reduction characteristics of Pt- nanoparticle-supported carbon nanowalls

    Imai Shun, Naito Kenichi, Kondo Hiroki, Cho Hyung Jun, Ishikawa Kenji, Tsutsumi Takayoshi, Sekine Makoto, Hiramatsu Mineo, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 52 ( 10 )   2019.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aaf8e0

    Web of Science

  150. Chemical bonding structure in porous SiOC films (k<2.4) with high plasma-induced damage resistance Reviewed

    Hideshi Miyajima, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    Micro and Nano Engineering   Vol. 3   page: 1-6   2019.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.mne.2019.02.005

  151. Atomic layer etching of AlGaN using Cl-2 and Ar gas chemistry and UV damage evaluation

    Fukumizu Hiroyuki, Sekine Makoto, Hori Masaru, Kanomaru Koji, Kikuchi Takuo

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A   Vol. 37 ( 2 )   2019.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/1.5063795

    Web of Science

  152. Effects of BCl3 addition to Cl-2 gas on etching characteristics of GaN at high temperature

    Tanide Atsushi, Nakamura Shohei, Horikoshi Akira, Takatsuji Shigeru, Kohno Motohiro, Kinose Kazuo, Nadahara Soichi, Ishikawa Kenji, Sekine Makoto, Hori Masaru

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B   Vol. 37 ( 2 )   2019.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/1.5082345

    Web of Science

  153. Real-time control of a wafer temperature for uniform plasma process

    T. Tsutsumi, Y. Fuknaga, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    2018 International Symposium on Semiconductor Manufacturing (ISSM)     2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1109/ISSM.2018.8651183

  154. Non-thermal plasma-activated medium modified metabolomic profiles in the glycolysis of U251SP glioblastoma Reviewed

    Naoyuki Kurake, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Shinya Toyokuni, Fumitaka Kikkawa, Masaaki Mizuno, Masaru Hori

    Archives of Biochemistry and Biophysics   Vol. 662   page: 83-92   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  155. Remotely floating wire-assisted generation of high-density atmospheric pressure plasma and SF6-added plasma etching of quartz glass

    Thi-Thuy-Nga Nguyen, Sasaki Minoru, Odaka Hidefumi, Tsutsumi Takayoshi, Ishikawa Kenji, Hori Masaru

    JOURNAL OF APPLIED PHYSICS   Vol. 125 ( 6 )   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.5081875

    Web of Science

  156. Control of sp2-C cluster incorporation of amorphous carbon films grown by H-radical-injection CH4/H2 plasma-enhanced chemical vapor deposition Reviewed

    Hirotsugu Sugiura, Lingyun Jia, Yasuyuki Ohashi, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Toshio Hayashi, Keigo Takeda, Makoto Sekine and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 58 ( 3 )   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/aafd49

  157. Single-Step, Low-Temperature Simultaneous Formations and in Situ Binding of Tin Oxide Nanoparticles to Graphene Nanosheets by In-Liquid Plasma for Potential Applications in Gas Sensing and Lithium-Ion Batteries Reviewed

    Ranjit R. Borude, Hirotsugu Sugiura, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo, Nobuyuki Ikarashi, and Masaru Hori

    ACS Applied Nano Materials   Vol. 2 ( 2 ) page: 649-654   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  158. Adhesion enhancement and amine reduction using film redeposited at the interface of a stack of plasma-enhanced CVD dielectrics for Cu/low-k interconnects

    Miyajima Hideshi, Watanabe Kei, Ishikawa Kenji, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 58 ( 2 )   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/aafb5b

    Web of Science

  159. Hetero-epitaxial growth of a GaN film by the combination of magnetron sputtering with Ar/Cl-2 gas mixtures and a separate supply of nitrogen precursors from a high density radical source

    Tanide Atsushi, Nakamura Shohei, Horikoshi Akira, Takatsuji Shigeru, Kohno Motohiro, Kinose Kazuo, Nadahara Soichi, Nishikawa Masazumi, Ebe Akinori, Ishikawa Kenji, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 58 ( SA )   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/aaeb39

    Web of Science

  160. Narrow free-standing features fabricated by top-down self-limited trimming of organic materials using precisely temperature-controlled plasma etching system

    Fukunaga Yusuke, Tsutsumi Takayoshi, Kondo Hiroki, Ishikawa Kenji, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 58 ( 2 )   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/aaf92a

    Web of Science

  161. Effects of Ion Bombardment Energy Flux on Chemical Compositions and Structures of Hydrogenated Amorphous Carbon Films Grown by a Radical-Injection Plasma-Enhanced Chemical Vapor Deposition Reviewed

    Hirotsugu Sugiura , Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa and Masaru Hori

    C-Journal of Carbon Research   Vol. 5 ( 1 )   2019.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3390/c5010008

  162. Electrochemical Reaction in Hydrogen Peroxide and Structural Change of Platinum Nanoparticle-Supported Carbon Nanowalls Grown Using Plasma-Enhanced Chemical Vapor Deposition Reviewed

    Masakazu Tomatsu 1, Mineo Hiramatsu, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine and Masaru Hori

    Journal of Carbon Research   Vol. 5 ( 1 )   2019.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3390/c5010007

  163. Effect of electrical stimulation on proliferation and bone-formation by osteoblast-like cells cultured on carbon nanowalls scaffolds Reviewed

    Tomonori Ichikawa, Suiki Tanaka, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine and Masaru Hori

    Applied Physics Express   Vol. 12 ( 2 )   2019.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1882-0786/aaf469

  164. Modifications of surface and bulk properties of magnetron-sputtered carbon films employing a post-treatment of atmospheric pressure plasma Reviewed

    Ranjit R. Borude, Hirotsugu Sugiura, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo, Jeon Geon Han and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 58 ( SA )   2019.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/aaec87

  165. Polyethylene terephthalate (PET) surface modification by VUV and neutral active species in remote oxygen or hydrogen plasmas Reviewed

    Yan Zhang, Kenji Ishikawa, Miran Mozetič, Takayoshi Tsutsumi, Hiroki Kondo , Makoto Sekine, Masaru Hori

    Plasma Processes and Polymers   Vol. 16 ( 6 )   2019.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.201800175

  166. Batch Fabrication of Nano-Gap Electrode Array Using Photo-Patterning and Resist UV-Curing Reviewed

    Hai Minh Nguyer, Mako Kumeuchi, Shinya Kumagai, Kenji Ishikawa, Masaru Hori, Minoru Sasaki

    IEEJ Transactions on Sensors and Micromachines   Vol. 139 ( 1 ) page: 27-28   2019.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1541/ieejsmas.139.27

  167. Liquid dynamics in response to an impinging low-temperature plasma jet Reviewed

    Timothy R. Brubaker, Kenji Ishikawa, Hiroki Kondo, Takayoshi Tsutsumi, Hiroshi Hashizume, Hiromasa Tanaka, Sean D. Knecht, Sven G. Bilen, and Masaru Hori

    Journal of Physics D: Applied Physics   Vol. 52 ( 7 )   2018.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aaf460

  168. Pt nanoparticle-supported carbon nanowalls electrode with improved durability for fuel cell applications using C2F6/H2 plasma-enhanced chemical vapor deposition Reviewed

    Shun Imai, Hiroki Kondo, Cho Hyungjun, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    Applied Physics Express   Vol. 12 ( 1 )   2018.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1882-0786/aaf0ab

  169. 大気圧プラズマを用いたがん治療へ向けた基礎研究

    田中宏昌、堀勝

    腎とフリーラジカル 第13集     page: 46-49   2018.11

     More details

    Language:Japanese  

  170. Effect of N2/H2 plasma on GaN substrate cleaning for homoepitaxial GaN growth by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) Reviewed

    Frank Wilson Amalraj, Arun Kumar Dhasiyan, Yi Lu1, Naohiro Shimizu, Osamu Oda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, Nobuyuki Ikarashi, and Masaru Hori

    AIP Advances   Vol. 8 ( 11 )   2018.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.5050819

  171. Oriented Carbon Nanostructures by Plasma Processing: Recent Advances and Future Challenges

    Santhosh Neelakandan M., Filipic Gregor, Tatarova Elena, Baranov Oleg, Kondo Hiroki, Sekine Makoto, Hori Masaru, Ostrikov Kostya (Ken), Cvelbar Uros

    MICROMACHINES   Vol. 9 ( 11 )   2018.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3390/mi9110565

    Web of Science

  172. Time Evolution Of Reactive Oxygen Nitrogen Species in Plasma-Activated Essential Media and Water

    Brubaker Timothy, Ishikawa Kenji, Takeda Keigo, Hashizume Hiroshi, Tanaka Hiromasa, Kondo Hiroki, Sekine Makoto, Hori Masaru

    2017 IEEE INTERNATIONAL CONFERENCE ON PLASMA SCIENCE (ICOPS)     2018.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1109/PLASMA.2017.8496057

  173. Molecular mechanisms of non-thermal plasma-induced effects in cancer cells Reviewed

    Tanaka, Hiromasa; Mizuno, Masaaki; Ishikawa, Kenji; Toyokuni, Shinya; Kajiyama, Hiroaki; Kikkawa, Fumitaka; Hori, Masaru

    Biological Chemistry     2018.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1515/hsz-2018-0199

  174. 大気圧プラズマ処理による異種材料接合

    近藤博基、堤隆嘉、石川健治、関根 誠、堀 勝 

    化学工学(公益社団法人 化学工学会)   Vol. 82 ( 9 ) page: 487-490   2018.9

     More details

    Language:Japanese  

  175. Mechanism of asymmetric etched profiles in trimming process Reviewed

    Nobuyuki Negishi, Masatoshi Miyake, Keigo Takeda, and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 57 ( 10 )   2018.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.106201

  176. Reaction mechanisms between chlorine plasma and a spin-on-type polymer mask for high-temperature plasma etching Reviewed

    Yan Zhang, Masato Imamura, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, Masaru Hori

    Japanese Journal of Applied Physics   Vol. 57 ( 10 )   2018.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.106502

  177. New hopes for plasma-based cancer treatment Reviewed

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Shinya Toyokuni, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaru Hori

    Plasma 2018   Vol. 1   page: 150-155   2018.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3390/plasma1010014

  178. Cytotoxic effects of plasma-irradiated fullerenol Reviewed

    Daiki Kanno, Hiromasa Tanaka, Kenji Ishikawa, Hiroshi Hashizume and Masaru Hori

    Journal of Physics D: Applied Physics   Vol. 51 ( 37 )   2018.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aad510

  179. Effect of substrate temperature on sidewall erosion in high-aspect-ratio Si hole etching employing HBr/SF6/O2 plasma Reviewed

    Itsuko Sakai, Katsunori Yahashi, Satoshi Shimonishi, Makoto Sekine and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 57 ( 9 )   2018.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.098004

  180. Elevated-temperature etching of gallium nitride (GaN) in dual-frequency capacitively coupled plasma of CH4/H2 at 300-500°C Reviewed

    Takashi Kako, Zecheng Liu, Kenji Ishikawa, Hiroki Kondo, Osamu Oda, Makoto Sekine, and Masaru Hori

    Vacuum   Vol. 156   page: 219-223   2018.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.vacuum.2018.07.040

  181. プラズマ技術概論

    堀 勝

    自動車技術   Vol. 72   page: P12-17   2018.6

     More details

    Language:Japanese  

  182. Cell Deposition Microchip with Micropipette Control over Liquid Interface Motion Reviewed

    Onoshima Daisuke, Hattori Yuya, Yukawa Hiroshi, Ishikawa Kenji, Hori Masaru, Baba Yoshinobu

    CELL MEDICINE   Vol. 10   2018.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1177/2155179017733152

    Web of Science

  183. Imaging Differences between Neuromyelitis Optica Spectrum Disorders and Multiple Sclerosis: A Multi-Institutional Study in Japan Reviewed

    Tatekawa H., Sakamoto S., Hori M., Kaichi Y., Kunimatsu A., Akazawa K., Miyasaka T., Oba H., Okubo T., Hasuo K., Yamada K., Taoka T., Doishita S., Shimono T., Miki Y.

    AMERICAN JOURNAL OF NEURORADIOLOGY   Vol. 39 ( 7 ) page: 1239-1247   2018.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3174/ajnr.A5663

    Web of Science

  184. Effects of gas residence time of CH4/H2 on sp2 fraction of amorphous carbon films and dissociated methyl density during radical-injection plasma-enhanced chemical vapor deposition Reviewed

    Hirotsugu Sugiura, Lingyun Jia, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Toshio Hayashi, Keigo Takeda, Makoto Sekine and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 57 ( 6s2 )   2018.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.06JE03

  185. Low-autofluorescence fluoropolymer membrane filters for cell filtration Reviewed

    Naoto Kihara, Daiki Kuboyama, Daisuke Onoshima, Kenji Ishikawa, Hiromasa Tanaka, Naoya Ozawa, Tetsunari Hase, Ryohei Koguchi, Hiroshi Yukawa, Hidefumi Odaka, Yoshinori Hasegawa, Yoshinobu Baba and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 57 ( 6s2 )   2018.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.06JF03

  186. Temperature dependence on plasma-induced damage and chemical reactions in GaN etching processes using chlorine plasma Reviewed

    Zecheng Liu, Kenji Ishikawa, Masato Imamura, Takayoshi Tsutsumi, Hiroki Kondo, Osamu Oda, Makoto Sekine and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 57 ( 6s2 )   2018.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.06JD01

  187. Plasma Activated Medium

    Hiromasa Tanaka, and Masaru Hori

    Comprehensive Clinical Plasma Medicine     page: 431-440   2018.5

     More details

    Language:English  

    DOI: 10.1007/978-3-319-67627-2_26

  188. Dissociative properties of 1,1,1,2-tetrafluoroethane obtained by computational chemistry Reviewed

    Toshio Hayashi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 57 ( 6s2 )   2018.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.06JC02

  189. Impact of helium pressures in arc plasma synthesis on crystallinity of single-walled carbon nanotubes Reviewed

    Atsushi Ando, Keigo Takeda, Takayuki Ohta, Masafumi Ito, Mineo Hiramatsu, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, Tomoko Suzuki, Sakae Inoue, Yoshinori Ando, and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 57 ( 6S2 )   2018.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.06JF01

  190. Isotropic atomic level etching of tungsten using formation and desorption of tungsten fluoride Reviewed

    Shinoda Kazunori, Miyoshi Nobuya, Kobayashi Hiroyuki, Hanaoka Yuko, Kawamura Kohei, Izawa Masaru, Ishikawa Kenji, Hori Masaru

    ADVANCED ETCH TECHNOLOGY FOR NANOPATTERNING VII   Vol. 10589   2018.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1117/12.2297241

    Web of Science

  191. Nanographene synthesized in triple-phase plasmas as a highly durable support of catalysts for polymer electrolyte fuel cells Reviewed

    Amano Tomoki, Kondo Hiroki, Takeda Keigo, Ishikawa Kenji, Hiramatsu Mineo, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 4 )   2018.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.045101

  192. Disruption of the pacemaker activity of interstitial cells of Cajal via nitric oxide contributes to postoperative ileus Reviewed

    Kaji N., Nakayama S., Horiguchi K., Iino S., Ozaki H., Hori M.

    NEUROGASTROENTEROLOGY AND MOTILITY   Vol. 30 ( 8 )   2018.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1111/nmo.13334

  193. Reduced HeLa cell viability in methionine-containing cell culture medium irradiated with microwave-excited atmospheric-pressure plasma

    Takahashi Yohei, Taki Yusuke, Takeda Keigo, Hashizume Hiroshi, Tanaka Hiromasa, Ishikawa Kenji, Hori Masaru

    PLASMA PROCESSES AND POLYMERS   Vol. 15 ( 3 )   2018.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.201700200

    Web of Science

  194. Glioblastoma Cell Lines Display Different Sensitivities to Plasma-Activated Medium

    Tanaka Hiromasa, Mizuno Masaaki, Ishikawa Kenji, Takeda Keigo, Hashizume Hiroshi, Nakamura Kae, Utsumi Fumi, Kajiyama Hiroaki, Okazaki Yasumasa, Toyokuni Shinya, Akiyama Shinichi, Maruyama Shoichi, Kikkawa Fumitaka, Hori Masaru

    IEEE TRANSACTIONS ON RADIATION AND PLASMA MEDICAL SCIENCES   Vol. 2 ( 2 ) page: 99-102   2018.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1109/TRPMS.2017.2721973

    Web of Science

  195. NHM-SMAP: spatially and temporally high-resolution nonhydrostatic atmospheric model coupled with detailed snow process model for Greenland Ice Sheet Reviewed

    Niwano Masashi, Aoki Teruo, Hashimoto Akihiro, Matoba Sumito, Yamaguchi Satoru, Tanikawa Tomonori, Fujita Koji, Tsushima Akane, Iizuka Yoshinori, Shimada Rigen, Hori Masahiro

    CRYOSPHERE   Vol. 12 ( 2 ) page: 635-655   2018.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.5194/tc-12-635-2018

    Web of Science

  196. Cytotoxicity of cancer HeLa cells sensitivity to normal MCF10A cells in cultivations with cell culture medium treated by microwave-excited atmospheric pressure plasmas Reviewed

    Takahashi Yohei, Taki Yusuke, Takeda Keigo, Hashizume Hiroshi, Tanaka Hiromasa, Ishikawa Kenji, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 51 ( 11 )   2018.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aaab09

    Web of Science

  197. Oxygen reduction reaction properties of nitrogen-incorporated nanographenes synthesized using in-liquid plasma from mixture of ethanol and iron phthalocyanine Reviewed

    Amano Tomoki, Kondo Hiroki, Takeda Keigo, Ishikawa Kenji, Hiramatsu Mineo, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 4 )   2018.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.040303

    Web of Science

  198. Free radical generation by non-equilibrium atmospheric pressure plasma in alcohol-water mixtures: an EPR-spin trapping study Reviewed

    Uchiyama Hidefumi, Ishikawa Kenji, Zhao Qing-Li, Andocs Gabor, Nojima Nobuyuki, Takeda Keigo, Krishna Murali C., Ishijima Tatsuo, Matsuya Yuji, Hori Masaru, Noguchi Kyo, Kondo Takashi

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 51 ( 9 )   2018.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aaa885

    Web of Science

  199. Intraperitoneal Treatment With Plasma-Activated Liquid Inhibits Peritoneal Metastasis In Ovarian Cancer Mouse Model Reviewed

    Kae Nakamura, Hiroaki Kajiyama, Yang Peng, Fumi Utsumi, Nobuhisa Yoshikawa, Hiromasa Tanaka, Masaaki Mizuno, Shinya Toyokuni, Masaru Hori, and Fumitaka Kikkawa

    Clinical Plasma Medicine   Vol. 9   page: 47-48   2018.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.cpme.2017.12.073

  200. Plasma-Activated Medium Inhibites Metastatic Activities Of Ovarian Cancer Cells In Vitro Via Repressing Mapk Pathway Reviewed

    Yang Peng, Hiroaki Kajiyama, Kae Nakamura, Fumi Utsumi, Nobuhisa Yoshikawa, Hiromasa Tanaka, Masaaki Mizuno, Shinya Toyokuni, Masaru Hori, and Fumitaka Kikkawa

    Clinical Plasma Medicine   Vol. 9   page: 41-42   2018.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.cpme.2017.12.065

  201. Similarities And Differences In The Cellular Resposnses Between Plasma-Activated Medium-Treated Glioblastomas And Plasma-Activated Ringer's Lactate Solution-Treated Glioblastomas Reviewed

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Yasumasa Okazaki, Shinya Toyokuni, Shinichi Akiyama, Shoichi Maruyama, Fumitaka Kikkawa, and Masaru Hori

    Clinical Plasma Medicine   Vol. 9   page: 42-43   2018.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.cpme.2017.12.066

  202. Current status and future prospects of agricultural applications using atmospheric-pressure plasma technologies

    Ito Masafumi, Oh Jun-Seok, Ohta Takayuki, Shiratani Masaharu, Hori Masaru

    PLASMA PROCESSES AND POLYMERS   Vol. 15 ( 2 )   2018.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.201700073

    Web of Science

  203. Facile fabrication of a poly(ethylene terephthalate) membrane filter with precise arrangement of through-holes Reviewed

    Kihara Naoto, Odaka Hidefumi, Kuboyama Daiki, Onoshima Daisuke, Ishikawa Kenji, Baba Yoshinobu, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 3 )   2018.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.037001

    Web of Science

  204. Effect of Plasma-Activated Lactated Ringer's Solution on Pancreatic Cancer Cells In Vitro and In Vivo Reviewed

    Sato Yusuke, Yamada Suguru, Takeda Shigeomi, Hattori Norifumi, Nakamura Kae, Tanaka Hiromasa, Mizuno Masaaki, Hori Masaru, Kodera Yasuhiro

    ANNALS OF SURGICAL ONCOLOGY   Vol. 25 ( 1 ) page: 299-307   2018.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1245/s10434-017-6239-y

    Web of Science

  205. Nanographene synthesis employing in-liquid plasmas with alcohols or hydrocarbons Reviewed

    Atsushi Ando, Kenji Ishikawa, Hiroki Kondo, Takayoshi Tsutsumi, Keigo Takeda, Takayuki Ohta, Masafumi Ito, Mineo Hiramatsu, Makoto Sekine and Masaru Hori

    The Japan Society of Applied Physics   Vol. 57 ( 2 )   2018.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.7567/JJAP.57.026201

  206. Nanographene synthesis employing in-liquid plasmas with alcohols or hydrocarbons Reviewed

    Ando Atsushi, Ishikawa Kenji, Kondo Hiroki, Tsutsumi Takayoshi, Takeda Keigo, Ohta Takayuki, Ito Masafumi, Hiramatsu Mineo, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 2 )   2018.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.026201

    Web of Science

  207. Electron impact ionization of perfluoro-methyl-vinyl-ether C3F6O

    Kondo Yusuke, Ishikawa Kenji, Hayashi Toshio, Sekine Makoto, Hori Masaru

    PLASMA SOURCES SCIENCE & TECHNOLOGY   Vol. 27 ( 1 )   2018.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6595/aaa22e

    Web of Science

  208. Rapid growth of micron-sized graphene flakes using in-liquid plasma employing iron phthalocyanine-added ethanol Reviewed

    Tomoki Amano, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Keigo Takeda, Mineo Hiramatsu, Makoto Sekine and Masaru Hori

    The Japan Society of Applied Physics   Vol. 11 ( 1 )   2017.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/APEX.11.015102

  209. Plasma-activated medium (PAM) kills human cancer-initiating cells Reviewed

    Ikeda Jun-ichiro, Tanaka Hiromasa, Ishikawa Kenji, Sakakita Hajime, Ikehara Yuzuru, Hori Masaru

    PATHOLOGY INTERNATIONAL   Vol. 68 ( 1 ) page: 23-30   2017.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1111/pin.12617

    Web of Science

  210. Dynamic analysis of reactive oxygen nitrogen species in plasma-activated culture medium by UV absorption spectroscopy Reviewed

    Timothy Ryan Brubaker, Kenji Ishikawa, Keigo Takeda, Jun-Seok Oh, Hiroki KONDO, Hiroshi Hashizume, Hiromasa Tanaka, Sean David Knecht, Sven Bilén, and Masaru Hori

    Journal of Applied Physics   Vol. 122 ( 21 )   2017.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4999256

  211. Oxygen-radical pretreatment promotes cellulose degradation by cellulolytic enzymes Reviewed

    Kiyota Sakai, Saki Kojiya, Junya Kamijo, Yuta Tanaka, Kenta Tanaka, Masahiro Maebayashi, Jun-Seok Oh, Masafumi Ito, Masaru Hori, Motoyuki Shimizu, and Masashi Kato

    Biotechnology for Biofuels   Vol. 10   2017.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1186/s13068-017-0979-6

  212. Abstract 18: Therapeutic potential of cold physical plasma in palliative cancer care: Introduction and perspectives Reviewed

    Christian Seebauer, Thomas von Woedtke, Klaus-Dieter Weltmann, Vandana Miller, Masaru Hori and Hans-Robert Metelmann

    CLINICAL CANCER RESEARCH 23(23 supplement) pp. 18 (December, 2017)     2017.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1158/1557-3265.AACRAHNS17-18

  213. Intracellular responses to reactive oxygen and nitrogen species, and lipid peroxidation: Induction of apoptotic death in HeLa cells following cultivation in non-equilibrium (cold) atmospheric pressure plasma-activated medium Reviewed

    Ryo Furuta, Naoyuki Kurake, Kenji Ishikawa, Keigo Takeda, Hiromasa Tanaka, Hiroshi Hashizume, Hiroki Kondo, Makoto Sekine and Masaru Hori

    Plasma Process and Polymers   Vol. 14 ( 11 )   2017.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.201700123

  214. Dependence of absolute photon flux on infrared absorbance alteration and surface roughness on photoresist polymers irradiated with vacuum ultraviolet photons emitted from HBr plasma Reviewed

    Yan Zhang, Takuya Takeuchi, Kenji Ishikawa, Toshio Hayashi, Keigo Takeda, Makoto Sekine, and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 56 ( 12 )   2017.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.126503

  215. Selective production of reactive oxygen and nitrogen species in the plasma-treated water by using a nonthermal high-frequency plasma jet Reviewed

    Uchida Giichiro, Takenaka Kosuke, Takeda Keigo, Ishikawa Kenji, Hori Masaru, Setsuhara Yuichi

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 1 )   2017.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.0102B4

    Web of Science

  216. Crystallization of calcium oxalate dihydrate in a buffered calcium-containing glucose Reviewed

    Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama,

    Journal of Applied Physics   Vol. 122 ( 14 )   2017.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.5006598

  217. 大気圧プラズマ処理における殺菌・医療・バイオ分野への応用

    橋爪博司、田中宏昌、堀勝、伊藤昌文

    工業材料   Vol. Vol.65. ( No.10 ) page: 27-30   2017.10

     More details

    Language:Japanese  

  218. Clinical Experience with Cold Plasma in the Treatment of Locally Advanced Head and Neck Cancer Reviewed

    Hans-Robert Metelmann, Christian Seebauer,Vandana Miller, Alexander Fridman, Georg Bauer, David B.Graves, Jean-Michel Pouvesle Rico Rutkowski, Matthias Schuster Sander Bekeschus, Kristian Wende, Kai Masur, Sybille Hasse, Torsten Gerling, Masaru Hori, Hiromasa Tanaka, Eun Ha Choi, Klaus-Dieter Weltmann, and Thomas von Woedtke

    Clinical Plasma Medicine,     2017.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.cpme.2017.09.001

  219. Thermal Cyclic Atomic-Level Etching of Nitride Films: A Novel Way for Atomic-Scale Nanofabrication Reviewed

    Kazunori Shinodaa, Nobuya Miyoshia, Hiroyuki Kobayashia, Masaru Kuriharaa, Masaru Izawa, Kenji Ishikawa, and Masaru Hori

    ECS Transactions     2017.9

     More details

    Language:English  

    DOI: 10.1149/08003.0003ecst

  220. Cold atmospheric helium plasma causes synergistic enhancement in cell death with hyperthermia and an additive enhancement with radiation Reviewed

    Moniruzzaman Rohan, Qing-Li Zhao, Paras Jawaid, Keigo Takeda, Kenji Ishikawa, Masaru Hori, Kei Tomihara, Noguchi Kyo, Takashi Kondo, and Makoto Noguchi

    Scientific Reports   Vol. 7   2017.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1038/s41598-017-11877-8

  221. Surface roughening of photoresist after change of the photon/radical and ion treatment sequence Reviewed

    Yan Zhanga, Takuya Takeuchi, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films J.   Vol. 135 ( 6 )   2017.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/1.4994218

  222. High-durability catalytic electrode composed of Pt nanoparticle-supported carbon nanowalls synthesized by radical-injection plasma-enhanced chemical vapor deposition Reviewed

    Shun Imai, Hiroki Kondo, Hyungjun Cho, Hiroyuki Kano, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, Masafumi Ito, Masaru Hori

    Journal of Physics D: Applied Physics,   Vol. Vol 50 ( 40 )   2017.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aa8131

  223. Thermally enhanced formation of photon-induced damage on GaN films in Cl2 plasma Reviewed

    Zecheng Liu*, Atsuki Asano, Masato Imamura, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo,

    Japanese Journal of Applied Physics   Vol. 56   2017.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.096501

  224. Palliative Plasmabehandlung von Kopf-Hals-Tumoren und kurative Konzepte

    Christian Seebauer, Hiromasa Tanaka, Masaru Hori, Hans Robert Metelmann

    Plasmamedizin (in German)     page: 99-109   2017.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1007/978-3-662-52645-3_8

  225. The 2017 Plasma Roadmap: Low temperature plasma science and technology

    Adamovich I., Baalrud S. D., Bogaerts A., Bruggeman P. J., Cappelli M., Colombo V., Czarnetzki U., Ebert U., Eden J. G., Favia P., Graves D. B., Hamaguchi S., Hieftje G., Hori M., Kaganovich I. D., Kortshagen U., Kushner M. J., Mason N. J., Mazouffre S., Thagard S. Mededovic, Metelmann H-R, Mizuno A., Moreau E., Murphy A. B., Niemira B. A., Oehrlein G. S., Petrovic Z. Lj, Pitchford L. C., Pu Y-K, Rauf S., Sakai O., Samukawa S., Starikovskaia S., Tennyson J., Terashima K., Turner M. M., van de Sanden M. C. M., Vardelle A.

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 50 ( 32 )   2017.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aa76f5

    Web of Science

  226. Reduction of chlorine radical chemical etching of GaN under simultaneous plasma-emitted photon irradiation Reviewed

    Zecheng Liu, Masato Imamura, Atsuki Asano, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Osamu Oda, Makoto Sekine, and Masaru Hori

    Applied Physics Express   Vol. 10   2017.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/APEX.10.086502

  227. Lipid Droplets Exhaustion with Caspases Activation in HeLa Cells Cultured in Plasma-Activated Medium (PAM) Observed By Multiplex Coherent Anti-Stokes Raman Scattering (CARS) Microscopy Reviewed

    Ryo Furuta, Naoyuki Kurake, Keigo Takeda, Kenji Ishikawa, Takayuki Ohta, Masafumi Ito, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine and Masaru Hori

    Biointerphases   Vol. 12 ( 3 )   2017.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/1.4997170

  228. Measurement of F-, O- and CF3- densities in 60 and 100 MHz asymmetric capacitively coupled plasma discharge produced in an Ar/O2/C4F8 gas mixture Reviewed

    N Sirse, T Tsutsumi, M Sekine, M Hori and A R Ellingboe

    Journal of Physics D: Applied Physics   Vol. 50 ( 33 )   2017.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aa77c4

  229. Novel Intraperitoneal Treatment With Non-Thermal Plasma-Activated Medium Inhibits Metastatic Potential of Ovarian Cancer Cells Reviewed

    Kae Nakamura, Yang Peng, Fumi Utsumi, Hiromasa Tanaka, Masaaki Mizuno, Shinya Toyokuni, Masaru Hori, Fumitaka Kikkawa & Hiroaki Kajiyama

    Scientific Reports   Vol. 7   2017.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1038/s41598-017-05620-6

  230. State of the art in medical applications using non-thermal atmospheric pressure plasma Reviewed

    Hiromasa Tanaka, Kenji Ishikawa, Masaaki Mizuno, Shinya Toyokuni, Hiroaki Kajiyama, Fumitaka Kikkawa, Hans-Robert Metelmann, Masaru Hori

    Plasma Physics, Association of Asia Pacific Physical Societies 2017   Vol. 1   2017.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1007/s41614-017-0004-3

  231. Non-thermal plasma induces a stress response in mesothelioma cells resulting in increased endocytosis, lysosome biogenesis and autophagy Reviewed

    Lei Shi, Fumiya Ito, Yue Wang, Yasumasa Okazaki, Hiromasa Tanaka, Masaaki Mizuno, Masaru Hori, Tasuku Hirayama, Hideko Nagasawa, Des R. Richardson, Shinya Toyokuni

    Free Rad. Biol. Med   Vol. 108   page: 904-917   2017.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.freeradbiomed.2017.04.368

  232. Temperature dependence of protection layer formation on organic trench sidewall in H2/N2 plasma etching with control of substrate temperature Reviewed

    Yusuke Fukunaga, Takayoshi Tsutsumi, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 56 ( 21 )   2017.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.076202

  233. Intracellular-Molecular Changes in Plasma-irradiated Budding Yeast Cells Studied Using Multiplex Coherent Anti-Stokes Raman Scattering Microscopy Reviewed

    Ryo Furuta, Naoyuki Kurake, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto, Sekine, and Masaru Hori

    Physical Chemistry Chemical Physics   Vol. 19 ( 21 )   2017.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1039/C7CP00489C

  234. Hydrogen peroxide sensor based on carbon nanowalls grown by plasma-enhanced chemical vapor deposition Reviewed

    Masakazu Tomatsu, Mineo Hiramatsu, John S. Foord, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Keigo Takeda and Masaru Hori

    Journal of Applied Physics   Vol. 56 ( 6S2 )   2017.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.06HF03

  235. Progress and prospects in nanoscale dry processes: How can we control atomic layer reactions? Reviewed

    K. Ishikawa, K. Karahashi, T. Ichiki, J. P. Chang, S. M. George, W. M. M. Kessels, H. J. Lee, S. Tinck, J. H. Um, K. Kinoshita

    Japanese Journal of Applied Physics   Vol. 56 ( 6S2 )   2017.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.06HA02

  236. Micro-Coordination of Pacemaker Potentials in the Intestine of the Mouse

    Morishita Hirotaka, Iwata Naoko, Takai Chiho, Mochizuki Naoto, Kaji Noriyuki, Hori Masatoshi, Kajioka Shunichi, Nakayama Shinsuke

    GASTROENTEROLOGY   Vol. 152 ( 8 ) page: 1831-+   2017.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1053/j.gastro.2017.04.016

    Web of Science

  237. Electron behaviors in afterglow of synchronized dc-imposed pulsed fluorocarbon-based plasmas Reviewed

    Toshinari Ueyama, Yusuke Fukunaga, Takayoshi Tsutsumi, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Manabu Iwata, Yoshinobu Ohya, Hideo Sugai, and Masaru Hori

    Journal of Applied Physics   Vol. 56 ( 6S2 )   2017.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.06HC03

  238. Advanced Plasma Etching Processing: Atomic Layer Etching for Nanoscale Devices Reviewed

    Takayoshi Tsutsumi, M. Zaitsu, Akiko Kobayashi, N. Kobayashi, and Masaru Hori

    ECS Transactions   Vol. 77 ( 3 )   2017.5

     More details

    Language:English  

    DOI: 10.1149/07703.0025ecst

  239. Growth of InN firms by radical-enhanced metal organic chemical vapor deposition at a low temperature of 200 °C Reviewed

    S. Takai, Y. Lu, O. Oda, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine and M. Hori

    Journal of Applied Physics   Vol. 56 ( 6S2 )   2017.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.06HE08

  240. 電子スピン共鳴法を活用したプラズマバイオ反応プロセスの診断

    石川健治 近藤隆 竹田圭吾 呉準席 橋爪博司 田中宏昌 近藤博基 太田貴之 伊藤昌文 関根誠 堀勝

    プラズマ・核融合学会誌   Vol. 93 ( 5 ) page: 246-252   2017.5

     More details

    Language:Japanese  

  241. Selective atomic-level etching using two heating procedures, infrared irradiation and ion bombardment, for next-generation semiconductor device manufacturing

    Shinoda K., Miyoshi N., Kobayashi H., Miura M., Kurihara M., Maeda K., Negishi N., Sonoda Y., Tanaka M., Yasui N., Izawa M., Ishii Y., Okuma K., Saldana T., Manos J., Ishikawa K., Hori M.

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 50 ( 19 )   2017.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aa6874

    Web of Science

  242. Intraperitoneal Administration of Plasma-Activated Medium: Proposal of a Novel Treatment Option for Peritoneal Metastasis From Gastric Cancer Reviewed

    Shigeomi Takeda, Suguru Yamada, Norifumi Hattori, Kae Nakamura, Hiromasa Tanaka, Hiroaki Kajiyama, Mitsuro Kanda, Daisuke Kobayashi, Chie Tanaka, Tsutomu Fujii, Michitaka Fujiwara, Masaaki Mizuno, Masaru Hori, Yasuhiro Kodera

    Annals of Surgical Oncology   Vol. 24 ( 5 )   2017.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1245/s10434-016-5759-1

  243. Effect of plasma-activated medium on the decrease of tumorigenic population in lymphoma Reviewed

    N. Wada, J. Ikeda, H. Tanaka, H. Sakakita, M. Hori, Y. Ikehara, E. Morii

    Pathology - Researchand Practice   Vol. 213   2017.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.prp.2017.04.003

  244. Bactericidal pathway of Escherichia coli in buffered saline treated with oxygen radicals Reviewed

    Tsuyoshi Kobayashi, Natsumi Iwata, Jun-Seok Oh, Hiroshi Hashizume, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, 20Masaru Hori, and Masafumi Ito

    J. Phys. D: Appl. Phys   Vol. 50 ( 15 )   2017.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aa61d7/

  245. Absolute density of precursor SiH3 radicals and H atoms in H2-diluted SiH4 gas plasma for deposition of microcrystalline silicon films Reviewed

    Yusuke Abe , Kenji Ishikawa , Keigo Takeda , Takayoshi Tsutsumi , Atsushi Fukushima , Hiroki KONDO , Makoto Sekine , Masaru Hori

    Journal of Physics D: Applied Physics   Vol. 50 ( 19 )   2017.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.1088/1361-6463/aa6874

  246. Spatial distributions of O, N, NO, OH and vacuum ultraviolet light along gas flow direction in an AC-excited atmospheric pressure Ar plasma jet generated in open air Reviewed

    Keigo Takeda, Kenji Ishikawa, Hiromasa Tanaka, Makoto Sekine, and Masaru Hori

    Journal of Physics D: Applied Physics   Vol. 50 ( 19 )   2017.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aa6555

  247. Investigation of the radially resolved oxygen dissociation degree and local mean electron energy in oxygen plasmas in contact with different surface materials Reviewed

    T. Tsutsumi, A. Greb, A. R. Gibson, M. Hori, D. OConnell, T. Gans

    Journal of Physics D: Applied Physics   Vol. 50 ( 19 )   2017.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1063/1.4979855

  248. Bactericidal pathway of Escherichia coli in buffered saline treated with oxygen radicals Reviewed

    Tsuyoshi Kobayashi, Natsumi Iwata, Jun-Seok Oh, Hiroshi Hahizume, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori and Masafumi Ito

    Journal of Physics D: Applied Physics   Vol. 50 ( 15 )   2017.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.1088/1361-6463/aa61d7

  249. Spatial profiles of interelectrode electron density in direct current superposed dual-frequency capacitively coupled plasmas Reviewed

    Yoshinobu Ohya, Kenji Ishikawa, Tatsuya Komuro, Tsuyoshi Yamaguchi, Keigo Takeda, Hiroki Kondo, Makoto Sekine and Masaru Hori

    Journal of Physics D: Applied Physics   Vol. 50 ( 15 )   2017.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.1088/1361-6463/aa60f7

  250. Spatial profiles of interelectrode electron density in direct current superposed dual-frequency capacitively coupled plasmas Reviewed

    Ohya Yoshinobu, Ishikawa Kenji, Komuro Tatsuya, Yamaguchi Tsuyoshi, Takeda Keigo, Kondo Hiroki, Sekine Makoto, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 50 ( 15 )   2017.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aa60f7

    Web of Science

  251. Effects of center dot OH and center dot NO radicals in the aqueous phase on H2O2 and NO2- generated in plasma-activated medium

    Kurake Naoyuki, Tanaka Hiromasa, Ishikawa Kenji, Takeda Keigo, Hashizume Hiroshi, Nakamura Kae, Kajiyama Hiroaki, Kondo Takashi, Kikkawa Fumitaka, Mizuno Masaaki, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 50 ( 15 )   2017.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aa5f1d

    Web of Science

  252. Effects of OH and NO radicals in the aqueous phase on H2O2 and NO2-generated in plasma-activated medium Reviewed

    Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Takashi Kondo, Fumitaka Kikkawa, Masaaki Mizuno and Masaru Hori

    Journal of Physics D: Applied Physics   Vol. 50 ( 15 )   2017.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.1088/1361-6463/aa5f1

  253. Postpartum atypical hemolytic uremic syndrome with complement factor H mutation complicated by reversible cerebrovascular constriction syndrome successfully treated with eculizumab Reviewed

    Yamaguchi Makoto, Hori Mayuko, Hiroshi Nagaya, Maruyama Shoichi

    THROMBOSIS RESEARCH   Vol. 151   page: 79-81   2017.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.thromres.2017.01.013

    Web of Science

  254. Behavior of absolute densities of atomic oxygen in the gas phase near an object surface in an AC-excited atmospheric pressure He plasma jet Reviewed

    Keigo Takeda, Takumi Kumakura, Kenji Ishikawa, Hiromasa Tanaka, Makoto Sekine and Masaru Hori

    Applied Physics Express   Vol. 10 ( 3 )   2017.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.7567/APEX.10.036201

  255. Characteristics of optical emissions of arc plasma processing for high-rate synthesis of highly crystalline single-walled carbon nanotubes Reviewed

    A. Ando, K. Takeda, T. Ohta, M. Ito, M. Hiramatsu, K. Ishikawa, H. Kondo, M. Sekine, T. Suzuki, S. Inoue, Y. Ando, M. Hori

    Japanese Journal of Applied Physics   Vol. 56 ( 3 )   2017.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.035101

  256. Investigation of effects of ion energies on both plasma-induced damage and surface morphologies and optimization of high-temperature Cl2 plasma etching of GaN Reviewed

    Zecheng Liu, Jialin Pan, Atsuki Asano, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Osamu Oda, Makoto Sekine and Masaru Hori

    "Japanese Journal of Applied   Vol. 56   2017.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI:10.7567/JJAP.56.026502

  257. Absolute density of precursor SiH3 radicals and H atoms in H2-diluted SiH4 gas plasma for deposition of microcrystalline silicon films

    Yusuke Abe , Kenji Ishikawa , Keigo Takeda , Takayoshi Tsutsumi , Atsushi Fukushima , Hiroki KONDO , Makoto Sekine , Masaru Hori

    Applied Physics Letters (2017)     2017.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4974821

  258. Densities and Surface Reaction Probabilities of Oxygen and Nitrogen Atoms During Sputter Deposition of ZnInON on ZnO Reviewed

    Matsushima Koichi, Ide Tomoaki, Takeda Keigo, Hori Masaru, Yamashita Daisuke, Seo Hyunwoong, Koga Kazunori, Shiratani Masaharu, Itagaki Naho

    IEEE TRANSACTIONS ON PLASMA SCIENCE   Vol. 45 ( 2 ) page: 323-327   2017.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1109/TPS.2016.2632124

    Web of Science

  259. Intraperitoneal Administration of Plasma-Activated Medium: Proposal of a Novel Treatment Option for Peritoneal Metastasis From Gastric Cancer Reviewed

    Shigeomi Takeda, Suguru Yamada, Norifumi Hattori, Kae Nakamura, Hiromasa Tanaka, Hiroaki Kajiyama, Mitsuro Kanda, Daisuke Kobayashi, Chie Tanaka, Tsutomu Fujii, Michitaka Fujiwara, Masaaki Mizuno, Masaru Hori, Yasuhiro Kodera

    Annals of Surgical Oncology   Vol. 24 ( 5 ) page: 1-7   2017.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.1245/s10434-016-5759-1

  260. Annual shell growth pattern of the Stimpson's hard clam Mercenaria stimpsoni as revealed by sclerochronological and oxygen stable isotope measurements

    Kubota Kaoru, Shirai Kotaro, Murakami-Sugihara Naoko, Seike Koji, Hori Masako, Tanabe Kazushige

    PALAEOGEOGRAPHY PALAEOCLIMATOLOGY PALAEOECOLOGY   Vol. 465   page: 307-315   2017.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.palaeo.2016.05.016

    Web of Science

  261. Medical applications of non-thermal atmospheric pressure plasma

    Tanaka Hiromasa, Hori Masaru

    JOURNAL OF CLINICAL BIOCHEMISTRY AND NUTRITION   Vol. 60 ( 1 ) page: 29-32   2017.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3164/jcbn.16-67

    Web of Science

  262. Thermal Cyclic Atomic-Level Etching of Nitride Films: A Novel Way for Atomic-Scale Nanofabrication

    Shinoda K., Miyoshi N., Kobayashi H., Kurihara M., Izawa M., Ishikawa K., Hori M.

    ATOMIC LAYER DEPOSITION APPLICATIONS 13   Vol. 80 ( 3 ) page: 3-14   2017

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/08003.0003ecst

    Web of Science

  263. Plasma-inspired biomaterials Reviewed

    Cvelbar Uros, Canal Cristina, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 50 ( 4 )   2016.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/50/4/040201

    Web of Science

  264. Medical applications of non-thermal atmospheric pressure plasma

    Hiromasa Tanaka, Masaru Hori

    J. Clin. Biochem. Nutr.   Vol. 60 ( 1 ) page: 29-32   2016.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  265. Future perspective of strategic non-thermal plasma therapy for cancer treatment

    Hiroaki Kajiyama, fumi Utsumi, Kae Nakamura, Hiromasa Tanaka, Shinya Toyokuni, Masaru Hori, Fumitaka Kikkawa

    J. Clin. Biochem. Nutr.   Vol. 60 ( 1 ) page: 33-38   2016.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  266. Atomic layer etching of SiO2 by alternating an O2 plasma with fluorocarbon film deposition

    Takayoshi Tsutsumi, Hiroki Kondo, Masaru Hori, Masaru Zaitsu, Akiko Kobayashi, Toshihisa Nozawa, Nobuyoshi Kobayashi

    Journal of Vacuum Science & Technology   Vol. 35   2016.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/1.4971171

  267. Non-Thermal atmospheric pressure plasma activated lactate in Ringer's solution for anti-tumor effects

    H.Tanaka, K.Nakamura, M.Mizuno, K.Ishikawa, K.Takeda, h.Kajiyama, F.Utsumi, F.Kikkawa, M.Hori

    Scientific Report   Vol. 35   2016.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1038/srep36282

  268. Effects of assisted magnetic field to an atomospheric-pressure plasma jet on radical generation at the plasma-surface interface and bactericidal function Reviewed

    Chih-Tung Liu, Takumi Kumakura, Kenji Ishikawa, Hiroshi Hashizume, Keigo Takeda, Masafumi Ito, Masaru Hori, Jong-Shinn Wu

    Plasam Source Science and Technology   Vol. 25 ( 6 )   2016.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0963-0252/25/6/065005

  269. Cell survival of glioblastoma grown in medium containing hydrogen peroxide and/or nitrite, or in plasma-activated medium

    Naoyuki Kurake,Hiromasa Tanaka, Kenji Ishikawa, Takashi Kondo, Makoto Sekine, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Masaru Hori

    Archives of Biochemistry and Biophysics   Vol. 605   page: 102-108   2016.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI :10.1016/j.abb.2016.01.011

  270. Low temperature plasma processing for cell growth inspired carbon thin films fabrication Reviewed

    M. Kumar, J. X. Piao, S. B. Jin, J. H. Lee, S. Tajima, M. Hori, and J. G. Han

    Archives of Biochemistry and Biophysics   Vol. 605   page: 41–48   2016.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.1016/j.abb.2016.03.026

  271. Low-temperature plasma in biology and medicine

    Masaru Hori, Eun Ha Choi, Shinya Toyokuni

    Archives of Biochemistry and Biophysics   Vol. 605   page: 1-2   2016.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  272. Red Blood Cell Coagulation Induced by Low-temperature Plasma Treatment

    K. Miyamoto, S. Ikehara, H. Takei, Y. Akimoto, H. Sakakita, K. Ishikawa, M. Ueda, J. Ikeda, M. Yamagishi, J. Kim, T. Yamaguchi, H. Nakanishi, T. Shimizu, N. Shimizu, M. Hori, and Y. Ikehara

    Arch. Biochem. Biophys   Vol. 605   page: 95–101   2016.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.abb.2016.03.023

  273. Galectin expression in healing wounded skin treated with low-temperature plasma: Comparison with treatment by electronical coagulation

    Y. Akimoto, S. Ikehara, T. Yamaguchi, J. Kim, H. Kawakami, N. Shimizu, M. Hori, H. Sakakita, and Y. Ikehara

    Arch. Biochem. Biophys.   Vol. 605   page: 1-9   2016.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.abb.2016.01.012

  274. Feedback Control System of Wafer Temperature for Advanced Plasma Processing and its Application to Organic Film Etching

    T. Tsutsumi, Y. Fukunaga, K. Ishikawa, K. Takeda, H. Kondo, T. Ohta, M. Ito, M. Sekine, M. Hori

    IEEE Trans. Semicond. Manuf. 28 (4)     page: pp. 515-520   2016.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1109/TSM.2015.2470554

  275. Synthesis of calcium oxalate crystals in culture medium irradiated with non-equilibrium atmospheric-pressure plasma Reviewed

    Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Yoko Yamanishi and Masaru Hori

    Applied Physics Express   Vol. 9 ( 9 )   2016.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.7567/APEX.9.096201

  276. Rapid electron density decay observed by surface-wave probe in afterglow of pulsed fluorocarbon-based plasma

    Y. Ohya, M. Iwata, K. Ishikawa, M.Sekine, M.Hori and H.Sugai

    Japanese Journal of Applied Physics   Vol. 55 ( 8 ) page: 10.7567/JJAP.55.080309   2016.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  277. Non-thermal plasma prevents progression of endometriosis in mice

    Chiharu Ishida, Masahiko Mori, Kae Nakamura, Hiromasa Tanaka, Masaaki Mizuno, Masaru Hori, Akira Iwase, Fumitaka Kikkawa and Shinya Toyokuni

        page: 111   2016.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  278. Possible therapeutic option of aqueous plasma for refractory ovarian cancer

    H. Kajiyama, F. Utsumi, K. Nakamura, H. Tanaka, M. Mizuno, S. Toyokuni, M. Hori, and F. Kikkawa

    Clinical Plasma Medicine   Vol. 4 ( 1 ) page: 14-18   2016.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.cpme.2015.12.002

  279. Plasma-Activated Medium Selectively Eliminates Undifferentiated Human Induced Pluripotent Stem Cells

    R. Matsumoto, K. Shimizu, T. Nagashima, H. Tanaka, M. Mizuno, F. Kikkawa, M. Hori, and H. Honda

    Regenerative Therapy   Vol. 5   page: 55-63   2016.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.reth.2016.07.001

  280. Microfluidic Transport Through Microsized Holes Treated by Nonequilibrium Atmospheric-Pressure Plasma

    Takumi Ito, Kenji Ishikawa,Daisuke Onoshima, Naoto Kihara,Kentaro Tatsukoshi Hidefumi Odaka, Hiroshi Hashizume,Hiromasa Tanaka,Hiroshi Yukawa, Keigo Takeda Hiroki Kondo, Makoto Sekine, Yoshinobu Baba, Masaru Hori

    IEEE Transactions on Plasma Science     2016.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1109/TPS.2016.2571721

  281. Computational study on SiH4 dissociation channels and H abstraction reactions Reviewed

    Toshio Hayashi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    Japanese Journal of Applied Physics   Vol. 55 ( 7S2 )   2016.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.7567/JJAP.55.07LD07

  282. Helium-based cold atmospheric plasma-induced reactive oxygen species-mediated apoptotic pathway attenuated by platinum nanoparticles Reviewed

    Jawaid P, Rehman MU, Zhao QL, Takeda K, Ishikawa K, Hori M, Shimizu T, Kondo T.

    J Cell Mol Med     2016.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1111/jcmm.12880

  283. Role of surface-electrical properties on the cell-viability of carbon thin films grown in nanodomain morphology

    Amjed Javid,, Manish Kumar, Seokyoung Yoon, Jung Heon Lee,Satomi Tajima, Masaru Hori and Jeon Geon Han

    J. Phys. D: Appl. Phys.   Vol. 49 ( 26 )   2016.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0022-3727/49/26/264001

  284. Biphasic effects of l-ascorbate on the tumoricidal activity of non-thermal plasma against malignant mesothelioma cells

    L. Shi, Y.Wang, F.Ito, Y.Okazaki, H.Tanaka, M.Mizuno, M.Hori, D.R.Richardson, S.Toyokuni

    Archives of Biochemistry and Biophysics   Vol. 605   page: 109-116   2016.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  285. Nanopore formation process in artificial cell membrane induced by plasma-generated reactive oxygen species

    R.Tero, R.Yamashita, H.Hashizume, Y.Suda, H.Takikawa, M.Hori, M.Ito

    Archives of Biochemistry and Biophysics   Vol. 605   page: 26-33   2016.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI:10.1016/j.abb.2016.05.014

  286. Formation of a SiOF reaction intermixing layer on SiO2 etching using C4F6/O2/Ar plasmas Reviewed

    Y. Ohya, M. Tomura, K. Ishikawa, M. Sekine, and M. Hori

    Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films   Vol. 34 ( 4 )   2016.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.1116/1.4949570

  287. The role of plasma chemistry on functional silicon nitride film properties deposited at low-temperature by mixing two frequency powers using PECVD

    B. B. Sahu,Y. Y. Yin,T. Tsutsumi, M. Hori, Jeon G. Han

    Phys. Chem. Chem. Phys     2016.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1039/C6CP00986G

  288. Effects of nitrogen on the apoptosis of and changes in gene expression in human lymphoma U937 cells exposed to argon-based cold atmospheric pressure plasma

    Yoshikawa Tabuchi, Hidefumi Uchiyama, Quing-Li XZhao, Tatsuya Yunoki, Gabor Andocs, Nobuyuki Nojima, Kengo Takeda, Kenji Ishikawa, Masaru Hori, Takashi Kondo

    International Journal of Molecular Medicine   Vol. 37 ( 6 ) page: 1706-1714   2016.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI:10.3892/ijmm.2016.2574

  289. Variable susceptibility of ovarian cancer cells to non-thermal plasma-activated medium Reviewed

    F. Utsumi, H. Kajiyama, K. Nakamura, H. Tanaka, M. Mizuno, S. Toyokuni, M. Hori, and F. Kikkawa

    Oncology Report   Vol. 35 ( 6 ) page: 3169–3177   2016.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.3892/or.2016.4726

  290. Low temperature plasma processing for cell growth inspired carbon thin films fabrication

    M.Kumar, J.X.Piao, S.B.Jin, J.H.Lee, S.Tajima, M.Hori, J.G.Han

    Archives of Biochemistry and Biophysics   Vol. 605   page: 41-48   2016.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  291. Red blood cell coagulation induced by low-temperature plasma treatment

    K,Miyamoto, S.Ikehara, H.Takei, Y.Akimoto, H.Sakakita, K. Ishikawa, M.Ueda, J.Ikeda, M.Yamagishi, J.Kim, T. Yamaguchi, H. Nakanishi, T.Shimizu, N.Shimizu, M.Hori, Y.Ikehara

    Archives of Biochemistry and Biophysics   Vol. 605   page: 95-101   2016.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  292. Galectin expression in healing wounded skin treated with low-temperature plasma: Comparison with treatment by electronical coagulation

    Y.Akimoto, S.Ikehara, T.Yamaguchi, J.Kim, H. Kawakami, N.Shimizu, M.Hori, H. Sakakita, Y.Ikehara

    Archives of Biochemistry and Biophysics   Vol. 305   page: 86-94   2016.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  293. Synthesis of calcium oxalate crystals in culture medium irradiated with non-equilibrium atmospheric-pressure plasma

    M. Hori, N.Kurake, Y.Yamanishi, M.Mizuno, K.Nakamura, K.Ishikawa, H.Tanaka

        page: 111   2016

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  294. Plasma-Activated Medium Selectively Eliminates Undifferentiated Human Induced Pluripotent Stem Cells

    Ryo Matsumoto, Kazunori Shimizu, Takunori Nagashima, Hiromasa Tanaka, Masaaki Mizuno, Fumitaka Kikkawa, Masaru Hori, Hiroyuki Honda

    Regenerative Therapy     page: 111   2016

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  295. Effects of assisted magnetic field to an atmospheric-pressure plasma jet on radical generation at the plasma-surface interface and bactericidal function

    Liu, Chih-Tung; Kumakura, Takumi; Ishikawa, Kenji; Hashizume, Hiroshi; Takeda, Keigo; Ito, Masafumi; Hori, Masaru; Wu, Jong-Shinn

    Plasma Sources Science and Technology     page: 111   2016

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  296. Plasma with high electron density and plasma-activated medium for cancer treatment

    H. Tanaka, M. Mizuno, K. Ishikawa, H. Kondo, K. Takeda, H. Hashizume, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, Y. Okazaki, S. Toyokuni, S. Akiyama, S. Maruyama, S. Yamada, Y. Kodera, H. Kaneko, H. Terasaki, H. Hara, T. Adachi, M. Iida, I. Yajima, M. Kato, F. Kikkawa, M. Hori

    Clinical Plasma Medicine 3     page: pp. 72-76   2015.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.cpme.2015.09.001

  297. Histological and Nuclear Medical Comparison of Inflammation After Hemostasis with Non-Thermal Plasma and Thermal Coagulation

    Masashi Ueda, Daiki Yamagami, Keiko Watanabe, Asami Mori,Hiroyuki Kimura, Kohei Sano, Hideo Saji, Kenji Ishikawa, Masaru Hori,Hajime Sakakita, Yuzuru Ikehara, Shuichi Enomoto

    Plasma Process and Polymers     2015.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.201500099

  298. Plasma and Cancer

    Masashi Ueda, Daiki Yamagami, Keiko watanabe, Asami Mori, Hiroyuki Kimura, Masaru Hori, Mounir Laroussi, Kai Masur, Yuzuru Ikehara ,

    Plasma Processes and Polymers 12,No. 12     page: p.p. 1329-1469   2015.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.201570043

  299. プラズマ医療の可能性と今後の展望

    田中宏昌、堀 勝

    ファルマシア/メカノバイオロジーと薬の融合   Vol. 51 ( 11 ) page: 1053   2015.11

     More details

    Language:Japanese  

  300. Effects of deposition rate and ion bombardment on properties of a-C:H films deposited by H-assisted plasma CVD method Reviewed

    X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    Jpn. J. Appl. Phys. 55 (1S)   Vol. 55 ( 1S ) page: 01AA11   2015.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.55.01AA11

  301. Real-time temperature-monitoring of Si substrate during plasma processing and its heat-flux analysis

    T. Tsutsumi, K. Ishikawa, K. Takeda, H. Kondo, T. Ohta, M. Ito, M. Sekine, M. Hori

    Jpn. J. Appl. Phys. 55 (1S)     page: 01AB04:1-4   2015.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.55.01AB04

  302. Cancer therapy using non-thermal atmospheric pressure plasma with ultra-highelectron density

    H. Tanaka, M. Mizuno, S. Toyokuni, S. Maruyama, Y. Kodera, H. Terasaki, T. Adachi, M. Kato, F. Kikkawa, M. Hori

    Phys Plasmas     page: 122004   2015.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4933402

  303. Development of Microelectrode Arrays Using Electroless Plating for CMOS-Based Direct Counting of Bacterial and HeLa Cells

    Niitsu K, Ota S, Gamo K, Kondo H, Hori M, Nakazato K

    IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS, VOL. 9, NO. 5     page: p.p.607-619   2015.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1109/TBCAS.2015.2479656

  304. Raman Spectroscopy of a-C:H Films Deposited Using Ar + H2+ C7H8 Plasma CVD Reviewed

    X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    Proc. 68th GEC/9th ICRP/33rd SPP   Vol. 60 ( 9 ) page: GT1.145   2015.10

     More details

    Language:English  

  305. Measurement of absolute density of N atom in sputtering plasma for epitaxial growth ZnO films via nitrogen mediated crystallization Reviewed

    T. Ide, K. Matsushima, T. Takasaki, K. Takeda, M. Hori, D. Yamashita, H. Seo, K. Koga, M, Shiratani, N. Itagaki

    Proc. 68th GEC/9th ICRP/33rd SPP   Vol. 60 ( 9 ) page: GT1.155   2015.10

     More details

    Language:English  

  306. Measurements of nitrogen atom density in N2/Ar sputtering plasma for fabrication of high-mobility amorphous In2O3:Sn films Reviewed

    T. Takasaki, T. Ide, K. Matsushima, K. Takeda, M. Hori, D. Yamashita, H. Seo, K. Koga, M, Shiratani, N. Itagaki

    Proc. 68th GEC/9th ICRP/33rd SPP   Vol. 60 ( 9 ) page: GT1.150   2015.10

     More details

    Language:English  

  307. Measurements of absolute densities of nitrogen and oxygen atoms in sputtering plasma for fabrication of ZnInON films Reviewed

    K. Matsushima, T. Ide, K. Takeda, M. Hori, D. Yamashita, H. Seo, K. Koga, M, Shiratani, N. Itagaki

    Proc. 68th GEC/9th ICRP/33rd SPP   Vol. 60 ( 9 ) page: GT1.154   2015.10

     More details

    Language:English  

  308. Effectiveness of plasma treatment on pancreatic cancer cells

    N. Hattori, S. Yamada, K. Torii, S. Takeda, K. Nakamura, H. Tanaka, H. Kajiyama, M. Kanda, T. Fujii, G. Nakayama, H. Sugimoto, M. Koike, S. Nomoto, M. Fujiwara, M. Mizuno, M. Hori, Y. Kodera

    International journal of oncology, 47     page: pp. 1655-1662   2015.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3892/ijo.2015.3149

  309. Growth control of Saccharomyces cerevisiae through dose of oxygen atoms

    Hiroshi Hashizume, Takayuki Ohta, Masaru Hori, and Masafumi Ito

    APPLIED PHYSICS LETTERS     2015.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4929952

  310. EPR-Spin Trapping and Flow Cytometric Studies of Free Radicals Generated Using Cold Atmospheric Argon Plasma and X-Ray Irradiation in Aqueous Solutions and Intracellular Milieu Reviewed

    Hidefumi Uchiyama, Qing-Li Zhao, Mariame Ai Hassan, Gabor Andocs, Nobuyuki Nojima, Keigo Takeda, Kenji ishikawa, Masaru Hori, Takashi Kondo

    PLOS one     2015.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    top 10% most cited PLOS One (2015)

    DOI: 10.1371/journal.pone.0136956

  311. Wavelength dependence for silicon-wafer temperature measurement by autocorrelationtype frequency-domain low-coherence interferometry

    T. Tsutsumi, T. Ohta, K. Takeda, M. Ito, M. Hori

    Appl. Opt. 54 (23)     page: pp. 7088-7093   2015.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1364/AO.54.007088

  312. Effects of discharge voltage on the characteristics of a-C:H films prepared by H-assisted Plasma CVD method Reviewed

    X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    Trans. Mater. Res. Soc. Jpn.   Vol. 40 ( 2 ) page: 123-128   2015.7

     More details

    Language:English  

    DOI: 10.14723/tmrsj.40.123

  313. Utility of dual frequency hybrid source for plasma and radical generation

    Kyung Sik Shin, Bibhuti Bhusan Sahu, Jeon Geon Han, and Masaru Hori

    Japanese Journal of Applied Physics     2015.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.54.076201

  314. Emission spectroscopy of Ar + H-2+ C7H8 plasmas: C7H8 flow rate dependence and pressure dependence Reviewed

    X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, Y. Setsuhara, M. Sekine and M. Hori

    J. Phys. : Conf. Series (SPSM26)   Vol. 518 ( 1 ) page: 012010   2015.6

     More details

    Language:English  

    DOI: 10.1088/1742-6596/518/1/012010

  315. Suppression of plasma-induced damage on GaN etched by a Cl2 plasma at high temperatures

    Zecheng Liu, Jialin Pan, Takashi Kako, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo,Osamu Oda, Makoto Sekine, and Masaru Hori

    Jpn. J. Appl. Phys. 54 (6S2)     page: 06GB04   2015.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.54.06GB04

  316. Effectiveness of hydrogen dilution for designing amorphous to crystalline Si thin film in inductively coupled plasma assisted magnetron sputtering

    Kyung Sik Shin, Bibhuti Bhusan Sahu, Jeon Geon Han, and Masaru Hori

    Japanese Journal of Applied Physics     2015.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.54.060303

  317. Electronic properties of HBr, O2 and Cl2 used in Si etching

    Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    Japanese Journal of Applied Physics     2015.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.54.06GA03

  318. シリコン薄膜形成プロセスにおけるプラズマ中の水素原子の計測とその挙動

    堀勝、阿部祐介、竹田圭吾、石川健治、近藤博基、関根誠、韓銓健

    プラズマ・核融合学会誌   Vol. 91 ( 5 ) page: 317   2015.5

     More details

    Language:Japanese  

  319. プラズマ活性溶液:作用機序解明と臨床応用・産業化を目指して

    田中宏昌 、水野正明、豊國伸哉、丸山彰一、小寺泰弘、吉川史隆、堀勝

    福岡医学雑誌   Vol. 106 ( 4 ) page: 71-76   2015.4

     More details

    Language:Japanese  

  320. Silicon nitride (SiN) etch performance of CH2F2 plasmas diluted with argon or krypton

    Yusuke Kondo, Kenji Ishikawa, Toshio Hayashi, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Japan. J. Appl. Phys. 54 (4)     page: 40303   2015.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.54.040303

  321. Plasma diagnostic approach for high rate nanocrystalline Si synthesis in RF/UHF hybrid plasmas using a PECVD process Plasma Sources

    Bibhuti Bhusan Sahu, Jeon G. Han, Kyung-Sik Shin, Kenji Ishikawa, Masaru Hori, and Yudai Miyawaki

    Plasma Sources Science and Technology 24 (2)     page: 25019   2015.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0963-0252/24/2/025019

  322. CF3+ fragmentation by electron impact ionization of perfluoro-propyl-vinyl-ethers, C5F10O, in gas phase

    Yusuke Kondo, Kenji Ishikawa, Toshio Hayashi, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Japan. J. Appl. Phys. 54 (4)     page: 40301   2015.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.54.040301

  323. Deposition of Carbon Films on PMMA Using H-assisted Plasma CVD Reviewed

    X. Dong, R. Torigoe, K. Koga, G. Uchida, M. Shiratani, N. Itagaki, Y. Setsuhara, K. Takenaka, M. Sekine, .M. Hori

    Jpn. Phys. Soc. Conf. Proc (APPC12)   Vol. 1   page: 015072   2015.3

     More details

    Language:English  

    DOI: 10.7566/JPSCP.1.015072

  324. New line plasma source excited by 2.45 GHz microwave at atmospheric pressure

    Haruka Suzuki,Suguru Nakano,Hitoshi Itoh,Makoto Sekine,Masaru Hori,and Hirotaka Toyoda

    Applied Physics Express 8     2015.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/APX.8.036001

  325. Estimation of activation energies for decomposition reaction of polymer by hydrogen radicals generated using hot-wire catalyzer

    Akihiko Kono, Yu Arai, Yousuke Goto, Masashi Yamamoto, Seiji Takahashi, Tadaaki Yamagishi, Kenji Ishikawa, Masaru Hori, and Hideo Horibe

    Thin Solid Film 575     page: pp. 17-20   2015.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2014.10.020

  326. Study of the decomposition mechanism of PMMA-type polymers by hydrogen radicals

    Yu Arai, Yusuke Noto, Yousuke Goto, Seiji Takahashi, Masashi Yamamoto, Akihiko Kono, Tatsuo Ishijima, Kenji Ishikawa, Masaru Hori, and Hideo Horibe

    Thin Solid Film 575     page: pp. 12-16   2015.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1016/j.tsf.2014.10.021

  327. Experimental evidence of warm electron populations in magnetron sputtering plasmas

    B. B. Sahu, Jeon G. Han, Hye R. Kim, Kenji Ishikawa, and Masaru Hori

    J. Appl. Phys. 117     page: 33301   2015.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4905901

  328. Hydrofluorocarbon ion density of argon- or krypton-diluted CH2F2 plasmas: Generation of CH2F+ and CHF2+ by dissociative-ionization in charge exchange collisions

    Yusuke Kondo, Yudai Miyawaki, Kenji Ishikawa, Toshio Hayashi, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    J. Phys. D: Appl. Phys. 48 (4)     page: 45202   2015.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0022-3727/48/4/045202

  329. Plasma-activated medium suppresses choroidal neovascularization in mice: a new therapeutic concept for age-related macular degeneration

    F. Ye, H. Kaneko, Y. Nagasaka, R. Ijima, K. Nakamura, M. Nagaya, K. Takayama, H. Kajiyama, T. Senga, H. Tanaka, M. Mizuno, F. Kikkawa, M. Hori, and H. Terasaki

    Sci Rep, vol. 5     page: 7705   2015.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1038/srep07705

  330. Langmuir probe and optical emission spectroscopy studies in magnetron sputtering plasmas for Al-doped ZnO film deposition

    B. B. Sahu, Jeon G. Han, Masaru Hori and Keigo Takeda

    J. Appl. Phys. 117     page: 23301   2015.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4905541

  331. Electrical,Optical and Structural Properties of AZO Thin Film Deposited Using Facing Targets Magnetron Sputtering System with Inductively Coupled Plasma

    Hye R.Kim,Jay B.Kim,Yoon S.Choi,M.Hori,and Jeon G.Han

    American Scientific Publishers 7     page: p.p. 107-112   2015.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1166/sam.2015.2088

  332. Carbon nanowall scaffold to control culturing of cervical cancer cells Reviewed

    Hitoshi Watanabe, Hiroki Kondo, Yukihiro Okamoto, Mineo Hiramatsu, Makoto Sekine, Yoshinobu Baba, and Masaru Hori

    Applied Physics Letters   Vol. 105 ( 24 )   2014.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4902054

  333. Plasma Medical Science for Cancer Therapy: Toward Cancer Therapy Using Nonthermal Atmospheric Pressure Plasma

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Kae Nakamura, Fumi Utsumi,Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Kae Nakamura, Fumi Utsumi, Shoichi Maruyama, Fumitaka Kikkawa, and Masaru Hori

    IEEE TRANSACTIONS ON PLASMA SCIENCE   Vol. 42 ( 12 ) page: 3760-3764   2014.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  334. Plasma-activated medium induces A549 cell injury via a spiral apoptotic cascade involving the mitochondrial-nuclear network

    Tetsuo Adachi, Hiromasa Tanaka, Saho Nonomura, Hirokazu Hara, Shin-ichi Kondo, Masaru Hori

    Free Radical Biology and Medicine   Vol. 79   page: p.p. 28-44   2014.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.freeradbiomed.2014.11.014

  335. Quantitative clarification of inactivation mechanism of Penicillium digitatum spores treated with neutral oxygen radicals

    Hiroshi Hashizume,Takayuki Ohta,Keigo Takeda,Kenji Ishikawa,Masaru Hori,and Masafumi Ito

    Japanese Journal of Applied Physics 54,01ag05(2015)     2014.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.54.01AG05

  336. Robust characteristics of semiconductor-substrate temperature measurement by autocorrelation-type frequency-domain low-coherence interferometry

    T. Tsutsumi, T. Ohta, K. Ishikawa, K. Takeda, H. Kondo, M. Sekine, M. Hori, and M. Ito

    Jpn. J. Appl. Phys.   Vol. 54 ( 1S )   2014.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.54.01AB03

  337. Plasma Nitriding Process for Aluminum Alloy and its Al Nitride Material Reviewed

    Seigo Takashima, Etsuo Asami, Masahiro Hayakawa, Koji Yamakawa, Hiroyuki Yamamoto, Shoji Den, Hitoshi Wada, Masaru Hori

      Vol. 44 ( 10 ) page: 18-23   2014.10

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  338. Localized plasma irradiation through a micronozzle for individual cell treatment Reviewed

    Ryutaro Shimane, Shinya Kumagai, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Masaru Hori and Minoru Sasaki

    Japanese Journal of Applied Physics   Vol. 53 ( 11S )   2014.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.53.11RB03

  339. Effectiveness of plasma diagnostic in ultra high frequency and radio frequency hybrid plasmas for synthesis of silicon nitride film at low temperature

    B.B. Sahu, Kyung Sik Shin, Su B. Jin, Jeon G.Han, K.Ishikawa, M. Hori

    Journal of Applied Physics   Vol. 116   2014.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4896833

  340. Recovery of atom density drift caused by change in reactor wall conditions by real-time autonomous control Reviewed

    Toshiya Suzuki, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine and Masaru Hori

    Journal of Physics D: Applied Physics   Vol. 47 ( 42 )   2014.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0022-3727/47/42/422002

  341. Direct exposure of non-equilibrium atmospheric pressure plasma confers simultaneous oxidative and ultraviolet modifications in biomoleculels Reviewed

    Yasumasa Okazaki, Yue Wang, Hiromasa Tanaka, Masaaki Mizuno, Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Koji Uchida, Fumitaka Kikkawa, Masaru Hori and Shinya Toyokuni

    Journal of Clinical Biochemistry and Nutrition (JCBN)   Vol. 55 ( 3 ) page: 207-215   2014.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3164/jcbn.14-40

  342. Non-thermal atmospheric pressure plasmas as a novel candidate for preventive therapy of melanoma. Reviewed

    Omata Y, Iida M, Yajima I, Takeda K, Ohgami N, Hori M, Kato M

    Environmental health and preventive medicine   Vol. 19 ( 5 ) page: 367-9   2014.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1007/s12199-014-0399-1.

  343. An atmospheric pressure inductively coupled microplasma source of vacuum ultraviolet light

    Ryoto Sato, Daisuke Yasumatsu, Shinya Kumagai, Keigo Takeda, Masaru Hori, Minoru Sasaki

    Sensors and Actuators A: Physical   Vol. 215   page: 144-149   2014.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  344. Effectiveness of plasma treatment on gastric cancer cells Reviewed

    Koji Torii, Suguru Yamada, Kae Nakamura, Hiromasa Tanaka, Hiroaki Kajiyama, Kuniaki Tanahashi, Naoki Iwata, Mitsuro Kanda, Daisuke Kobayashi, Chie Tanaka, Tsutomu Fujii, Goro Nakayama,Masahiko Koike, Hiroyuki Sugimoto, Shuji Nomoto, Atsushi Natsume, Michitaka Fujiwara,Masaaki Mizuno, Masaru Hori, Hideyuki Saya, Yasuhiro Kodera

    Gastric Cancer     2014.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1007/s10120-014-0395-6

  345. Perspective of strategic plasma therapy in patients with epithelial ovarian cancer: A short review of plasma in cancer treatment

    Hiroaki Kajiyama, Kae Nakamura, Fumi Utsumi, Hiromasa Tanaka, Masaru Hori and Fumitaka Kikkawa

    Jpn. J. Appl. Phys. 53 (5S1) (Apr 14, ,2014) 05FA05     2014.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.53.05FA05

  346. Effects of Different Chamber-Wall Conditions on Temporal Changes of H and N Radicals Densities in H2 and N2 Mixture Gas Plasma

    Toshiya Suzuki, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    Jpn. J. Appl. Phys. 53 (5) (Apr 7, 2014) 050301     2014.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.53.050301

  347. Temporal changes in absolute atom densities in H2 and N2 mixture gas plasmas by surface modifications of reactor wall

    Toshiya Suzuki, KeigoTakeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    Japanese Journal of Applied Physics   ( 53 )   2014.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.53.050301

  348. Epitaxial growth of GaN by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) in the downflow of a very high frequency (VHF) N2/H2 excited plasma-effect of TMG flow rate and VHF power

    Yi Lu, Hiroki Kondo, Kenji Ishikawa, Osamu Oda, Keigo Takeda, Makoto Sekine, Hiroshi Amano, and Masaru Hori

    Journal of Crystal Growth 391 (Jan 23; Apr 1, 2014)     page: 97-103   2014.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.jcrysgro.2014.01.014

  349. Hierarchical regrowth of flowerlike nanographene sheets on oxygen-plasma-treated carbon nanowalls

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori

    Appl. Phys. Express 7 (4) (Mar 25, 2014) 046201     2014.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/APEX.7.046201

  350. Effects of nitrogen plasma post-treatment on electrical conduction of carbon nanowalls

    Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

    Jpn. J. Appl. Phys. 53 (4) (Mar 20, 2014) 040307     2014.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.53.040307

  351. Nanostructure modification to carbon nanowall surface employing hydrogen peroxide solution

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori

    Jpn. J. Appl. Phys. 53 (4) (Mar 7, 2014) 040305     page: 1-4   2014.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.53.040305

  352. Spatiotemporal behaviors of absolute density of atomic oxygen in a planar type of Ar/O2 non-equilibrium atmospheric pressure plasma jet

    Fengdong Jia, Kenji Ishikawa, Keigo Takeda, Hiroyuki Kano, Jagath Kularatne, Hiroki Kondo, Makoto Sekine and Masaru Hori

    Plasma Source Sci. Technol. 23 (Mar. 3, 2014) 025004     2014.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0963-0252/23/2/025004

  353. Density Control of Carbon Nanowalls Grown by CH4/H2 plasma and Their Electrical Properties

    Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

    Carbon 68 (Nov 15, 2013; Mar, 2014)     page: 380-388   2014.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.carbon.2013.11.014

  354. 未来を創るプラズマ ─ ものづくりから医療まで

    堀 勝

    応用物理   Vol. 83 ( 2 ) page: 132-135   2014

     More details

    Language:Japanese  

  355. Chemical reactions during plasma-enhanced atomic layer deposition of SiO2 films employing aminosilane and O2/Ar plasma at 50 °C

    Yi Lu, Akiko Kobayashi, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    Jpn. J. Appl. Phys. 53 (1) (Dec 30, 2013; 2014) 010305     page: 1-4   2014

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.53.010305

  356. Chemical reactions during plasma-enhanced atomic layer deposition of SiO2 films employing aminosilane and O2/Ar plasma at 50 °C

    Yi Lu, Akiko Kobayashi, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    Jpn. J. Appl. Phys.     2013.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.7567/JJAP.53.010305

  357. A Development of Atmospheric Pressure Plasma Equipment and Its Applications for Treatment of Ag Films Formed from Nano-Particle Ink

    H. Itoh, Y. Kubota, Y. Kashiwagi, K. Takeda, K. Ishikawa, H. Kondo, M.Sekine, H. Toyoda, and M. Hori

    Journal of Physics: Conference Series   Vol. 441   2013.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: doi:10.1088/1742-6596/441/1/012019

  358. Effect of Indirect Nonequilibrium Atmospheric Pressure Plasma on Anti-Proliferative Activity against Chronic Chemo-Resistant Ovarian Cancer Cells In Vitro and In Vivo

    Fumi Utsumi, Hiroaki Kajiyama, Kae Nakamura, Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Hiroki Kondo, Hiroyuki Kano, Masaru Hori, and Fumitaka Kikkawa

    PLoS ONE 8 (12) (Dec. 18, 2013) e81576     2013.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1371/journal.pone.0081576

  359. Oxidation mechanism of Penicillium digitatum spores through neutral oxygen radicals

    Hiroshi Hashizume, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, Masafumi Ito

    Jpn. J. Appl. Phys. 53 (1) (Dec 16 2013; 2014) 010209     page: 1-6   2013.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.53.010209

  360. Investigations on Plasma-Biomolecules Interactions as Fundamental Process for Plasma Medicine

    K. Takenaka, K. Cho, Y. Setsuhara, M. Shiratani, M. Sekine, and M. Hori

    Journal of Physics: Conference Series   Vol. 441   2013.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: doi:10.1088/1742-6596/441/1/012001

  361. Scale-up approach for industrial plasma enchanced chemical vapor deposition processes and Siox thin film technology

    Su B. Jin, Joon S Lee, Yoon S. Choi, In S Choi, Jeon G.Han, M. Hori

    Thin Solid Films   Vol. 547   page: 193-197   2013.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  362. Perspective of strategic plasma therapy for prognostic improvement of patients with ovarian cancer

    Hiroaki Kajiyama, Fumi Utsumi, Kae Nakamura, Hiromasa Tanaka, Masaru Hori and Fumitaka Kikkawa

    MRS Proc 1598     2013.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1557/opl.2013.1188

  363. Plasma Interactions with Biological Molecules in Aqueous Solution

    Yuichi Setsuhara, Atsushi Miyazaki, Kosuke Takenaka, and Masaru Hori

    MRS Proc 1598     2013.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1557/opl.2013.1155

  364. High H Radical Density Produced by 1-m-length Atmospheric Pressure Microwave Plasma System

    Hitoshi Itoh, Yusuke Kubota, Yusaku Kashiwagi, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Hirotaka Toyoda, and Masaru Hori

    Jpn. J. Appl. Phys. 52 (11) (Nov 20, 2013) 11NE01     2013.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.11NE01

  365. Study on Effects of Hydrogen Flow Rates on the Properties of ZnO Thin Film Deposited by Facing Targets Sputtering System

    Hye Ran Kim, L. Wen, Su Bong Jin, Yoon Seok Choi, In Sik Choi, M. Hori, and Jeon Geon Han

    Jpn. J. Appl. Phys. 52 (11) (Nov 20, 2013) 11NB01     2013.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.11NB01

  366. Field Emissions from Organic Nanorods Armored with Metal Nanoparticles

    Toshiya Suzuki, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Jpn. J. Appl. Phys. 52 (12) (Nov 15, 2013) 120203     page: 1-4   2013.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.120203

  367. 卵巣癌治療におけるプラズマの応用をめざして

    梶山広明、中村香江、内海史、堀勝、古川史隆

    産婦人科の実際   Vol. 62 ( 11 ) page: 1550-1552   2013.11

     More details

    Language:Japanese  

  368. Effect of gas flow on transport of O (3Pj) atoms produced in ac power excited non-equilibrium atmospheric-pressure O2/Ar plasma jet

    Keigo Takeda, Masaki Kato, Fendong Jia, Kenji Ishikawa, Hiroyuki Kano, Makoto Sekine, and Masaru Hori

    J. Phys. D: Appl. Phys. 46 (Oct 30, 2013) 464006     2013.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0022-3727/46/46/464006

  369. Inactivation effects of neutral reactive-oxygen species on Penicillium digitatum spores using non-equilibrium atmospheric-pressure oxygen radical source

    Hiroshi Hashizume, Takayuki Ohta, Jia Fengdong, Keigo Takeda,Kenji Ishikawa,Masaru Hori, and Masafumi Ito

    Appl. Phys. Lett. 103 (15) (Oct 11, 2013) 153708-1:4     2013.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4824892

  370. An Atmpospheric pressure inductively coupled microplasma source of vacuum ultraviolet light

    Ryoto Sato, Daisuke Yasumatsu, Shinya Kumagai Keigo Takeda, Masaru Hori, Minoru Sasaki

    Sensors and Actuators   Vol. 215   page: 144-149   2013.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.sna.2013.09.018

  371. Formation of Nanoporous Features, Flat Surfaces, or Crystallographically Oriented Etched Profiles by the Si Chemical Dry Etching Using the Reaction of F2 + NO -> F + FNO at an Elevated Temperature

    Satomi Tajima, Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    J. Phys. Chem. C 117 (40)     page: 20810–20818   2013.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1021/jp4084794

  372. Atomic Oxygen Etching from the Top Edges of Carbon Nanowalls

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori

    Appl. Phys. Express 6 (9) (Aug 27, 2013) 095201     2013.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/APEX.6.095201

  373. Mass density control of carbon films deposited by H-assisted plasma CVD method

    Surf. Coat Technol. 228 (S1)

    Tatsuya Urakawa, Hidehumi Matsuzaki, Daisuke Yamashita, Giichiro Uchida, Kazunori Koga, Masaharu Shiratani, Yuichi Setsuhara, Makoto Sekine, Masaru Hori     page: S15-S18   2013.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.surfcoat.2012.10.002

  374. A novel fast and flexible technique of radical kinetic behaviour investigation based on pallet for plasma evaluation structure and numerical analysis

    Arkadiusz Malinowski, Takuya Takeuchi, Shang Chen, Toshiya Suzuki, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Lidia Lukasiak, and Andrzej Jakubowski

    J. Phys. D: Appl. Phys. 46 (26) (Jul 3, 2013) 265201     2013.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0022-3727/46/26/265201

  375. Improving the Gas Barrier Properties of a-SiOxCyNz Film at Low Temperature using High Energy and Suitable Nitrogen Flow Rate

    Su B. Jin, Joon S.Lee, Yoon S.Choi, In S.Choi, Jeon G.Han, M.Hori

    Current Applied Physics   Vol. 13   page: 885-889   2013.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  376. A Development of Atmospheric Pressure Plasma Equipment and Its Applications for Treatment of Ag Films Formed from Nano-Particle Ink

    H Itoh,Y Kubota, Y Kashiwagi, K Takeda, K Ishikawa, H Kondo, M Sekine, H Toyoda, M Hori

    Journal of Physics: Conference Series   Vol. 441   2013.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1742-6596/441/1/012019

  377. Investigations on Plasma-Biomolecules Interactions as Fundamental Process for Plasma Medicine

    Kosuke Takenaka, Ken Cho, Yuichi Setsuhara, Masaharu Shiratani, Makoto Sekine, and Masaru Hori

    J. Phys.: Conf. Ser.   Vol. 441 ( 1 )   2013.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1742-6596/441/1/012001

  378. Surface morphology on high-temperature plasma-etched gallium nitride Reviewed

    Ryosuke Kometani, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Trans. Mater. Res. Soc. Jpn.   Vol. 38 ( 2 ) page: 325-328   2013.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.14723/tmrsj.38.325

  379. Photoluminescence Study of Plasma-Induced Damage of GaInN Single Quantum Well

    Shouichiro Izumi, Masaki Minami, Michiru Kamada, Tetsuya Tatsumi, Atsushi A. Yamaguchi, Kenji Ishikawa, Masaru Hori, and Shigetaka Tomiya

    Jpn. J. Appl. Phys. 52 (8) (May 31, 2013) 08JL09     page: 1-4   2013.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.08JL09

  380. Dissociations of C5F8 and C5HF7 in Etching Plasma

    Hayashi Toshio, Ishikawa Kenji, Sekine Makoto, Hori Masaru

    Japanese Journal of Applied Physics   Vol. 52 ( 5 )   2013.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.05EB02

  381. Wavelength dependence of photon-induced interface defects in hydrogenated silicon nitride/Si structure during plasma etching processes

    Masanaga Fukasawa, Hiroyasu Matsugai, Takayoshi Honda, Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Kazunori Nagahata, Fumikatsu Uesawa, Masaru Hori, Tetsuya Tatsumi

    Jpn. J. Appl. Phys. 52 (5) (May 20, 2013) 05ED01     page: 1-4   2013.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.05ED01

  382. Surface Chemical Modification of Carbon Nanowalls for Wide-Range Control of Surface Wettability

    H. Watanabe, H. Kondo, M. Hiramatsu, M. Sekine, S. Kumar, K. Ostrikov, M. Hori

    Plasma Process. Polym. 10 (7) (May 20, 2013)     page: 582-592   2013.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.201200141

  383. A High-Temperature Nitrogen Plasma Etching for Preserving Smooth and Stoichiometric GaN Surface

    Ryosuke Kometani, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Appl. Phys. Express 6 (5) (April 25, 2013) 056201     page: 1-4   2013.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/APEX.6.056201

  384. Inactivation Process of Penicillium digitatum Spores Treated with Non-equilibrium Atmospheric Pressure Plasma

    H. Hashizume, T. Ohta, T. Mori, S. Iseki, M. Hori, and M. Ito

    Jpn. J. Appl. Phys. 52 (5) (Apr 15, 2013) 056202     page: 1-4   2013.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.056202

  385. Supercritical Fluid Deposition of High-Density Nanoparticles of Photo-Catalytic TiO2 on Carbon Nanowalls

    Takeyoshi Horibe, Hiroki Kondo, Kenji Ishikawa, Hiroyuki Kano, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

    Appl. Phys. Express 6 (4) (2013) 045103     page: 1-4   2013.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/APEX.6.045103

  386. Study on change of electrical properties of ZnO thin films deposited in low temperature facing targets magnetron sputtering (FTS) system with H2 and O2 flow rate changes

    Hye R. Kim, Su B. Jin, Long Wen, Yoon S. Choi, In S. Choi, M. Hori, and Jeon G. Han

    Journal of Ceramic Processing Research   Vol. 14 ( 2 ) page: pp.188-193   2013.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  387. Graphene Nanowalls

    Mineo Hiramatsu, Hiroki Kondo and Masaru Hori

    Chapter 9 in Book "New Progress on Graphene Research"     2013.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.5772/3358

  388. Plasma interactions with aminoacid (l-alanine) as a basis of fundamental processes in plasma medicine

    Yuichi Setsuhara, Ken Cho, Masaharu Shiratani, Makoto Sekine, Masaru Hori

    Current Applied Physics   Vol. 13   page: S59-S63   2013.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  389. Optical-Fiber-Type Broadband Cavity Ring-Down Spectroscopy Using Wavelength-Tunable Ultrashort Pulsed Light

    T. Hiraoka, T. Ohta, M. Ito, N. Nishizawa, M. Hori

    Jpn. J. Appl. Phys.   ( 52 ) page: DOI: 10.7567/JJAP.52.040201   2013.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  390. Room-Temperature Si Etching in NO/F2 Gases and the Investigation of Surface Reaction Mechanisms

    S.Tajima, T. Hayashi , K. Ishikawa , M. Sekine , M. Hori

    J. Phys. Chem. C   ( 117 ) page: pp 5118-5125, DOI: 10.1021/jp3119132   2013.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  391. Etching-Enhancement Followed by Nitridation on Low-k SiOCH Film in Ar/C5F10O Plasma

    Y. Miyawaki, E. Shibata, Y. Kondo, K. Takeda, H. Kondo, K. Ishikawa, H. Okamoto, M. Sekine, M. Hori

    Jpn. J. Appl. Phys.   ( 52 ) page: P.020204:1-4   2013.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  392. Plasma-activated medium selectively kills glioblastoma brain tumor cells by downregulating a survival signaling molecule, AKT kinase

    H. Tanaka, M. Mizuno, K. Ishikawa, K. Nakamura, H. Kajiyama, H. Kano, F. Kikkawa, M. Hori

    Plasma Medicine   Vol. 3   page: 265-277   2013.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  393. Surface roughness development on ArF-photoresist studied by beam-irradiation of CF4 plasma

    T. Takeuchi, K. Ishikawa, Y. Setsuhara, K. Takeda, H. Kondo, M. Sekine, M. Hori

    J. Phys. D: Appl. Phys.   ( 46 ) page: P. 102001:1-5.   2013.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  394. Temperature Measurement of Si Substrate Using Optical-Fiber-Type Low-Coherence Interferometry Employing Supercontinuum Light

    Takehiro Hiraoka, Takayuki Ohta, Tetsunori Kageyama, Masafumi Ito, Norihiko Nishizawa, Masaru Hori

    Jpn. J. Appl. Phys.   ( 52 ) page: 026602-1:6   2013.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  395. Fabrication of Graphene-Based Films Using Microwave-Plasma-Enhanced Chemical Vapor Deposition

    M. Hiramatsu, M. Naito, H. Kondo, and M. Hori

    Jpn. J. Appl. Phys. 52 (1) 01AK04     2013.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.01AK04

  396. Nucleation Control of Carbon Nanowalls Using Inductively Coupled Plasma-Enhanced Chemical Vapor Deposition

    M. Hiramatsu, Y. Nihashi, H. Kondo, and M. Hori

    Jpn. J. Appl. Phys. 52 (1) (Jan 21, 2013) 01AK05     2013.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.01AK05

  397. Impact of hydrogen radical-injection plasma on fabrication of microcrystalline silicon thin film for solar cells

    Yusuke Abe, Sho Kawashima, Atsushi Fukushima, Ya Lu, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    J. Appl. Phys.   Vol. 113   page: DOI: 10.1063/1.4778608 P.033304:1-6   2013.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  398. Development of High-Density Nitrogen Radical Source for Low Mosaicity and High Rate Growth of InGaN Films in Molecular Beam Epitaxy

    Shang Chen, Yohjiro Kawai, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Hiroyuki Kano, Makoto Sekine, Hiroshi Amano, Masaru Hori

    Jpn.J.Appl.Phys   ( 52 ) page: DOI: 10.7567/JJAP.52.021001   2013.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  399. Highly selective etching of SiO2 over Si3N4 and Si in capacitivlly coupled plasma employing C5HF7 gas

    Y. Miyawaki, Y. Kondo, M. Sekine, K. Ishikawa,T. Hayashi, K. Takeda, H. Kondo, M. Hori

    Jpn. J. Appl. Phys.   ( 52 ) page: 016201:1-9   2013.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  400. H2/N2 plasma etching rate of carbon films deposited by H-assisted plasma CVD

    Tatsuya Urakawa, Ryuhei Torigoe, Hidefumi Matsuzaki, Daisuke Yamashita, Giichiro Uchida, Kazunori Koga, Masaharu Shiratani, Yuichi Setsuhara, Keigo Takeda, Makoto Sekine, Masaru Hori

    Jpn. J. Appl. Phys.   ( 52 ) page: 1,01AB01   2013.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  401. Development of the sputtering yields of ArF photoresist after the onset of argon ion bombardment

    T. Takeuchi, C. Corbella, S. Grosse-Kreul, A. von Keudell, K. Ishikawa, H. Kondo, K. Takeda, M. Sekine, M. Hori

    J. Appl. Phys.   Vol. 113   page: 014306:1-6.   2013.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  402. Surface loss probability of H radicals on silicon thin films in SiH4/H2 plasma

    Y. Abe, A. Fukushima, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, and M.Hori

    J. Appl. Phys.   Vol. 113 ( 1 )   2013.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: http://dx.doi.org/10.1063/1.4773104

  403. Rapid measurement of substrate temperatures by frequency-domain low-coherence interferometry

    Takayoshi Tsutsumi, Takayuki Ohta, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori, and Masafumi Ito

    Appl. Phys. Lett. 103 (18) (2013) 182102     2013

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4827426

  404. Study on change of electrical properties of ZnO thin films deposited in low temperature facing targets magnetron sputtering (FTS) system with H2 and O2 flow rate changes

    Hye R. Kim, Su B. Jin, Long Wen, Yoon S. Choi, In S. Choi, M. Hori, and Jeon G. Han

    Journal of Ceramic Processing Research 14 (2)     page: 188-193   2013

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  405. プラズマ異方性化学気相堆積法による硬質カーボン薄膜の低温製膜

    古閑 一憲、白谷 正治、節原 裕一、関根 誠、堀 勝

    化学工業/化学工業社   Vol. 63 ( 12 ) page: 908-912   2013

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  406. Highly selective etching of SiO2 over Si3N4 and Si in capacitivlly coupled plasma employing C5HF7 gas

    Y. Miyawaki, Y. Kondo, M. Sekine, K. Ishikawa, T. Hayashi, K. Takeda, H. Kondo, M. Hori

    Jpn. J. Appl. Phys.   ( 52 ) page: 016201:1-9   2012.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  407. プラズマ異方性化学気相堆積法による硬質カーボン薄膜の低温製膜

    古閑 一憲、 白谷 正治、 節原 裕一、 関根 誠、 堀 勝

    月刊 化学工業/化学工業社   Vol. 63 ( 12 ) page: 908-912   2012.12

     More details

    Language:Japanese  

  408. 社会イノベーションを実現する低温プラズマ科学技術

    堀 勝

      Vol. 32   page: 17   2012.12

     More details

    Language:Japanese  

  409. MINIMIZING PLASMA IRRADIATION AREA BY MICRO-NOZZLE DEVICE TOWARDS SINGLE CELL TREATMENT

    Ryutaro Shimane, Shinya Kumagai, Masaru Hori, Minoru Sasaki

    Micro&Nano letters   Vol. 7   page: 1210-1212   2012.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1049/mln.2012.0555

  410. Investigation of chemical bonding states at interface of Zn/organic materials for analysis of early stage of inorganic/organic hybrid multi-layer formation

    Ken Cho, Kosuke Takenaka, Yuichi Setsuhara, Masaharu Shiratani, Makoto Sekine, Masaru Hori

    Thin Solid Films   Vol. 523   page: 15-19   2012.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  411. Properties of Indium-Zinc-Oxide Films Synthesized by Radio Frequency Magnetron Sputtering Based on Gas Phase Monitoring Using Multi-Micro Hollow Cathode Lamp

    M. Inoue, T. Ohta, N. Takota, S. Tsuchitani, M. Ito, S. Takashima, K. Yamakawa, H. Kano, K. Takeda, M. Hori

    Jpn. J. Appl. Phys.   ( 51 ) page: DOI: 10.1143/JJAP.51.116202   2012.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  412. Individual Roles of Atoms and Ions during Hydrogen Plasma Passivivation of Surface Defects on GaN Created by Plasma Etching

    Shang Chen, Kenji Ishikawa, Yi Lu, Ryosuke Kometani, Keigo Takeda, Hiroki Kondo, Yutaka Tokuda, Takashi Egawa, Hiroshi Amano, Makoto Sekine, Masaru Hori

    Jpn.J.Appl.Phys   Vol. 51   page: DOI: 10.1143/JJAP.51.111002   2012.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  413. Critical flux ratio of hydrogen radical to film precursor in microcrystalline silicon deposition for solar cells

    Yusuke Abe, Atsushi Fukushima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    Appl. Phys. Volume 101, 172109     2012.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4764065

  414. As-grown deep-level defects in n-GaN grown by metal-organic chemical vapor deposition on freestanding GaN

    Shang Chen, Unhi Honda, Tatsunari Shibata, Toshiya Matsumura, Yutaka Tokuda, Kenji Ishikawa, Masaru Hori, Hiroyuki Ueda, Tsutomu Uesugi, Tetsu Kachi

    J. Appl. Phys.   Vol. 112 ( 5 ) page: 10.1063/1.4748170   2012.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  415. プラズマナノテクノロジーによる10mmサイズ、超高アスペクト比有機ナノピラーの室温近傍形成とフレキシブルディスプレイへの応用

    堀 勝、鈴木 俊哉、竹田 圭吾、近藤 博基、石川 健治、関根 誠

    名古屋大学ベンチャー・ビジネス・ラボラトリーニュース 研究紹介(1)   Vol. 17 ( 1 ) page: 2012, Summere, No.33   2012.8

     More details

    Language:Japanese  

  416. Line-Profiles and Translational Temperatures of Pb Atoms in Multi-Micro Hollow Cathode Lamp Measured by Diode Laser Absorption Spectroscopy

    M. Inoue, T. Ohta, N. Takota, S. Tsuchitani, M. Ito, S. Takashima, K. Yamakawa, H. Kano, K. Takeda, M. Hori

    Jpn. J. Appl. Phys.   ( 51 ) page: DOI: 10.1143/JJAP.51.086301   2012.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  417. Real-time in situ electron spin resonance measurements on fungal spores of Penicillium digitatum during exposure of oxygen plasmas

    Kenji Ishikawa, Hiroko Mizuno, Hiromasa Tanaka, Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Sachiko Iseki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Appl. Phys. Lett.   Vol. 101 ( 1 )   2012.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4733387

  418. An Autonomously Controllable Plasma Etching System Based on Radical Monitoring

    Shunji Takahashi, Ryota Kawauchi, Seigo Takashima, Shoji Den, Toshiro Katagiri, Hiroyuki Kano, Takayuki Ohta, Masafumi Ito, Tatsuya Suzuki, Keigo Takeda, and Masaru Hori

    Jpn. J. Appl. Phys. 51 (2012) 076502     2012.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.51.076502

  419. The 2012 Plasma Roadmap

    Seiji Samukawa, Masaru Hori, Shahid Rauf, Kunihide Tachibana, Peter Bruggeman, Gerrit Kroesen, J Christopher Whitehead, Anthony B Murphy, Alexander F Gutsol, Svetlana Starikovskaia, Uwe Kortshagen, Jean-Pierre Boeuf, Timothy J Sommerer, Mark J Kushner, Uwe Czarnetzki and Nigel Mason

    J. Phys. D: Appl. Phys. 45 253001     2012.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0022-3727/45/25/253001

  420. Photoluminescence recovery by in-situ exposure of plasma-damaged n-GaN to atomic hydrogen at room temperature

    S. Chen, Y. Lu, R. Kometani, K. Ishikawa, H. Kondo, Y. Tokuda, M. Sekine, and M. Hori

    AIP Advances 2, 022149     2012.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4729448

  421. タングステン加熱触媒体により生成した水素ラジカルによるレジスト用ベースポリマーの分解除去

    新井 祐, 渡邉 誠, 河野 昭彦, 山岸 忠明, 石川 健治, 堀 勝, 堀邊 英夫

    高分子論文集Vol. 69 (2012) No. 6     page: p. 266-273   2012.6

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    DOI: 10.1295/koron.69.266

  422. トリフルオロメチルトリフルオロビニルエーテル混合ガスを用いた60Hz非平衡大気圧プラズマによるビア底残渣のドライデスミア

    岩田義幸, 坂本一, 竹田圭吾, 堀 勝

    表面技術, Vol.63, No.4,     page: pp.247-251   2012.4

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  423. Ultrahigh-Speed Synthesis of Nanographene Using Alcohol In-Liquid Plasma

    T. Hagino, H. Kondo, K. Ishikawa, H. Kano, M. Sekine, M. Hori

    Applied Physics Express (2012).   Vol. Vol.5   page: pp. 035101-1:3   2012.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/APEX.5.035101

  424. Plasma Agriculture

    Masafumi Ito and Takayuki Ohta, Masaru Hori

    Journal of the Korean Physical Society, Vol. 60, No. 6,     2012.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.3938/jkps.60.937

  425. Nitriding of Polymer by Low Energy Nitrogen Neutral Beam Source

    Yasuhiro Hara, Keigo Takeda, Koji Yamakawa, Shoji Den, Hirotaka Toyoda, Makoto Sekine, and Masaru Hori

    Appl. Phys. Express 5 (2012) 035801     2012.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.1143/APEX.5.035801

  426. Quantum Chemical Investigation of Si Chemical Dry Etching by Flowing NF3 into N2 Downflow Plasma

    Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Akihiro Kono, and Koukou Suu

    Japanese Journal of Applied Physics 51 (2012) 026505     2012.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.1143/JJAP.51.026505

  427. Pressure dependence of carbon film deposition using H-assisted plasma CVD

    X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, K. Takenaka, Y. Setsuhara, M. Sekine, M. Hori

    Proc. 8th Int. Conf. Reactive Plasmas     page: 5P-PM-S08-P14   2012.2

     More details

    Language:English  

  428. Effects of Irradiation with Ions and Photons in Ultraviolet--Vacuum Ultraviolet Regions on Nano-Surface Properties of Polymers Exposed to Plasmas

    Ken Cho, Kosuke Takenaka, Yuichi Setsuhara, Masaharu Shiratani, Makoto Sekine, Masaru Hori

    Jpn. J. Appl. Phys.   ( 51 ) page: 01AJ02-01AJ02-5   2012.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  429. Floating Wire for Enhancing Ignition of Atmospheric Pressure Inductively Coupled Microplasma

    Shinya Kumagai, Hirotaka Asano, Masaru Hori, and Minoru Sasaki

    Jpn. J. Appl. Phys. 51 (2012) 01AA01     2012.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.1143/JJAP.51.01AA01

  430. Multiple-Height Microstructure Fabricated by Deep Reactive Ion Etching and Selective Ashing of Resist Layer Combined with Ultraviolet Curing

    Shinya Kumagai, Akiyoshi Hikita, Takuya Iwamoto, Takashi Tomikawa, Masaru Hori, and Minoru Sasaki

    Jpn. J. Appl. Phys. 51 (2012) 01AB04     2012.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.1143/JJAP.51.01AB04

  431. Vacuum Ultraviolet and Ultraviolet Radiation-Induced Effect of Hydrogenated Silicon Nitride Etching: Surface Reaction Enhancement and Damage Generation

    Masanaga Fukasawa, Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo,K. Ishikawa, M. Sekine, H. Matsugai, T. Honda, M. Minam, F. Uesawa, M. Hori, and T. Tatsumi

    Jpn. J. Appl. Phys.     2012.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.51.026201

  432. Control of Super Hydrophobic and Super Hydrophilic Surfaces of Carbon Nanowalls Using Atmospheric Pressure Plasma Treatments

    H. Watanabe, H. Kondo, M. Sekine, M. Hiramatsu ,M. Hori

    Jpn. J. Appl. Phys. 51 (2012) 01AJ07 (4 pages)     2012.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.51.01AJ07

  433. Chemical bond modification in porous SiOCH films by H2 and H2/N2 plasmas investigated by in situ infrared reflection absorption spectroscopy

    Hiroshi Yamamoto, Kohei Asano, Kenji Ishikawa, Makoto Sekine, Hisataka Hayashi, Itsuko Sakai, Tokuhisa Ohiwa, Keigo Takeda, Hiroki Kondo, and Masaru Hori

    Journal of Applied Physics 2011   Vol. 110 ( 123301 )   2011.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: doi:10.1063/1.3671547

  434. Quantum Chemical Investigation for Chemical Dry Etching of SiO2 by Flowing NF3 into H2 Downflow Plasma

    Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Akihiro Kono, and Koukou Suu

    Japanese Journal of Applied Physics (JJAP)     2011.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.51.016201

  435. Feature Profiles on Plasma Etch of Organic Films by a Temporal Control of Radical Densities and Real-Time Monitoring of Substrate Temperature

    Hiroshi Yamamoto, Hiroki Kuroda, Masafumi Ito1, Takayuki Ohta1, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Japanese Journal of Applied Physics (JJAP)     2011.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.51.016202

  436. Direct current superposed dual-frequency capacitively coupled plasmas in selective etching of SiOCH over SiC

    Tsuyoshi Yamaguchi, Tatsuya Komuro, Chishio Koshimizu, Seigo Takashima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    Journal of Physics D: Applied Physics     2011.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0022-3727/45/2/025203

  437. Synchrotron x-ray analyses of crystalline and electronic structures of carbon nanowalls

    Hiroki Kondo, Wakana Takeuchi, Masaru Hori, Shigeru Kimura, Yukako Kato, Takayuki Muro, Toyohiko Kinoshita, Osami Sakata, Hiroo Tajiri, and Mineo Hiramatsu

    Appl. Phys. Lett   Vol. 99   2011.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.3659470

  438. Investigations on Plasma Interactions with Soft Materials for Fabrication of Flexible Devices

    Ken CHO, Yuichi SETSUHARA, Kosuke TAKENAKA, Masaharu SHIRATANI, Makoto SEKINE and Masaru HORI

      Vol. Vol.37 ( No.6 ) page: pp.289-297   2011.11

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  439. Optical emission spectroscopy of Ar+H2+ C7H8 discharges for anisotropic plasma CVD of carbon

    T. Urakawa, H. Matsuzaki, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    Proc. Intern. Symp. on Dry Process   Vol. 33   page: 123-124   2011.11

     More details

    Language:English  

  440. Investigation of plasma interactions with organic semiconductors for fabrication of flexible electronics devices

    K. Cho, K. Takenaka, Y. Setsuhara, M. Shiratani, M. Sekine, M. Hori

    Proc. Intern. Symp. on Dry Process   Vol. 33   page: 69-70   2011.11

     More details

    Language:English  

  441. Effects of substrate bias voltage on plasma anisotropic CVD of carbon using H-assisted plasma CVD reactor

    T. Urakawa, H. Matsuzaki, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    Proc. Intern. Symp. on Dry Process   Vol. 33   page: 24P007-O   2011.11

     More details

    Language:English  

  442. Self-organized carbon Mk formation on the top surface of fine trenches using a low temperature plasma anisotropic CVD for depositing fine organic structure

    K. Koga, T. Urakawa, G. Uchida, H. Seo, K. Kamataki, N. Itagaki, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori

    Proc. Plasma Conf. 2011     page: 23G03   2011.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  443. High-Performance Decomposition and Fixation of Dry Etching ExhaustPerfluoro-Compound Gases and Study of Their Mechanism

    Kei Hattori, Masaaki Osato, Takeshi Maeda, Katsuya Okumura, Makoto Sekine, and Masaru Hori

    Jpn. J. Appl. Phys   Vol. 50   2011.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.50.117301

  444. Inactivation of Penicillium digitatum Spores by a High-Density Ground-State Atomic Oxygen-Radical Source Employing an Atmospheric-Pressure Plasma

    S. Iseki, H. Hashizume, F. Jia, K. Takeda, K. Ishikawa, T. Ohta, M. Ito, and M. Hori

    Appl. Phys. Express   Vol. Vol. 4   2011.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/APEX.4.116201

  445. Analysis of GaN Damage Induced by Cl2/SiCl4/Ar Plasma

    Masaki Minami Shigetaka Tomiya, Kenji Ishikawa, Ryosuke Matsumoto, Shang Chen, Masanaga Fukasawa,Fumikatsu Uesawa, Makoto Sekine, Masaru Hori, and Tetsuya Tatsumi

    Japanese Journal of Applied Physics   Vol. 50   page: 08JE03   2011.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.50.08JE03

  446. Impacts of CF+,CF2+,CF3+,andAr Ion Beam Bombardment with Energies of 100 and 400 eV on Surface Modification of Photoresist

    TakuyaTakeuchi,ShinpeiAmasaki,HirokiKondo,KenjiIshikawa,HirotakaToyoda,MakotoSekine,Song-Yun Kang,IkuoSawada,MasaruHori

    JapaneseJournalOfAppliedPhysics   Vol. 50 ( 8 )   2011.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.50.08JE05

  447. Novel Atmospheric Pressure Inductively Coupled Micro Plasma Source Using Floating Wire Electrode

    Shinya Kumagai, Hiroki Matsuyama, Yoshihiro Yokoyama, Masaru Hori, and Minoru Sasaki

    Jpn. J. Appl. Phys. 50 (2011) 08JA02     2011.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: DOI: 10.1143/JJAP.50.08JA02

  448. Reactive Ion Etching of Carbon Nanowalls

    Shingo Kondo, Hiroki Kondo, Yudai Miyawaki, Hajime Sasaki,Hiroyuki Kano,Mineo Hiramatsu,Masaru Hori

    Japanese Journal of Applied Physics   Vol. 50 ( 7 )   2011.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.50.075101

  449. Achieving high-growth-rate in GaN homoepitaxy using high-density nitrogen radical source

    Yohjiro Kawai1,*, Shang Chen1, Yoshio Honda1,2, Masahito Yamaguchi1,2, Hiroshi Amano1,2, Hiroki Kondo1, Mineo Hiramatsu3, Hiroyuki Kano4, Koji Yamakawa5, Shoji Den5, Masaru Hori1

    physica status solidi (c)   Vol. 8 ( 7--8 ) page: 2089-2091   2011.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/pssc.201000969

  450. Synergistic Formation of Radicals by Irradiation with Both Vacuum Ultraviolet and Atomic Hydrogen :A Real-time In Situ Electron Spin Resonance Study

    Kenji Ishikwa,Naoya Sumi,Akihiko Kono Hideo Horibe,Keigo Takeda,Hiroki Kondo,Makoto Sekine,and Masaru Hori

    Physical Chemistry   Vol. 2 ( 11 ) page: 1278-1281   2011.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  451. Spatial Distributions of Electron, CF, and CF2 Radical Densities and Gas Temperature in DC-Superposed Dual-Frequency Capacitively Coupled Plasma Etch Reactor Employing Cyclic-C4F8/N2/Ar Gas

    Tsuyoshi Yamaguchi, Tetsuya Kimura, Chishio Koshimizu, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    Jpn. J. Appl. Phys   Vol. 50   page: 056101-1:6   2011.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  452. H2/N2 plasma damage on porous dielectric SiOCH film evaluated by in situ film characterization and plasma diagnostics

    Hiroshi Yamamoto, Keigo Takeda, Kenji Ishikawa, Masafumi Ito, Makoto Sekine, Masaru Hori, Takeshi Kaminatsui, Hisataka Hayashi, Itsuko Sakai, and Tokuhisa Ohiwa

    J. Appl. Phys.   Vol. 109   page: pp.084112-1-8   2011.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.3562161

  453. O2/N2ガスを用いた60Hz非平衡大気圧プラズマによるソルダーレジストとドライフィルムの表面改質

    岩田義幸,坂本一,乾裕俊,堀勝

    表面技術   Vol. 62 ( 6 ) page: 311-316   2011.4

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  454. Radical-controlled plasma processing for nanofabrication

    Hori Masaru Hori, Hiroki Kondo and Mineo Hiramatsu

    J. Phys. D: Appl. Phys.     page: 44, 174027   2011.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  455. Spontaneous formation of highly regular superlattice structure in InGaN epilayers grown by molecular beam epitaxy

    Z. H. Wu, Y. Kawai, Y.-Y. Fang, C. Q. Chen, H. Kondo, M. Hori, Y. Honda, M. Yamaguchi, and H. Amano

    Appl. Phys. Lett.     page: 98, 141905   2011.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  456. Formation and mechanism of ultrahigh density platinum nanoparticles on vertically grown graphene sheets by metal-organic chemical supercritical fluid deposition

    Kota Mase, Hiroki Kondo, Shingo Kondo, Masaru Hori, Mineo Hiramatsu, and Hiroyuki Kano

    APPLIED PHYSICS LETTERSGRAPHENE, CARBON NANOTUBES, C60, AND RELATED STUDIES     page: 98, 193108   2011.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  457. Dissociation Channels of c-C4F8 to CF2 Radical in Reactive Plasma

    Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Akihiro Kono, and Koukou Suu

    Japanese Journal of Applied Physics     page: 50   2011.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  458. Electron field emission enhancement of carbon nanowalls by plasma surface nitridation

    Wakana Takeuchi, Hiroki Kondo, Tomomi Obayashi, Mineo Hiramatsu, and Masaru Hori

    Appl. Phys. Lett.     page: 98, 123107   2011.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  459. Hydrophobic treatment of organics against glass employing nonequilibrium atmospheric pressure pulsed plasmas with a mixture of CF4 and N2 gases

    Hirotoshi Inui, Keigo Takeda, Kenji Ishikawa, Takuya Yara, Tsuyoshi Uehara, Makoto Sekine, and Masaru Hori

    Journal of Applied Physics   Vol. 109   page: 013310   2011.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  460. Mechanism of plasma-induced damage to low-k SiOCH films during plasma ashing of organic resists

    Keigo Takeda, Yudai Miyawaki, Seigo Takashima, Masanaga Fukasawa, Keiji Oshima, Kazunori Nagahata, Tetsuya Tatsumi, and Masaru Hori

    J. Appl. Phys.   Vol. 109   page: 033303   2011.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  461. Controlled Synthesis of Carbon Nanowalls for Carbon Channel Engineering

    Hiroki Kondo, Masaru Hori, Wakana Takeuchi, Mineo Hiramatsu

    Key Engineering Materials   Vol. 470   page: 85-91   2011.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  462. Laser Scattering Diagnosis of a 60-Hz Non-Equilibrium Atmospheric Pressure Plasma Jet

    Fengdong Jia, Naoya Sumi, Kenji Ishikawa, Hiroyuki Kano, Hirotoshi Inui,Jagath Kularatne, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Akihiro Kono, and Masaru Hori

    Applied Physics   Vol. 4   page: 026101   2011.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  463. Behaviors of Absolute Densities of N, H, and NH3 at Remote Region of High-Density Radical Source Employing N2?H2 Mixture Plasmas

    Shang Chen, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Makoto Sekine, Hiroyuki Kano, Shoji Den, and Masaru Hori

    Jpn. J. Appl. Phys.   Vol. 50   page: 01AE03   2011.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  464. プラズマ技術とバイオアプリケーション -非均衡大気圧プラズマのミドリカビ殺菌への応用-

    伊藤昌文、堀勝

    化学工業   Vol. 61 ( 6 ) page: 44-48   2010.6

     More details

    Language:Japanese  

  465. 研究開発の効率を飛躍的に高めるコンビ名とリアルプラズマ解析装置

    白谷正治、節原裕一、関根誠、堀勝

    化学工業   Vol. 60 ( 5 ) page: 43-47   2010.5

     More details

    Language:Japanese  

  466. 巻頭言・プラズマ誘起表面科学の魅力

    堀勝

    表面科学   Vol. 31 ( 3 ) page: 123   2010.3

     More details

    Language:Japanese  

  467. プラズマCVD法を用いたカーボンナノウォールの形成

    平松美根男、堀勝

    表面科学   Vol. 31 ( 3 ) page: 144-149   2010.3

     More details

    Language:Japanese  

  468. 自律型プラズマナノエッチング製造装置の創製~装置が自己判断、自己制御、自己修正する究極のプラズマプロセスの実現~

    堀勝、竹田圭吾

      Vol. 14 ( 2 ) page: 4   2010.2

     More details

    Language:Japanese  

  469. Contrast Enhancement of Wavelength Selective Detection on Mid-InfraredUsing Localized Atmospheric Plasma Treatment

    K. Masuno, K. Tashiro, M. Hori, S. Kumagai, M. Sasaki

    Jpn J. Appl. Phys   Vol. 49 ( 4 )   2010

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  470. Preparation of Platinum Nanoparticles on Carbon Nanostructures Using Metal-Organic Chemical Fluid Deposition Employing Supercritical Carbon Dioxide

    M. Hiramatsu, T. Machino, K. Mase, M. Hori, and H. Kano

    J. Nanosci. Nanotechnol   Vol. 10   page: 4023-4029   2010

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  471. Monolithic self-sustaining nanographene sheet grown using plasma-enhanced chemical vapor deposition

    Wakana Takeuchi, Keigo Takeda, Mineo Hiramatsu, Yutaka Tokuda, Hiroyuki Kano, Shigeru Kimura, Osami Sakata, Hiroo Tajiri, and Masaru Hori

    Phys. Status Solidi A 207   Vol. 1   page: 139-143   2010

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  472. Critical Factors for Nucleation and Vertical Growth of Two Dimensional Nano-Graphene Sheets Employing a Novel Ar+ Beam with Hydrogen and Fluorocarbon Radical Injection

    Shingo Kondo, Hiroki Kondo, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    Applied Physics Express   Vol. 3 ( 4 ) page: 045102   2010

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  473. “High performance of compact radical monitoring probe in H2/N2 mixture plasma"

    Chang S. Moon, K. Takeda, S. Takashima, M. Sekine, Y. Setsuhara, M. Shiratani, and M. Hori

    J. Vac. Sci. Technol.   Vol. B 28 ( L17 )   2010

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  474. Preparation of Dispersed Platinum Nanoparticles on a Carbon Nanostructured Surface Using Supercritical Fluid Chemical Deposition Materials

    M. Hiramatsu, M. Hori

      Vol. 3 ( 3 ) page: 1559-1572   2010

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  475. Rapid inactivation of Penicillium digitatum spores using high-density nonequilibrium atmospheric pressure plasma

    Sachiko Iseki, Takayuki Ohta, Akiyoshi Aomatsu, Masafumi Ito, Hiroyuki Kano, Yasuhiro Higashijima, and Masaru Hori

    Appl. Phys.   Vol. 96   page: 153704   2010

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  476. Surface loss probabilities of H and N radicals on different materials in afterglow plasmas employing H2 and N2 mixture gases

    Chang S. Moon, Keigo Takeda, Seigo Takashima, Makoto Sekine, Yuichi Setsuhara, Masaharu Shiratani, and Masaru Hori

    J. Appl. Phys   Vol. 107 ( 10 ) page: 103310   2010

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  477. Etching characteristics of organic low-k films interpreted by internal parameters employing a combinatorial plasma process in an inductively coupled H2/N2 plasma

    Moon Chang Sung; Takeda Keigo; Sekine Makoto; Setsuhara Yuichi; Shiratani Masaharu; Hori Masaru

    J. Appl. Phys   Vol. 107 ( 11 ) page: 113310 - 113310-8   2010

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  478. Optical Properties of Evolutionary Grown Layers of Carbon Nanowalls Analyzed by Spectroscopic Ellipsometry

    Shinji Kawai, Shingo Kondo, Wakana Takeuchi, Hiroki Kondo, Mineo Hiramatsu, Masaru Hori

    Japanese Journal of Applied Physics   Vol. 49   page: 060220   2010

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  479. Surface Loss Probability of Nitrogen Atom on Stainless-Steel in N2 Plasma Afterglow

    S. Takashima, K. Takeda, S. Kato, M. Hiramatsu, and M. Hori

    Jpn J. Appl. Phys.   Vol. 49   page: 076101-1 - 4   2010

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  480. Dependence of Surface-Loss Probability of Hydrogen Atom on Pressures in Very High Frequency Parallel-Plate Capacitively Coupled Plasma

    Yusuke Abe, Sho Kawashima, Keigo Takeda, Makoto Sekine, and Masaru Hori

    Applied Physics Express   Vol. 13 ( 10 ) page: 106001   2010

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  481. Modeling considerations and performance estimation of Single Carbon Nano Wall based Field Effect Transistor by 3D TCAD simulation study

    Malinowski A., Hori M., Sekine M., Takeuchi W., ?ukasiak L., Jakubowski A., Tomaszewski D.

    Journal Transactions of the Materials Research Society of Japan   Vol. 35 ( 3 ) page: 669-674   2010

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  482. Measurement of Hydrogen Radical Density and its Impact on Reduction of Copper Oxide in Atmospheric-Pressure Remote Plasma Using H2 and Ar Mixture Gases

    Hirotoshi Inui, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Sekine Makoto, Hiroyuki Kano, Naofumi Yoshida, and Masaru Hori

    Appl. Phys. Express   Vol. 3   page: 126101   2010

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  483. Initial growth process of carbon nanowalls synthesized by radical injection plasma-enhanced chemical vapor deposition

    S. Kondo, S. Kawai, W. Takeuchi, K. Yamakawa, S. Den, H. Kano, M. Hiramatsu, and M. Hori

    J. Appl. Phys   Vol. 106   page: 094302   2009.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  484. A scientific look at plasma technology

    SPOTLIGHT ON NAGOYA     page: 16   2009.10

     More details

    Language:English  

  485. *Development of atomic monitoring probe and its application to spatial distribution measurements of H and O atomic radical densities in radical-based plasma processing

    S. Takahashi, S.Takashima, K.Yamakawa, S. Den, H.Kano, K. Takeda, and M. Hori

    J. Appl. Phys   Vol. 106 ( 5 )   2009.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  486. Analysis of dispersion of electrical parameters and characteristics of FinFET devices

    Malinowski A., Sekine M., Hori M., Jakubowski A., Lukasiak L., Tomaszewski D

    Journal of Telecommunications and Information Technology (JTIT)   ( 4 )   2009.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  487. ラジカル制御プラズマとその応用

    堀 勝

      Vol. 52 ( 9 ) page: 491-497   2009.9

     More details

    Authorship:Lead author   Language:Japanese  

  488. Monolithic self-sustaining nanographene sheet grown using plasma-enhanced chemical vapor deposition

    Wakana Takeuchi, Keigo Takeda, Mineo Hiramatsu, Yutaka Tokuda, Hiroyuki Kano, Shigeru Kimura, Osami Sakata, Hiroo Tajiri, and Masaru Hori

    Phys. Status Solidi A   Vol. 1-5   2009.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  489. SiH4 /H2 &not;プラズマによる高品質微結晶シリコンの低温形成

    堀 勝

    月間ディスプレイ   Vol. 15 ( 8 ) page: 3-8   2009.8

     More details

    Language:Japanese  

  490. Development of measurement technique for carbon atoms employing vacuum ultraviolet absorption spectroscopy with a microdischarge hollow-cathode lamp and its application to diagnostics of nanographene sheet material formation plasmas Reviewed

    W. Takeuchi, H. Sasaki, S. Kato, S. Takashima, M. Hiramatsu, and M. Hori

    J. Appl. Phys   Vol. 105   page: 113305 -1- 113305 -6   2009.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  491. 低誘電率(Low-k)材料のドライエッチング

    堀 勝、関根 誠

    プラズマ・核融合学会誌   Vol. 85 ( 4 ) page: 193,194   2009.4

     More details

    Language:Japanese  

  492. Synthesis of Platinum Nanoparticles on Two-Dimensional Carbon Nanostructures with an Ultrahigh Aspect Ratio Employing Supercritical Fluid Chemical Vapor Deposition Process Reviewed

    T. Machino, W. Takeuchi, H. Kano, M. Hiramatsu, and M. Hori

    Appl. Phys. Express   Vol. 2 ( 2 ) page: 025001-1-025001-3   2009.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  493. Combinatorial Plasma Etching Process

    Chang Sung Moon, Keigotakeda, Makoto Sekine, Yuichi Setsuhara, Masaharu Shiratani, and Masaru Hori

    Applied Physics Express   Vol. 2   2009

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  494. Substrate temperature dependence of deposition profile of plasma CVD carbon films in trenches

    Jun Umetsu, Kazuhiko Inoue, Takuya Nomura, Hidefumi Matsuzaki, Kazunori Koga, Masaharu Shiratani, Yuichi Setsuhara, Makoto Sekine, and Masaru Hori

    Journal of Plasma and Fusion Research Series   Vol. 8   page: 1443-1446   2009

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  495. Development of d ensity-inclination plasmas for analysis of plasma nano-processes via combinatorial method

    Y. Setsuhara, K. Nagao, M. Shiratani, M. Sekine, M. Hori

    Thin Solid Films   Vol. 518   page: 1020-1023   2009

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  496. Plasma Surface Treatment of Polymers with Inductivity Coupled RF Plasmas Driven by Low inductance Antenna Units

    Y. Setsuhara, K. Cho, K. Takenaka, A. Ebe, M. Shiratani, M. Sekine, M. Hori E. Ikeitaga, H. Kondo, O. Nakatsuka and S. Zaima

    Thin Solid Films   Vol. 518   page: 1006-1011   2009

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  497. Low-Damage Surface Modification of Polymethylmethacrylate with Argon-Oxygen Mixture Plasmas Driven by Multiple Low-Inductance Antenna Units

    Y. Setsuhara, K. Cho, K. Takenaka, M. Shiratani, M. Sekine, M. Hori, E. Ikeitaga and S. Zaima

    Thin Solid Films   Vol. -   2009

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  498. X-Ray Photoelectron Spectroscopy for Analysis of Plasma-Polymer Interactions in Ar Plasmas Sustained via RF Inductive-Coupling with Low-Inductance Antenna Units

    Y. Setsuhara, K. Cho, M. Shiratani, M. Sekine and M. Hori, E. Ikeitaga and S. Zaima

    Thin Solid Films   Vol. -   2009

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  499. DEVELOPMENT OF COMBINATORIAL PLASMA PROCESS ANALYZER FOR ADVANCED R&D OF NEXT GENERATION NANODEVICE FABRICATIONS

    K. Takenaka, K. Cho, Y. Setsuhara, M. Shiratani, M. Sekine, M. Hori

    Cramics Transactions   Vol. -   2009

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  500. 半導体特性を持つカーボンナノウォールの合成及びその電気伝導特性の制御に世界で初めて成功

    堀 勝

    科研費NEWS   Vol. 2   page: 7   2008.11

     More details

    Language:Japanese  

  501. Fabrication of Carbon Nanowalls using Electron Beam Excited Plasma-Enhanced Chemical Vapor Deposition Reviewed

    T. Mori, M. Hiramatsu, K. Yamakawa, K. Takeda, and M. Hori

    Diamond & Related Materials   Vol. 17 ( 7-10 ) page: 1513-1517   2008.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  502. New Compact Continuous Spectrum Light Source Using Atmospheric Pressure Microplasma with High-Velocity Ar Gas Flow Reviewed

    H. Ito, H. Kano, and M. Hori

    Appl. Phys. Express   Vol. 1 ( 10 ) page: 106001-1-106001-3   2008.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  503. 先進プラズマナノプロセス技術~プラズマナノ科学創成による製造技術の革新~

    堀 勝

    真空ジャーナル   Vol. 120   page: 18,25   2008.9

     More details

    Language:Japanese  

  504. Novel Silicon Wafer Slicing Technology Using Atmospheric-Pressure Reactive Microplasma Reviewed

    T. Ideno, H. Inui, S. Takashima, H. Kano, M. Kondo, M. Hiramatsu, and M. Hori

    Jpn. J. Appl. Phys.   Vol. 47 ( 7 ) page: 5648-5651   2008.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  505. グラファイト(黒鉛)から半導体を創る――カーボンナノウォールの電気伝導制御に成功――

    堀 勝

    名大トピックス   Vol. 182   page: 12,13   2008.7

     More details

    Language:Japanese  

  506. Absolute Density and Temperature of O(1D2) in Highly Ar or Kr Diluted O2 Plasma Reviewed

    K. Takeda, S. Takashima, M. Ito, and M. Hori

    Appl. Phys. Lett   Vol. 93 ( 2 ) page: 021501-1-021501-3   2008.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  507. Surface Reactions during Low-k Etching using N2/H2 Plasma Reviewed

    M. Fukasawa, T. Tatsumi, K. Oshima, K. Nagahata, S. Uchida, S. Takashima, M. Hori, and Y. Kamide

    J. Vac. Sci. Technol   ( A26 ) page: 870-874   2008.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  508. 大気圧プラズマを用いた加工技術 Invited

    堀 勝

    放電研究   Vol. 51 ( 2 ) page: 27-31   2008.6

     More details

    Authorship:Lead author   Language:Japanese  

  509. Evaluation of Property Changes due to Radiation, Radicals, and Ions on Organic Low-k Films in H2/N2 Plasma Etching Reviewed

    S. Uchida, S. Takashima, M. Hori, M. Fukasawa, K. Ohshima, K. Nagahata, and T. Tatsumi

    Jpn. J. Appl. Phys.   Vol. 47 ( 5 ) page: 3621-3624   2008.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  510. Surface Modification Process of Contact Lens Using Three-Phase AC Excited Nonequilibrium Atmospheric Pressure Ar Plasma Reviewed

    M. Iwasaki, H. Inui, H. Kano, M. Ito, Y. Suzuki, D. Sutou, K. Nakada, and M. Hori

    Jpn. J. Appl. Phys   Vol. 47 ( 5 ) page: 3625-3629   2008.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  511. Electrical Conduction Control of Carbon Nanowalls Reviewed

    W. Takeuchi, M. Ura, M. Hiramatsu, Y. Tokuda, H. Kano, and M. Hori

    Appl. Phys. Lett.   Vol. 92   page: 213103-1-213103-3   2008.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  512. Plasma Damage Mechanisms for Low-k Porous SiOCH Films due to Radiation, Radicals, and Ions in the Plasma Etching Process Reviewed

    S. Uchida, S. Takashima, M. Hori, M. Fukasawa, K. Ohshima, K. Nagahata, and T. Tatsumi

    J. Appl. Phys.   Vol. 103 ( 7 ) page: 073303-1-073303-5   2008.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  513. プラズマ中のラジカル制御によるカーボンナノウォールの合成

    堀 勝、平松 美根男

    応用物理   Vol. 77 ( 4 ) page: 406-410   2008.4

     More details

    Language:Japanese  

  514. のぞいてみよう!“プラズマの世界”

    堀 勝

    青少年のための科学の祭典・岐阜大会実験解説集     page: 10   2008.3

     More details

    Language:Japanese  

  515. Characteristics of Low Energy Atom and Molecule Beams Generated by the Charge Exchange Reaction Reviewed

    Y. Hara, S. Takashima, K. Yamakawa, S. Den, H. Toyoda, M. Sekine, and M. Hori

    J. Appl. Phys.   Vol. 103 ( 5 ) page: 053301-1-053301-5   2008.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  516. Nonequilibrium Atmospheric Pressure Plasma with Ultrahigh Electron Density and High Performance for Glass Surface Cleaning Reviewed

    M. Iwasaki, H. Inui, Y. Matsudaira, H. Kano, N. Yoshida, M. Ito, and M, Hori

    Appl. Phys. Lett.   Vol. 92   page: 081503-1-081503-3   2008.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  517. Octave Spanning High Quality Super Continuum Generation Using 10 nJ and 104 fs High Energy Ultrashort Soliton Pulse Reviewed

    N. Nishizawa and M. Hori

    Appl. Phys. Express 1     page: 022009-1-022009-2   2008.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  518. プラズマイノベーションによる学と産の世界拠点を目指して!

    堀 勝

      Vol. 112   page: 23-25   2008.1

     More details

    Language:Japanese  

  519. Roles of Oxidizing Species in a Nnonequilibrium Atmospheric-Pressure Pulsed Remote O2/N2 Plasma Glass Cleaning Process Reviewed

    M. Iwasaki, Y. Matsudaira, K. Takeda, M. Ito, E. Miyamoto, T. Yara, T. Uehara, and M. Hori

    J. Appl. Phys.   Vol. 103   page: 023303-1-023303-7   2008.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  520. Highly Reliable Growth Process of Carbon Nanowalls using Radical Injection Plasma-Enhanced Chemical Vapor Deposition Reviewed

    S. Kondo, K. Yamakawa, S. Den, H. Kano, M. Hiramatsu, and M. Hori

    J. Vac. Sci. Technol   ( B26 ) page: 1294   2008

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  521. Analysis of Process Plasma via Computer Simulations and Plasma Diagnostics, for N2 Plasma and H2 Plasma Reviewed

    Journal of the Vacuum Society of Japan   Vol. 51 ( 12 ) page: 807-813   2008

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  522. Tunable Low-Energy Ar Fast Atom Source with Large Diameter Reviewed

    Y. Hara, S. Takashima, K. Yamakawa, S. Den, H. Toyoda, and M. Hori

    Appl. Phys. Lett.   Vol. 91 ( 23 ) page: 231502-1-231502-3   2007.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  523. カーボンナノウォールの超精密形成と機能デバイスへの応用 Invited

    堀 勝、平松 美根男

      Vol. 7 ( 11 ) page: 10-16   2007.11

     More details

    Language:Japanese  

  524. シリコン表面の窒化初期過程とエネルギーバンドギャップの形成

    近藤 博基、財満 鎮明、堀 勝、酒井 朗、小川 正毅

    真空   Vol. 50 ( 11 ) page: 665- 671   2007.11

     More details

    Language:Japanese  

  525. 高密度プラズマとその応用技術の最前線 展望『高密度プラズマプロセッシングの現状と将来展望』 Invited

    堀 勝

    精密工学学会誌   Vol. 73 ( 9 ) page: 971-974   2007.9

     More details

    Authorship:Lead author   Language:Japanese  

  526. VBLニュース 研究紹介 「大気圧プラズマによるフレキシブルエレクトロニクスの技術革新」

    堀 勝

    名古屋大学ベンチャー・ビジネス・ラボラトリー ニュースNo. 23   Vol. 12 ( 1 ) page: 3   2007.8

     More details

    Authorship:Lead author   Language:Japanese  

  527. ラジカル制御CVD法によるカーボンナノウォールの成長

    堀 勝、平松 美根男

      Vol. 23 ( 3 ) page: 13-17   2007.7

     More details

    Language:Japanese  

  528. Effect of Low Level O2 Addition to N2 on Surface Cleaning by Nonequilibrium Atmospheric-Pressure Pulsed Remote Pmasma

    M .Iwasaki, K. Takeda, M. Ito, T. Yara, T. Uehara, and M. Hori

    Jpn. J. Appl. Phys., Express Letter   Vol. 46 ( 23 ) page: L540-L542   2007.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  529. *Insights into Sticking of Radicals on Surfaces for Smart Plasma Nano-Processing

    M. Hori and T. Goto

    Applied Surface Science   Vol. 253 ( 16 ) page: 6657-6671   2007.6

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  530. 小特集「材料プロセス用フルオロカーボンプラズマ――現状と展望―― 9.フルオロカーボンプラズマを用いたナノ構造体の形成」

    平松美根男、堀 勝、

    プラズマ・核融合学会誌   Vol. 83 ( 4 ) page: 356-360   2007.4

     More details

    Language:Japanese  

  531. 小特集「材料プロセス用フルオロカーボンプラズマ――現状と展望―― 7.環境調和型ゼロエミッション・リサイクルナノエッチングプロセスの開発」

    高橋俊次、堀 勝

    プラズマ・核融合学会誌   Vol. 83 ( 4 ) page: 346-349   2007.4

     More details

    Language:Japanese  

  532. 小特集「材料プロセス用フルオロカーボンプラズマ――現状と展望―― 1.はじめに」

    堀 勝

    プラズマ・核融合学会誌   Vol. 83 ( 4 ) page: 317-318   2007.4

     More details

    Authorship:Lead author   Language:Japanese  

  533. Aligned Growth of Single-Walled and Double-Walled Carbon Nanotube Films by Control of the Catalyst Preparation

    M. Hiramatsu, T. Deguchi, H. Nagao, and M. Hori

    Jpn. J. Appl. Phys.   Vol. 46 ( 13 ) page: L303 - L306   2007.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  534. Growth and Energy Bandgap Formation of Silicon Nitride Films in Radical Nitridation

    H. Kondo, K. Kawaai, A. Sakai, M. Hori, S. Zaima, and Y. Yasuda

    Jpn. J. Appl. Phys.   Vol. 46 ( 1 ) page: 71-75   2007.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  535. 巻頭言

    堀 勝

    応用物理学会東海支部創立40周年記念リフレッシュ理科教室「たのしい工作大集合!」     2007.1

     More details

    Authorship:Lead author   Language:Japanese  

  536. Silicon Oxide Selective Etching Employing Dual Frequency Superimposed Magnetron Sputtering of Carbon Using F2/Ar Gases

    M. Nagai and M. Hori

    Jpn. J. Appl. Phys.   Vol. 46 ( 2 ) page: 799-802   2007

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  537. Plasma Etching Technology for Low-k Porous SiOCH Films

    M. Hori

    Silicon Nitride, Silicon Dioxide, and Emerging Dielectrics 9   Vol. 6 ( 3 ) page: 485-500   2007

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  538. Formation of Microcrystalline Diamond Using a Low-Pressure Inductively Coupled Plasma Assisted by Thermal Decomposition of Di-t-alkyl Peroxide

    H. Ito, K. Teii, M. Ito, and M. Hori

    Diamond and Related Materials   Vol. 16   page: 393-396   2007

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  539. Initial Stage of Processes and Energy Bandgap Formation in Nitridation of Silicon Surface Using Nitrogen Radicals

    H. Kondo, S. Zaima, M. Hori, A. Sakai, M. Ogawa

    J. Vac. Soc. Jpn   Vol. 50 ( 11 ) page: 665- 671   2007

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  540. Simultaneous Monitoring of Multimetallic Atom Densities in Plasma Processes Employing a Multimicrohollow Cathode Lamp

    T. Ohta, M. Ito, Y. Tachibana, S. Taneda, S. Takashima, M. Hori, H. Kano, and S. Den

    Appl. Phys. Lett.   Vol. 90   page: 251502.1- 251502.3   2007

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  541. Area-Selective Growth of Aligned Single-Walled Carbon Nanotube Films using Microwave Plasma-Enhanced CVD

    M. Hiramatsu, T. Deguchi, H. Nagao, and M. Hori

    Diamond and Related Materials   Vol. 16   page: 1126-1130   2007

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  542. The Silicon Mold Fabrication of a Kind of Micro-Optical Resonator and Coupler

    H. Ju, T. Ohta, S. Takao, M. Ito, M. Sasaki, K. Hane, and M. Hori

    Proceedings of SPIE   Vol. 6462   page: 64620I   2007

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  543. Diagnostics of Surface Wave Excited Kr/O2 Plasma for Low-Temperature Oxidation Processes

    K. Takeda, Y. Kubota, S. Takashima, M. Hori, A. Serdyuchenko, M. Ito, and Y. Matsumi

    J. Appl. Phys.,   Vol. 102   page: 013302-1-013302-6   2007

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  544. Geometric Characteristics of Silicon Cavities Etched in EDP Reviewed

    H. Ju, T. Ohta, M. Ito, M. Sasaki, K. Hane, and M. Hori

    J. Micromech, & Microeng.   Vol. 17   page: 1012-1016   2007

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  545. 精密シリコンスライスでフッ素系ガスプラズマ切断浮上

    堀 勝

    ガスレビュー   Vol. 614   page: 25   2006.12

     More details

    Authorship:Lead author   Language:Japanese  

  546. 研究室紹介

    堀 勝

    応用物理学会プラズマエレクトロニクス分科会会報   Vol. 45   2006.12

     More details

    Authorship:Lead author   Language:Japanese  

  547. Ion Attachment Mass Spectrometry of Nonequilibrium Atmospheric-Pressure Pulsed Remote Plasma for SiO2 Etching

    M. Iwasaki, M. Ito, T. Uehara, M. Nakamura, and M. Hori

    J. Appl. Phys.   Vol. 100   2006.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  548. Carbon Nanowalls Formation by Radical Controlled Plasma Process

    M. Hori and M. Hiramatsu

    Advanced in Science and Technology   Vol. 48   page: 119-126   2006.11

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  549. Nitriding of a Tool Steel with an Electron-beam-excited Plasma

    H. Shoyama, T. Hishida, T. Hara, Y. Dake, T. Mori, H. Nagai, M. Hori, and T. Goto

    J. Vac. Sci. Techno.   Vol. A24   page: 1999-2002   2006.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  550. Development of a Low Pressure Microwave Excited Plasma and its Application to the Formation of Microcrystalline Silicon Films

    D. Kikukawa, M. Hori, K. Honma, M. Yamamoto, T. Goto, S. Takahashi, and S. Den

    J. Vac. Sci. Technol.   Vol. A24   page: 2128-2132   2006.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  551. プラズマ化学気相堆積法を用いたカーボンナノウォールの作製

    平松美根男、堀 勝

    真空   Vol. 49 ( 9 ) page: 368-372   2006.9

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  552. Effects of N2 Addition on Density and Temperature of Radicals in 60 MHz Capacitively Coupled C-C4F8 Gas Plasma

    M. Nagai and M. Hori

    J. Vac. Sci. Technol.   Vol. A24   page: 1760-1763   2006.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  553. Low-k SiOCH Film Etching Process and Its Diagnostics Employing Ar/C5F10O/N2 Plasma

    M. Nagai, T. Hayashi, M. Hori, and H. Okamoto

    Jpn. J. Appl. Phys.   Vol. 45 ( 9A ) page: 7100-7104   2006.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  554. Silicon Dioxide Etching Process for Fabrication of Micro-optics Employing Pulse-Modulated Electron-beam-excited Plasma

    K. Takeda, T. Ohta, M. Ito, and M. Hori

    J. Vac. Sci. Technol.   Vol. A24   page: 1725-1729   2006.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  555. Fabrication of Carbon Nanowalls Using Novel Plasma Processing

    M. Hiramatsu and M. Hori

    Jpn. J. Appl. Phys.   Vol. 45 ( 6B ) page: 5522-5527   2006.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  556. Progress of Radical Measurements in Plasmas for Semiconductor Processing

    M. Hori and T. Goto

    Plasma Sources Sci. Technol.   Vol. 15 ( 2 ) page: S74-S83   2006.5

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  557. Atmospheric Pressure Fluorocarbon-Particle Plasma Chemical Vapor Deposition for Hydrophobic Film Coating

    M. Nagai, O. Takai, and M. Hori

    Jpn. J. Appl. Phys.   Vol. 45 ( 17 ) page: L460-L462   2006.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  558. 新規エッチングガスを用いた半導体微細加工プロセス

    堀 勝、高橋俊次

    化学工業   Vol. 57 ( 3 ) page: 55-58   2006.3

     More details

    Authorship:Lead author   Language:Japanese  

  559. プラズマCVDを用いたカーボンナノウォールの成長

    平松美根男、堀 勝

    日本結晶成長学会誌   Vol. 32 ( 32 ) page: 27-32   2005.12

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  560. スマートプラズマプロセス

    堀 勝

    応用物理   Vol. 74 ( 10 ) page: 1328-1335   2005.10

     More details

    Authorship:Lead author   Language:Japanese  

  561. プラズマで遊ぼう

    堀 勝

    WEC青少年のための科学の祭典 2005年岐阜大会in岐阜メモリアルセンター     page: 5   2005.10

     More details

    Authorship:Lead author   Language:Japanese  

  562. RFプラズマCVDによるカーボンナノウォールの配向成長

    平松美根男、堀 勝

    プラズマ・核融合学会誌   Vol. 81 ( 9 ) page: 669-673   2005.9

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  563. 巻頭言

    堀 勝

    応用物理学会東海支部第8回リフレッシュ理科教室「あつい!つめたい!熱の不思議」     2005.7

     More details

    Authorship:Lead author   Language:Japanese  

  564. 名古屋大学ナノプロセス研究Gr.自立型ナノ製造装置を開発 LTPS向け各種製膜から平面バックライト向けCNWの形成まで

    堀 勝

    EExpress     page: 24-31   2005.6

     More details

    Authorship:Lead author   Language:Japanese  

  565. 巻頭言

    堀 勝

    応用物理学会シリコンテクノロジー分科会「65nmから45nmノードlow-kエッチングの最前線」特集号   ( 71 ) page: 1   2005.6

     More details

    Authorship:Lead author   Language:Japanese  

  566. 第52回応用物理学関係連合講演会 講演会報告「シリコンナノエレクトロニクスの新展開――ポストスケーリングテクノロジー――」

    堀 勝、宮崎誠一、田畑仁

    応用物理   Vol. 74 ( 6 ) page: 804-805   2005.6

     More details

    Authorship:Lead author   Language:Japanese  

  567. カーボンナノウォールの合成と合成装置の実用化開発

    堀 勝、平松美根男

    放電研究   Vol. 48 ( 2 ) page: 33-38   2005.5

     More details

    Authorship:Lead author   Language:Japanese   Publishing type:Research paper (scientific journal)  

  568. カーボンナノ構造体製膜装置の開発

    平松美根男、堀 勝

    Display Asia(韓国)     2005.5

     More details

    Language:Japanese  

  569. Property of Atmospheric Pressure Plasma with Microwave Excitation of Plasma Processing

    M. Nagai, M. Hori, and T. Goto

    J. Vac. Sci. Technol. A   Vol. 23 ( 2 ) page: 221-225   2005.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  570. マイクロ波励起非平衡大気圧プラズマを用いたシリコン酸化膜の超高速エッチングおよびカーボンナノチューブの形成

    山川晃司、堀 勝

    真空 Journal of the Vacuum Society of Japan   Vol. 48 ( 2 ) page: 51-56   2005.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  571. Vertical Growth of Carbon Nanowalls Using RF Plasma-Enhanced Chemical Vapor Deposition

    K. Shiji, M. Hiramatsu, A. Enomoto, M. Nakamura, H. Amano and M. Hori

    Diamond & Related Materials   Vol. 14   page: 831-834   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  572. Preparation of Dense Carbon Nanotube Film Using Microwave Plasma-Enhanced Chemical Vapor Deposition

    M. Taniguchi, H. Nagao, M. Hiramatsu, Y. Ando, and M. Hori

    Diamond & Related Materials   Vol. 14   page: 855-858   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  573. Fabrication of Dense Carbon Nanotube Films Using Microwave Plasma-Enhanced Chemical Vapor Deposition

    M. Hiramatsu, M. Taniguchi, H. Nagao, Y. Ando, and M. Hori

    Jpn. J. Appl. Phys.   Vol. 44 ( 2 ) page: 1150-1154   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  574. High-Rate Growth of Films of Dense, Aligned Double-Walled Carbon Nanotubes Using Microwave Plasma-Enhanced Chemical Vapor Deposition

    M. Hiramatsu, H. Nagao, M. Taniguchi, H. Amano, Y. Ando, and M. Hori

    Jpn. J. Appl. Phys.   Vol. 44   page: L693-L695   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  575. Etching Process of Silicon Dioxide with Nonequilibrium Atmospheric Pressure Plasma

    K. Yamakawa, M. Hori, T. Goto, S. Den, T. Katagiri, and H. Kano

    J. Appl. Phys.   Vol. 98   page: 13301-1-13301-6   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  576. *Ultra-High-Speed Etching of Organic Films Using Microwave-Excited Nonequilibrium Atmospheric-Pressure Plasma

    K. Yamakawa, M. Hori, T. Goto, S. Den, T. Katagiri, and H. Kano

    J. Appl. Phys.   Vol. 98   page: 43311-1-43311-5   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  577. Decomposition and Polymerization of Perfluorinated Compounds in Microwave-Excited Atmospheric Pressure Plasma

    M. Nagai, M. Hori, and T. Goto

    J. Appl. Phys.   Vol. 97   page: 123304-1-123304-5   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  578. Development of Compact C2F4 Gas Supply Equipment and Its Application to Etching of Dielectrics in an Environmental Benign Process

    S. Takahashi, S. Den, T. Katagiri, K. Yamakawa, H. Kano, and M. Hori

    Jpn. J. Appl. Phys.   Vol. 44 ( 24 ) page: L781-L783   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  579. マイクロ波励起非平衡大気圧プラズマを用いた超高速加工技術

    堀 勝、山川晃司

    表面技術   Vol. 55 ( 12 ) page: 38-42   2004.12

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  580. Study on the Absolute Density and Translational Temperature of Si Atoms in Very High Frequency Capacitively Coupled SiH4 Plasma with Ar, N2, and H2 Dilution Gases Reviewed

    T. Ohta, M. Hori, T. Ishida, T. Goto, M. Ito, and S. Kawakami

    Jpn. J. Appl. Phys.   Vol. 43 ( 9A ) page: 6405-6412   2004.9

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  581. A Novel Silicon-Dioxide Etching Process Employing Pulse-Modulated Electron-Beam-Excited Plasma Reviewed

    K. Takeda, Y. Tomekawa, M. Iwasaki, M. Ito, T. Ohta, K. Yamakawa, and M. Hori

    Jpn. J. Appl. Phys.   Vol. 43 ( 9A/B ) page: L1166-L1168   2004.8

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  582. Ultrahigh-Speed Etching of SiO2 with Ultrahigh Selectivity over Si in Microwave-Excited Non Equilibrium Atmospheric Pressure Plasma Reviewed

    K. Yamakawa, M. Hori, T. Goto, S. Den, T. Katagiri, and H. Kano

    Applied Physics Letters   Vol. 84 ( 4 ) page: 549-551   2004.7

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  583. Fabrication of Vertically Aligned Carbon Nanowalls Using Capacitively Coupled Plasma-Enhanced Chemical Vapor Deposition Assisted by Hydrogen Radical Injection Reviewed

    M. Hiramatsu, K. Shiji, H. Amano, and M. Hori

    Applied Physics Letters   Vol. 84 ( 23 ) page: 4708-4710   2004.6

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  584. Fabrication of Vertically Aligned Carbon Nanowalls Using Capacitively Coupled Plasma-Enhanced Chemical Vapor Deposition Assisted by Hydrogen Radical Infection

    M. Hiramatsu, K. Shiji, H. Amano, and M. Hori

    Virtual Journal of Nanoscale Science & Technology   Vol. 9 ( 21 )   2004.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  585. Diagnostic and analytical study on a low-pressure limit of diamond chemical vapor deposition in inductively coupled CO-CH4-H2 plasmas Reviewed

    K. Teii, M. Hori, and T. Goto

    J. Appl. Phys.   Vol. 95 ( 8 ) page: 4463-4470   2004.4

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  586. Silicon-oxide etching process employing an electron-beam-excited plasma Reviewed

    M. Ito, K. Takeda, T. Shiina, Y. Okamura, H. Nagai, M. Hori, and T. Goto

    J. Vac. Sci. & Technol.   Vol. 22 ( 2 ) page: 543-547   2004.3

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  587. High Performance of Silicon Oxide Selective Etching Using F2 Gas and Graphite Instead of Perfluorinated Compound Gases

    M.Nagai,M.Hori,and T.Goto

    Jpn.P.Appl.Phys.(Express Letter)   Vol. 43 ( 4A ) page: pp.L501-L503   2004

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  588. Effects of Driving Frequency on the Translation Temperature and Absolute Density of Si Atoms In Very High Frequency Capacitively Coupled SiF4 Plasma

    Takayuki Ohta, Masaru Hori, Tetsuro Ishida, Toshio Goto, Masafumi Ito, Satoshi Kawakami, Nobuo Ishii

    Jpn.J.Appl.Phys.   Vol. Vol.42 ( No.12B ) page: pp L1532-L1534   2003.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  589. MBE-growth, characterization and properties of InN and InGaN Reviewed

    Y. Nanishi, Y. Saito, T. Yamaguchi, M. Hori, F. Matsuda, T. Araki, A. Suzuki, T. Miyajima

    Physica Status Solidi (a)   Vol. 200 ( 1 ) page: 202-208   2003.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/pssa.200303327

  590. Synthesis of polytetrafluoroethylene-like Film by a Novel Plasma Enhanced Chemical vapor Deposition Employing Solid material Evaporation Technique Reviewed

    K. Fujita, M. Ito, M. Hori and T. Goto

    Jpn. J. Appl. Phys.   Vol. 42 ( 2A ) page: 650-656   2003

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  591. Effect of Oxygen and Nitrogen Atoms on SiOCH Film Etching in Ultrahigh Frequency Plasma Reviewed

    H. Nagai, Y. Maeda, M. Hiramatsu, M. Hori and T. Goto

    Jpn. J. Appl. Phys.   Vol. 42 ( 3B ) page: L326-L328   2003

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  592. Measurement of C2 Radical Density in Microwave Methane/Hydrogen Plasma Used For Nanocrystalline Diamond Film Formation Reviewed

    M.Hiramatsu, K.Kato, C.H.Lau, J.S.Food, M.Hori

    Diamond & Related Materials   Vol. 12 ( 3月7日 ) page: 366-369   2003

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  593. *Fabrication of Vertically Aligned Carbon Nanostructures by Microwave Plasma-enhanced Vapor Deposition Reviewed

    M.Hiramatsu, K.Ito, C.H.Lau, J.S.Food, M.Hori

    Diamond & Related Materials   Vol. 12 ( 3月7日 ) page: 787-790   2003

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  594. Fabrication of Multilayered SiOCH Films with Low Dielectric Constant Employing Layer-by-Layer Process of Plasma Enhanced Chemical Vapor Deposition and Oxidation Reviewed

    H. Nagai, M. Hori, T. Goto, T. Fujii, M. Hiramatsu

    Jpn. J. Appl. Phys.   Vol. 42 ( 5A ) page: 2775-2779   2003

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  595. Measurement of Oxgen Atom Density Employing Vacuum Ultraviolet Absorption Spectroscopy with Microdischarge Hollow Cathode Lamp Reviewed

    H. Nagai,M. Hiramatsu, M. Hori, T. Goto

    Review of Scientific Instruiments   Vol. 74 ( 7 ) page: 3453-3459   2003

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  596. Etching Organic Low Dielectric Film in Ultrahigh Frequency Plasma Using N2/H2 and N2/NH3 Reviewed

    H.Nagai, M. Hiramatsu, M. Hori, T. Goto

    J. Appl. Phys.   Vol. 94 ( 3 ) page: 1362-1367   2003

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  597. Measurement of S, SiF, and SiF2 Radicals and SiF4 Molecule Using Very High Frequency Capacitively Coupled Plasma Employing SiF4 Reviewed

    T.Ohta, K. Hara, T. Ishida, M. Hori, T. Goto

    J. Appl. Phys.   Vol. 94 ( 3 ) page: 1428-1435   2003

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  598. Environmentally Benign Etching Process of Amorphous Silicon and Tungsten Using Species Evaporated from Polytetrafluoroethylene and Fluorinated Ethylene Propylene Reviewed

    K. Fujita, M. Hori, T. Goto and M. Ito

    J. Vac. Sci.Technol.   Vol. B21 ( 1 ) page: 302-309   2003

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  599. Plasma Induced Subsurface Reactions for Anisotropic Etching of Organic Low Dielectric Film Employing N2 and H2 Gas Chemistry Reviewed

    H. Nagai, M. Hiramatsu, M. Hori and T. Goto

    Jpn. J. Appl. Phys.(Express Letter)   Vol. 42 ( 3A ) page: L212-L214   2003

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  600. Dry Etching Invited Reviewed

    Masaru Hori

    Electrochemistry   Vol. 71 ( 7 ) page: 603-604   2003

     More details

    Authorship:Lead author   Language:Japanese   Publishing type:Research paper (scientific journal)  

  601. Effects of Driving Frequency on the Translation Temperature and Absolute Density of Si Atoms in Very High Frequency Capacitively Coupled SiF4 Plasma Reviewed

    Takayuki Ohta, Masaru Hori, Tetsuro Ishida, Toshio Goto, Masafumi Ito, Satoshi Kawakami, Nobuo Ishii

    Jpn. J. Appl. Phys.   Vol. 42 ( 12B ) page: L1532-L1534   2003

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  602. Subsurface reaction of silicon nitride in a high selective etching process of silicon oxide over silicon nitride Reviewed

    M. Ito, K. Kamiya, M. Hori and T. Goto

    J. Appl. Phys.   Vol. 91 ( 3 ) page: 3452   2002

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  603. Deposition of diamond-Like Carbon Using Compact Electron-Beam-Excited Plasma Source Reviewed

    S.Tada, M. Ito, m. Hamagaki, m. Hori and T. Goto

    Jpn. J. Appl. Phys.   Vol. 41 ( 8 ) page: 5408   2002

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  604. Ion-to CH3 Flux Ratio in Diamond Chemical-vapor Deposition Reviewed

    K. Teii, M. Hori and T. Goto

    J. Appl. Phys.   Vol. 92 ( 7 ) page: 4103   2002

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  605. Cleaning of Glass Disk in Oxygen Plasma by Using Compact Electron-Beam-Excited Plasma Source Reviewed

    S. Tada, M. Ito, M. Hamagaki, M. Hori and T.GOTO

    Jpn. J. Appl. Phys.   Vol. 41 ( 11A ) page: 6553   2002

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  606. Silicon Oxide Contact Hole Etching Employing an Environmentally Benign Process Reviewed

    K. Fujita, M. Hori, T. Goto and M. Ito

    J. Vac. Sci & Technol.   Vol. B20 ( 6 ) page: 2192   2002

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  607. Formation of Preferentially Oriented Polycrystalline Silicon Thin Film Employing Pulse-Modulated Plasma CVD Invited Reviewed

    M. Hori and T. Goto

    Jouranl of The Surface Finishing Society of Japan   Vol. 53 ( 12 ) page: 860   2002

     More details

    Authorship:Lead author   Language:Japanese  

  608. Behavior of Atomic Radicals and Their Effects on Organic Low Dielectric Constant Film Etching in High Density N2/H2 and N2/NH3 Plasmas Reviewed

    H. Nagai, S. Takashima, M. Hiramatsu, M. Hori and T. Goto

    J. Appl. Phys.   Vol. 91 ( 5 ) page: 2615   2002

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  609. Effects of Initial Layers on Surface Roughness and Crystallinity of Microcrystalline Silicon Thin Films Formed by Remote Electron Cyclotron Resonance Silane Plasma Reviewed

    K. Murata, D. Kikukawa, M. Hori and T.Goto

    J. Vac. Sci. Technolo.   Vol. A20 ( 3 ) page: 953   2002

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  610. Investigation of Nitrogen Atoms in Low-Pressure Nitrogen Plasmas Using a Compact Electron-Beam-Excited Plasma Source Reviewed

    S.Tada, S. Takashima, M. Ito, M. Hamagaki, M. Hori and T. Goto

    Jpn. J. Appl. Phys.   Vol. 41 ( 7A ) page: 4691   2002

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  611. Measurement technique of radicals, their gas phase and surface reactions in reactive plasma prosessing Reviewed

    M. Hori and T. Goto

    Applied Surface Science   Vol. 192   page: 135   2002

     More details

    Language:English  

  612. Growth of Preferentially Oriented Microcrystalline Silicon Film Using Pulse-Modulated Ultrahigh-Frequency Plasma

    Jpn. J. Appl. Phys.(Express Letter)   Vol. 40 ( 1 ) page: L4   2001

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  613. Development of Vacuum Ultraviolet Absorption Spectroscopy Technique Employing Nitrogen Molecule Microdischarge Hollow Cathode Lamp for Absolute Density Measurements of Nitrogen Atoms in Process Plasmas Reviewed

    J.Vac. Sci. Technol. A   Vol. A19 ( 2 ) page: 599   2001

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  614. On the Mechanism of Polytetrafluoroethylene Ablation Using a Synchrotron Radiation-Induced Photochemical Process Reviewed

    Hisao Nagai, Muneto Inayoshi, Masaru Hori, Toshio Goto, Mineo Hiramatsu

    Appl. Surf. Sci.   Vol. 183   page: 284   2001

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  615. Absolute Cocentration and Loss Kinetics of Hydrogen Atom in Methane and Hydrogen Plasma Reviewed

    Seigou Takashima, Masaru Hori, Akihiro Kono, Toshio Goto, Katsumi Yoneda

    J. Appl. Phys   Vol. 90 ( 11 ) page: 5497   2001

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  616. Negative Bias Dependence of Surfur and Fluorine Incorporation in Diamond Films Etched by an SF6 Plasma

    J. Electrochem. Soc.   Vol. 148 ( 2 ) page: G55   2001

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  617. Amorphous Silicon and Tungsten Etching Employing Environmentally Benign Plasma Process

    Jpn. J. Appl. Phys.   Vol. 40 ( 2A ) page: 832   2001

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  618. Effect of Ions and Radicals on Formation of Silicon Nitride Gate Dielectric Film Using Plasma Chemical Vapor Deposition Reviewed

    Hiroyuki Ohta Atsushi Nagashima Hiroyuki Ohta Atsushi Nagashima Masaru Hori Toshio Goto

    J. Appl. Phys.   Vol. 89 ( 9 ) page: 5083   2001

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  619. Spatial Distribution of the Absolute Densities of CFx Radicals in Fluorocarbon Plasmas Determined from Single-Path Infrared Laser Absorption and Laser-Induced Fluorescence Reviewed

    Masayuki Nakamura Masaru Hori Toshio Goto Masafumi Ito Nobuo Ishii

    J. Appl. Phys.   Vol. 90 ( 2 ) page: 580   2001

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  620. Behavior of Hydrogen Atoms in Ultrahigh-Frequency Silane Plasmas Reviewed

    Seigou Takashima Masaru Hori Toshio Goto Katsumi Yoneda

    J. Appl. Phys.   Vol. 89 ( 9 ) page: 4727   2001

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  621. Dual-Electrode Biasing for Controlling Ion-to Adatom Flus ratio during Ion-Assisted Deposition of Diamond Reviewed

    Kungen Teii Masaru Hori Toshio Goto

    J. Appl. Phys.   Vol. 89 ( 9 ) page: 4714   2001

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  622. Measurement of Spatial Distribution of SiF4 and SiF2 Densities in High Density SiF4 Plasma Using Single -Path Infrared Diode Laser Absorption Spectroscopy and laser-Induced Fluorescence Technique Reviewed

    M.Nakamura, M. Hori, T. Goto, M. Ito and N. Ishii

    Jpn. J. Appl. Phys.   Vol. 40 ( 7 ) page: 4730   2001

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  623. Ultrathin Fluorinated Silicon Nitride Gate Dielectric Films Formed by Remote Plasma Enhanced Chemical Vapor Deposition Employing NH3 and SiF4 Reviewed

    H. Ohta, M. Hori and T.Goto

    J. Appl. Phys.   Vol. 90 ( 4 ) page: 1955   2001

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  624. Measurement of absolute density of atomic species using vacuum ultraviolet absorption spectroscopy with microdischarge lamp Reviewed

    J. Vac. Soc. Jpn.   Vol. 44 ( 9 ) page: 802   2001

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  625. Semiconductor Process Monitoring Using Infrared Laser Absorption Spectroscopy Reviewed

      Vol. 11 ( 1 ) page: 2   2001

     More details

    Language:Japanese  

  626. Spatial distribution of the absolute CF and CF2 radical densities in high-density plasma employing low global warming potential fluorocarbon gases and precursors for film formation Reviewed

    Masayuki Nakamura, Masaru Hori, Toshio Goto, Masafumi Ito, Nobuo Ishii

    J. Vac. Sci. Technol.   Vol. A19 ( 5 ) page: 2134   2001

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  627. Codeposition on Diamond Film Surface during Reactive Ion Etching in SF6 and O2 Plasma

    J. Vac. Sci. & Technol.   Vol. 18 ( 6 ) page: 2779   2000

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  628. Plasma Absorption Spectroscopy Using Microdischarge Light Source

    J. Plasma and Fusion Res.   Vol. 76 ( 5 ) page: 435   2000

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  629. Kinetics and Role of C, O, and OH in Low-Pressure Nanocrystalline Diamond Growth

    J. Appl. Phys.   Vol. 87 ( 9 ) page: 4572   2000

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  630. Precursors of Fluorocarbon Film Growth Studied by Mass Spectroscopy

    J. Appl. Phys.   Vol. 87 ( 10 ) page: 7185   2000

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  631. Plasma Diagnostics and Low-Temperature Deposition of Microcrystalline Silicon in Ultrahigh-Frequency Silane Plasma

    J. Appl. Phys.   Vol. 88 ( 1 ) page: 576   2000

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  632. Measurement and Control of Absolute Nitrogen Atom Density in an Electron Beam-Excited Plasma Using Vacuum Ultraviolet Absorption Spectroscopy

    J. Appl. Phys.   Vol. 88 ( 33 ) page: 1756   2000

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  633. Loss Kinetics of Carbon Atoms in Low-pressure High Density Plasmas

    J. Appl. Phys.   Vol. 88 ( 8 ) page: 4537   2000

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  634. Study on Polymeric Neutral Species in High-Density Fluorocarbon Plasmas

    J. Appl. Phys.   Vol. 87 ( 9 ) page: 4572   2000

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  635. Formation of Silicon Nitride Gate Dielectric Film at 300℃ Employing Radical Chemical Vapor Deposition

    J. Vac. Sci. & Technol.   Vol. B18 ( 5 ) page: 2486   2000

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  636. Formation and Micromachining of Teflon(Fluorocarbon Polymer) Film by a Completely Dry Process Using Synchrotron Radiation

    J. Vac.Sci. Technol.   Vol. B17 ( 3 ) page: 949   1999

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  637. Control over Size and Density of Sub-5nm Gold Dots by Retarding-Field Single Ion Deposition(RSID)

    Microelectronic Engineering   Vol. 47   page: 401   1999

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  638. Vacuum Ultraviolet Absorption Spectroscopy Employing a Microdischarge Hollow-Cathode Lamp for Absolute Density Measurement of Hydrogen Atoms in Reactive Plasmas

    Appl. Phys. Lett.   Vol. 75   page: 3929   1999

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  639. High-density Plasma and Its Application to Etching and Thin-film Formation

    OYO BUTURI   Vol. 68 ( 11 ) page: 1251   1999

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  640. In-situ Observation of Hydrogenated Amorphous Silicon Surface in Electron Cyclotron Resonance Hydrogen Plasma Annealing

    J.Appl. Phys.   Vol. 85 ( 2 ) page: 1172   1999

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  641. Surface Reaction Process of Fluorocarbon Radicals(CFx)

    J. Plasma and Fusion Res.   Vol. 75 ( 7 ) page: 777   1999

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  642. Novel Process for SiO2/Si Selective Etching Using a Novel Gas Source for Preventing Global Warming

    J.Vac. Sci. Technol.   Vol. B17 ( 3 ) page: 957   1999

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  643. Control of Seed Layer for a Low Temperature Formation of Polycrystalline Silicon with High Crystallinity and a Smooth Surface

    J. Vac. Sci. Technol.   Vol. B17 ( 3 ) page: 1098   1999

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  644. Ploycrystalline Silicon Film Formation at Low Temperature Using Ultra-High-Frequency Plasma Enhanced Chemical Vapor Deposition

    Material Letters   Vol. 41   page: 16   1999

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  645. Environmentally Harmonized Etching Process for Cleaning Amorphous Silicon and Tungsten in Chemical Vapor Deposition Chamber

    Material Science in Semiconductor Processing   Vol. 2   page: 219   1999

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  646. Low Temperature Polycrystalline Silicon Film Formation with and without Charged Species in an Electron Cyclotron Resonance Vapor Deposition

    J.Vac.Sci. Technol.   Vol. A17   page: 2542   1999

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  647. Silicon Oxide Selective Etching Process Keeping Harmony with Environment by Using Radical Injection Technique

    J. Vac. SCi. Technol.   Vol. A17   page: 3260   1999

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  648. Spatial Distribution Measurement of Absolute Densities of CF and CF2 Radicals i a High Density Plasma Reactor Using a Combination of Single Path Infrared Diode Laser Absorption and Laser-Induced Fluorescence Technique

    Jpn. J. Appl. Phys.   Vol. 38   page: L1469   1999

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  649. Diamond Deposition and Behavior of Atomic Carbon Species in a Low-Pressure Inductively Coupled Plasma

    Jpn. J. Appl. Phys.   Vol. 38   page: 4504   1999

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  650. Surface Reaction of CF2 Radicals for Fluorocarbon Film Formation in SiO2/Si Selective Etching Process(共著)

    J. Vac. Sci. Technol. A   Vol. 16 ( 1 ) page: 233   1998

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  651. Synchrotron Radiation Induced SiC Formation on Si Substrate Employing Methonol and H Radical(共著)

    J. Vac. Sci. Technol. A.   Vol. 16 ( 4 ) page: 2252   1998

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  652. Sub-5nm Gold Dot Formation Using Retarding-Field Single. Ion Deposition(共著)

    Appl. Phys. Lett.   Vol. 73 ( 22 ) page: 3223   1998

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  653. A Study on the Time Evolution of SiH3 Surface Loss Probability on Hydrogenated Amorphous Silicon Films in SiH4 RF Discharges Using Infrared Diode-Laser Absorption Spectroscopy(共著)

    J. Phys. D : Appl. Phys.   Vol. 31   page: 776   1998

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  654. 赤外半導体レーザー吸収分光法を用いたプラズマプロセスの計測

    オプトロニクス   Vol. 11 ( 90 ) page: 145   1997

     More details

    Authorship:Lead author   Language:Japanese   Publishing type:Research paper (scientific journal)  

  655. Substrate Bias Effect on Low Temperature Polycrystalline Silicon Formation Using Electron Cyclotron Resonance SiH4/H2 Plasma

    J. Appl. Phys.   Vol. 81 ( 12 ) page: 8035   1997

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  656. CFx(X=1-3)Radical Densities during Si, SiO2 and Si3N4 Etching Employing Electron Cyclotron Resonance CHF3 Plasma

    J. Vac. Sci. Technol.   Vol. A15 ( 3 ) page: 568   1997

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  657. Absolute Density Measurement of Cynogen Fluoride in CHF3/N2 Electron Cyclotron Resonance Plasma Using Infrared Diode Laser Absorption

    J. Appl. Phys   Vol. 82 ( 10 ) page: 4777   1997

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  658. Rols of SiH3 and SiH2 Radicals in Particle Growth in RF Silame Plasma

    Jpn. J. Appl. Phys.   Vol. 36 ( 7B ) page: 4985   1997

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  659. Influence on Selective SiO2/Si Etching of Carbon Atoms Produced by CH4 Addition to a C4F8 Permanent Magnet Electron Cyclotron Resonance

    J. Vac. Sci. Techual   Vol. A15 ( 6 ) page: 2880   1997

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  660. Kinetics of Radicals in CF4 and C4F8 Electron Cyclotron Resonance Plasmas

    Jpm. J. Appl. Phys   Vol. 36 ( 8 ) page: 5340   1997

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  661. Effects of H, OH and CH3 Radicals on Diamond Film Formation in Parallel-Plate Radio Frequency Plasma Reactor

    J. Appl. Phys.   Vol. 82 ( 8 ) page: 4055   1997

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  662. Measurement of Carbon Atom Density in High Density Plasma Process

    Jpn. J. Appl. Phys.   Vol. 36 ( 7A ) page: L880   1997

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  663. Development and Characterization of a New Compact Microwave Radical Beam Source

    Jpn. J. Appl. Phys.   Vol. 36 ( 7B ) page: 4588   1997

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  664. Measuement of Einstein's A Coefficient of the 296.7nm Tramition Live of the Carbon Atom

    Jpn. J. Appl. Phys.   Vol. 36 ( 12A ) page: L1616   1997

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  665. Effects of Dilution Gases on Si Atoms and SiHx+(X=O-3)Ions in Electron Cyclotron Resonance SiH4 Plasmas

    Jpn. J. Appl. Phys.   Vol. 36 ( 7B ) page: 4664   1997

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  666. Scanning Tunneling Microscopic and Spectroscopic Characterinzation of Diamond film Prepared by capacitively Compled Radio Frequency CH3OH Plasma with OH Radical Injection

    Appl. Phys. Lett.   Vol. 70 ( 16 ) page: 2141   1997

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  667. Low Dielectric Constant Film Formation by Oxygen-Radical Polymerization of Laser-Evapotated Siloxane

    J. Vac. Sci. Technol.   Vol. B15 ( 3 ) page: 746   1997

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  668. ドライエッチング中の反応種計測

    堀勝

    ウルトラクリーンテクノロジー   Vol. 8 ( 4 ) page: 265   1996

     More details

    Authorship:Lead author   Language:Japanese   Publishing type:Research paper (scientific journal)  

  669. Effects of Ions on Surface Morphology and Structure of Polycrystalline Silicon Films Prepared by Electron Rosonance Silane/Hydrogen Plasmas

    Plasma Processing XI   Vol. 96 ( 12 ) page: 662   1996

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  670. Fluorocarbon Radicals and Surface Reactions in Fluorocarbon High Density Plasma I. O2 Addition to Electron Cyclotron Resonamce Plasma

    J. Vac. Sci & Technal. A   Vol. 14 ( 4 ) page: 2004   1996

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  671. Diagnastics of Fluorocarbon Radicals in a Large-area Permanent Magnet Electron Cyclotron Etching Plasma

    Jpn. J. Appl. Phys.   Vol. 35 ( 12B ) page: 6521   1996

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  672. Fluorocarbon Radicals and Surface Reactions in Fluorocarbon High Demsity Plasma II. H2 Addition to Electron Cyclotron Resonance Plasma

    J. Vac. Sci. & Technol. A   Vol. 14 ( 4 ) page: 2011   1996

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  673. Infrared Diode Laser Absorption Spectroscopy Measurement of CFx(X=1-3) Radical Densities in Electron Cyctrotron Resonance Plasma Emplaying C4F8, C2F6, CF4 and CHF3 Gases

    J. Vac. Sci. & Technal. A   Vol. 14 ( 4 ) page: 2343   1996

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  674. Behavior of Si Atom in a Silane Electron Cyctroton Resonance Plasma at High Dissociations

    J. Vac. Sci & Technol. A   Vol. 14 ( 4 ) page: 1999   1996

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  675. Hydrogen Radical Assisted Radio-Frequency Plasma Enhonced Chemical Vapon Deposition System for Diamond Formation

    Rev. Sci. Instrum.   Vol. 67 ( 6 ) page: 2360   1996

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  676. プラズマプロセスにおけるラジカルの気体ー固体相互作用

    堀勝

    放電研究   Vol. 151   page: 3   1996

     More details

    Authorship:Lead author   Language:Japanese   Publishing type:Research paper (scientific journal)  

  677. Evaluation of CF2 Radical as a Precursar for Fluorocarbon Film Formation in Highly Selectine SiO2 Etching Process Using Radical Injection Technigene

    Jpn. J. Appl. Phys.   Vol. 35 ( 6A ) page: 3635   1996

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  678. Preparation of Polysiloxane Thin Films Using CO2 Laser Evaporation Assisted by Remate Radical Sowce

    J. Vac. Sci & Technol. A   Vol. 14 ( 5 ) page: 2849   1996

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  679. Diamond Film Formation by OH Radical Injection from Microwave H2/H2O Plasma into Pardlel-plate RF Methnol Plasma

    Jpn. J. Appl. Phys.   Vol. 35 ( 9 ) page: 4826   1996

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  680. Radical Beharvior in Fluorocarbon Palsma and Control of Silicon Oxide Etching by Injection of Radicals

    Jpn. J. Appl. Phys.   Vol. 35 ( 12B ) page: 6521   1996

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  681. CFx Radical Generation by Plasma Interaction with Fluorocarbon Films on the Reactor Wall

    J. Vac. Sci & Technal. A   Vol. 14 ( 4 ) page: 2083   1996

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  682. Formation of PTFE Thin Films by Using CO2 Laser Evaporation and Xecl Laser Ablation

    J. Vac. Sci & Technal. A   Vol. 14 ( 4 ) page: 1981   1996

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  683. Synthesis of Diamond Using RF Magnetion Plasma Chemical Vapour Deposition Assisted by Hydrogen Radical Injection

    Jpn. J. Appl. Phys.   Vol. 34   page: 2484   1995

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  684. CFX(X=1-3) Radical Measurements in ECR Etching prasma Employing C4H8 Gas by Infrared Diode Laser Absorption Spectroscopy

    Jpn. J. Appl. Phys.   Vol. 34 ( 4A ) page: L444-L447   1995

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  685. CH3 Radical Density in Electron Cyclotron Resonance CH3OH and CH3OH/H2 Plasma

    Jpn. J. Appl. Phys.   Vol. 34 ( 6A ) page: 3273-3277   1995

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  686. High-Rate Anisotropic Ablation and Deposition of Polytetrafluoroethylene Using Synchrotron Radiation Process

    Jpn. J. Appl. Phys.   Vol. 34 ( 12B ) page: L1675-1677   1995

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  687. Plasma CVD Assisted by Selective Radical Source and Its Application to Synthesis of Diamond

    Rarefield Gas Dynamics 19   Vol. 1   page: 671-677   1995

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  688. Measurement of Absolute Densities of Si, SiH and SiH3 in SiH4/H2 Election Cyclation Resonance Plasma

    Jpn. J. Appl. Phys.   Vol. 33   page: 4320   1994

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  689. CFX(X=1-3) Radicals Controlled by On-Off Modulated Electron Cyclotron Resonance Plasma and Their Effects on Polymer Film Deposition

    Jpn. J. Appl. Phys.   Vol. 33   page: 4181   1994

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  690. Characteristics of Fluorocarbon Radicals and CHF3 Molecule in CHF3 Electron Cyclotron Resonance Downstream Plasma

    Jpn. J. Appl. Phys.   Vol. 33   page: 4745-4751   1994

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  691. Residue-Free Etching of the Al-Si-Cu Alloy Employing Magnetron Reactive Ion Etching

    J. Electrochem. Soc.   Vol. 141 ( 10 ) page: 2825-2828   1994

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  692. Measurement of the CF, CF2 and CF3 Radicals in a CHF3 Electron Cyclotron Resonance Plasma

    Jpn. J. Appl. Phys.   Vol. 32 ( 5A ) page: L694   1993

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  693. Control of Fluorocarbon Radicals by On-Off Modulated Electron Cyclotron Resonance Plasma

    Jpn. J. Appl. Phys.   Vol. 32 ( 5A ) page: L694   1993

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  694. The Origin of Stress in Sputter-Deposited Tungsten Film for X-ray Masks

    J. Vac. SCi. & Technol.   Vol. B9 ( 1 ) page: 149   1991

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  695. An Ultra-Low Stress Tungsten Absorber for X-Ray Masks

    J. Vac. Sci. & Technol.   Vol. B9 ( 1 ) page: 165   1991

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  696. A study of Radiation Damage in SiN and SiC Mask Membranes

    J. Vac. Sci. & Technol.   Vol. B9 ( 6 ) page: 3262   1991

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  697. Oxygen Plasma Etching Resistance of Plasma Polymerized Organometallic Film

    J. Vac. Sci.& Technol.   Vol. B7 ( 2 ) page: 175   1989

     More details

    Authorship:Lead author   Language:English  

  698. Self Development of Polymethylmethacrylate by Synchrotoron Radiation Exposure

    J. Electron Soc.   Vol. 135 ( 4 ) page: 966   1988

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  699. Gold Particles Containing Plasma-Polymerized Styrene as an X-Ray Absorber

    Plasma Chemistry and Plasma Processing   Vol. 7 ( 2 ) page: 155   1987

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  700. Plasma-Polymerized Dry Developable Resist for Synchrotron Radiation Lithography

    J. Electrochem. Soc.   Vol. 134 ( 3 ) page: 707   1987

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  701. Plasma-Polymerized Electron Beam Resists Prepared from Methyl Methacrylate Using Various Carrier Gases

    Thin Solid Films   Vol. 149 ( 3 ) page: 341   1987

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  702. Effect of Sn in Plasma Copolymerized Methylmethacrylate and Tetramethyltin(MMA-TMT) Resist on Plasma Development for X-Ray Absorber

    J.Vac.Sci. & Technol.   Vol. B4 ( 2 ) page: 500   1986

     More details

    Authorship:Lead author   Language:English  

  703. H2 Plasma Development of X-Ray Imaged Patterns on Plasma-Polymerized Resists

    Plasma Chemistry and Plasma Processing   Vol. 4 ( 2 ) page: 119   1984

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  704. Molecular Structure of Plasma-Polymerized Methy Methacrylate and Evaluation as a Resist

    M.Hori,S.Hattori,S.Morita,and S.Ishibashi

      ( 10 ) page: pp 1670-1676   1984

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  705. Low-Temperature Redistribution of As in Si during Ni Silicide Formation

    J. Appl. Phys.   Vol. 56 ( 10 ) page: 2725   1984

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  706. Molecular Structure of Plasma-Polymerized Methyl Methacrylate and Evaluation as a Resist

    Nippon Kagaku Kaishi   Vol. 10   page: 1670   1984

     More details

    Authorship:Lead author   Language:Japanese   Publishing type:Research paper (scientific journal)  

  707. Reduction of Contact Resistivity by As Redistribution during Pd2Si Formation

    J. Appl. Phys.   Vol. 54 ( 8 ) page: 4679   1983

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  708. Electron Paramagnetic Resonance Study on the Annealing Behavior of Vacuum Deposited Amorphous Silicon on Crystalline Silicon

    J. Appl. Phys.   Vol. 52 ( 11 ) page: 6617   1981

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

▼display all

Books 43

  1. プラズマ産業革新技術

    橋爪 博司・堀 勝( Role: Contributor ,  第四章 農業・医療・バイオ分野への応用 4. プラズマによる生体・植物の制御技術)

    株式会社シーエムシー出版  2023.4  ( ISBN:978-4-7813-1733-5

     More details

    Total pages:316   Responsible for pages:277-283   Language:Japanese Book type:Scholarly book

  2. 半導体製造ににおけるウェット/ドライエッチング技術 

    堀 勝( Role: Joint author ,  第3章1節)

    株式会社R&D支援センター  2022.10  ( ISBN:978-4-905507-61-1

     More details

    Total pages:252   Responsible for pages:95-119   Language:Japanese Book type:Scholarly book

  3. Plasma-Activated Solutions in Cancer Treatment Reviewed

    Hiromasa Tanaka, Mounir Laroussi, Sander Bekeschus, Dayun Yan, Masaru Hori, and Michael ( Role: Joint author)

    Springer  2020.8 

     More details

    Language:English Book type:Textbook, survey, introduction

  4. PLASMA MEDICAL SCIENCE

    Masao Ichinose, Masaharu Shiratani, and Masaru Hori( Role: Joint author ,  8. Future outlooks in plasma medical science)

    Academic Press  2018.7 

     More details

    Total pages:438   Language:English

  5. PLASMA MEDICAL SCIENCE

    Masashi Ueda, Daiki Yamagami, Takashi Temma, Kazuhiro Koshino, Osamu Goto, Jun-ichiro Ikeda, Hajime Sakakita, Kenji Ishikawa, Masaru Hori, ( Role: Joint author ,  6.5 Evaluating the invasiveness of nonthermal plasma treatment using molecular imaging technique)

    Academic Press  2018.7 

     More details

    Total pages:438   Language:English Book type:Scholarly book

  6. PLASMA MEDICAL SCIENCE

    Yoshihiro Akimoto, Sanae Ikehara, Takashi Yamaguchi, Jaeho Kim, Hayato Kawakami, Nobuyuki Shimizu, Masaru Hori, Hajime Sakakita, ( Role: Joint author ,  6.4 Molecular morphological analysis of the effect of plasma irradiation on cells, tissue)

    Academic Press  2018.7 

     More details

    Total pages:438   Language:English

  7. PLASMA MEDICAL SCIENCE

    Kenji Miyamoto, Yuzuru Ikehara, Sanae Ikehara, Yoshihiro Akimoto, Hajime Sakakita, Kenji Ishikawa, Masashi Ueda, Jun-ichiro Ikeda, Hayao Nakanishi, Nobuyuki Shimizu, Tetsuji Shimizu, and Masaru Hori( Role: Joint author ,  6.2 Cutting edge technologies of bleeding control using nonthermal plasma - Mechanism of blood coagulation and wound healing)

    Academic Press  2018.7 

     More details

    Total pages:438   Language:English Book type:Scholarly book

  8. PLASMA MEDICAL SCIENCE

    PLASMA MEDICAL SCIENCE( Role: Joint author)

    Academic Press  2018.7 

     More details

    Total pages:438   Language:English Book type:Scholarly book

  9. PLASMA MEDICAL SCIENCE

    Machiko Iida, Yasuhiro Omata, Ichiro Yajima, Awoi Sato, Takehito Kajiwara, Ryoko Tasaka, Masaru Hori, and Masashi Kato( Role: Joint author ,  5.8 Plasma medical innovations in cancer therapy: Melanoma)

    Academic Press  2018.7 

     More details

    Total pages:438   Language:English

  10. PLASMA MEDICAL SCIENCE

    Hiromasa Tanaka, Masaaki Mizuno, and Masaru Hori( Role: Joint author ,  5.7 Plasma medicine innovations in cancer therapy: Glioblastoma)

    5.7 Plasma medicine innovations in cancer therapy: Glioblastoma  2018.7 

     More details

    Total pages:438   Language:English Book type:Scholarly book

  11. PLASMA MEDICAL SCIENCE

    Kenji Ishikawa, and Masaru Hori( Role: Joint author ,  2.1 Physical and chemical basis of nonthermal plasma, Introduction)

    ACADEMIC PRESS   2018.7 

     More details

    Total pages:438   Language:English Book type:Scholarly book

  12. PLASMA MEDICAL SCIENCE

    Masaru Hori( Role: Sole author ,  1. General introduction)

    ACADEMIC PRESS   2018.7 

     More details

    Total pages:438   Language:English Book type:Scholarly book

  13. PLASMA MEDICAL SCIENCE

    Keigo Takeda, Kenji Ishikawa, and Masaru Hori( Role: Sole author ,  2.4 Optical diagnostics of atmospheric pressure plasma)

    ACADEMIC PRESS   2018.7 

     More details

    Total pages:438   Language:English

  14. プラズマプロセス技術 ナノ材料作製・加工のためのアトムテクノロジー

    プラズマ・核融合学会( Role: Sole author)

    森北出版株式会社  2017.1  ( ISBN:978-4-627-77561-9

     More details

    Language:Japanese

  15. ドライプロセスによる表面処理・薄膜形成の応用

    表面技術協会( Role: Sole author)

    コロナ社  2016.12  ( ISBN:978-4-339-04650-2

     More details

    Language:Japanese

  16. Nanotechnology and Nanomaterials New Progress on Graphene Research "Graphene Nanowalls"

    Mineo Hiramatsu, Hiroki Kondo and Masaru Hori( Role: Joint author)

    InTech  2014.3 

     More details

    Language:English

  17. 精密加工と微細構造の形成技術-材料・プロセスの最適化、トラブル対策- 第2章第2節[2] ArFフォトレジストのプラズマエッチング技術

    堀勝,石川健治( Role: Joint author)

    技術情報協会  2013.7 

     More details

    Language:Japanese

  18. ドライプロセスによる表面処理・薄膜形成の基礎 

    堀 勝、石川 健治( Role: Joint author)

    コロナ社  2013.5  ( ISBN:978-4-339-04631-1

     More details

    Language:Japanese

  19. New Progress on Graphene Research

    Mineo Hiramatsu, Hiroki Kondo and Masaru Hori( Role: Joint author)

    InTech  2013.3  ( ISBN:978-953-51-1091-0

     More details

    Language:English

  20. ナノエレクトロニクスにおける絶縁超薄膜技術~成膜技術と膜・界面の物性科学

    堀勝、石川健治 他( Role: Joint author)

    エヌティエス出版  2012.7  ( ISBN:978-4-86469-039-3

     More details

    Language:Japanese

    第5編 絶縁膜形成とエッチング 第5章 層間絶縁膜の成膜とエッチング

  21. レジストプロセスの最適化テクニック

    堀勝、石川健治 他( Role: Joint author)

    情報機構  2011.9  ( ISBN:978-4-904080-90-0

     More details

    Language:Japanese

    第6章 エッチング工程の手法およびレジスト・レジストパターンへの影響

  22. Nucleation and Vertical Growth of Nano-Graphene Sheets

    Hiroki Kondo, Masaru Hori and Mineo Hiramatsu ( Role: Joint author)

    Intech  2011.9  ( ISBN:978-953-307-292-0

     More details

    Language:English

  23. Aligned Growth of Single-Walled and Double-Walled Carbon Nanotube Films by Control of Catalyst Preparation

    Mineo Hiramatsu and Masaru Hori ( Role: Joint author)

    Intech  2011.7 

     More details

    Language:English

  24. Generation and Applications of Atmospheric Pressure Plasmas

    Kogoma Masuhiro, Kusano Masako, Kusano Yukihiro( Role: Joint author)

    Nova Science  2011.4  ( ISBN:1612097170

     More details

    Language:English

  25. プラズマ/プロセスの原理 

    Michael A. Lieberman, Allan J. Lichtenberg 著 佐藤 久明訳 堀 勝監修 ( Role: Supervisor (editorial))

    丸善出版(株)  2010.1  ( ISBN:978-4-621-08223-2

     More details

    Language:Japanese

  26. Introduction to Diamond-Like Carbons

    M. Hori( Role: Sole author)

    WILEY-VCH  2010 

     More details

    Language:English

  27. Field Emission from Carbon Nanowalls

    M. Hori, M. Hiramatsu( Role: Joint author)

    WILEY-VCH  2010 

     More details

    Language:English

  28. Carbon Nanowalls:Synthesis and Emerging

    M.Hori,M.Hiramatsu( Role: Joint author)

    Springer Wien New York,Springer-Verlag  2010 

     More details

    Language:Japanese

  29. 大気圧プラズマ―基礎と応用―4.1, 4.6章

    堀 勝( Role: Sole author)

    オーム社  2009 

     More details

    Language:Japanese

  30. 大気圧プラズマー基礎と応用ー4.4.3,4.5.6項

    竹田圭吾、堀勝( Role: Joint author)

    オーム社  2009 

     More details

    Language:Japanese

  31. 大気圧プラズマー基礎と応用ー6.7.4項

    高島成剛、堀勝( Role: Joint author)

    オーム社  2009 

     More details

    Language:Japanese

  32. 薄膜ハンドブック 第1編基礎編 1.5.6エッチング技術〔1〕-〔6〕、1.7.6エッチングの終点検出

    堀 勝( Role: Joint author)

    オーム社  2008.3 

     More details

    Language:Japanese

  33. ナノカーボンハンドブック 4編6章カーボンナノウォールの開発と応用技術

    堀 勝、平松 美根男( Role: Joint author)

    (株)エヌ・ティー・エス  2007.7 

     More details

    Language:Japanese

  34. マイクロ・ナノプラズマ技術とその産業応用

    堀勝(分担)( Role: Joint author)

    シーエムシー出版  2006.7 

     More details

    Language:Japanese

  35. OHM HEADLINE REVIEW2006

    ( Role: Joint author)

    2006.5 

     More details

    Language:Japanese

  36. 先端科学技術要覧 -OHM HEADLINE REVIEW 2006- 「カーボンナノウォールの作成と応用技術」

    堀 勝( Role: Joint author)

    OHM編集部  2006 

     More details

    Language:Japanese

  37. 大気圧プラズマの生成制御と応用技術 第12節 SiO2エッチング

    堀 勝( Role: Joint author)

    サイエンス&テクノロジー社  2006 

     More details

    Language:Japanese

  38. マイクロ・ナノプラズマ技術とその産業応用 第10章 プラズマナノプロセス用マイクロプラズマ分光診断

    堀 勝( Role: Joint author)

    シーエムシー出版  2006 

     More details

    Language:Japanese

  39. プラズマ診断の基礎と応用 「8.1低誘電率薄膜のエッチングにおけるラジカル計測」

    平松美根男、堀 勝( Role: Joint author)

    コロナ社  2005 

     More details

    Language:Japanese

  40. 初歩から学ぶマイクロ波応用技術

    堀 勝( Role: Joint author)

    工業調査会  2004 

     More details

    Language:Japanese

  41. 光センシング技術の最新資料集

    オプトエレクトニクス社  1999 

     More details

    Language:Japanese

  42. 半導体大事典

    工業調査会  1999 

     More details

    Language:Japanese

  43. 最新プラズマプロセスのモニタリング技術と解析・制御

    リアライズ社  1997 

     More details

    Language:Japanese

▼display all

Presentations 3321

  1. Recent Progress in the Synthesis of Functional and Three-Dimensional Carbon Nano-Composites By Gas-Liquid Interface Plasma International conference

    H.Kondo, H.Zhou, T.Tsutsumi, K.Ishikawa, M.Sekine, and M.Hori

    243rd ECS Meeting  2023.5.30 

     More details

    Event date: 2023.5 - 2023.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Boston, MA   Country:United States  

  2. Subsequent functionalization of hexagonal boron nitride after plasma processing in solution for preparation of polymer composite materials International conference

    K. Inoue, N. Takagi, T. Ito, Y. Shimizu, K. Ishikawa, K. Ito, M. Hori and K. Terashima

    25th International Symposium on Plasma Chemistry (ISPC25)  2023.5.22 

     More details

    Event date: 2023.5

    Language:English   Presentation type:Poster presentation  

    Venue:Kyoto, Japan   Country:Japan  

  3. Time-resolved poly-diagnostics of atmospheric ns He jet discharge International conference

    Nikolay Britun, Vladislav Gamaleev, Dennis Christy, Shih-Nan Hsiao, Masaru Hori

    25th International Symposium on Plasma Chemistry (ISPC25)  2023.5.25 

     More details

    Event date: 2023.5

    Language:English   Presentation type:Poster presentation  

    Venue:Kyoto, Japan   Country:Japan  

  4. Efficacy of cold plasma for strawberry cultivation on fruit ripening process International conference

    Hiroshi Hashizume, Shogo Matsumoto, Hitoshi Sakakibara, Kaoru Sanda, Akiko Abe, Hiroko Mizuno, Kenki Tsubota, Mikiko Kojima, Yumiko Takebayashi, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Masafumi Ito, Hidemi

    25th International Symposium on Plasma Chemistry (ISPC25)  2023.5.23 

     More details

    Event date: 2023.5

    Language:English   Presentation type:Poster presentation  

    Venue:Kyoto, Japan   Country:Japan  

  5. A comparative study on the CF4/H2 and HF/H2 plasmas for etching of highly hydrogenated SiN films International conference

    Shih-Nan Hsiao, Nikolay Britun, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    25th International Symposium on Plasma Chemistry (ISPC25)  2023.5.26 

     More details

    Event date: 2023.5

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Kyoto, Japan   Country:Japan  

  6. Global and local contribution analysis of process parameters in Plasma enhanced chemical vapor deposition of amorphous carbon har International conference

    Yusuke Ando, Jumpei Kurokawa, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    The 4th International Conference on Data Driven Plasma Sciences ( ICDDPS-4 )  2023.4.16 

     More details

    Event date: 2023.4

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Okinawa, Japan   Country:Japan  

  7. In-situ analysis of surface reactions in plasma-assisted thermal-cyclic atomic layer etching of thin films Invited International conference

    Kazunori Shinoda, Katsuya Miura, Kenji Maeda, Masaru Izawa, Thi-Thuy-Nga Nguyen, Kenji Ishikawa, and Masaru Hori

    The 14th EU-Japan Joint Symposium on Plasma Processing ( JSPP-14)   2023.4.20 

     More details

    Event date: 2023.4

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Okinawa, Japan   Country:Japan  

  8. High Performances of Plasma-activated Lactated Ringer’s Solution for Medical Treatment Invited International conference

    Masaru Hori, Hiromasa Tanaka, Camelia Miron, Kenji Ishikawa, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama and Masaaki Mizuno

    The 14th EU-Japan Joint Symposium on Plasma Processing ( JSPP-14)   2023.4.16 

     More details

    Event date: 2023.4

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Okinawa, Japan   Country:Japan  

  9. Positive and negative ion behaviors in DC-imposed Ar/SF6 pulsed plasma International conference

    Kazuki Toji, Takayoshi Tsutsumi, Kenji Ishikawa, S-N. Hsaio, Makoto Sekine, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.6 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  10. Enhancement Effects of Ambient-Air Glow-Discharge Treatment on Enzymatic Digradation of Lignin International conference

    Ryuichi Ohashi, Naoyuki Iwata, Hiroyuki Kato, Yasuhiro Nishikawa, Motoyuki Shimizu, Masashi Kato, Masaru Hori and Masafumi Ito

    ISPlasma2023 / IC-PLANTS2023  2023.3.7 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  11. In situ monitoring surface structure during hydrofluorocarbon assisted atomic layer etching of silicon nitride using CF4/H2 and H2 plasmas International conference

    Shih-Nan Hsiao, Makoto Sekine and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023.3.6 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Gifu, Japan   Country:Japan  

  12. Positive and negative ion behaviors in DC-imposed Ar/SF6 pulsed plasma International conference

    Kazuki Toji, Takayoshi Tsutsumi, Kenji Ishikawa, S-N. Hsaio, Makoto Sekine, and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023.3.6 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  13. Self-limited fluorination of electron-beam-irradiated GaN surface International conference

    Yusuke Izumi, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023.3.6 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Gifu, Japan   Country:Japan  

  14. Analysis of Effect of Neutral-Oxygen-Radical Irradiation on Biodegradation of Polyethylene Terephthalate using High-Performance Liquid Chromatography International conference

    Daichi Goto, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Masafumi Ito and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  15. In-plane aligned growth of carbon nanowalls by ion irradiation control International conference

    Shintaro Iba, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023.3.8 

     More details

    Event date: 2023.3

    Language:English  

    Venue:Gifu, Japan   Country:Japan  

  16. Morphological effect of carbon nanowalls on exosome capture International conference

    Takumi Hashimoto, Hiroki Kondo, Hiromasa Tanaka, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Takao Yasui, Yoshinobu Baba, Mineo Hiramatsu and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023.3.7 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  17. Effects of non-equilibrium atmospheric pressure plasma on zebrafish International conference

    Yuya Kurebayashi, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Shinichi Akiyama, and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  18. Self-aligned Ohmic Contact Formation Combining Growth of N+-GaN and Ohmic Metalization Using Pulsed Laser Deposition with Picosecond Laser International conference

    K. Kodama, M. Miyachi, O. Oda, M. Hori, and D. Ueda

    ISPlasma2023 / IC-PLANTS2023  2023.3.7 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  19. Control of generating white core in grains of brewer’s rice cultivar with direct plasma irradiation to spikelet after flowering International conference

    Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Kaoru Sanda, Shih-Nan Hsiao, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Yuji Hirosue , Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori.

    ISPlasma2023 / IC-PLANTS2023  2023.3.7 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  20. Cold plasma treatment during cultivation affects flesh firmness of strawberry fruits International conference

    Hiroshi Hashizume, Kohei Nakano, Kaoru Sanda, Akiko Abe, Hiroko Mizuno, Manasikan Thammawong, Camelia Miron, Nikolay Britun, Teruaki Shimazu, Hiromasa Tanaka, and Masaru Hori.

    ISPlasma2023 / IC-PLANTS2023  2023.3.7 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  21. Study on plasma process using adsorbed C7F14 as an etchant International conference

    Kohei Masuda,Makoto Sekine, Kenji Ishikawa, Shih-Nan Hsiao,Takayoshi Tsutsumi,Hiroki Kondo, and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023.3.6 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  22. Nano-Pulsed Plasma Study of the Wet Electrode Methods on Hydrogen Generation from High-Resistive Water International conference

    Naohiro Shimizu, Britun Nikolay, Reiko Tanaka, Osamu Oda, Ranjit Borude, Hiroki Hosoe, Satoshi Ino, Yosuke Inoue and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Gifu, Japan   Country:Japan  

  23. Morphological control of human mesenchymal stem cells with electrical stimulation on carbon nanowalls. International conference

    Yuki Kojima, Hiroki Kondo, Masahiro Tanaka, Kenji Ishikawa, Hiroshi Hashizume, Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023.3.7 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Gifu, Japan   Country:Japan  

  24. Characterization of plasmas and polymerized hydrofluorocarbon films in capacitively coupled CF4/H2 plasmas International conference

    Yusuke Imai, Shih-Nan Hsiao, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  25. Low temperature plasma chemistry of aqueous solutions of amino acids. A spin trapping study International conference

    Takashi Kondo, Hiroshi Hashizume, Jun Kumagai, 1Hiromasa Tanaka, Kenji Ishikawa, Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  26. Contribution analysis of process parameters in plasma-enhanced chemical vapor deposition of amorphous carbon International conference

    Yusuke Ando, Jumpei Kurokawa, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023.3.7 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Gifu, Japan   Country:Japan  

  27. Multi-diagnostic study of nanosecond atmospheric jet discharge International conference

    Nikolay Britun, Peterraj Dennis Christy, Shih-Nan Shiao, Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023.3.9 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Gifu, Japan   Country:Japan  

  28. Etching behavior of lamellar poly(styrene-b-2-vinylpyridine) block copolymer under N2/H2 plasma process International conference

    Ma. Shanlene D.C. Dela Vega, Ayane Kitahara, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Atsushi Takano, Yushu Matsushita and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023.3.6 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  29. Study of the behavior of trimethyl gallium and triethyl gallium by OES and QMS for the growth of GaN by REMOCVD (radical-enhanced metalorganic chemical vapor deposition) International conference

    Arun Kumar Dhasiyan, Swathy Jayaprasad, Frank Wilson Amalraj, Naohiro Shimizu, Osamu Oda, Kenji Ishikawa and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Gifu, Japan   Country:Japan  

  30. Etching silicon oxide, silicon nitride, and polysilicon films in CH2FCHF2 hydrofluorocarbon plasma International conference

    Trung-Nguyen Tran,Thi-Thuy-Nga Nguyen,Kenji Ishikawa, Shih-Nan Hsiao,Toshio Hayashi,Makoto Sekine, and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023.3.6 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  31. Non-halogen plasma etching of metal gate TiAlC International conference

    Thi-Thuy-Nga Nguyen, Kazunori Shinoda, Shih-Nan Hsiao, Hirotaka Hamamura, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, Kenji Ishikawa, and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023.3.6 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  32. Synthesis and characteristics of carbon nanowalls by combining different plasma methods International conference

    Ngo Quang Minh, Ngo Van Nong, Osamu Oda, and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023.3.6 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Gifu, Japan   Country:Japan  

  33. Investigation of anti-tumor effect mechanism by plasma irradiated L-Arginine solution International conference

    Liyin Du, Carmelia Miron, Saitoshi Kashiwagura, Takashi Kondo, Hiromasa Tanaka, Kenji Ishikawa, Masaaki Mizuno, Shinya Toyokuni, Hiroaki Kajiyama, and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023.3.7 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Gifu, Japan   Country:Japan  

  34. Plasma-driven science for emerging plasma-processing technologies Invited International conference

    Kenji Ishikawa, Hiromasa Tanaka, Hirokazu Hara, Shin-ichi Kondo, Masafumi Ito, Kazunori Koga, Masaharu Shiratani, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.9 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:Gifu, Japan   Country:Japan  

  35. Effect of Deposition Temperature for Cubic Boron Nitride Film by Electron Beam Excited Plasma International conference

    Koji Yamakawa, Hiroyuki Yamamoto, Shoji Den, Seigo Takashima, Masanao Iwata, Kenji Ishikawa and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  36. Mass Spectrometric Study of Ions and Neutral Species Generated by a High-Density Atmospheric-Pressure Plasma Source International conference

    Kyosuke Sugie, Jun-Seok Oh, Hiromasa Tanaka, Masaru Hori and Masafumi Ito

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  37. Effects of Non-Equilibrium Atmospheric Pressure Plasma on Zebrafish International conference

    Yuya Kurebayashi, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Shinichi Akiyama and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  38. Analysis of Effect of Neutral-Oxygen-Radical Irradiation on Biodegradation of Polyethylene Terephthalate Using High-Performance Liquid Chromatography International conference

    Daichi Goto, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Masafumi Ito and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  39. Effect of Lactate Activated with Nitrogen and Oxygen Radicals on Inactivation of Breast Cancer Cells International conference

    Taiga Nishida, Naoyuki Iwata, Tomiyasu Murata, Hiromasa Tanaka, Masaru Hori and Masafumi Ito

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  40. loping Observation of Supported Lipid Bilayer in Oxygen-Radical Activated L-Tryptophan Solution Using Atomic Force Microscope International conference

    Takashi Namizaki, Naoyuki Iwata, Ryugo Tero, Hiroki Kondo, Masaru Hori and Masafumi Ito

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  41. Intracellular Fluorescent of Nitric Oxide in Fibroblasts Irradiated by Electrically Neutral Radical International conference

    Yasumasa Mori, Naoyuki Iwata, Tomiyasu Murata, Masaru Hori and Masafumi Ito

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  42. Effects of Plasma Treated Water on Papaya Seed Germination International conference

    Deng-Ke Xi, Xian-Hui Zhang, Si-Ze Yang, Seong Shan Yap, Kenji Ishikawa, Masaru Hori and Seong Ling Yap

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.7 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  43. Enhancement of Reacation Efficiency of Ambient-Air Glow Discharge with Carboxymethyl-Cellulose by Narrowing Solution-Flow Path International conference

    Kazuma Okamoto, Hiroyuki Kato, Masahiro Maebayashi, Motoyuki Shimizu, Masashi Kato, Masaru Hori and Masafumi Ito

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.7 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  44. Enhancement Effects of Ambient-Air Glow-Discharge Treatment on Enzymatic Digradation of Lignin International conference

    Ryuichi Ohashi, Naoyuki Iwata, Hiroyuki Kato, Yasuhiro Nishikawa, Motoyuki Shimizu, Masashi Kato, Masaru Hori and Masafumi Ito

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.7 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  45. In situ monitoring surface structure during hydrofluorocarbon assisted atomic layer etching of silicon nitride using CF4/H2 and H2 plasmas International conference

    Shih-Nan Hsiao, Makoto Sekine and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.6 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Gifu, Japan   Country:Japan  

  46. Enhancement of Reacation Efficiency of Ambient-Air Glow Discharge with Carboxymethyl-Cellulose by Narrowing Solution-Flow Path International conference

    Kazuma Okamoto, Hiroyuki Kato, Masahiro Maebayashi, Motoyuki Shimizu, Masashi Kato, Masaru Hori and Masafumi Ito

    ISPlasma2023 / IC-PLANTS2023  2023.3.7 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  47. Study of the behavior of trimethyl gallium and triethyl gallium by OES and QMS for the growth of GaN by REMOCVD (radical-enhanced metalorganic chemical vapor deposition) International conference

    Arun Kumar Dhasiyan, Swathy Jayaprasad, Frank Wilson Amalraj, Naohiro Shimizu, Osamu Oda, Kenji Ishikawa and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Gifu, Japan   Country:Japan  

  48. Etching silicon oxide, silicon nitride, and polysilicon films in CH2FCHF2 hydrofluorocarbon plasma International conference

    Trung-Nguyen Tran,Thi-Thuy-Nga Nguyen,Kenji Ishikawa, Shih-Nan Hsiao,Toshio Hayashi,Makoto Sekine, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.6 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  49. Non-halogen plasma etching of metal gate TiAlC International conference

    Thi-Thuy-Nga Nguyen, Kazunori Shinoda, Shih-Nan Hsiao, Hirotaka Hamamura, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, Kenji Ishikawa, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.6 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  50. Synthesis and characteristics of carbon nanowalls by combining different plasma methods International conference

    Ngo Quang Minh, Ngo Van Nong, Osamu Oda, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.6 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Gifu, Japan   Country:Japan  

  51. Investigation of anti-tumor effect mechanism by plasma irradiated L-Arginine solution International conference

    Liyin Du, Carmelia Miron, Saitoshi Kashiwagura, Takashi Kondo, Hiromasa Tanaka, Kenji Ishikawa, Masaaki Mizuno, Shinya Toyokuni, Hiroaki Kajiyama, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.7 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Gifu, Japan   Country:Japan  

  52. Plasma-driven science for emerging plasma-processing technologies Invited International conference

    Kenji Ishikawa, Hiromasa Tanaka, Hirokazu Hara, Shin-ichi Kondo, Masafumi Ito, Kazunori Koga, Masaharu Shiratani, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.9 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:Gifu, Japan   Country:Japan  

  53. Effect of Deposition Temperature for Cubic Boron Nitride Film by Electron Beam Excited Plasma International conference

    Koji Yamakawa, Hiroyuki Yamamoto, Shoji Den, Seigo Takashima, Masanao Iwata, Kenji Ishikawa and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  54. Mass Spectrometric Study of Ions and Neutral Species Generated by a High-Density Atmospheric-Pressure Plasma Source International conference

    Kyosuke Sugie, Jun-Seok Oh, Hiromasa Tanaka, Masaru Hori and Masafumi Ito

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  55. Effects of Non-Equilibrium Atmospheric Pressure Plasma on Zebrafish International conference

    Yuya Kurebayashi, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Shinichi Akiyama and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  56. Analysis of Effect of Neutral-Oxygen-Radical Irradiation on Biodegradation of Polyethylene Terephthalate Using High-Performance Liquid Chromatography International conference

    Daichi Goto, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Masafumi Ito and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  57. Effect of Lactate Activated with Nitrogen and Oxygen Radicals on Inactivation of Breast Cancer Cells International conference

    Taiga Nishida, Naoyuki Iwata, Tomiyasu Murata, Hiromasa Tanaka, Masaru Hori and Masafumi Ito

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  58. loping Observation of Supported Lipid Bilayer in Oxygen-Radical Activated L-Tryptophan Solution Using Atomic Force Microscope International conference

    Takashi Namizaki, Naoyuki Iwata, Ryugo Tero, Hiroki Kondo, Masaru Hori and Masafumi Ito

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  59. Intracellular Fluorescent of Nitric Oxide in Fibroblasts Irradiated by Electrically Neutral Radical International conference

    Yasumasa Mori, Naoyuki Iwata, Tomiyasu Murata, Masaru Hori and Masafumi Ito

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  60. Effects of Plasma Treated Water on Papaya Seed Germination International conference

    Deng-Ke Xi, Xian-Hui Zhang, Si-Ze Yang, Seong Shan Yap, Kenji Ishikawa, Masaru Hori and Seong Ling Yap

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.7 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  61. Enhancement of Reacation Efficiency of Ambient-Air Glow Discharge with Carboxymethyl-Cellulose by Narrowing Solution-Flow Path International conference

    Kazuma Okamoto, Hiroyuki Kato, Masahiro Maebayashi, Motoyuki Shimizu, Masashi Kato, Masaru Hori and Masafumi Ito

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.7 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  62. Enhancement Effects of Ambient-Air Glow-Discharge Treatment on Enzymatic Digradation of Lignin International conference

    Ryuichi Ohashi, Naoyuki Iwata, Hiroyuki Kato, Yasuhiro Nishikawa, Motoyuki Shimizu, Masashi Kato, Masaru Hori and Masafumi Ito

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.7 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  63. In situ monitoring surface structure during hydrofluorocarbon assisted atomic layer etching of silicon nitride using CF4/H2 and H2 plasmas International conference

    Shih-Nan Hsiao, Makoto Sekine and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.6 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Gifu, Japan   Country:Japan  

  64. Positive and negative ion behaviors in DC-imposed Ar/SF6 pulsed plasma International conference

    Kazuki Toji, Takayoshi Tsutsumi, Kenji Ishikawa, S-N. Hsaio, Makoto Sekine, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.6 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  65. Self-limited fluorination of electron-beam-irradiated GaN surface International conference

    Yusuke Izumi, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.6 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Gifu, Japan   Country:Japan  

  66. Analysis of Effect of Neutral-Oxygen-Radical Irradiation on Biodegradation of Polyethylene Terephthalate using High-Performance Liquid Chromatography International conference

    Daichi Goto, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Masafumi Ito and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  67. In-plane aligned growth of carbon nanowalls by ion irradiation control International conference

    Shintaro Iba, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.8 

     More details

    Event date: 2023.3

    Language:English  

    Venue:Gifu, Japan   Country:Japan  

  68. Morphological effect of carbon nanowalls on exosome capture International conference

    Takumi Hashimoto, Hiroki Kondo, Hiromasa Tanaka, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Takao Yasui, Yoshinobu Baba, Mineo Hiramatsu and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.7 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  69. Effects of non-equilibrium atmospheric pressure plasma on zebrafish International conference

    Yuya Kurebayashi, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Shinichi Akiyama, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  70. Self-aligned Ohmic Contact Formation Combining Growth of N+-GaN and Ohmic Metalization Using Pulsed Laser Deposition with Picosecond Laser International conference

    K. Kodama, M. Miyachi, O. Oda, M. Hori, and D. Ueda

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.7 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  71. Control of generating white core in grains of brewer’s rice cultivar with direct plasma irradiation to spikelet after flowering International conference

    Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Kaoru Sanda, Shih-Nan Hsiao, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Yuji Hirosue , Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori.

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.7 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  72. Cold plasma treatment during cultivation affects flesh firmness of strawberry fruits International conference

    Hiroshi Hashizume, Kohei Nakano, Kaoru Sanda, Akiko Abe, Hiroko Mizuno, Manasikan Thammawong, Camelia Miron, Nikolay Britun, Teruaki Shimazu, Hiromasa Tanaka, and Masaru Hori.

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.7 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  73. Study on plasma process using adsorbed C7F14 as an etchant International conference

    Kohei Masuda,Makoto Sekine, Kenji Ishikawa, Shih-Nan Hsiao,Takayoshi Tsutsumi,Hiroki Kondo, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.6 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  74. Nano-Pulsed Plasma Study of the Wet Electrode Methods on Hydrogen Generation from High-Resistive Water International conference

    Naohiro Shimizu, Britun Nikolay, Reiko Tanaka, Osamu Oda, Ranjit Borude, Hiroki Hosoe, Satoshi Ino, Yosuke Inoue and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Gifu, Japan   Country:Japan  

  75. Morphological control of human mesenchymal stem cells with electrical stimulation on carbon nanowalls. International conference

    Yuki Kojima, Hiroki Kondo, Masahiro Tanaka, Kenji Ishikawa, Hiroshi Hashizume, Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.7 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Gifu, Japan   Country:Japan  

  76. Characterization of plasmas and polymerized hydrofluorocarbon films in capacitively coupled CF4/H2 plasmas International conference

    Yusuke Imai, Shih-Nan Hsiao, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  77. Low temperature plasma chemistry of aqueous solutions of amino acids. A spin trapping study International conference

    Takashi Kondo, Hiroshi Hashizume, Jun Kumagai, 1Hiromasa Tanaka, Kenji Ishikawa, Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  78. Contribution analysis of process parameters in plasma-enhanced chemical vapor deposition of amorphous carbon International conference

    Yusuke Ando, Jumpei Kurokawa, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.7 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Gifu, Japan   Country:Japan  

  79. Multi-diagnostic study of nanosecond atmospheric jet discharge International conference

    Nikolay Britun, Peterraj Dennis Christy, Shih-Nan Shiao, Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.9 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Gifu, Japan   Country:Japan  

  80. Etching behavior of lamellar poly(styrene-b-2-vinylpyridine) block copolymer under N2/H2 plasma process International conference

    Ma. Shanlene D.C. Dela Vega, Ayane Kitahara, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Atsushi Takano, Yushu Matsushita and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.6 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  81. Study of the behavior of trimethyl gallium and triethyl gallium by OES and QMS for the growth of GaN by REMOCVD (radical-enhanced metalorganic chemical vapor deposition) International conference

    Arun Kumar Dhasiyan, Swathy Jayaprasad, Frank Wilson Amalraj, Naohiro Shimizu, Osamu Oda, Kenji Ishikawa and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Gifu, Japan   Country:Japan  

  82. Etching silicon oxide, silicon nitride, and polysilicon films in CH2FCHF2 hydrofluorocarbon plasma International conference

    Trung-Nguyen Tran,Thi-Thuy-Nga Nguyen,Kenji Ishikawa, Shih-Nan Hsiao,Toshio Hayashi,Makoto Sekine, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.6 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  83. Non-halogen plasma etching of metal gate TiAlC International conference

    Thi-Thuy-Nga Nguyen, Kazunori Shinoda, Shih-Nan Hsiao, Hirotaka Hamamura, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, Kenji Ishikawa, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.6 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  84. Synthesis and characteristics of carbon nanowalls by combining different plasma methods International conference

    Ngo Quang Minh, Ngo Van Nong, Osamu Oda, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.6 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Gifu, Japan   Country:Japan  

  85. Investigation of anti-tumor effect mechanism by plasma irradiated L-Arginine solution International conference

    Liyin Du, Carmelia Miron, Saitoshi Kashiwagura, Takashi Kondo, Hiromasa Tanaka, Kenji Ishikawa, Masaaki Mizuno, Shinya Toyokuni, Hiroaki Kajiyama, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.7 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Gifu, Japan   Country:Japan  

  86. Plasma-driven science for emerging plasma-processing technologies Invited International conference

    Kenji Ishikawa, Hiromasa Tanaka, Hirokazu Hara, Shin-ichi Kondo, Masafumi Ito, Kazunori Koga, Masaharu Shiratani, and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023.3.9 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:Gifu, Japan   Country:Japan  

  87. Effect of Deposition Temperature for Cubic Boron Nitride Film by Electron Beam Excited Plasma International conference

    Koji Yamakawa, Hiroyuki Yamamoto, Shoji Den, Seigo Takashima, Masanao Iwata, Kenji Ishikawa and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  88. Mass Spectrometric Study of Ions and Neutral Species Generated by a High-Density Atmospheric-Pressure Plasma Source International conference

    Kyosuke Sugie, Jun-Seok Oh, Hiromasa Tanaka, Masaru Hori and Masafumi Ito

    ISPlasma2023 / IC-PLANTS2023  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  89. Effects of Non-Equilibrium Atmospheric Pressure Plasma on Zebrafish International conference

    Yuya Kurebayashi, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Shinichi Akiyama and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  90. Analysis of Effect of Neutral-Oxygen-Radical Irradiation on Biodegradation of Polyethylene Terephthalate Using High-Performance Liquid Chromatography International conference

    Daichi Goto, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Masafumi Ito and Masaru Hori

    ISPlasma2023 / IC-PLANTS2023  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  91. Effect of Lactate Activated with Nitrogen and Oxygen Radicals on Inactivation of Breast Cancer Cells International conference

    Taiga Nishida, Naoyuki Iwata, Tomiyasu Murata, Hiromasa Tanaka, Masaru Hori and Masafumi Ito

    ISPlasma2023 / IC-PLANTS2023  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  92. loping Observation of Supported Lipid Bilayer in Oxygen-Radical Activated L-Tryptophan Solution Using Atomic Force Microscope International conference

    Takashi Namizaki, Naoyuki Iwata, Ryugo Tero, Hiroki Kondo, Masaru Hori and Masafumi Ito

    ISPlasma2023 / IC-PLANTS2023  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  93. Intracellular Fluorescent of Nitric Oxide in Fibroblasts Irradiated by Electrically Neutral Radical International conference

    Yasumasa Mori, Naoyuki Iwata, Tomiyasu Murata, Masaru Hori and Masafumi Ito

    ISPlasma2023 / IC-PLANTS2023  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  94. Effects of Plasma Treated Water on Papaya Seed Germination International conference

    Deng-Ke Xi, Xian-Hui Zhang, Si-Ze Yang, Seong Shan Yap, Kenji Ishikawa, Masaru Hori and Seong Ling Yap

    ISPlasma2023 / IC-PLANTS2023  2023.3.7 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  95. Etching behavior of lamellar poly(styrene-b-2-vinylpyridine) block copolymer under N2/H2 plasma process International conference

    Ma. Shanlene D.C. Dela Vega, Ayane Kitahara, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Atsushi Takano, Yushu Matsushita and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.6 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  96. Self-limited fluorination of electron-beam-irradiated GaN surface International conference

    Yusuke Izumi, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.6 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Gifu, Japan   Country:Japan  

  97. Analysis of Effect of Neutral-Oxygen-Radical Irradiation on Biodegradation of Polyethylene Terephthalate using High-Performance Liquid Chromatography International conference

    Daichi Goto, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Masafumi Ito and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  98. In-plane aligned growth of carbon nanowalls by ion irradiation control International conference

    Shintaro Iba, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.8 

     More details

    Event date: 2023.3

    Language:English  

    Venue:Gifu, Japan   Country:Japan  

  99. Morphological effect of carbon nanowalls on exosome capture International conference

    Takumi Hashimoto, Hiroki Kondo, Hiromasa Tanaka, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Takao Yasui, Yoshinobu Baba, Mineo Hiramatsu and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.7 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  100. Effects of non-equilibrium atmospheric pressure plasma on zebrafish International conference

    Yuya Kurebayashi, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Shinichi Akiyama, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  101. Self-aligned Ohmic Contact Formation Combining Growth of N+-GaN and Ohmic Metalization Using Pulsed Laser Deposition with Picosecond Laser International conference

    K. Kodama, M. Miyachi, O. Oda, M. Hori, and D. Ueda

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.7 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  102. Control of generating white core in grains of brewer’s rice cultivar with direct plasma irradiation to spikelet after flowering International conference

    Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Kaoru Sanda, Shih-Nan Hsiao, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Yuji Hirosue , Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori.

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.7 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  103. Cold plasma treatment during cultivation affects flesh firmness of strawberry fruits International conference

    Hiroshi Hashizume, Kohei Nakano, Kaoru Sanda, Akiko Abe, Hiroko Mizuno, Manasikan Thammawong, Camelia Miron, Nikolay Britun, Teruaki Shimazu, Hiromasa Tanaka, and Masaru Hori.

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.7 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  104. Study on plasma process using adsorbed C7F14 as an etchant International conference

    Kohei Masuda,Makoto Sekine, Kenji Ishikawa, Shih-Nan Hsiao,Takayoshi Tsutsumi,Hiroki Kondo, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.6 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  105. Nano-Pulsed Plasma Study of the Wet Electrode Methods on Hydrogen Generation from High-Resistive Water International conference

    Naohiro Shimizu, Britun Nikolay, Reiko Tanaka, Osamu Oda, Ranjit Borude, Hiroki Hosoe, Satoshi Ino, Yosuke Inoue and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Gifu, Japan   Country:Japan  

  106. Morphological control of human mesenchymal stem cells with electrical stimulation on carbon nanowalls. International conference

    Yuki Kojima, Hiroki Kondo, Masahiro Tanaka, Kenji Ishikawa, Hiroshi Hashizume, Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.7 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Gifu, Japan   Country:Japan  

  107. Characterization of plasmas and polymerized hydrofluorocarbon films in capacitively coupled CF4/H2 plasmas International conference

    Yusuke Imai, Shih-Nan Hsiao, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  108. Low temperature plasma chemistry of aqueous solutions of amino acids. A spin trapping study International conference

    Takashi Kondo, Hiroshi Hashizume, Jun Kumagai, 1Hiromasa Tanaka, Kenji Ishikawa, Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.8 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  109. Contribution analysis of process parameters in plasma-enhanced chemical vapor deposition of amorphous carbon International conference

    Yusuke Ando, Jumpei Kurokawa, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.7 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Gifu, Japan   Country:Japan  

  110. Multi-diagnostic study of nanosecond atmospheric jet discharge International conference

    Nikolay Britun, Peterraj Dennis Christy, Shih-Nan Shiao, Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023)  2023.3.9 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Gifu, Japan   Country:Japan  

  111. Challenges of Plasma Science and Technology for Green Semiconductor Manufacturing Invited International conference

    Masaru Hori

    International Symposium on Semiconductor Manufacturing 2022  2022.12.12 

     More details

    Event date: 2022.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Tokyo, Japan   Country:Japan  

  112. Plasma diagnostics and characteristics of hydrofluorocarbon films in capacitively coupled CF4/H2 plasmas Invited International conference

    Shih-Nan Hsiao, Yusuke Imai, Nicolay Britun, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    International Symposium on Semiconductor Manufacturing 2022  2022.12.13 

     More details

    Event date: 2022.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tokyo, Japan   Country:Japan  

  113. 低温プラズマ科学の魅力 Invited

    堀勝

    岐阜大学工学部付属 プラズマ応用研究センター開所式  2022.12.7 

     More details

    Event date: 2022.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:Gifu, Japan  

  114. Investigation of anti-tumor effect mechanism by plasma treated L-Arginine solutions International conference

    Liyin DU, Camelia MIRON, Satoshi KASHIWAGURA, Takashi KONDO, Hiromasa TANAKA, Kenji ISHIKAWA, Masaaki MIZUNO, Shinya TOYOKUNI, Hiroaki KAJIYAMA, Masaru HORI

    2022.12.7 

     More details

    Event date: 2022.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama, Japan   Country:Japan  

  115. Plasma-activated solutions in plasma life science International conference

    Hiromasa TANAKA, Masaaki MIZUNO, Kenji ISHIKAWA, Hiroaki KAJIYAMA, Shinya TOYOKUNI, Fumitaka KIKKAWA, Masaru HORI

    2022.12.7 

     More details

    Event date: 2022.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama, Japan   Country:Japan  

  116. Ion Induced Damage in Plasma Enhanced Atomic Layer Etching Processing International conference

    Liyin DU, Camelia MIRON, Satoshi KASHIWAGURA, Takashi KONDO, Hiromasa TANAKA, Kenji ISHIKAWA, Masaaki MIZUNO, Shinya TOYOKUNI, Hiroaki KAJIYAMA, Masaru HORI

    Takayoshi TSUTSUMI, Hiroki KONDO, Kenji ISHIKAWA, Makoto SEKINE, Masaru HORI  2022.12.5 

     More details

    Event date: 2022.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Yokohama, Japan   Country:Japan  

  117. Time-resolved measurement of ion energy distribution in pulsed Ar/SF6 plasma International conference

    Kazuki TOJI, Kenji ISHIKAWA, Takayoshi TSUTSUMI, Shih nan HSIAO, Makoto SEKINE, Masaru HORI

    2022.12.5 

     More details

    Event date: 2022.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama, Japan   Country:Japan  

  118. Plasama-activated organics in lactated solution irradiated N2-added plasma International conference

    Satoshi KASHIWAGURA, Naoyuki IWATA, Kenji ISHIKAWA, Camelia MIRON, Hiroshi HASHIZUME, Masaru HORI

    2022.12.6 

     More details

    Event date: 2022.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama, Japan   Country:Japan  

  119. Isotropic Plasma-enhanced Atomic Layer Etching of SiO2 using F radicals and Ar plasma International conference

    A. Osonio, T. Tsutsumi, B. Mukherjee, R. Borude, N. Kobayashi, and M. Hori

    The 43rd International Symposium on Dry Process (DPS2022)  2022.11.25 

     More details

    Event date: 2022.11

    Language:English   Presentation type:Poster presentation  

    Venue:Osaka, Japan   Country:Japan  

  120. Plasma diagnostics of the F and O radical density in dual-frequency capacitively coupled discharges with CF4/H2 International conference

    N. Britun, S. N. Hsiao, M. Sekine, M. Hori

    The 43rd International Symposium on Dry Process (DPS2022)  2022.11.25 

     More details

    Event date: 2022.11

    Language:English   Presentation type:Poster presentation  

    Venue:Osaka, Japan   Country:Japan  

  121. Selective dry etching of TiAlC over TiN using N2/H2 plasma chemistry International conference

    Thi-Thuy-Nga Nguyen, Kazunori Shinoda, Hirotaka Hamamura, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, Kenji Ishikawa, and Masaru Hori

    AVS 68th International Symposium & Exhibition  2022.11.9  Pittsburgh, USA

     More details

    Event date: 2022.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  122. Impact of Bias Power and Oxygen Addition on Selective Dry Etching of TiAlC over TiN Using N2/H2-based Plasmas International conference

    Kenji Ishikawa, T. Nguyen, K. Shinoda, H. Hamamura, K. Maeda, K. Yokogawa, M. Izawa, M. Hori

    AVS 68th International Symposium & Exhibition  2022.11.9  Pittsburgh, USA

     More details

    Event date: 2022.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  123. Synthesis, Functionalization, and Three-Dimensional Structuring of Carbon Nanomaterials By Gas-Liquid Interface Plasma Invited International conference

    H. Kondo, T. Tsutsumi, K. Ishikawa, M. Sekine, and M. Hori

    242nd ECS Meeting  2022.10.10 

     More details

    Event date: 2022.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Atlanta,   Country:United States  

  124. Evolution of Reactive Plasma Processes by Radical Control Invited International conference

    Masaru Hori

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022.10.5 

     More details

    Event date: 2022.10

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:Sendai   Country:Japan  

  125. Inactivation of Breast Cancer Cells using Nitrogen-Oxygen-Radical-Activated Lactate Ringer's Solution International conference

    Taiga Nishida, Naoyuki Iwata, Tomiyasu Murata, Hiromasa Tanaka, Masaru Hori, Masafumi Ito

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022.10.5 

     More details

    Event date: 2022.10

    Language:English   Presentation type:Poster presentation  

    Venue:Sendai, Japan   Country:Japan  

  126. Degradation of lignin model compounds using ambient-air glow discharge International conference

    Ryuichi Ohashi, Naoyuki Iwata, Hiroyuki Kato, Motoyuki Shimizu, Masashi Kato, Masaru Hori, Masafumi Ito

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022.10.5 

     More details

    Event date: 2022.10

    Language:English   Presentation type:Poster presentation  

    Venue:Sendai, Japan   Country:Japan  

  127. Viscous reduction of carboxymethyl cellulose treated with ambient-air glow discharge using peristaltic pumps International conference

    Kazuma Okamoto, Masahiro Maebayashi, Motoyuki Shimizu, Masashi Kato, Masaru Hori, Masafumi Ito

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022.10.5 

     More details

    Event date: 2022.10

    Language:English   Presentation type:Poster presentation  

    Venue:Sendai, Japan   Country:Japan  

  128. Growth promotion of Arabidopsis thaliana using oxygen-radical-treated L-tryptophan solution International conference

    Araki Shota, Tomomichi Ota, Hironaka Tsukagoshi, Naoyuki Iwata, Masaru Hori, Masafumi Ito

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022.10.5 

     More details

    Event date: 2022.10

    Language:English   Presentation type:Poster presentation  

    Venue:Sendai, Japan   Country:Japan  

  129. Spatiotemporal distribution measurements of ozone in the gas and liquid phases generated by non-equilibrium atmospheric pressure radical source International conference

    Hiromi Alwi Yamamoto, Masaru Hori, Masafumi Ito

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022.10.5 

     More details

    Event date: 2022.10

    Language:English   Presentation type:Poster presentation  

    Venue:Sendai, Japan   Country:Japan  

  130. Biological effects of the combination with low temperature plasmas and nanoparticles-platinum and gold-Plasma Medical & Agricultural Application II International conference

    Kenji Ishikawa, Takashi Kondo, Hiromasa Tanaka, Masaru Hori, Shinya Toyokuni, Masaaki Mizuno

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022.10.7 

     More details

    Event date: 2022.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sendai, Japan   Country:Japan  

  131. Achieving selective etching of SiN and SiO2 over amorphous carbon during CF4/H2 by controlling substrate temperature International conference

    Shih-Nan Hsiao, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022.10.6 

     More details

    Event date: 2022.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sendai, Japan   Country:Japan  

  132. Damage mitigation in atomic layer etching of GaN by cyclic exposure of BCl3 gas and F2 added Ar plasma at high substrate temperature International conference

    Shohei Nakamura, Atsushi Tanide, Masafumi Kawagoe, Soichi Nadahara, Kenji Ishikawa, Osamu Oda, Masaru Hori

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022.10.5 

     More details

    Event date: 2022.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sendai, Japan   Country:Japan  

  133. Properties of an atmospheric He-based nanosecond jet discharge International conference

    Nikolay Britun, Peterraj Dennis Christy, Vladislav Gamaleev, Shih-Nan Hsiao, Masaru

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022.10.6 

     More details

    Event date: 2022.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sendai   Country:Japan  

  134. Topographically-selective atomic layer etching of SiO2 using fluorine-containing plasma International conference

    Airah Osonio, Takayoshi Tsutsumi, Bablu Mukherjee, Ranjit Borude, Nobuyoshi Kobayashi, and Masaru Hori

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022.10.5 

     More details

    Event date: 2022.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sendai   Country:Japan  

  135. Deposition mechanism of hydrogenated amorphous carbon film by C3H6/H2 mixture gas plasma International conference

    Hiroki Kondo, Jumpei Kurokawa, Takayoshi Tustsumi, Makoto Sekine, Kenji Ishikawa, Masaru Hori

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022.10.4 

     More details

    Event date: 2022.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sendai   Country:Japan  

  136. Comprehensive analysis of gene expression in PAL-treated glioblastoma cells International conference

    Hiromasa Tanaka, Masaaki Mizuno, Ayako Tanaka, Yuki Shibata, Kenji Ishikawa, Hiroki Kondo, Hiroshi Hashizume, Camelia Miron, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaru Hori

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022.10.4 

     More details

    Event date: 2022.10

    Language:English   Presentation type:Poster presentation  

    Venue:Sendai   Country:Japan  

  137. Various approaches of cold plasma treatment to brewer’s rice plant for improvement of grain quality International conference

    Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Kaoru Sanda, Genki Yuasa, Satoe Tohno, Shih-Nan Hsiao, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Yoji Hirosue, Masayoshi Maeshima, Masaaki Mizuno, Masaru Hor

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022.10.7 

     More details

    Event date: 2022.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sendai   Country:Japan  

  138. Novel Hydrogen Generation Study Applying Rebound Tailing Pulse and Wet Electrode Methods Invited International conference

    Naohiro Shimizu, Osamu Oda, Ranjit R. Borude, Reiko Tanaka, Kenji Ishikawa, Hiroki Hosoe, Satoshi Ino, Yosuke Inoue, and Masaru Hori

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022.10.3 

     More details

    Event date: 2022.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Sendai   Country:Japan  

  139. Langmuir probe and Laser Photodetachment Study of Afterglow Phase in Dual RF Frequency Pulsed Plasma Etching Processes Operated with Synchronized DC Bias Invited International conference

    Makoto Sekine, Bibhuti B Sahu, Shogo Hattori, Takayoshi Tsutsumi, Nikolay Britun, Kenji Ishikawa, Hirohiko Tanaka, Taku Gohira, Noriyasu Ohno, Masaru Hori

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022.10.7 

     More details

    Event date: 2022.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sendai   Country:Japan  

  140. Development of an experimental system for cell viability assays of yeasts using gas-temperature controllable plasma jets International conference

    Shinji Yoshimura, Yoko Otsubo, Akira Yamashita, Katsuki Johzuka, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022.10.4 

     More details

    Event date: 2022.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sendai, Japan   Country:Japan  

  141. Dependence of depth in liquid and gas-flow-rate ratio irradiated with nitric-oxide radicals on proliferation of fibroblast cells International conference

    Yasumasa Mori, Naoyuki Iwata, Tomiyasu Murata, Masaru Hori, Masafumi Ito

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022.10.4 

     More details

    Event date: 2022.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sendai, Japan   Country:Japan  

  142. Highly efficient exosome capture by carbon nanowalls template International conference

    Takumi Hashimoto, Hiroki Kondo, Hiromasa Tanaka, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Takao Yasui, Yoshinobu Baba, Mineo Hiramatsu, Masaru Hori

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022.10.4 

     More details

    Event date: 2022.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  143. プラズマ活性溶液による細胞運命の制御

    田中 宏昌, 水野 正明, 石川 健治, 梶山 広明, 豊國 伸哉, 吉川 史隆, 堀 勝

    2022年 第83回 応用物理学会 秋季学術講演  2022.9.21 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:仙台   Country:Japan  

  144. 酸素ラジカル処理L-トリプトファン溶液の成長促進生成物の評価

    荒木 祥多、太田 智通、塚越 啓央、岩田 直幸、堀 勝、伊藤 昌文

    2022年 第83回 応用物理学会 秋季学術講演  2022.9.20 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:仙台   Country:Japan  

  145. 大気圧空気グロープラズマ処理カルボキシメチルセルロース溶液粘度のぜん動ポンプ送液速度依存性

    2022年 第83回 応用物理学会 秋季学術講演  2022.9.20 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:仙台   Country:Japan  

  146. 酸化窒素ラジカル活性化乳酸リンゲル液の乳がん細胞に対する選択的不活性化効果

    西田 大河、岩田 直幸、村田 富保、田中 宏昌、堀 勝、伊藤 昌文

    2022年 第83回 応用物理学会 秋季学術講演  2022.9.20 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:仙台   Country:Japan  

  147. プラズマアシスト熱サイクル法を用いたSiGeのセルフリ ミティング性サイクルエッチング

    篠田 和典, 三浦 勝哉, 前田 賢治, 伊澤 勝, NGUYEN Thi-Thuy-Nga, 石川 健治, 堀 勝

    2022年 第83回 応用物理学会 秋季学術講演  2022.9.22 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:仙台   Country:Japan  

  148. 水素化アモルファスカーボン薄膜の合成機構における活 性種の寄与度の機械学習を用いた解析

    近藤 博基, 黒川 純平, 堤 隆嘉, 関根 誠, 石川 健治, 堀 勝

    2022年 第83回 応用物理学会 秋季学術講演  2022.9.23 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:仙台   Country:Japan  

  149. イオン照射角制御によるカーボンナノウォールの配向成長

    射場 信太朗, 近藤 博基, 石川 健治, 堤 隆嘉, 平松 美根男, 関根 誠, 堀 勝

    2022年 第83回 応用物理学会 秋季学術講演  2022.9.23 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:仙台   Country:Japan  

  150. 非平衡大気圧ラジカル源で生成されたオゾンの気相および液相の時空間分布測定

    山本 ヒロミ アルウィ, 堀 勝, 伊藤 昌文

    2022年 第83回 応用物理学会 秋季学術講演  2022.9.20 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:仙台   Country:Japan  

  151. プラズマ活性乳酸リンゲル液によるマクロファージの形質発現誘導

    出野 雄大, 柏倉 慧史, 田中 宏昌, 石川 健治, 橋爪 博司, 中村 香江, 豊國 伸哉, 水野 正明, 梶山 広明, 堀 勝

    2022年 第83回 応用物理学会 秋季学術講演  2022.9.20 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:仙台   Country:Japan  

  152. 一酸化窒素ラジカル照射されたマウス胎児線維芽細胞増殖の液中距離依存性

    森 康雅, 岩田 直幸, 村田 富保, 堀 勝, 伊藤 昌文

    2022年 第83回 応用物理学会 秋季学術講演  2022.9.20 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:仙台   Country:Japan  

  153. ラジカル活性L-フェニルアラニン溶液と線維芽細胞の相互作用

    石川 雄太, 岩田 直幸, 村田 富保, 堀 勝, 伊藤 昌文

    2022年 第83回 応用物理学会 秋季学術講演  2022.9.20 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:仙台   Country:Japan  

  154. リグニンモデル化合物への大気圧グロープラズマ処理時間依存性

    大橋 龍一, 岩田 直幸, 加藤 大志, 志水 元亨, 加藤 雅士, 堀 勝, 伊藤 昌文

    2022年 第83回 応用物理学会 秋季学術講演  2022.9.20 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:仙台   Country:Japan  

  155. 非平衡大気圧プラズマを用いた陸上養殖実現に向けた基礎的研究

    紅林 佑弥, 石川 健治, 田中 宏昌, 秋山 真一, 橋爪 博司, 堀 勝

    2022年 第83回 応用物理学会 秋季学術講演  2022.9.20 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:仙台   Country:Japan  

  156. ウエハプロセスのグリーン化イノベーションに向けた戦 略的挑戦

    堀 勝, 関根 誠, 石川 健治

    2022年 第83回 応用物理学会 秋季学術講演  2022.9.21 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:仙台   Country:Japan  

  157. Optical diagnostics of a nanosecond atmospheric He plasma jet International conference

    Nikolay Britun, Peterraj Dennis Christy, Vladislav Gamaleev, Shih-Nan Hsiao, Masaru Hori

    18th International Conference on Plasma Surface Engineering(PSE2022)  2022.9.13 

     More details

    Event date: 2022.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Erfurt   Country:Germany  

  158. In situ monitoring hydrogen fluoride molecular density and its effects on etch selectivity of SiN over SiO2 films with hydrogen-contained fluorocarbon down-flow plasmas International conference

    Shih-Nan HsiaoNicolay BritunThi-Thuy-Naga NguyenTakayoshi TsutsumiKenji IshikawaMakoto SekineMasaru Hori

    THE 22ND INTERNATIONAL VACUUM CONGRESS IVC-22  2022.9.13 

     More details

    Event date: 2022.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  159. Machine learning and contribution analysis of radicals to the properties of hydrogenated amorphous carbon films grown by a plasma-enhanced chemical vapor deposition International conference

    Hiroki KondoJumpei KurokawaKenji IshikawaTakayoshi TsutsumiMakoto SekineMasaru Hori

    THE 22ND INTERNATIONAL VACUUM CONGRESS IVC-22  2022.9.13 

     More details

    Event date: 2022.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  160. Plasma-induced Bioactive Substances and their Medical Applications Invited International conference

    Masaru Hori

    THE 22ND INTERNATIONAL VACUUM CONGRESS IVC-22  2022.9.13 

     More details

    Event date: 2022.9

    Language:English   Presentation type:Oral presentation (keynote)  

    Country:Japan  

  161. Self-aligned Ohmic Contact Formation with Selectively Grown n+ -GaN Layer by Using Picosecond Laser Deposition International conference

    K. Kodama, M. Kobayashi*, M. Miyachi*, O. Oda, M. Hori, and D. Ueda

    14th Topical Workshop on Heterostructure Microelectronics(TWHM 2022)  2022.9.1 

     More details

    Event date: 2022.8 - 2022.9

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  162. Development of ultrahigh density non-equilibrium atmospheric pressure plasma and its applications to materials and biotechnology Invited International conference

    Masaru Hori

    15th Asia Pacific Physics Conference (APPC15)  2022.8.22 

     More details

    Event date: 2022.8

    Language:English   Presentation type:Oral presentation (invited, special)  

  163. Interfacial reaction of gas-liquid plasma in the synthesis of functional carbon nanomaterials Invited International conference

    Hiroki KONDO, Takayoshi TSUTSUMI, Kenji ISHIKAWA, Masaru HORI

    The 5th International Union of Materials Research Societies International Conference of Young Researchers on Advanced Materials (IUMRS-ICYRAM2022)  2022.8.4 

     More details

    Event date: 2022.8

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Fukuoka, Japan   Country:Japan  

  164. Nanostructure Control and Modification of Poly(styrene-b-2-vinylpyridine) Block Copolymer in H2/N2 Plasma Process International conference

    Ma. shanlene Dela Cruz DELA VEGA, Ayane KITAHARA, Thi-thuy-nga NGUYEN, Takayoshi TSUTSUMI, Atsushi TAKANO, Yushu MATSUSHITA, Masaru HORI

    The 5th International Union of Materials Research Societies International Conference of Young Researchers on Advanced Materials (IUMRS-ICYRAM2022)  2022.8.4 

     More details

    Event date: 2022.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka, Japan   Country:Japan  

  165. 設立趣旨と理念 Invited

    堀勝

    プラズマソサエティ/グリーン・DXプラズマコンソーシアム設立記念講演会  2022.7.1 

     More details

    Event date: 2022.7

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:愛知県 名古屋大学   Country:Japan  

  166. Molecular mechanisms of cell death by plasma-activated solutions in glioblastoma cells Invited International conference

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Camelia Miron, Hiroaki Kajiyama, Shinya Toyokuni, Masaru Hori

    9th International Conference on Plasma Medicine (ICPM9)  2022.6.27 

     More details

    Event date: 2022.6 - 2022.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Utrecht, The Netherlands   Country:Netherlands  

  167. The aqueous plasma therapy for ovarian cancer ~Aiming for controlling disseminated peritoneal metastasis~ Invited International conference

    Hiroaki Kajiyama, Kae Nakamura, Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Shinya Toyokuni, Masaru Hori

    9th International Conference on Plasma Medicine (ICPM9)  2022.6.30 

     More details

    Event date: 2022.6 - 2022.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Utrecht, The Netherlands   Country:Netherlands  

  168. Physicochemical Investigation of Plasma Activated Liquids Organically Engineered by Cold Atmospheric Pressure Plasma for Cancer Treatment International conference

    Camelia Miron, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Massaki Mizuno, Masaru Hori

    9th International Conference on Plasma Medicine (ICPM9)  2022.6.30 

     More details

    Event date: 2022.6 - 2022.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Utrecht, The Netherlands   Country:Netherlands  

  169. Effectiveness of Plasma Treatment for Various Rice Cultivation International conference

    Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Kaoru Sanda, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, Masaru Hori

    9th International Conference on Plasma Medicine (ICPM9)  2022.6.27 

     More details

    Event date: 2022.6 - 2022.7

    Language:English   Presentation type:Poster presentation  

    Venue:Utrecht, The Netherlands   Country:Netherlands  

  170. Immunostimulatory Effect of Plasma-Activated Solutions in the Intraperitoneal Environment of Ovarian Cancer International conference

    Kae Nakamura, Kazuya Sugiyama, Nobuhisa Yoshikawa, Masato Yoshihara,Tetsuya Matsukawa, Hiromasa Tanaka, Masaaki Mizuno, Shinya Toyokuni, Masaru Hori and Hiroaki Kajiyama

    9th International Conference on Plasma Medicine (ICPM9)  2022.6.28 

     More details

    Event date: 2022.6 - 2022.7

    Language:English   Presentation type:Poster presentation  

    Venue:Utrecht, The Netherlands   Country:Netherlands  

  171. Plasma-Assisted Thermal-Cyclic Etching of Silicon Germanium Selective to Germanium International conference

    Kazunori Shinoda, H. Hamamura,K. Maeda, M. Izawa, T. Nguyen, K. Ishikawa, M. Hori,

    AVS 22nd International Conference on Atomic Layer Deposition (ALD 2022), Atomic Layer Etching 2022 Workshop (ALE 2022), (ALD/ALE2022)  2022.6.27 

     More details

    Event date: 2022.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ghent, Belgium   Country:Belgium  

  172. Area-Selective Atomic Layer Etching of SiO2 Using Silane Coupling Agent International conference

    A. Osonio, Takayoshi Tsutsumi, Nagoya B. Mukherjee, R. Borude, N. Kobayashi, M. Hori,

    AVS 22nd International Conference on Atomic Layer Deposition (ALD 2022), Atomic Layer Etching 2022 Workshop (ALE 2022), (ALD/ALE2022)  2022.6.28 

     More details

    Event date: 2022.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ghent, Belgium   Country:Belgium  

  173. Surface Modification for Atomic Layer Etching of TiAlC Using Floating Wire-Assisted Liquid Vapor Plasma at Medium Pressure International conference

    Thi-Thuy-Nga Nguyen, K. Shinoda, H. Hamamura, K. Maeda, K. Yokogawa, M. Izawa, K. Ishikawa, M. Hori,

    AVS 22nd International Conference on Atomic Layer Deposition (ALD 2022), Atomic Layer Etching 2022 Workshop (ALE 2022), (ALD/ALE2022)  2022.6.28 

     More details

    Event date: 2022.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ghent, Belgium   Country:Belgium  

  174. Study of etching process using CHF3 gas condensed layer in cryogenic region

    Kuangda Sun, Chieh-Ju Liao, Shih-Nan Hsiao, Makoto Sekine, Toshiyuki Sasaki, Chihiro Abe, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori

    1st International Workshop on Plasma Cryo Etching Processes(PlaCEP2022)  2022.5.17 

     More details

    Event date: 2022.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Orléans, France/ Online   Country:France  

  175. Transient Behaviors of Gaseous and Surface Reactions in a Cycle of Pasivation and Etch Steps Using Ar-Based C4F8 and SF6 Plasma International conference

    Kenji Ishikawa,Taito Yoshie,Takayoshi Tsutsumi,Hiroki Kondo,Makoto Sekine,Masaru Hori

    MRS Spring Meetings & Exhibits  2022.5.9 

     More details

    Event date: 2022.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Honolulu. Hawai / Online   Country:United States  

  176. Growth of High-In Content InGaN Layer by Molecular Beam Epitaxy Under High-Density Nitrogen Radical Irradiation International conference

    Hiroki Kondo,Kiyoshi Kuwahara,Arun Dhasiyan,Osamu Oda,Koji Yamakawa,Shoji Den,Yoshihiro Nakai,Masaru Hori

    MRS Spring Meetings & Exhibits  2022.5.10 

     More details

    Event date: 2022.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Honolulu. Hawai / Online   Country:United States  

  177. Creation of Plasma Biology by Seamless Radical Control in Gas Phase, Liquid Phase and Biological Systems International conference

    Masaru Hori

    MRS Spring Meetings & Exhibits  2022.5.11 

     More details

    Event date: 2022.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Honolulu. Hawai / Online   Country:United States  

  178. Gene Expression Analysis of Plasma Activated Ringer’s Lactate Solution Treated Cells International conference

    Hiromasa Tanaka,Masaaki Mizuno,Kenji Ishikawa,Hiroshi Hashizume,Kae Nakamura,Hiroaki Kajiyama,Fumitaka Kikkawa,Yasumasa Okazaki,Shinya Toyokuni,Masaru Hori

    MRS Spring Meetings & Exhibits  2022.5.11 

     More details

    Event date: 2022.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Honolulu. Hawai / Online   Country:United States  

  179. 稲穂への低温プラズマ照射がもたらす酒造品種玄米の品質向上

    橋爪 博司, 北野 英己, 水野 寛子, 阿部 明子, 三田 薫, 蕭 世男, 湯浅 元気, 東野 里江, 田中 宏昌, 石川 健治, 松本 省吾, 榊原 均, 仁川 進, 前島 正義, 水野 正明, 堀 勝

    2022年第69回 応用物理学会 春季学術講演会 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  180. 網羅的解析に基づくプラズマ活性溶液による細胞死の機構解明

    田中 宏昌, 水野 , 石川 健司, 橋爪 博司, 中村 香江, 梶山 広明, 吉川 史隆, 岡崎 康昌, 豊國 伸哉, 堀 勝

    2022年第69回 応用物理学会 春季学術講演会 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  181. プラズマ照射乳酸リンゲル液の抗腫瘍成分の評価

    伊藤 大貴, 岩田 直幸, 石川 健治, 橋爪 博司, 中村 香江, ミロン カメリア, 田中 宏昌, 梶山 広明, 豊國 伸哉, 水野 正明, 堀 勝

    2022年第69回 応用物理学会 春季学術講演会 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  182. 流液への照射によるプラズマ活性溶液の作製と短寿命活性種の測定

    柏倉 慧史, 岩田 直幸, 石川 健治, 橋爪 博司, 田中 宏昌, 堀 勝

    2022年第69回 応用物理学会 春季学術講演会 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  183. 中性酸素ラジカル源を用いたポリエチレンテレフタレートの生分解速度の向上

    五藤 大智, 岩田 直幸, 石川 健治, 橋爪 博司, 田中 宏昌, 伊藤 昌文, 堀 勝

    2022年第69回 応用物理学会 春季学術講演会 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  184. プラズマ励起化学気相堆積法におけるカーボンナノウォールの配向成長に対するイオン照射角度の効果

    射場 信太朗, 近藤 博基, 石川 健治, 堤 隆嘉, 平松 美根男, 関根 誠, 堀 勝

    2022年第69回 応用物理学会 春季学術講演会 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  185. 高効率エクソソーム解析に向けたカーボンナノウォールテンプレートの 表面電位制御

    橋本 拓海, 近藤 博基, 田中 宏昌, 石川 健治, 堤 隆嘉, 関根 誠, 安井 隆雄, 馬場 嘉信, 平松 美根男, 堀 勝

    2022年第69回 応用物理学会 春季学術講演会 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  186. ラジカル注入型プラズマ励起化学気相堆積法で成長したカーボンナノウォールの3次元構造解析

    近藤 博基, 尾崎 敦士, 堤 隆嘉, 関根 誠, 石川 健治, 堀 勝, 平松 美根男

    2022年第69回 応用物理学会 春季学術講演会 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  187. C3H6 / H2プラズマを用いた水素化アモルファスカーボン成膜における成膜前駆体と膜特性の相関関係

    黒川 純平, 光成 正, 近藤 博基, 堤 隆嘉, 関根 誠, 石川 健治, 堀 勝

    2022年第69回 応用物理学会 春季学術講演会 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  188. 「第43回優秀論文賞受賞記念講演」高アスペクトホールエッチングにおけるストライエーションの形成メカニズム Invited

    大村 光広, 橋本 惇一, 足立 昂拓, 近藤 祐介, 石川 勝朗, 阿部 淳子, 酒井 伊都子, 林 久貴, 関根 誠, 堀 勝

    2022年第69回 応用物理学会 春季学術講演会 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:オンライン   Country:Japan  

  189. C4F8/SF6ガス変調サイクルにおいてバイアス印加位相がエッチング形状に及ぼす影響

    吉江 泰斗, 堤 隆嘉, 石川 健治, 近藤 博基, 関根 誠, 堀 勝

    2022年第69回 応用物理学会 春季学術講演会 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  190. Ar/F2プラズマとBCl3の交互供給によるAlGaN原子層エッチングでの組成比制御

    中村 昭平, 谷出 敦, 木村 貴弘, 灘原 壮一, 石川 健治, 小田 修, 堀 勝

    2022年第69回 応用物理学会 春季学術講演会 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  191. 窒化ガリウムの基板昇温時サイクルエッチング特性

    南 吏玖, 中村 昭平, 谷出 敦, 石川 健治, 堤 隆嘉, 近藤 博基, 関根 誠, 堀 勝

    2022年第69回 応用物理学会 春季学術講演会 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  192. プラズマ活性媒質におけるプラズマ相互作用:医療分野での応用 Invited

    堀 勝

    2022年第69回 応用物理学会 春季学術講演会 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:オンライン   Country:Japan  

  193. Carbon Layer Formation on Boron Nitride via a Plasma in Hydroquinone Solution International conference

    Kenichi Inoue, Noritaka Sakakibara, Taku Goto, Tsuyohito Ito, Yoshiki Shimizu, Kenji Ishikawa, Masaru Hori and KazuoTerashima

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Poster presentation  

    Venue:online   Country:Japan  

  194. Atomic layer etching of metal compounds with selective removal of their carbides over nitrides using a floating wire-assisted liquid vapor plasma method International conference

    Thi-Thuy-Nga Nguyen, Kazunori Shinoda, Hirotaka Hamamura, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, Kenji Ishikawa, and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online   Country:Japan  

  195. Physicochemical Investigation of Plasma Activated Lactate Solutions International conference

    Camelia Miron, Nikolay Britun, Hiroki Kondo, Kae Nakamura, Hiroshi Hashizume, Hiromasa Tanaka, Kenji Ishikawa, and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online   Country:Japan  

  196. Time-resolved dynamics of a ns- atmospheric jet discharge International conference

    N. Britun, P. Dennis Christy, V. Gamaleev and M. Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online   Country:Japan  

  197. Feature profiles in cyclic etch using C4F8 and SF6 gas-modulated plasma International conference

    Taito Yoshie, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online   Country:Japan  

  198. Enhanced Bioremediation of 4-Chlorophenol by Oxygen Radical Treatment Based on Non-Thermal Atmospheric Pressure Plasma International conference

    Hiroyuki Kato, Kiyota Sakai, Shou Ito, Naoyuki Iwata, Masafumi Ito, Masaru Hori, Motoyuki Shimizu and Masashi Kato

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Poster presentation  

    Venue:online   Country:Japan  

  199. Effect of Air Introduction between Electrodes on Rapid Removal Process of Polymer Contamination on Floor by Atmospheric Pressure Plasma International conference

    Yoshihiro Sakamoto, Takayoshi Tsutsumi and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Poster presentation  

    Venue:online   Country:Japan  

  200. Growth-Promotion Effect of Oxygen-Radical-Treated Tryptophan Solutions on Arabidopsis Thaliana International conference

    Shota Araki, Tomomiti Ota, Hironaka Tsukagoshi, Naoyuki Iwata, Masaru Hori and Masafumi Ito

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Poster presentation  

    Venue:online   Country:Japan  

  201. Biocompatibility of Conformal Coating of SiC on Carbon Nanowall Scaffold International conference

    Koki Ono, Tkashi Koide, Kenji Ishikawa, Hiromasa Tanaka, Hiroki Kondo , Ayase Sugawara Narutaki, Yong Jin, Shigeo Yasuhara, Masaru Hori and Wakana Takeuchi

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Poster presentation  

    Venue:online   Country:Japan  

  202. Low Temperature Plasma Chemistry of Volatile and Non-Volatile Solutes in Aqueous Solutions: e.p.r. and Spin Trapping Studies International conference

    Hidefumi Uchiyama, Kenji Ishikawa, Masaru Hori and Takashi Kondo

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Poster presentation  

    Venue:online   Country:Japan  

  203. Tuning Plasma-Knobs to Control Seamless Radical-Induced Biological Reaction Processes International conference

    Masaru Hori, Hiromasa Tanaka and Kenji Ishikawa

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online   Country:Japan  

  204. Effects of Concentration of Plasma Activated Lactate on Germination of Arabidopsis thaliana Seeds International conference

    Hayate Tanaka, Takamasa Okumura, Pankaj Attri, Teruki Anan, Kunihiro Kamataki, Naho Itagaki, Masaharu Shiratani, Yushi Ishibashi, Masataka Nakao Kentaro Namiki, Shoko Tsuboyama, Kenji Hashimoto, Kazuyuki Kuchitsu, Hiroshi Hashizume, Kenji Ishikawa, Masaru Hori, and Kazunori Koga

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online   Country:Japan  

  205. Evaluation of Selective Anti Cancer Effect in Plasma Activated Ringer's Lactate Solution Produced by Regulated Surrounding Atmosphere International conference

    Daiki Ito, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Kae Nakamura, Camelia Miron, Hiromasa Tanaka, Hiroaki kajiyama, Shinya Toyokuni, Masaaki Mizuno and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online   Country:Japan  

  206. Low Damage Atomic Layer Etching of GaN at High Temperature International conference

    Shohei Nakamura, Atsushi Tanide, Takahiro Kimura, Soichi Nadahara, Kenji Ishikawa, Osamu Oda and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online   Country:Japan  

  207. Visualization of Plasma Affected Area on a 3D Printed Mouse Mode International conference

    Shunya Hashimoto, Yuta Matsumoto, Jun-Seok Oh, Tatsuru Shirafuji, Kenji Ishikawa and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online   Country:Japan  

  208. Rebound Tailing Pulse Method Applied to Water Electrolysis International conference

    Naohiro Shimizu, Ranjit Borude, Reiko Tanaka, Kenji Ishikawa, Osamu Oda, Hiroki Hosoe, Satoshi Ino, Yousuke Inoue and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online   Country:Japan  

  209. Effect of RF Stage-Bias on Morphology of Carbon Nanowalls Grown by Radical-Injection Plasma Enhanced Chemical Vapor Deposition International conference

    Shintaro Iba, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online   Country:Japan  

  210. Genotoxic effects of plasma activated Ringer’s lactate solution on cancer cells International conference

    Yang Liu, Yoshimichi Nakatsu, Hiromasa Tanaka, Kazunori Koga, Kenji Ishikawa, Masaharu Shiratani, and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online   Country:Japan  

  211. Measurement of RONS concentration in plasma-irradiated artificial seawater International conference

    Takumi Yamauchi, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Shinichi Akiyama, and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Poster presentation  

    Venue:online   Country:Japan  

  212. Effect of substrate temperature on morphology of carbon nanowalls grown by a radical-injection plasma-enhanced chemical vapor deposition using C2F6 /H2 mixture gas International conference

    Takumi Hashimoto, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Poster presentation  

    Venue:online   Country:Japan  

  213. Improvement of Efficiency of Biodegradation of Polyethylene Terephthalate using Neutral Oxygen-Radical Source International conference

    Daichi Goto, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Masafumi Ito and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online   Country:Japan  

  214. Nanomechanical Properties Of Maze-Like Carbon Nanowalls Structure By Nanoindentation Technique International conference

    Swapnil Ghodke, Motoyuki Murashima, Dennis Christy, Ngo Van Nong, Osamu Oda, Noritsugu Umehara, Kenji Ishikawa, Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online   Country:Japan  

  215. Homoepitaxial Growth of GaN Using a p-BN Tube Attached Radical Enhanced MOCVD (REMOCVD) International conference

    Frank Wilson Amalraj, Dhasiyan Arun Kumar, Naohiro Shimizu, Osamu Oda, Kenji Ishikawa, and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online   Country:Japan  

  216. Three-dimensional morphological analysis of carbon nanowalls International conference

    Hiroki Kondo, Atsushi Ozaki, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Uroš Cvelbar and Mineo Hiramatsu

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online   Country:Japan  

  217. In-liquid plasma coating of graphite films on metal surface immersed in ethanol International conference

    Ma. Shanlene D.C. Dela Vega, Hiroki Kondo, Takayoshi Tsutsumi, Thi-Thuy-Nga Nguyen, Kenji Ishikawa, Makoto Sekine, Magdaleno R. Vasquez Jr., and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online   Country:Japan  

  218. Spectroscopy of H2/CH4/N2 Plasma for Carbon Nanowalls Growth International conference

    Dennis Christy, Ngo Van Nong, Osamu Oda, Masaru Hori and Nikolay Britun

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online   Country:Japan  

  219. Quality-Increasing Effect of Plasma Treatment in a Paddy on Various Rice Cultivars International conference

    Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Kaoru Sanda, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori.

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online   Country:Japan  

  220. Manipulation of Etch Selectivity of Silicon Nitride over Silicon Dioxide by Controlling Substrate Temperature with a CF4/H2 Plasma International conference

    Shih-Nan Hsiao, Nicolay Britun, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online   Country:Japan  

  221. Correlation analysis between radicals in gas phase and etch resistance of hydrogenated amorphous carbon film International conference

    Jumpei Kurokawa, Tadashi Mitsunari, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, Kenji Ishikawa, and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online  

  222. Etching of a layer from stacked graphene in remote oxygen plasma International conference

    Liugang Hu, Kenji Ishikawa, Thi-Thuy-Nga Nguyen, Shih-Nan Hsiao, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma-Nano Technology & Science (ISPlasma2022/IC-PLANTS2022) 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Poster presentation  

    Venue:online   Country:Japan  

  223. Internal parameter analysis by random forest model in PECVD of amorphous carbon films Invited International conference

    Masaru Hori, Jumpei Kurokawa, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine

    First Meeting of the NTC Technical Committee on Emerging Plasma Nanotechnologies(IEEE Nanotechnology Council)  2022.2.9 

     More details

    Event date: 2022.2

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:online  

  224. C2F6/H2混合ガスを用いてラジカル注入型プラズマ励起化学気相堆積法により成長させたカーボンナノウォールのモフォロジー制御

    橋本 拓海, 近藤 博基, 石川 健治, 堤 隆嘉, 関根 誠, 平松 美根男, 堀 勝

    第 39 回 プラズマプロセシング研究会 / 第 40 回 プラズマ材料科学シンポジウムプログラム(SPP-39/SPSM-34) 

     More details

    Event date: 2022.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  225. 液中プラズマプロセスを用いたメタノール内金属基板上へのナノグラフェンの成膜

    デラヴェガマリアシャンリン, 近藤 博基, 堤 隆嘉, グエン ティ・トゥイーンガ , 石川 健治 , 関根 誠, ヴァスケズマグダレノジュニア, 堀 勝

    第 39 回 プラズマプロセシング研究会 / 第 39 回 プラズマ材料科学シンポジウムプログラム(SPP-39/SPSM-34) 

     More details

    Event date: 2022.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  226. カーボンナノウォールの形態と高さが光透過率に及ぼす影響

    射場 信太朗, 近藤 博基, 石川 健治, 関根 誠, 堤 隆嘉, 平松 美根男, 堀 勝

    第 39 回 プラズマプロセシング研究会 / 第 38 回 プラズマ材料科学シンポジウムプログラム(SPP-39/SPSM-34) 

     More details

    Event date: 2022.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  227. 原子状酸素ラジカル処理したポリエチレンテレフタレートの生分解

    五藤 大智, 岩田 直幸, 石川 健治, 橋爪 博司, 田中 宏昌, 伊藤 昌文, 上坂 裕之, 堀 勝

    第 39 回 プラズマプロセシング研究会 / 第 37 回 プラズマ材料科学シンポジウムプログラム(SPP-39/SPSM-34) 

     More details

    Event date: 2022.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  228. 真空紫外原子吸光分光法による大気圧マイクロ波 Ar/O2プラズマの O 原子密度計測

    岩田 悠揮, 小笠原 知裕, 鈴木 陽香, 堤 隆嘉, 堀 勝, 豊田 浩孝

    第 39 回 プラズマプロセシング研究会 / 第 36 回 プラズマ材料科学シンポジウムプログラム(SPP-39/SPSM-34) 

     More details

    Event date: 2022.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  229. プラズマ活性乳酸リンゲル液によるがん細胞死の分子機構

    田中 宏昌, 水野 正明, 石川 健司, 橋爪 博司, 中村 香江, 岡崎 康昌, 豊國 伸哉, 梶山 広明, 吉川 史隆, 堀 勝

    第 39 回 プラズマプロセシング研究会 / 第 35 回 プラズマ材料科学シンポジウムプログラム(SPP-39/SPSM-34) 

     More details

    Event date: 2022.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  230. 低温プラズマ処理による高品質イチゴ果実の安定的生産

    橋爪 博司, 松本 省吾, 坪田 憲紀, 三田 薫, 水野 寛子, 阿部 明子, 湯浅 元気, 東野 里江, 田中 宏昌, 石川 健治, 伊藤 昌文, 北野 英己, 榊原 均, 仁川 進, 大熊 隆之, 前島 正義, 水野 正明, 堀 勝

    第 39 回 プラズマプロセシング研究会 / 第 34 回 プラズマ材料科学シンポジウムプログラム(SPP-39/SPSM-34)  

     More details

    Event date: 2022.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  231. プラズマ活性溶液による細胞運命の制御

    近藤 隆、熊谷 純、平山亮一、橋爪 博司、田中 宏昌、石川 健治、堀 勝

    第2回 若手放射線影響研究会   2022.1.7 

     More details

    Event date: 2022.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  232. Plasma Medical Science and Its Innovations for a Future Medical Care Invited International conference

    14th International Conference on Plasma Science and Applications (ICPSA-2021)  2021.12.28 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:online  

  233. カーボンナノウォール上への SiC コーティングが細胞増殖に与える影響

    小野浩毅、小出崇史、石川健治、田中宏昌、近藤博基、鳴瀧彩絵、金勇、安原重雄、堀勝、竹内和歌奈

    日本表面真空学会 中部支部学術講演会(若手講演会)  2021.12.18 

     More details

    Event date: 2021.12

    Language:Japanese   Presentation type:Oral presentation (general)  

  234. Novel Plasma Processing for Sustainable Society Invited International conference

    Masaru Hori

    Material Research Meeting (MRM 2021)  2021.12.16 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:Yokohama , Japan, online   Country:Japan  

  235. Functional nitrogen science for plasma-processing in life and matter Invited International conference

    Kenji Ishikawa, Toshio Kaneko, and Masaru Hori

    Material Research Meeting (MRM 2021)  2021.12.16 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:Yokohama , Japan, online   Country:Japan  

  236. Optical transmission of carbon nanowalls from ultra-violet region to infra-red region International conference

    S. Iba, H. Kondo, K. Ishikawa, T. Tsutsumi, M. Sekine, M. Hiramatsu, and M. Hori.

    Material Research Meeting (MRM2021)  2021.12.16 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama , Japan, online   Country:Japan  

  237. Bactericidal mechanism of Rhizobium radiobacter in L-tryptophan solution exposed to oxygen radicals Invited International conference

    Masafumi Ito, Naoyuki Iwata, Masaru Hori

    Material Research Meeting (MRM 2021)  2021.12.16 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Poster presentation  

    Venue:Yokohama , Japan, online   Country:Japan  

  238. Three-dimensional structural analysis of carbon nanowalls synthesized by a radical-injection plasma-enhanced chemical vapor deposition system International conference

    Hiroki Kondo, Atsushi Ozaki, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Uroš Cvelbar, Mineo Hiramatsu

    Material Research Meeting (MRM2021)  2021.12.15 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama , Japan, online   Country:Japan  

  239. Plasma-biological reaction networks and aqueous radical chemistry Invited International conference

    Kenji Ishkawa, Camelia Miron, Takashi Kondo, Hiromasa Tanaka, and Masaru Hori

    31st Material Research Society in Japan (MRS-J)  2021.12.13 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:Yokohama , Japan, online   Country:Japan  

  240. Rebound Tailing Pulse method for water reformation International conference

    Naohiro Shimizu, Ranjit Borude, Reiko Tanaka, Kenji Ishikawa, Osamu Oda, Hiroki Hosoe, Satoru Ino, Yosuke Inoue, and Masaru Hori

    31st Material Research Society in Japan (MRS-J)  2021.12.13 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama , Japan, online   Country:Japan  

  241. Growth promotion of cultured feed Artemia irradiated with low-temperature plasma International conference

    Takumi Yamauchi, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Shin'ichi Akiyama, and Masaru Hori.

    31st Material Research Society in Japan (MRS-J)  2021.12.13 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama , Japan, online   Country:Japan  

  242. Production of plasma-activated Ringer's lactate solution with regulated surrounding atmosphere International conference

    Daiki Ito, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Kae Nakamura, Camelia Miron, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori

    31st Material Research Society in Japan (MRS-J)  2021.12.13 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama , Japan, online   Country:Japan  

  243. Anti-tumor effect of plasma-activated solution produced by the flowing system Invited International conference

    Satoshi Kashiwagura, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Camelia Miron, Kae Nakamura, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori

    31st Material Research Society in Japan (MRS-J)  2021.12.13 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama , Japan, online   Country:Japan  

  244. Effects of cold plasma treatment with rice seedlings in a paddy on yield and grain quality for different cultivars Invited International conference

    Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Kaoru Sanda, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori

    31st Material Research Society in Japan (MRS-J)  2021.12.13 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama , Japan, online   Country:Japan  

  245. Cellular Respiration System Affected by Low-temperature Plasma Invited International conference

    Hiromasa Tanaka, Shogo Maeda, Shogo Matsumura, Masaaki Mizuno, Kenji Ishikawa, Masafumi Ito, Hiroshi Hashizume, Mikako Ito, Kinji Ohno, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Yasumasa Okazaki, Shinya Toyokuni, and Masaru Hori

    31st Material Research Society in Japan (MRS-J)  2021.12.13 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama , Japan, online   Country:Japan  

  246. Enhancement of biodegradation technology of polyethylene terephthalate with plasma-pretreatment Invited International conference

    Daichi Goto, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Masafumi Ito, and Masaru Hori

    31st Material Research Society in Japan (MRS-J)  2021.12.15 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama , Japan, online   Country:Japan  

  247. Components in Plasma-Activated Ringer’s Lactate Solution that Induce Cell Death on U251SP Glioblastoma Cells International conference

    Hiromasa Tanaka, Yugo Hosoi, Kenji Ishikawa, Jun Yoshitake, Takahiro Shibata, Koji Uchida, Hiroshi Hashizume, Masaaki Mizuno, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa and Masaru Hori

    12th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-12)   2021.12.10 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Taipei, online   Country:Taiwan, Province of China  

  248. Comparison of CF4/H2 and HF/H2 Plasmas for Etching of PECVD-Prepared SiN Films International conference

    Shih-Nan Hsiao, Nicolay Britun, Thi-Thuy-Nga Nga, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    12th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-12)   2021.12.10 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Taipei, online   Country:Taiwan, Province of China  

  249. Behavior of Hydrogen Atom in Atmospheric Pressure Micro-Hollow Cathode Discharge International conference

    Keigo Takeda ; Takayoshi Tsutsumi; Mineo Hiramatsu ; Masaru Hori

    12th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-12)   2021.12.10 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Taipei, online   Country:Taiwan, Province of China  

  250. Spatial Distribution Measurement of Atomic Oxygen from Atmospheric-Pressure Microwave Line Plasma by Atomic Absorption Spectroscopy International conference

    Tomohiro Ogasawara, Yuki Iwata, Haruka Suzuki, Takayoshi Tsutsumi, Masaru Hori and Hirotaka Toyoda

    12th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-12)   2021.12.10 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Taipei, online   Country:Taiwan, Province of China  

  251. Growth Promotion Effects of Arabidopsis Thaliana Using Radical-Activated L-Phenylalanine Containing Medium International conference

    Masafumi Ito, Naoyuki Iwata, Shota Araki, Ginji Ito, Hironaka Tsukagoshi and Masaru Hor

    12th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-12)   2021.12.10 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Taipei, online   Country:Taiwan, Province of China  

  252. Interaction between ultrahigh density, non-thermal atmospheric pressure plasma and organic solution for cancer therapy Invited International conference

    Masaru Hori

    日独交流160周年 Jahre Freundschaft Deutschland-Japan  2021.12.3 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:online  

  253. Random forest model for property control of plasma

    J. Kurokawa, T. Tsutsumi, K. Ishikawa, H. Kondo, M. Sekine, and M. Hori

    The 42nd International Symposium on Dry Process (DPS2021)  2021.11.18 

     More details

    Event date: 2021.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online   Country:Japan  

  254. Selective plasma-enhanced atomic layer etching of SiO using a silane coupling agent

    A. Osonio, T. Tsutsumi, B. Mukherjee, R. Borude, N. Kobayashi, M. Hori

    The 42nd International Symposium on Dry Process (DPS2021)  2021.11.18 

     More details

    Event date: 2021.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online   Country:Japan  

  255. Evolution of dry processes Invited

    Masaru Hori

    The 42nd International Symposium on Dry Process (DPS2021)  2021.11.18 

     More details

    Event date: 2021.11

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:online   Country:Japan  

  256. Transient effects in cyclic processes on fabrications of high-aspect-ratio trenches International conference

    T. Yoshie, T. Tsutsumi, K. Ishikawa, M. Hori

    The 42nd International Symposium on Dry Process (DPS2021)  2021.11.18 

     More details

    Event date: 2021.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online  

  257. Atomic layer etching of GaN using F-added Ar plasma removal of BCl3 modified layer at high temperature

    S. Nakamura, A. Tanide, T. Kimura, S. Nadahara, K. Ishikawa, O. Oda, M. Hori

    The 42nd International Symposium on Dry Process (DPS2021)  2021.11.19 

     More details

    Event date: 2021.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online   Country:Japan  

  258. Toward plasma cancer therapy and intracellular metabolic modifications by treatments using low-temperature plasma-activated solutions Invited

    Kenji Ishkawa, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori

    30th International Toki Conference on Plasma and Fusion Research  2021.11.18 

     More details

    Event date: 2021.11

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:online  

  259. 低温プラズマ科学の魅力とプラズマファーマシーへの挑戦 Invited

    堀 勝

    名古屋大学低温プラズマ科学研究センター×岐阜薬科大学 連携協力協定記念講演会  2021.11.9 

     More details

    Event date: 2021.11

    Language:Japanese   Presentation type:Oral presentation (keynote)  

    Venue:岐阜薬科大学第一講義室   Country:Japan  

  260. Control of Interface Layers for Selective Atomic Layer Etching, Invited International conference

    Takayoshi Tsutsumi, R. Vervuurt, N. Kobayashi, and Masaru Hori

    67th AVS International Symposium and Exhibitio  2021.10.24 

     More details

    Event date: 2021.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:online  

  261. Floating wire assisted plasma with vapor injection of liquid mixtures for etching titanium compounds International conference

    Thi-Thuy-Nga Nguyen, Kazunori Shinoda, Hirotaka Hamamura, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, Kenji Ishikawa, and Masaru Hori

    67th AVS International Symposium and Exhibition  2021.10.24 

     More details

    Event date: 2021.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online  

  262. In-situ Analysis of Surface Reactions for Plasma-Assisted Thermal-Cyclic Atomic Layer Etching of Tantalum Nitride International conference

    Kazunori Shinoda, M. Hasegawa, H. Hamamura, K. Maeda, K. Yokogawa, M. Izawa, Kenji Ishikawa, and Masaru Hori

    67th AVS International Symposium and Exhibition  2021.10.24 

     More details

    Event date: 2021.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online  

  263. Towards lower energy cost of NO production in atmospheric air discharges Invited International conference

    N. Britun, V. Gamaleev, M. Hori

    5th Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2021)  2021.9.29 

     More details

    Event date: 2021.9 - 2021.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:online  

  264. Low-temperature plasma-activated solutions and metabolic modification Invited

    Kenji Ishkawa, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya ToyokuniMasaaki Mizuno and Masaru Hor

    5th Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2021)  2021.9.27 

     More details

    Event date: 2021.9 - 2021.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:online  

  265. 線維芽細胞増殖促進における大気圧プラズマ中の重要中性活性種の同定

    西田 大河、堀 侑己、岩田 直幸、呉 準席、村田 富保、堀 勝、伊藤 昌文

    第82回 応用物理学会秋季学術講演会  2021.9.12 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン開催  

  266. 窒化ガリウムのプラズマエッチング中その場分光エリプソメトリー観測

    南 吏玖、石川 健治、堤 隆嘉、近藤 博基、関根 誠、小田 修、堀 勝

    第82回 応用物理学会秋季学術講演会  2021.9.12 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン開催  

  267. 原子層エッチングの反応素過程とその設計、制御

    石川 健治、Nguyen Thi-Thuy-Nga、堤 隆嘉、蕭 世男、近藤 博基、関根 誠1、堀 勝

    第82回 応用物理学会秋季学術講演会  2021.9.11 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン開催  

  268. 螺旋状窒素プラズマ中の窒素原子密度計測

    西尾 亮佑、梶田 信、大野 哲靖、田中 宏彦、浅岡 晃次、堤 隆嘉、堀 勝

    第82回 応用物理学会秋季学術講演会  2021.9.12 

     More details

    Event date: 2021.9

    Language:Japanese  

    Venue:オンライン開催  

  269. Arパージ下でプラズマ照射した乳酸リンゲル液の抗腫瘍効果の評価

    伊藤 大貴、岩田 直幸、石川 健治、橋爪 博司、中村 香江、ミロン カメリア、田中 宏昌、梶山 広明、豊國 伸哉、水野 正明、堀 勝

    第82回 応用物理学会秋季学術講演会  2021.9.13 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン開催  

  270. C3H6 / H2プラズマを用いたアモルファスカーボン成膜において水素ガス流量比が膜特性に与える影響

    黒川 純平、光成 正、堤 隆嘉、近藤 博基、関根 誠、石川 健治、堀 勝

    第82回 応用物理学会秋季学術講演会  2021.9.14 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン開催  

  271. CNW細胞培養基板上のSiCコートが細胞増殖に与える影響

    小野 浩毅、小出 崇史、石川 健治、田中 宏昌、近藤 博基、鳴瀧 彩絵、金 勇、安原 重雄、堀 勝、竹内 和歌奈

    第82回 応用物理学会秋季学術講演会  2021.9.13 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン開催  

  272. 流液への照射によるプラズマ活性溶液の大量作製と抗腫瘍効果の評価

    柏倉 慧史、岩田 直幸、石川 健治、橋爪 博司、カメリア ミロン、中村 香江、田中 宏昌、梶山 広明、豊國 伸哉、水野 正明、堀 勝

    第82回 応用物理学会秋季学術講演会  2021.9.12 

     More details

    Event date: 2021.9

    Language:Japanese  

    Venue:オンライン開催  

  273. プラズマ活性溶液及びプラズマ照射が細胞呼吸に与える影響

    田中 宏昌、前田 昌吾、松村 翔伍、水野 正明、石川 健治、伊藤 昌文、橋爪 博司、伊藤 美佳子、大野 欽司、中村 香江、梶山 広明、吉川 史隆、岡崎 泰昌、豊國 伸哉、堀 勝

    第82回 応用物理学会秋季学術講演会  2021.9.12 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン開催  

  274. ポリエチレンテレフタラートの新しい生分解プラズマ技術の開発

    五藤 大智、岩田 直幸、石川 健治、橋爪 博司、田中 宏昌、伊藤 昌文、堀 勝

    第82回 応用物理学会秋季学術講演会  2021.9.12 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン開催  

  275. 酸素ラジカル照射したl-トリプトファン溶液の殺菌効果

    岩田 直幸、石川 健治、橋爪 博司、田中 宏昌、伊藤 昌文、堀 勝

    第82回 応用物理学会秋季学術講演会  2021.9.12 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン開催  

  276. 酸素ラジカル照射されたL-トリプトファン溶液中の支持脂質二重膜の側方拡散係数変化

    浪崎 高志、岩田 直幸、手老 龍吾、堀 勝、伊藤 昌文

    第82回 応用物理学会秋季学術講演会  2021.9.12 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン開催  

  277. 低温プラズマ照射した養殖餌料アルテミアの成長促進

    山内 拓海、石川 健治、田中 宏昌、秋山 真一、橋爪 博司、堀 勝

    第82回 応用物理学会秋季学術講演会  2021.9.13 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン開催  

  278. Ar/C4F8/SF6を用いたガス変調サイクルプロセスにおける活性種の挙動2

    吉江 泰斗、堤 隆嘉、石川 健治、堀 勝

    第82回 応用物理学会秋季学術講演会  2021.9.12 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン開催  

  279. 高In組成InGaNの分子線エピタキシー成長における高密度窒素ラジカル照射の効果

    近藤 博基、桑原 清、Kumar Dhasiyan Arun、堀 勝

    第82回 応用物理学会秋季学術講演会  2021.9.12 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン開催  

  280. プラズマ誘起欠陥の発生と修復 ~モバイル水素の役割と考察~

    布村 正太、坂田 功、堤 隆嘉、堀 勝

    第82回 応用物理学会秋季学術講演会  2021.9.13 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン開催  

  281. カーボンナノウォールの光透過率に対する壁密度および高さの効果

    射場 信太朗、近藤 博基、石川 健治、堤 隆嘉、平松 美根男、関根 誠、堀 勝

    第82回 応用物理学会秋季学術講演会  2021.9.13 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン開催  

  282. Epitaxial growth of InN film on GaN template by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) International conference

    Frank Wilson Amalraj, Arun Kumar Dhasiyan, Naohiro Shimizu, Osamu Oda, Kenji Ishikawa, and Masaru Hori

    Interfinish 2020 World Congress  2021.9.7 

     More details

    Event date: 2021.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online  

  283. Deformation properties of carbon nanowalls analyzed by nanoindentation International conference

    Swapnil Ghodke, Motoyuki Murashima, Dennis Christy, Ngo Van Nong, Osamu Oda, Noritsugu Umehara, Kenji Ishikawa, Masaru Hori

    Interfinish 2020 World Congress  2021.9.7 

     More details

    Event date: 2021.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online  

  284. Effects of high-density nitrogen radical irradiation on epitaxial growth of high-In content InGaN layer International conference

    Hiroki Kondo, Kiyoshi Kuwahara, Arun Kumar Dhasiyan, Osamu Oda, Koji Yamakawa, Shoji Den, Yoshihiro Nakai, and Masaru Hori

    Interfinish 2020 World Congress  2021.9.7 

     More details

    Event date: 2021.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online  

  285. Development of new biodegradation technology by surface modification of polyethylene terephthalate using atmospheric pressure plasma International conference

    Daichi Goto, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, HiromasaTanaka, Masafumi Ito, Masaru Hori

    Interfinish 2020 World Congress  2021.9.7 

     More details

    Event date: 2021.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online  

  286. Development of the nanocarbon coating process on metal surfaces via in-liquid plasma International conference

    Ma. Shanlene D.C. Dela Vega, Hiroki Kondo, Takayoshi Tsutsumi, Thi-Thuy-Nga Nguyen, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    Interfinish 2020 World Congress  2021.9.6 

     More details

    Event date: 2021.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online  

  287. Nanosecond high-voltage pulse imposed chemical vapor deposition International conference

    Tomonori Ichikawa, Kenji Ishikawa, Naohiro Shimizu, Masaru Hori

    Interfinish 2020 World Congress  2021.9.7 

     More details

    Event date: 2021.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online  

  288. High ionization of analytes for oxygen doped carbon nanowall in surface-assisted laser desorption ionization mass spectrometry (SALDI-MS) International conference

    Kenji Ishikawa, Ryusei Sakai, Tomonori Ichikawa, Hiroki Kondo, Takayuki Ohta, Mineo Hiramatsu, and Masaru Hori

    Interfinish 2020 World Congress  2021.9.5 

     More details

    Event date: 2021.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online  

  289. Improvement of Hydrophilic Treatment of Atmospheric Pressure Plasma and its Oxygen Radical Densities International conference

    Seigo Takashima, Takahiro Jindo, Kenji Ishikawa, Masaru Hori

    Interfinish 2020 World Congress  2021.9.7 

     More details

    Event date: 2021.9

    Language:English   Presentation type:Poster presentation  

    Venue:online  

  290. Bactericidal effects of plasma-treated water with ultrasonic vibration on biofilm-forming bacteria International conference

    Masafumi Ito, Yumiko Komori, Masaru Hori

    Interfinish 2020 World Congress  2021.9.7 

     More details

    Event date: 2021.9

    Language:English   Presentation type:Poster presentation  

    Venue:online  

  291. High performances of growth of nitride semiconductors in MBE with a high-density nitrogen radical source International conference

    Kiyoshi Kuwahara, Hiroki Kondo, Osamu Oda, Koji Yamakawa, Shoji Den, Yoshimoto Naoki, and Masaru Hori

    Interfinish 2020 World Congress  2021.9.7 

     More details

    Event date: 2021.9

    Language:English   Presentation type:Poster presentation  

    Venue:online  

  292. Application of Atmospheric Pressure Plasma to Rapid Dry Etching of Polymer Contamination on Floor International conference

    Y Sakamoto, T Tsutsumi, M Hori

    Interfinish 2020 World Congress  2021.9.7 

     More details

    Event date: 2021.9

    Language:English   Presentation type:Poster presentation  

    Venue:online  

  293. Analysis of Cellular Respiration in Plasma-activated Solutions-treated Cancer Cells International conference

    H. Tanaka, S. Maeda, M. Mizuno, K. Ishikawa, K. Nakamura, H. Kajiyama, Y. Okazaki, S. Toyokuni, M. Ito, K. Ohno, F. Kikkawa, and M. Hori

    8th International Conference on Plasma Medicine  2021.8.4 

     More details

    Event date: 2021.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online   Country:Korea, Republic of  

  294. Plasma-activated Solution Promotes Wound Healing in Diabetic Mouse via Keratinocytes Activation International conference

    Kae Nakamura, Masaaki Mizuno, Nobuhisa Yoshikawa, Hiromasa Tanaka, Katsumi Ebisawa, Yuzuru Kamei, Shinya Toyokuni, Akihiro Niwa, Takahiro Jindo, Masaru Hori, Fumitaka Kikkawa and Hiroaki Kajiyama

    8th International Conference on Plasma Medicine  2021.8.3 

     More details

    Event date: 2021.8

    Language:English   Presentation type:Poster presentation  

    Venue:online   Country:Korea, Republic of  

  295. Importance of organic compounds on bactericidal activity of radical-activated phenylalanine solution International conference

    Naoyuki Iwata, Hiroshi Hashizume, Jun-Seok Oh, Masaru Hori and Masafumi It

    8th International Conference on Plasma Medicine  2021.8.3 

     More details

    Event date: 2021.8

    Language:English   Presentation type:Poster presentation  

    Venue:online   Country:Korea, Republic of  

  296. Plasma Activated Medium and its Applications to Medicines Invited International conference

    Masaru Hori

    8th International Conference on Plasma Medicine  2021.8.2 

     More details

    Event date: 2021.8

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:online   Country:Korea, Republic of  

  297. Efficacy for Rice Plant Growth with Plasma Irradiation to Seeds International conference

    Hiroshi Hashizume, HidemiKitano, Hiroko Mizuno,Akiko Abe, Genki Yuasa, SatoeTohno, Hiromasa Tanaka, Kenji Ishikawa,Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno and Masaru Hori

    8th International Conference on Plasma Medicine  2021.8.4 

     More details

    Event date: 2021.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online   Country:Korea, Republic of  

  298. Oxygen-radical Treatment Promotes Enzymatic Cellulose Degradation and Alleviates Lignin-derived Phenolic Toxicity in Yeast: Implications for Biofuel Production International conference

    Shou Ito, Kiyota Sakai, Jun-Seok Oh, Vladislav Gamaleev, Masafumi Ito, Masaru Hori, Motoyuki Shimizu, and Masashi Kato

    8th International Conference on Plasma Medicine  2021.8.4 

     More details

    Event date: 2021.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online   Country:Korea, Republic of  

  299. Dynamical Changes in Free-radical Signals of Seeds during Water Imbibition and Seed Germination: Analysis of Plasma-Irradiation Effects Using an Electron Spin Resonance (ESR) Technique International conference

    Kenji Ishikawa, RyoArita, Takamasa Okumura, Pankaj Attri, Kazunori Koga, RyoyaSato, Hayate Tanaka, Masaya Hiromatsu,Kayo Matsuo, DaisukeYamashita, KunihiroKamataki, Naho Itagaki, Masaru Hori and Masaharu Shiratani

    8th International Conference on Plasma Medicine  2021.8.4 

     More details

    Event date: 2021.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:online   Country:Korea, Republic of  

  300. Cell death mechanisms by plasma activated medium and plasma activated Ringer’s lactate solution Invited International conference

    M. Hori, H. Tanaka, M. Mizuno, K. Ishikawa, K. Nakamura, H. Kajiyama, Y. Okazaki, S. Toyokuni, F. Kikkawa

    8th International Conference on Plasma Medicine  2021.8.6 

     More details

    Event date: 2021.8

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:online   Country:Korea, Republic of  

  301. Indirect plasma application Invited International conference

    Hiromasa Tanaka and Masaru Hori

    7th International Workshop on Plasma for Cancer Treatment (IWPCT-2021)   2021.6.28 

     More details

    Event date: 2021.6

    Language:English   Presentation type:Public lecture, seminar, tutorial, course, or other speech  

    Venue:online  

  302. Numerical analysis of effects of applying voltage polarity on atmospheric pressure argon streamer discharge under pin-to-plane electrode geometry International conference

    Y. Sato, K. Ishikawa, T. Tsutsumi, A. Ui, M. Akita, S. Oka and M. Hori

    47th Conference on Plasma Physics  2021.6.22 

     More details

    Event date: 2021.6

    Language:English  

    Venue:online  

  303. Graphene-Based Materials: Synthesis, Functionalization, and Applications Invited International conference

    Mineo Hiramatsu, Keigo Takeda, Hiroki Kondo, Masaru Hori

    Thermec'2021  2021.6.3 

     More details

    Event date: 2021.5 - 2021.6

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:online  

  304. In-liquid plasma synthesis of functional carbon nanosheets Invited International conference

    Hiroki Kondo, Masaru Hori, Mineo Hiramatsu

    Thermec'2021  2021.6.3 

     More details

    Event date: 2021.5 - 2021.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:online  

  305. Current status and future prospects of plasma medicine Invited

    Masaru Hori

    The Vacuum Society of the Philippines, inc. (VSP) Plasma and Society  2021.4.16 

     More details

    Event date: 2021.4

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:online  

  306. 幸田町・豊根村-名古屋大学 内閣府地方創生交付金事業 成果報告 Invited

    堀 勝

    低温プラズマ技術深化事業講演会  2021.3.26  幸田町、豊根村、名古屋大学

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:オンライン  

  307. 低温プラズマバイオサイエンスの未来 Invited

    堀 勝

    2021年春季学術講演会シンポジウムプラズマエレクトロニクス分科会 30周年記念シンポジウムニューノーマル時代の躍進に質するプラズマエレクトロニクス  2021年春季学術講演会シンポジウムプラズマエレクトロニクス分科会 30周年記念シンポジウムニューノーマル時代の躍進に質するプラズマエレクトロニクス

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:オンライン  

  308. プラズマ誘起超バイオ機能の発現機構の解明

    堀 勝

    プラズマバイオコンソーシアム研究報告会  プラズマバイオコンソーシアム研究報告会

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン  

  309. 扁形動物を用いた低温プラズマによる再生・分化の制御とその機構解明

    田中 宏昌、水野 正明、 堀 勝、梅園 良彦、阿形 清和

    プラズマバイオコンソーシアム研究報告会  プラズマバイオコンソーシアム研究報告会

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン  

  310. 低温プラズマバイオサイエンスの未来

    堀 勝

    2021年第68回応⽤物理学会春季学術講演会  2021年第68回応⽤物理学会春季学術講演会

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン  

  311. 液中プラズマを用いたナノグラフェン合成における活性種の効果[II]

    近藤 博基、濱地 遼、堤 隆嘉、石川 健治、関根 誠、堀 勝

    2021年第68回応⽤物理学会春季学術講演会  2021年第68回応⽤物理学会春季学術講演会

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン  

  312. 気相反応制御によるプラズマ活性溶液の反応と抗腫瘍効果の究明

    伊藤 大貴、石川 健治、橋爪 博司、田中 宏昌、堀 勝

    2021年第68回応⽤物理学会春季学術講演会  2021年第68回応⽤物理学会春季学術講演会

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン  

  313. プラズマ誘起欠陥の発生と修復 ~少数キャリアライフタイムによる定量評価~

    布村 正太、坂田 功、堤 隆嘉、堀 勝

    2021年第68回応⽤物理学会春季学術講演会  2021年第68回応⽤物理学会春季学術講演会

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン  

  314. 酸素ラジカル処理肥料溶液を用いた殺菌でのピロール化合物の重要性

    岩田 直幸、石川 健治、橋爪 博司、田中 宏昌、伊藤 昌文、堀 勝

    2021年第68回応⽤物理学会春季学術講演会  2021年第68回応⽤物理学会春季学術講演会

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン  

  315. Ar/C4F8/SF6を用いたガス変調サイクルプロセスにおける活性種の挙動

    吉江 泰斗、三好 康史、堤 隆嘉、釘宮 克尚、石川 健治、堀 勝

    2021年第68回応⽤物理学会春季学術講演会  2021年第68回応⽤物理学会春季学術講演会

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン  

  316. マイクロ放電ホローカソードプラズマを用いた真空紫外吸収分光用自己吸収光源の分光診断

    竹田 圭吾、堤 隆嘉、平松 美根男、堀 勝

    2021年第68回応⽤物理学会春季学術講演会  2021年第68回応⽤物理学会春季学術講演会

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン  

  317. リモート酸素ラジカルによるグラフェンのエッチング反応の分析

    胡 留剛、堤 隆嘉、蕭 世男、近藤 博基、石川 健治、関根 誠、堀 勝

    2021年第68回応⽤物理学会春季学術講演会  2021年第68回応⽤物理学会春季学術講演会

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン  

  318. 塩素吸着を用いた窒化ガリウムの原子層エッチングプロセス特性のArイオンエネルギー依存性

    堤 隆嘉、長谷川 将希、中村 昭平、谷出 敦、近藤 博基、関根 誠、石川 健治、堀 勝

    2021年第68回応⽤物理学会春季学術講演会  2021年第68回応⽤物理学会春季学術講演会

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン  

  319. Design of removal process of SnO2 on glass by H2/Ar plasma at atmospheric pressure and medium pressure International conference

    Thi-Thuy-Nga Nguyen, Minoru Sasaki, Takayoshi Tsutsumi, Kenji Ishikawa and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Virtual Conference  

  320. Microwave Nitrogen Plasma Jets under the Moderate Gas Pressure Region Invited International conference

    Jaeho Kim, Keigo Takeda, Hirotomo Itagaki, Xue-lun Wang, Shingo Hirose, Hisato Ogiso, Tetsuji Shimizu, Naoto Kumagai, Takayoshi Tsutsumi, Hiroki Kondo, Masaru Hori and Hajime Sakakita

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Virtual Conference  

  321. Dependency of Bactericidal Effect in Oxygen-Radical Exposed E. Coli Suspension Containing L-Tryptophan on its Concentration International conference

    Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Jun-Seok Oh, Masafumi Ito and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Virtual Conference  

  322. Low Temperature Plasma Sciences Create a New Normal Transformation Invited International conference

    Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Virtual Conference  

  323. IR Spectra of Monosaccharide Treated with Atomospheric Pressure Plasma Using Sum Frequency Generation Spectroscopy International conference

    Yuta Yoshida, Takayuki Ohta, Kenji Ishikawa and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

    Venue:Virtual Conference  

  324. Crystalline structures and local electrical conductivity at crossing points of carbon nanowalls International conference

    Atsushi Ozaki, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Virtual Conference  

  325. Atomic Layer Etching of GaN Using Cl2/Ar Plasma at 400℃ International conference

    Shohei Nakamura, Atsushi Tanide, Takahiro Kimura, Soichi Nadahara, Kenji Ishikawa and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

    Venue:Virtual Conference  

  326. Fablication of Pt-Supported Carbon Nanowalls for Polymer Electrolyte Fuel Cell International conference

    Takayuki Ohta, Hiroaki Iwata, Mineo Hiramatsu, Hiroki Kondo and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

    Venue:Virtual Conference  

  327. Proliferation-Promotion of Fibroblast Cells as a Function of Exposure Distance Using Nitric-Oxide-Radical Source International conference

    Taiga Nishida, Ryota Tsuge, Yuki Hori, Tomiyasu Murata, Masaru Hori and Masafumi Ito

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

    Venue:Virtual Conference  

  328. Apoptosis-inducing Activity through Caspase-9 of Radical-Activated Lactate Ringers Solution for Melanoma Cells International conference

    Yuki Hori, Tomiyasu Murata, Hiromasa Tanaka, Masaru Hori and Masafumi Ito

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

    Venue:Virtual Conference  

  329. Dependence of Nitrogen Concentrations on Cytotoxicity of Air-Free Ar-N2 Mixed Atmospheric Pressure Plasma-activeted Lactated Solutions International conference

    Daiki Ito, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

    Venue:Virtual Conference  

  330. Analysis of Oxygen-Radical-Treated L-Tryptophan Solution Using High Performance Liquid Chromatography International conference

    Shoma Ito, Naoyuki Iwata, Yasuhiro Nishikawa, Masaru Hori and Masafumi Ito

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

    Venue:Virtual Conference  

  331. Effect of Oxygen Radical-Treated L-Tryptophan on the Fluidity of Supporting Lipid Bilayer International conference

    Nozomi Iio, Naoyuki Iwata, Ryugo Tero, Masaru Hori and Masafumi Ito

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

    Venue:Virtual Conference  

  332. Effect of Oxygen-Radical-Treated L-Tryptophan Solutions on Intramembrane Fluidity of Supported Lipid Bilayers International conference

    Takashi Namizaki, Nozomi Iio, Naoyuki Iwata, Ryugo Tero, Masaru Hori and Masafumi Ito

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

    Venue:Virtual Conference  

  333. Bactericidal Effect of Hydroponic Harmful Bacteria Using Radical-Activated Aromatic Amino Acid Solution International conference

    Takahiro Deguchi, Naoyuki Iwata, Hiroshi Hashizume, Motoyuki Shimizu, Masashi Kato, Masaru Hori and Masafumi Ito

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

    Venue:Virtual Conference  

  334. Comparison of Effects of Radical-Activated L-Phenylalanine Solution and Radical Activated Deionized Water on Plant-Growth Promotion International conference

    Ginji Ito, Naoyuki Iwata, Hiroshi Hashizume, Masaru Hori and Masafumi Ito

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

    Venue:Virtual Conference  

  335. Oxygen Radical based on NTAP Converts Vanillin to its Derivatives, Resulting in Reduced Inhibition of Bioethanol Fermentation International conference

    Hiroyuki Kato, Shou Ito, Kiyota Sakai, Vladislav Gamaleev, Masafumi Ito, Masaru Hori, Motoyuki Shimizu and Masashi Kato

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

    Venue:Virtual Conference  

  336. Comparison of Nitrogen Atom Densities Measured with VUVAS and Actinometry in Spiral Shape Plasma International conference

    Ryosuke Nishio, Noriyasu Ohno, Shin Kajita, Hirohiko Tanaka, Koji Asaoka, Takayoshi Tsutsumi and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

    Venue:Virtual Conference  

  337. Synthesis of Carbon Nanowalls on different metallic substrates by RI-PECVD International conference

    Ngo Van Nong, Dennis Christy, Swapnil Ghodke, Hiroki Kondo, Osamu Oda, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Virtual Conference  

  338. Effects of argon and oxygen plasma treatments on bactericidal activity of silverexchanged zeolites International conference

    Airah Osonio, Masaru Hori and Magdaleno, Jr. Vasquez

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

    Venue:Virtual Conference  

  339. Effects on substrate temperature on the etching behaviors of PECVD- and LPCVD-prepared SiN thin films with CF4/H2 plasmas International conference

    Shih-Nan Hsiao, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Virtual Conference  

  340. Effect of oxygen plasma on chemical functionalization of multilayered graphene for high-strength graphene-reinforced cement mortars International conference

    Ma. Shanlene D.C. Dela Vega,Masaru Hori, and Magdaleno R. Vasquez Jr.

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

    Venue:Virtual Conference  

  341. Study of etching process using gas condensed layer at cryogenic temperature 1. Evaluation of CHF3 condensed layer thickness on SiO2 surface International conference

    S. Selvaraj, M. Hazumi, S. N. Hsiao, C. Abe, T. Sasaki, H. Hayashi, T. Tsutsumi, K. Ishikawa, M. Sekine, and M. Hori.

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Virtual Conference  

  342. On the efficient production of NO radicals in re-pulsing spark/glow flowing gas discharges

    Nikolay Britun, Vladislav Gamaleev, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

    Venue:Virtual Conference  

  343. A Comparative Study on InN Growth at Very High Frequencies (VHF) by RadicalEnhanced Metalorganic Chemical Vapor Deposition (REMOCVD). International conference

    Frank Wilson Amalraj, Naohiro Shimizu, Osamu Oda, Kenji Ishikawa, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Virtual Conference  

  344. Dependence of nitrogen concentrations on cytotoxicity of air-free Ar-N2 mixed atmospheric pressure plasma-activeted lactated solutions International conference

    Daiki Ito, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

    Venue:Virtual Conference  

  345. Study of etching process using gas condensed layer at cryogenic temperature 2. Evaluation of cycle etching using gas condensed layer International conference

    M. Hazumi, S. Selvaraj, S. N. Hsiao, C. Abe, T. Sasaki, H. Hayashi, T. Tsutsumi, K. Ishikawa, M. Sekine and M. Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Virtual Conference  

  346. Measurements of negative ion density and plasma parameters in Ar/O2/C4F8 etching plasmas by Langmuir probe-assisted laser photodetachment International conference

    B. B. Sahu, S.Hattori, T. Tsutsumi, N. Britun, M. Sekine, K. Ishikawa, H. Tanaka,T. Gohira, Y. Ohya, N. Ohno and M. Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

    Venue:Virtual Conference  

  347. Pressure and flow rate dependence of active species in gas modulation cycle process using Ar/C4F8/SF6 International conference

    Taito Yoshie, Takayoshi Tsutsumi, Kenji Ishikawa, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

    Venue:Virtual Conference  

  348. Quality increase of fruits with plasma treatment on strawberry cultivation International conference

    Hiroshi Hashizume, Shogo Matsumoto, Kenki Tsubota, Kaoru Sanda, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Masafumi Ito, Hidemi Kitano, Hitoshi Sakakibara, Susumu Nikawa, Takayuki Okuma, Masayoshi Maeshima,Masaaki Mizuno, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Virtual Conference  

  349. Reversible change in surface morphology of lipid bilayer induced by indirect plasma irradiation International conference

    Hiroki Kondo, Takuya Tonami, Sotaro Yamaoka, Hiromasa Tanaka, Kenji Ishikawa, Makoto Sekine, Masafumi Ito and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Virtual Conference  

  350. Quantitative analyses of graphene layer etching using oxygen radicals generated in remote plasma for realization of atomic layer etching International conference

    Liugang Hu, Takayoshi Tsutsumi, Thi-Thuy-Nga Nguyen, Shih-Nan Hsiao, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

    Venue:Virtual Conference  

  351. Initial growth kinetics of hydrogenated amorphous carbon films observed by real-time ellipsometry International conference

    Jumpei Kurokawa, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi,Makoto Sekine and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

    Venue:Virtual Conference  

  352. Efficacy of plasma treatment in a paddy field for yield and grain quality of rice International conference

    Kaoru Sanda, Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori.

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

    Venue:Virtual Conference  

  353. Effects of non-equilibrium atmospheric pressure plasma on aquaculture feed International conference

    Takumi Yamauchi, Kenji Ishikawa, Hiromasa Tanaka, Shin'ichi Akiyama, Hiroshi Hashizume and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

    Venue:Virtual Conference  

  354. Effect of wall-to-wall distance of carbon nanowalls on survival yield in surfaceassisted laser desorption/ionization mass spectrometry International conference

    Ryusei Sakai, Hiroki Kondo, Kenji Ishikawa, Takayuki Ohta, Mineo Hiramatsu, Naohiro Shimizu, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Virtual Conference  

  355. Enhancement of alcohol production of budding yeast by direct irradiation of nonequilibrium atmospheric pressure plasma International conference

    Shogo Matsumura, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Masafumi Ito and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Virtual Conference  

  356. Ion-attachment mass spectrometric analysis of odorous gas decomposition by atmospheric pressure plasma International conference

    Tatsuyuki Moriyama, Yosuke Sato, Akio Ui, Shotaro Oka,Kenji Ishikawa, Takayoshi Tsutsumi and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

    Venue:Virtual Conference  

  357. Selective killing effects of organics in plasma-activated Ringer’s solutions International conference

    Yuki Suda, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Virtual Conference  

  358. PLASMA APPLICATIONS FOR AGRICULTURE FROM SEEDS TO FIELD Invited International conference

    Hiroshi Hashizume, Hiroko Mizuno, Akiko Abe, Kenki Tsubota, Genki Yuasa, Satoe Tohno, Mikiko Kojima, Yumiko Takebayashi3, Hiromasa Tanaka, Kenji Ishikawa, Masafumi Ito, Hidemi Kitano, Shogo Matsumoto, Hitoshi Sakakibara, Takayuki Okuma, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori

    3RD INTERNATIONAL WORKSHOP ON PLASMA AGRICULTURE IWOPA3 (2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Virtual Conference  

  359. IMPORTANCE OF BENZENE RING STRUCTURE FOR BACTERICIDAL EFFICACY IN NEUTRAL pH OF RADICAL-ACTIVATED SOLUTIONS International conference

    Naoyuki Iwata, Hiroshi Hashizume, Jun-Seok Oh, Masaru Hori, Masafumi Ito

    3RD INTERNATIONAL WORKSHOP ON PLASMA AGRICULTURE IWOPA3 (2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

    Venue:Virtual Conference  

  360. プラズマ医療科学の最先端 Invited

    堀 勝、田中宏昌

     More details

    Event date: 2021.1

    Language:Japanese   Presentation type:Oral presentation (keynote)  

    Country:Japan  

  361. Etching characteristics of PECVD prepared SiN films with CF4/H2 and CF4/D2 plasmas at different substrate temperatures International conference

    Shih-Nan Hsiao, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    International Symposium on Semiconductor Manufacturing 2020 (ISSM2020) 

     More details

    Event date: 2020.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Virtual Conference  

  362. The current status and progress of plasma medicine in Japan Invited International conference

    Masaru Hori

    電漿醫療工作坊Plasma Medicine Workshop 

     More details

    Event date: 2020.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Virtual Conference  

  363. Antitumor effect of plasma-activated Ringer's acetate solution International conference

    Yuki SudaU, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, Masaru Hori

    第30回日本MRS年次大会 国際シンポジウム プラズマサイエンス 

     More details

    Event date: 2020.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Virtual Meeting  

  364. Bactericidal Effect of Oxygen-radical Irradiation to E. coli Suspension with L-tryptophan International conference

    Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Jun-seok OH, Masafumi Ito, Masaru Hori

    第30回日本MRS年次大会 国際シンポジウム プラズマサイエンス 

     More details

    Event date: 2020.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Virtual Meeting  

  365. Enhancement of alcohol fermentation of budding yeast by direct treatments of non-equilibrium atmospheric pressure plasma International conference

    Shogo Matsumura, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Masafumi Ito, Masaru Hori

    第30回日本MRS年次大会 国際シンポジウム プラズマサイエンス 

     More details

    Event date: 2020.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Virtual Meeting  

  366. Plasma-activated Amino-acid Solution for Hydroponic Application Invited International conference

    Masafumi Ito, Naoyuki Iwata, Hiroshi Hashizume, Jun-seok Oh, Masaru Hori

    第30回日本MRS年次大会 国際シンポジウム プラズマサイエンス 

     More details

    Event date: 2020.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Virtual Meeting  

  367. Cell Death Mechanisms of Plasma-Activated Solutions-Treated Cancer Cells Invited International conference

    Masaru Hori, Hiromasa Tanaka, Kenji Ishikawa, Shinya Toyokuni, Hiroaki Kajiyama, Masaaki Mizuno

    第30回日本MRS年次大会 国際シンポジウム プラズマサイエンス 

     More details

    Event date: 2020.12

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:Virtual Meeting  

  368. EFFECT OF RADICAL-ACTIVATED L-PHENYLALANINE SOLUTION ON SUPPORTED LIPID BILAYER International conference

    Naoyuki Iwata, Yutaka Kume, Nozomi Iio, Masafumi Ito, Hiroshi Hashizume, Masaru Hori

    47The International Conference on Plasma Science (ICOPS)  

     More details

    Event date: 2020.12

    Language:English   Presentation type:Poster presentation  

    Venue:Virtual Meeting  

  369. Plasma Science towards Global Innovations Invited International conference

    Masaru Hori

    11th India-Japan Symposium on "Innovations in Science & Technology for New Issues and Challenges" 

     More details

    Event date: 2020.12

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:Virtual Meeting  

  370. Nitrogen Atom Density Measurements from Vacuum Ultraviolet Absorption Spectroscopy and Actinometry in Spiral Shape Plasma International conference

    Ryosuke Nishio, Noriyasu Ohno, Shin Kajita, Hirohiko Tanaka, Koji Asaoka, Takayoshi Tsutsumi and Masaru Hori

    The 29th International Toki Conference on Plasma and Fusion Research 

     More details

    Event date: 2020.10

    Language:English   Presentation type:Poster presentation  

  371. Time resolved measurements of electron density and temperature by an electrostatic probe with conditional averaging method in pulsed capacitively coupled plasmas International conference

    S. Hattori, B. B. Sahu, H. Tanaka, T. Tsutsumi, S. Kajita, M. Sekine, M. Hori, N. Ohno

    The 29th International Toki Conference on Plasma and Fusion Research 

     More details

    Event date: 2020.10

    Language:English   Presentation type:Poster presentation  

  372. Germination kinetics of plasma-activated seeds International conference

    Kenji Ishikawa, Kazunori Koga, Masaru Hori, and Masaharu Shiratani

    4th Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2020) 

     More details

    Event date: 2020.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Virtual Conference  

  373. Radical controlled plasma processes ~ Basic researches and innovations Invited International conference

    Masaru Hori

    4th Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2020) 

     More details

    Event date: 2020.10

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:Virtual Conference  

  374. Penetration of hydrogen atoms and termination of dangling bonds in amorphous carbon films International conference

    Hiroki Kondo, Yasuyuki Ohashi, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    73th Annual Gaseous Electronics Virtual Conference 

     More details

    Event date: 2020.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Virtual Conference  

  375. Metabolomic profiles of glioblastoma in plasma-activated solutions International conference

    Kenji Ishikawa, Hiromasa Tanaka, Li Jiang, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Camelia Miron, Masaru Hori

    73th Annual Gaseous Electronics Virtual Conference 

     More details

    Event date: 2020.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Virtual Conference  

  376. Proliferation promotion of fibroblast cells using atmospheric-pressure radical source. International conference

    Naoyuki Iwata, Yuki Hori, Oh Jun-Seok, Tomiyasu Murata, Kenji Ishikawa, Masaru Hori, Masafumi Ito

    73th Annual Gaseous Electronics Virtual Conference 

     More details

    Event date: 2020.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Virtual Conference  

  377. Plasma activated Ringer's lactate solution affected cellular respiratory system on HeLa cells. International conference

    Hiromasa Tanaka, Shogo Maeda, Kae Nakamura, Hiroshi Hashizume, Kenji Ishikawa, Mikako Ito, Kinji Ohno, Masaaki Mizuno, Shinya Toyokuni, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaru Hori

    73th Annual Gaseous Electronics Virtual Conference 

     More details

    Event date: 2020.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Virtual Conference  

  378. Effectiveness of cold plasma treatment during rice cultivation for growth and yield International conference

    Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, Masaru Hori

    73rd Annual Gaseous Electronics Virtual Conference 

     More details

    Event date: 2020.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Virtual Conference  

  379. Dynamics of physicochemical reactions in time-modulation of plasmas for advanced semiconductor processes Invited International conference

    Masaru Hori

    73th Annual Gaseous Electronics Virtual Conference 

     More details

    Event date: 2020.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Virtual Conference  

  380. Physicochemical investigation of plasma activated liquids International conference

    Camelia Miron, Yang Liu, Naoyuki Iwata, Kenji Ishikawa, Hiromasa Tanaka, Shinya Toyokuni, Masaaki Mizuno, Masaru Hori

    73th Annual Gaseous Electronics Virtual Conference 

     More details

    Event date: 2020.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Virtual Conference  

  381. Generation of stable centimeter-scale glow discharge in presence of external gas flow. International conference

    Vladislav Gamaleev, Nikolai Britun, Masaru Hori

    73th Annual Gaseous Electronics Virtual Conference 

     More details

    Event date: 2020.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Virtual Conference  

  382. Interactions of floating-wire-assisted atmospheric-pressure H2/Ar plasma with SnO2 film on glass substrate forming spherical Sn particles International conference

    Thi-Thuy-Nga Nguyen, Minoru Sasaki, Hidefumi Odaka, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori

    73th Annual Gaseous Electronics Virtual Conference 

     More details

    Event date: 2020.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Virtual Conference  

  383. Apoptosis-inducing activity through caspase pathway in melanoma cells treated by radical-activated lactate Ringer's solution International conference

    Yuki Hori, Tomiyasu Murata, Hiromasa Tanaka, Masaru Hori, Masafumi Ito

    73th Annual Gaseous Electronics Virtual Conference 

     More details

    Event date: 2020.10

    Language:English   Presentation type:Poster presentation  

    Venue:Virtual Conference  

  384. Plant growth promotion using radical-activated L-alanine solution International conference

    Ginji Ito, Naoyuki Iwata, Hiroshi Hashizume, Masaru Hori, Masafumi Ito

    73th Annual Gaseous Electronics Virtual Conference 

     More details

    Event date: 2020.10

    Language:English   Presentation type:Poster presentation  

    Venue:Virtual Conference  

  385. Structure control of self-supporting graphene nanowalls synthesized by plasma enhanced chemical vapor deposition International conference

    Keigo Takeda, Motoaki Ishikawa, Mineo Hiramatsu, Hiroki Kondo, Masaru Hori

    73th Annual Gaseous Electronics Virtual Conference 

     More details

    Event date: 2020.10

    Language:English   Presentation type:Poster presentation  

    Venue:Virtual Conference  

  386. Plasma medicine toward a future medical care Invited International conference

    Masaru Hori

    The 46th International Congress on Science, Technology and Technology-based Innovation 

     More details

    Event date: 2020.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Ramkhamhaeng University, Thailand, Bangkok  

  387. 低温プラズマ科学が拓く未来社会 Invited

    堀 勝

    第140回 八大学工学関連研究科長等会議 

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:オンライン  

  388. 最先端大規模集積回路における原子層プラズマエッチング に関する研究 Invited

    堀 勝、関根 誠、石川健治

    第84回半導体・集積回路技術シンポジ ウム 

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:オンライン   Country:Japan  

  389. Future Technologies Opened by Low-temperature Plasma Sciences Invited International conference

    Masaru Hori

    第11回エネルギー理工学研究所国際シンポジウム(The 11th International Symposium of Advanced Energy Science) 

     More details

    Event date: 2020.9

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:オンライン開催  

  390. 酒米品種イネ栽培における低温プラズマ処理の品質への効果

    橋爪 博司、北野 英己、水野 寛子、阿部 明子、湯浅 元気、東野 里江、田中 宏昌、石川 健治、松本 省吾、榊原 均、仁川 進、前島 正義、水野 正明、堀 勝

    第81回応用物理学会秋季学術講演会 

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  391. Influence of temperature on etch rate of PECVD-SiN films with CF4/H2 plasma

    Shihnan Hsiao、Kazuya Nakane、Takayoshi Tsutsumi、Kenji Ishikawa、Makoto Sekine、Masaru Hori

    第81回応用物理学会秋季学術講演会 

     More details

    Event date: 2020.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  392. 様々なプラズマ活性溶液による細胞死の作用機序 Invited

    田中 宏昌、堀 勝

    第81回応用物理学会秋季学術講演会 

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:オンライン   Country:Japan  

  393. ラジカル活性乳酸リンゲル液で処理されたメラノーマ細胞におけるカスパーゼ依存性アポトーシス

    堀 侑己、村田 富保、田中 宏昌、堀 勝、伊藤 昌文

    第81回応用物理学会秋季学術講演会 

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  394. 表面支援レーザー脱離/イオン化質量分析法に向けたカーボンナノウォールの壁間隔依存性

    酒井 流星、近藤 博基、石川 健治、清水 尚博、太田 貴之、平松 美根男、堀 勝

    第81回応用物理学会秋季学術講演会 

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  395. カーボンナノウォールの局所電気伝導特性の解明

    尾崎 敦士、近藤 博基、石川 健治、堤 隆嘉、平松 美根男、関根 誠、堀 勝

    第81回応用物理学会秋季学術講演会 

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  396. ラジカル活性アミノ酸溶液の植物成長促進効果の分析

    岩田 直幸、伊藤 銀二、橋爪 博司、石川 健治、伊藤 昌文、堀 勝

    第81回応用物理学会秋季学術講演会 

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  397. ラジカル活性アミノ酸溶液を用いた植物成長促進のベンゼン環の重要性

    伊藤 銀二、岩田 直幸、橋爪 博司、堀 勝、伊藤 昌文

    第81回応用物理学会秋季学術講演会 

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  398. 液中プラズマを用いたナノグラフェン合成における活性種の効

    近藤 博基、濱地 遼、堤 隆嘉、石川 健治、関根 誠、堀 勝

    第81回応用物理学会秋季学術講演会 

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  399. 大気圧非平衡プラズマを用いた糖鎖機能理解の深化とその利用

    池原 早苗、秋元 義弘、山口 高志、榊田 創、堀 勝、池原 譲

    第81回応用物理学会秋季学術講演会 

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  400. 高アスペクトホールエッチングにおけるstriationの発生メカニズム Invited

    大村 光広、橋本 惇一、足立 昂拓、近藤 祐介、石川 勝朗、阿部 淳子、酒井 伊都子、林 久貴、関根 誠、堀 勝

    第81回応用物理学会秋季学術講演会 

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:オンライン   Country:Japan  

  401. プラズマ活性乳酸処理グリオーマのメタボロミクス解析(2)

    石川 健治、田中 宏昌、橋爪 博司、ミロン カメリア、蒋 麗、豊國 伸哉、中村 香江、梶山 広明、吉川 史隆、水野 正明、堀 勝

    第81回応用物理学会秋季学術講演会 

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  402. Analysis of Ion Energy Dependence of Depth Profile of GaN by In-situ Surface Analysis International conference

    Masaki Hasagawa‚ Takayoshi Tsutsumi, Atsushi Tanide‚ Shohei Nakamura, Hiroki Kondo‚ Kenji Ishikawa‚ Masaru Hori

    20th International Conference on Atomic Layer Deposition 

     More details

    Event date: 2020.6 - 2020.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Virtual Meeting  

  403. 最先端電子デバイスプロセスを拓く原子層エッチングプロセス技術の最前線 Invited

    堀 勝、関根 誠

    電気化学会第87回大会  

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:名古屋工業大学   Country:Japan  

  404. 水素プラズマによる欠陥終端処理後のa-C:H膜に対する 表面増強ラマン分光法による分析

    古橋 未悠、野老山 貴行、大橋 靖之、近藤 博基、上坂 裕之、中島 悠也、古木 辰也、石川 健治、堀 勝、梅原 徳次

    2020年第67回応用物理学会春季学術講演会 

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:上智大学 四谷キャンパス   Country:Japan  

  405. プラズマ活性溶液による細胞死の作用機序 Invited

    田中 宏昌、堀 勝

    2020年第67回応用物理学会春季学術講演会 

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:上智大学 四谷キャンパス   Country:Japan  

  406. プラズマプロセス中の基板温度分布の経時変化の解析

    堤 隆嘉、石川 健治、近藤 博基、関根 誠、堀 勝

    2020年第67回応用物理学会春季学術講演会 

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:上智大学 四谷キャンパス   Country:Japan  

  407. プラズマ表面処理が自己集積化膜の分子配向に及ぼす影 響

    織田 祥成、堤 隆嘉、石川 健治、堀 勝

    2020年第67回応用物理学会春季学術講演会 

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:上智大学 四谷キャンパス   Country:Japan  

  408. 極低温領域におけるCHF3ガス凝縮層を用いたエッチン グプロセスの研究

    羽澄 匡広, スガンサマラー セルヴァラジ, 蕭 世男, 関根 誠, 林 久貴, 佐々木 俊行, 阿部 知央, 堤 隆嘉, 石川 健治, 堀 勝

    2020年第67回応用物理学会春季学術講演会 

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:上智大学 四谷キャンパス   Country:Japan  

  409. 計算科学による新規エッチングガスの探索

    林 俊雄、関根 誠、石川 健治、堀 勝

    2020年第67回応用物理学会春季学術講演会 

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:上智大学 四谷キャンパス   Country:Japan  

  410. プラズマ誘起欠陥の発生と修復 ~Arイオン照射の効果~

    布村 正太、中根 一也、堤 隆嘉、松原 浩司、堀 勝

    2020年第67回応用物理学会春季学術講演会 

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:上智大学 四谷キャンパス   Country:Japan  

  411. 液中プラズマ表面改質六方晶BN微粒子の ESR測定

    伊藤 剛仁, 後藤 拓, 井上 健一, 石川 健治, 近藤 博基, 堀 勝, 清水 禎樹, 伯田 幸也, 寺嶋 和夫

    2020年第67回応用物理学会春季学術講演会 

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:上智大学 四谷キャンパス   Country:Japan  

  412. 液中プラズマを用いたナノグラフェン合成における照射 時間依存性[Ⅰ]

    濱地 遼、近藤 博基、堤 隆嘉、石川 健治、関根 誠、堀 勝

    2020年第67回応用物理学会春季学術講演会 

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:上智大学 四谷キャンパス   Country:Japan  

  413. 液中プラズマを用いたナノグラフェン合成における照射 時間依存性 [II]

    近藤 博基、濱地 遼、 堤 隆嘉,、石川 健治、関根 誠、堀 勝

    2020年第67回応用物理学会春季学術講演会 

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:上智大学 四谷キャンパス   Country:Japan  

  414. 環境大気グロープラズマ活性化フェニルアラニンを使用 したFusarium oxysporumの不活性化

    出口 貴大, 岩田 直幸, ウラディス ガマリェエフ, 橋爪 博司, 志水 元亨, 加藤 雅士, 堀 勝, 伊藤 昌文

    2020年第67回応用物理学会春季学術講演会 

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:上智大学 四谷キャンパス   Country:Japan  

  415. ラジカル活性リン酸緩衝液による植物成長促進

    伊藤 銀二、岩田 直幸、橋爪 博司、堀 勝、伊藤 昌文

    2020年第67回応用物理学会春季学術講演会 

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:上智大学 四谷キャンパス   Country:Japan  

  416. プラズマ照射したカイワレ種子の吸水の時間推移評価

    石川 健治、Pankaj Attri、奥村 賢直、古閑 一憲、有田 涼、佐藤 僚哉、田中 颯、廣松 真弥、松尾 かよ、 山下 大輔、 鎌瀧 晋礼、板垣 奈穂、堀 勝、白谷 正治

    2020年第67回応用物理学会春季学術講演会 

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:上智大学 四谷キャンパス   Country:Japan  

  417. イネ圃場における定期的な低温プラズマ処理による生育 や収穫に対する検討 (III)

    橋爪 博司、北野 英己、水野 寛子、阿部 明子、湯浅 元気、東野 里江、田中 宏昌、石川 健治、松本 省吾、榊原 均、仁川 進、前島 正義、水野 正明、堀 勝

    2020年第67回応用物理学会春季学術講演会 

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:上智大学 四谷キャンパス   Country:Japan  

  418. 大気圧酸素ラジカル源によって照射された液中における 活性種の輸送経路

    飯尾 望、岩田 直幸、川崎 敏之、堀 勝、伊藤 昌文

    2020年第67回応用物理学会春季学術講演会 

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:上智大学 四谷キャンパス   Country:Japan  

  419. ラジカル活性フェニルアラニンを用いた殺菌でのベンゼ ン環の重要性

    岩田 直幸、伊藤 銀二、橋爪 博司、呉 準席、堀 勝、伊藤 昌文

    2020年第67回応用物理学会春季学術講演会 

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:上智大学 四谷キャンパス   Country:Japan  

  420. 酸化窒素ラジカル活性乳酸リンゲル液のメラノーマ細胞 に対する選択的不活性効果

    堀 侑己、村田 富保、 田中 宏昌、堀 勝、伊藤 昌文

    2020年第67回応用物理学会春季学術講演会 

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:上智大学 四谷キャンパス   Country:Japan  

  421. メラノーマ細胞に対するラジカル活性培養液のストレス 応答MAPK活性誘導

    小川 和馬、堀 侑己、田中 美紀、村田 富保、田中 宏昌、堀 勝、伊藤 昌文

    2020年第67回応用物理学会春季学術講演会 

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:上智大学 四谷キャンパス   Country:Japan  

  422. Arイオン照射窒化ガリウム表面の塩素吸着層のイオンエ ネルギー依存性 (2) Invited

    長谷川 将希、堤 隆嘉、谷出 敦、近藤 博基、関根 誠、石川 健治、堀 勝

    2020年第67回応用物理学会春季学術講演会 

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:上智大学 四谷キャンパス   Country:Japan  

  423. Enhancement of Bactericidal Efficacy in Phenylalanine Solution Using LowCurrent Arc Discharge International conference

    Naoyuki Iwata, Vladislav Gamaleev, Hiroshi Hashizume, Masaru Hori and Masafumi Ito

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya   Country:Japan  

  424. Contribution of Reactive Oxygen Species to Bactericidal Efficacy of Radical-Activated Water International conference

    Shoma Ito, Naoyuki Iwata, Masaru Hori and Masafumi Ito

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  425. Dynamic Morphological Change of Lipid Bilayer Induced by Indirect Plasma Irradiation International conference

    Hiroki Kondo, Takuya Tonami, Sotaro Yamaoka, Hiromasa Tanaka, Kenji Ishikawa, Makoto Sekine, Masafumi Ito and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  426. Effect of Plasma Treatment in Paddy Field on Growth and Yield of Rice Plants International conference

    Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  427. Enhancement of Intracellular Lipids Accumulation in Plasma-Treated Coccomyxa sp. International conference

    Takumi Kato, Hiromasa Tanaka, Hiroshi Hashizume, Kenji Ishikawa and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  428. Variation of Hydrogen Peroxide Concentration in Low-Current-Arc Plasma Irradiated Phosphate-Buffered Solution International conference

    Takahiro Deguchi, Naoyuki Iwata, Gamaleev Vladislav, Hiroshi Hashizume, Motoyuki Shimizu, Masashi Kato, Masaru Hori and Masafumi Ito

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  429. Non-Equilibrium Atmospheric Pressure Plasma-Activated Organic Solutions International conference

    Yang Liu, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Fumitaka Kikkawa, Kae Nakamura, Masaaki Mizuno, Shinya Toyokuni and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya   Country:Japan  

  430. Termination of Dangling Bonds in Amorphous Carbon Films by Hydrogen Atoms International conference

    Yasuyuki Ohashi, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya   Country:Japan  

  431. Effect of Non-Equilibrium Atmospheric Pressure Plasma on Alcohol Fermentation of Budding Yeast International conference

    Shogo Matsumura, Hiromasa Tanaka, Hiroshi Hashizume, Kenji Ishikawa, Masafumi Ito and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  432. Fluctuation of Local Electrical Conductivity in Carbon Nanowalls Observed by Conductive Atomic Force Microscopy International conference

    Atsushi Ozaki, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  433. Ionization Enhancement Using CNWs in Laser Desorption / Ionization Mass Spectrometry International conference

    Ryusei Sakai, 1Hiroki Kondo, 1Kenji Ishikawa, 2Takayuki Ohta, 2Mineo Hiramatsu, 1Makoto Sekine and 1Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya   Country:Japan  

  434. Effect of Chamber Pressure on the Crystal Quality of InN Growth by Radical-Enhanced Metalorganic Chemical Vapor Deposition (REMOCVD) International conference

    Frank Wilson Amalraj, Naohiro Shimizu, Osamu Oda, Hiroki Kondo, Kenji Ishikawa and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya   Country:Japan  

  435. In-Liquid Plasma Synthesis of Iron-Nitrogen-Doped Carbon Nanoflakes with Highly Catalytic Activity International conference

    Ryo Hamaji, Tomoki Amano, Hiroki Kondo, Takayoshi Tsutsumi, 1Kenji Ishikawa, Makoto Sekine, Keigo Takeda, Mineo Hiramatsu and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya   Country:Japan  

  436. Generation of Repulsing Glow Discharge in Centimeter Order Air Gaps International conference

    Vladislav Gamaleev, Takayoshi Tsutsumi and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  437. Self-Absorbing Effect of Micro-Discharge Hollow Cathode Plasma as Light Source for Vacuum Ultraviolet Absorption Spectroscopy International conference

    Sho Shimizu, Keigo Takeda, Takayoshi Tsutsumi, Mineo Hiramatsu and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  438. Measurement of Spatial Distribution of Absolute Hydrogen Radical Density in Non Equilibrium Atmospheric Pressure Plasma by Vacuum Ultraviolet Absorption Spectroscopy International conference

    Kaede Katsuno, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  439. Influence of Temperature on Etch Rate of SiN Films with CF4/H2 Plasma International conference

    Shin-Nan Hsiao, Kazuya Nakane, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  440. Synthesis of Copper Nanoparticles Using Gas-Liquid Interface Plasma International conference

    Takayuki Ohta, Akira Ito and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  441. Etching Process Using CHF3 Gas Condensed Layer in Cryogenic Region International conference

    Masahiro Hazumi, Suganthamalar Selvaraj, Shih-Nan Hsiao, Chihiro Abe, Toshiyuki Sasaki, Hisataka Hayashi, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  442. Formation of Spherical Sn Particles from SnO2 Film by Atmospheric-Pressure Plasma International conference

    Thi-Thuy-Nga Nguyen, Minoru Sasaki, Hidefumi Odaka, Takayoshi Tsutsumi, Kenji Ishikawa and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  443. Effect of Lactate Activated with Nitrogen and Oxygen Radicals in Inactivation of Melanoma Cells International conference

    Yuki Hori, Tomiyasu Murata, Masaru Hori and Masafumi Ito

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  444. Effects of Fenton Reaction and pH on Fluidity of Supported Lipid Bilayer International conference

    Nozomi Iio, Ryugo Tero, Masaru Hori and Masafumi Ito

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  445. Plant-Growth Promotion Using Radical-Activated Tyrosine Solution International conference

    Ginji Ito, Naoyuki Iwata, Hiroshi Hashizume, Masaru Hori and Masafumi Ito

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  446. Selective Anti-Cell Proliferation Effect of Radical-Activataed Medium International conference

    Kazuma Ogawa, Miki Tanaka, Takahito Mizuno, Kaede Hashimoto, Tomiyasu Murata, Masaru Hori and Masafumi Ito

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  447. Plasma-Activated Medium Induced Oxidative Stress-Dependent Cell Death on Glioblastoma Cells International conference

    Masaru Hori, Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Kae Nakamura, Nobuhisa Yoshikawa, Hiroaki Kajiyama, Yasumasa Okazaki, Shinya Toyokuni and Fumitaka Kikkawa

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  448. Activation of Glucoamylase Using Aspergillus Oryzae Spores Exposed to Oxygen Radicals International conference

    Takuya Goto, Motoyuki Shimizu, Masashi Kato, Hiroshi Hashizume, Masaru Hori and Masafumi Ito

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  449. Molecular Structure Analysis on Surface of Glucose Film Using Sum Frequency Generation Spectroscopy International conference

    Yuta Yoshida, Takayuki Ohta, Kenji Ishikawa and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  450. Extracellular Flux Analysis of Mitochondrial Stress on HeLa Cells in Plasma Activated Ringer's Lactate Solution(PAL) International conference

    Shogo Maeda, Hiromasa Tanaka, Kae Nakamura, Hiroshi Hashizume, Kenji Ishikawa, Kinji Ohno, Mikako Ito, Masaaki Mizuno, Fumitaka Kikkawa and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  451. Control of growth, etching processes of graphene materials and their applications. Invited International conference

    Masaru Hori

    Gaseous Electronics Symposium3 

     More details

    Event date: 2020.2

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Rogla   Country:Slovenia  

  452. Effect of multiphase plasma irradiation on alcohols for functional nanographene materials Invited International conference

    Hiroki Kondo and Masaru Hori

    Gaseous Electronics Symposium3 

     More details

    Event date: 2020.2

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Rogla   Country:Slovenia  

  453. 低温プラズマ科学による産業およびバイオイノベーション Invited

    堀 勝

    システムナノ技術に関する特別研究専門委員会 第3回研究会 

     More details

    Event date: 2020.1

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:東京理科大学   Country:Japan  

  454. Agricultural and biorefinery applications using atmospheric-pressure plasmas Invited International conference

    Masafumi Ito, Naoyuki Iwata, Vladislav Gamaleeve, Moyotoyuki Shimizu, Masashi Kato, Masaru Hori

    The 1st International Symposium on Applied Plasma Science and Engineering for Agro and Bio Industry together with The 6th Workshop on Applied Plasma and Engineering (APSE2020) 

     More details

    Event date: 2020.1 - 2020.2

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:Chiang Mai Thailand   Country:Thailand  

  455. In-plane distribution of electrical conductivity of carbon nanowalls perpendicular to substrate measured by conductive atomic force microscopy International conference

    Atsushi Ozaki, Hiroki Kondo, Takayoshi Tsutsumi, Makoto Sekine, Masaru Hori, Mineo Hiramatsu

    7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020 4th International Symposium on Energy Research and Application 

     More details

    Event date: 2020.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Gyeong Gi-do, Korea   Country:Korea, Republic of  

  456. Improvement of wool surface charging properties by plasma surface modification process International conference

    T. Moriyama, T. Tsutsumi, H. Kondo, M. Sekine, K. Ishikawa, and M. Hori

    7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020 4th International Symposium on Energy Research and Application 

     More details

    Event date: 2020.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Gyeong Gi-do, Korea   Country:Korea, Republic of  

  457. 工学を生きる Invited

    堀 勝

    東京エレクトロン(株)社外見識者による講演会”Visionary Talk2019" 

     More details

    Event date: 2019.12

    Language:Japanese   Presentation type:Oral presentation (keynote)  

    Country:Japan  

  458. Mitochondrial dysfunction in melanoma cells treated with radical-activated medium International conference

    Kazuma Ogawa, Takahito Mizuno, Kaede Hashimoto, Tomiyasu Murata, Masaru Hori and Masafumi Ito

    The 11th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-11) 

     More details

    Event date: 2019.12

    Language:English   Presentation type:Poster presentation  

    Venue:kanazawa, Japan   Country:Japan  

  459. Time evolution of cell viability on melanoma cells treated with nitrogen-oxygen-radical-activated lactate ringer's solution International conference

    Yuki Hori, Tomiyasu Murata2, Masaru Hori and Masafumi Ito

    The 11th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-11)  

     More details

    Event date: 2019.12

    Language:English   Presentation type:Poster presentation  

    Venue:kanazawa, Japan   Country:Japan  

  460. Plant-growth promotion using radical-activated phosphate-buffered solution containing tryptophan International conference

    Ginji Ito, Nayoyuki Iwata, Hiroshi Hashizume, Masaru Hori, Masafumi Ito

    The 11th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-11)  

     More details

    Event date: 2019.12

    Language:English   Presentation type:Poster presentation  

    Venue:kanazawa, Japan   Country:Japan  

  461. Inactivation of Fusarium oxysporum using arc plasma-activated Phenylalanine International conference

    Takahiro Deguchi, Naoyuki Iwata, Gamaleev Vladislav, Hiroshi Hashizume, Motoyuki Shimizu, Masashi Kato, Masaru Hori,and Masafumi Ito

    The 11th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-11) 

     More details

    Event date: 2019.12

    Language:English   Presentation type:Poster presentation  

    Venue:kanazawa, Japan   Country:Japan  

  462. Molecular structure analysis on surface of glucose film using sum frequency generation spectroscopy International conference

    Yuta Yoshida, Takayuki Ohta, Kenji Ishikawa, Masaru Hori

    The 11th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-11) 

     More details

    Event date: 2019.12

    Language:English   Presentation type:Poster presentation  

    Venue:kanazawa, Japan   Country:Japan  

  463. Nano-scale pattern formation of organic material with precisely wafer-temperature-controlled plasma etch system International conference

    Yusuke Fukunaga, Makoto Sekine, Takayoshi Tsutsumi, Hiroki Kondo, Kenji Ishikawa, and Masaru Hori

    The 11th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-11) 

     More details

    Event date: 2019.12

    Language:English   Presentation type:Poster presentation  

    Venue:kanazawa, Japan   Country:Japan  

  464. Non-thermal plasma as a powerful tool to synthesize bio-effective materials in solutions International conference

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Hiroki Kondo, Hiroshi Hashizume, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Nobuhisa Yoshikawa, Hiroaki Kajiyama, Fumitaka Kikkawa, and Masaru Hori

    MATERIALS RESEARCH MEETING 2019 

     More details

    Event date: 2019.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama, Japan   Country:Japan  

  465. Atomic hydrogen exposures of radical-injection CH4/H2 plasma-enhanced chemical vapor deposited amorphous carbon films International conference

    Yasuyuki Ohashi, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Masaru Hori

    MATERIALS RESEARCH MEETING 2019 

     More details

    Event date: 2019.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama, Japan   Country:Japan  

  466. Synthesis of Carbon Nanostrucures using Microwave-Exited Atmospheric Pressure Plasma Invited International conference

    M. Hiramatsu, K. Miyashita, T. Oyama, K. Takeda, H. Kondo, M. Hori

    MATERIALS RESEARCH MEETING 2019 

     More details

    Event date: 2019.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Yokohama, Japan   Country:Japan  

  467. Changes of resistive elements during degradation of carbon nanowalls electrodes for fuel cell synthesized employing a CH4/H2 mixture gas plasma Invited International conference

    Hiroki Kondo, Shun Imai, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

    MATERIALS RESEARCH MEETING 2019 

     More details

    Event date: 2019.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Yokohama, Japan   Country:Japan  

  468. Growth Promotion Effect of Oxygen-Radical-Treated Phenylalanine Solutions International conference

    Naoyuki Iwata, Vladislav Gamaleev, Hashizume Hashizume, Jun-Seok Oh, Takayuki Ohta1, Kenji Ishikawa, Masaru Hori, and Masafumi Ito

    MATERIALS RESEARCH MEETING 2019 

     More details

    Event date: 2019.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama, Japan   Country:Japan  

  469. Modification of lipid metabolism in Coccomyxa sp. treated by non-equilibrium atmosphere pressure plasma International conference

    Takumi Kato, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    MATERIALS RESEARCH MEETING 2019 

     More details

    Event date: 2019.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama, Japan   Country:Japan  

  470. Synthesis and crystallinity of nanographene using in-liquid plasma of ethanol International conference

    Ryo Hamaji, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Keigo Takeda, Mineo Hiramatsu, and Masaru Hori

    MATERIALS RESEARCH MEETING 2019 

     More details

    Event date: 2019.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama, Japan   Country:Japan  

  471. Advanced Plasma Processes Enabling Green and Life Innovations Invited International conference

    Masaru Hori

    Seminar at The Jožef Stefan Institute 

     More details

    Event date: 2019.12

    Language:English   Presentation type:Public lecture, seminar, tutorial, course, or other speech  

    Venue:Ljubljana, Slovenia   Country:Slovenia  

  472. In-situ analyses of GaN surfaces irradiated by a Cl2 plasma for atomic layer etching

    Masaki Hasegawa, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Hiroki Kondo, Atsushi Tanide, and Masaru Hori

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  473. Advances in plasma science for materials International conference

    Masaharu Shiratani, Masaru Hori, Toshiro Kaneko

     More details

    Event date: 2019.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  474. Activation of α-amylase using A. oryzae Spores Exposed to Oxygen Radicals International conference

    T. Goto, M. Shimizu, M. Kato, H. Hashizume, M. Hori and M. Ito

    29th Annual Meeting of MRS-Japan 2019 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  475. Molecular Structures on lipid bilayer probed by sum frequency generation spectroscopy International conference

    Y. Yoshida, T. Ohta, K. Ishikawa, M. Hori

    29th Annual Meeting of MRS-Japan 2019 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  476. Effect of Fenton Reaction on Supported Lipid Bilayer International conference

    N. Iio, N. Iwata, M. Hori, and M. Ito

    29th Annual Meeting of MRS-Japan 2019 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  477. Surface functionalization of wool fabrics using plasma process International conference

    T. Moriyama, T. Tsutsumi, H. Kondo, M. Sekine, K. Ishikawa, and M. Hori

    29th Annual Meeting of MRS-Japan 2019 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  478. Growth Promotion Effect of Oxygen-Radical-Treated Amino Acids Solutions International conference

    N. Iwata, G. Ito, V. Gamaleeve, H. Hashizume, J.-S. Oh, T. Ohta, K. Ishikawa, M. Hori, and M. Ito

    29th Annual Meeting of MRS-Japan 2019 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  479. Mitochondrial stress induced by plasma activated Ringer’s lactate solution on HeLa cells revealed through extracellular flux analysis. International conference

    M. Maeda, H. Tanaka, K. Nakamura, H. Hashizume, K. Ishikawa, M. Mizuno, F. Kikkawa and M. Hori

    29th Annual Meeting of MRS-Japan 2019 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  480. Modulation of dispersion stability for serum albumin by through the plasma treatment Invited International conference

    S. Ikehara, Y. Akimoto, T. Yamaguchi, H. Sakakita, M. Hori, and Y. Ikehara

    29th Annual Meeting of MRS-Japan 2019 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (keynote)  

    Country:Japan  

  481. Plasma cancer treatments in the current plasma medical science Invited International conference

    H. Tanaka, M. Mizuno, K. Ishikawa, S. Toyokuni, H. Kajiyama, F. Kikkawa and M. Hori

    29th Annual Meeting of MRS-Japan 2019 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  482. Effects of nitrogen-radical addition on viability of melanoma cells in oxygen-radical activated medium International conference

    K. Ogawa, T. Mizuno, K. Hashimoto, T. Murata, M. Hori and M. Ito

    29th Annual Meeting of MRS-Japan 2019 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  483. Plant growth promotion using radical-treated phenylalanine solution International conference

    G. Ito , N. Iwata , H. Hashizume, M. Hori, and M. Ito

    29th Annual Meeting of MRS-Japan 2019 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  484. Time-resolved diagnosis of afterglow phase in synchro-bias of negative direct current on pulsed plasmas International conference

    K. Nakane, T. Tsutsumi, S.-N. Hsiao, K. Ishikawa, M. Sekine, T. Gohira, Y. Ohya, M. Hori

    The 41st International Symposium on Dry Process (DPS2019) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hiroshima, Japan   Country:Japan  

  485. ALE of SiC by Plasma Modification and F-radical Etching Studied by in-situ Surface Spectroscopy International conference

    R. H. J. Vervuurt, K. Nakane, T. Tsutsumi, M. Hori, N. Kobayashi

    The 41st International Symposium on Dry Process (DPS2019) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hiroshima, Japan   Country:Japan  

  486. Refinement of roughness and damages of GaN in chlorine plasma etching at high substrate temperature using two-steps at different bias voltages International conference

    A. Tanide, S. Nakamura, T. Kimura, K. Kinose, S. Nadahara, K. Ishikawa, O. Oda, M. Hori

    The 41st International Symposium on Dry Process (DPS2019) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Poster presentation  

    Venue:Hiroshima, Japan   Country:Japan  

  487. Etching characteristics of silicon nitride film in plasma of fluoroethane (CH2FCHF2), Ar, and O2 mixture International conference

    J. Ni, S.-N. Hsiao, T. Hayashi, K. Ishikawa, T. Tsutsumi, M. Sekine, M. Hori

    The 41st International Symposium on Dry Process (DPS2019) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Poster presentation  

    Venue:Hiroshima, Japan   Country:Japan  

  488. Jahn-Teller effect and dissociative properties of CHF3 obtained using computational chemistry International conference

    T. Hayashi, M. Sekine, K. Ishikawa, M. Hori

    The 41st International Symposium on Dry Process (DPS2019) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Poster presentation  

    Venue:Hiroshima, Japan   Country:Japan  

  489. Suppression of etch pit formation in GaN etching using H2-added Cl2 plasma at 400°C International conference

    T. Omichi, A. Tanide, K. Ishikawa, T. Tsutsumi, H. Kondo, M. Sekine, M. Hor

    The 41st International Symposium on Dry Process (DPS2019) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Poster presentation  

    Venue:Hiroshima, Japan   Country:Japan  

  490. Effects of functional groups in raw material molecules on synthesis rate and structures of nanographene materials synthesized by in-liquid plasma using alcohols International conference

    H. Kondo, A. Ando, T. Tsutsumi, K. Takeda, T. Ohta, K. Ishikawa, M. Sekine, M. Ito, M. Hiramatsu, M. Hori

    The 41st International Symposium on Dry Process (DPS2019) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Poster presentation  

    Venue:Hiroshima, Japan   Country:Japan  

  491. 液中プラズマ法でのナノグラフェン合成における超音波の効果

    長谷川健太、近藤博基、堀勝、安田啓司

    2019年度 第28回 ソノケミストリー討論会 

     More details

    Event date: 2019.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東北大学 青葉山東キャンパス   Country:Japan  

  492. PN-3DGN-Plasma Nanoscience for 3D Graphene Network and Its Applications to Industry and Medicine Invited International conference

    Masaru Hori and Mineo Hiramatsu

    International Conference on Nanoscience and Materials World 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:Barcelona, Spain   Country:Spain  

  493. Effects of Plasma Treatment on Plant Cultivation Invited International conference

    H. Hashizume, H. Kitano, S.Mitsumoto, G. Yuasa, S. Tohno, M.Kojima, Y. Takebayashi, H. Mizuno, S. Kinoshita, K. Tsubota, H.Tanaka, K. Ishikawa, M.Ito, H. Sakakibara, M. Maeshima, T. Okuma, S.Nikawa, M. Mizuno, and M. Hori

    14th Asian Pacific Physics conference 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Sarawak, Malaysia   Country:Malaysia  

  494. 自動車、医療/バイオ産業を変革する 大気圧プラズマ新技術とその活用

    堀 勝

    日経 XTECH ラーニング 

     More details

    Event date: 2019.11

    Language:Japanese   Presentation type:Public lecture, seminar, tutorial, course, or other speech  

    Venue:愛知 ホテル ルブラ王山   Country:Japan  

  495. プラズマ誘起液相ラジカル連鎖反応 Invited

    石川健治、Geyong s. Hwang 、林俊雄、堀勝、白谷正治

    第35回 九州・山口プラズマ研究会 

     More details

    Event date: 2019.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:長崎 対馬市交流センター 第3会議室   Country:Japan  

  496. 殺菌と生長促進が可能なプラズマ活性溶液の開発 Invited

    伊藤昌文,岩田直幸,橋爪博司, 呉準席,堀勝

    第35回 九州・山口プラズマ研究会 

     More details

    Event date: 2019.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:長崎 対馬市交流センター 第3会議室   Country:Japan  

  497. Improvement of growth and yield of rice plants with plasma treatment International conference

    Hiroshi Hashizume, Hidemi Kitano, Satoru Kinoshita, Genki Yuasa, Satoe Tohno, Mikiko Kojima, Yumiko Takebayashi, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, Masaru Hori

    3rd Asia Pacific Conference on Plasma  

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Hefei, China   Country:China  

  498. Electrical, optical, and physicochemical behaviors of atmospheric pressure plasma jet generated in open air Invited International conference

    Keigo Takeda, Kenji Ishikawa, Takayuki Tsutsumi, Hiroki Kondo, Makoto Sekine, Masaru Hori

    3rd Asia Pacific Conference on Plasma (AAPPA-DPP2019) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Hefei, China   Country:China  

  499. Low-temperature Plasmas Science Enabling Future Innovations from Material/Devices to Medicine and Agriculture International conference

    Masaru Hori

    Taiwan National Tsing Hua University 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Public lecture, seminar, tutorial, course, or other speech  

    Venue:Taiwan National Tsing Hua University   Country:Taiwan, Province of China  

  500. Challenge of Plasma Sciences towards Green Innovations Invited International conference

    Masaru Hori

    Lecture at National Synchrotron Radiation Research Center  

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Taiwan National Synchrotron Radiation Research Center   Country:Japan  

  501. Low-temperature Plasma Science Open Multidisciplinary Applications for a Better and More Sustainable Future International conference

    Masaru Hori

    Taiwan vacuum Society (TVS-2019) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Taiwan, Province of China  

  502. Spectroscopic diagnostics of microwave excited atmospheric pressure Ar plasma jet in open air International conference

    Keigo Takeda, Mineo Hiramatsu, Kenji Ishikawa, Masaru Hori

    The 72nd Annual Gaseous Electronics Conference 

     More details

    Event date: 2019.10 - 2019.11

    Language:English   Presentation type:Poster presentation  

    Venue:Texas,USA   Country:United States  

  503. Radical activated solutions with bactericidal and plant-growth effects International conference

    Masafumi Ito, Naoyuki Iwata, Vladislav Gamaleev, Hiroshi Hashizume, Jun-Seok Oh, Takayuki Ohta, Kenji Ishikawa, Masaru Hori

    The 72nd Annual Gaseous Electronics Conference 

     More details

    Event date: 2019.10 - 2019.11

    Language:English   Presentation type:Poster presentation  

    Venue:Texas,USA   Country:United States  

  504. High-aspect-ratio organic-pattern formation with self-limiting manner by controlling plasma process based on substrate temperature measurement. International conference

    Makoto Sekine,Yusuke Fukunaga,Takayoshi Tsutsumi,Kenji Ishikawa,Hiroki Kondo,Masaru Hori

    The 72nd Annual Gaseous Electronics Conference 

     More details

    Event date: 2019.10 - 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Texas,USA   Country:United States  

  505. Synthesis of few-layer graphene using microwave-exited atmospheric pressure plasma International conference

    Mineo Hiramatsu,Koki Miyashita,Taishu Oyama,Keigo Takeda,Hiroki Kondo,Masaru Hori

    The 72nd Annual Gaseous Electronics Conference 

     More details

    Event date: 2019.10 - 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Texas,USA   Country:United States  

  506. Effect of mixing alcohol source on synthesis of nanographene by in-liquid plasma International conference

    Hiroki Kondo, Atsushi Ando, Takayoshi Tsutsumi, Keigo Takeda, Takayuki Ohta, Kenji Ishikawa, Makoto Sekine, Masafumi Ito, Mineo Hiramatsu, Masaru Hori

    The 72nd Annual Gaseous Electronics Conference 

     More details

    Event date: 2019.10 - 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Texas,USA   Country:United States  

  507. 総括:プラズマによる生体・植物の制御技術と将来展望 Invited

    堀 勝

    (独)日本学術振興会 第153委員会 第142回研究会『医療と農業分野におけるプラズマ応用の新展開』 

     More details

    Event date: 2019.10

    Language:Japanese   Presentation type:Oral presentation (keynote)  

    Venue:パナソニックセンター東京   Country:Japan  

  508. Plasma Processing with Feedback Control of Wafer Temperature By Non-Contact Temperature Measurement System International conference

    T. Tsutsumi, H. Kondo, K. Ishikawa , K. Takeda, T. Ohta, M. Sekine, M. Ito, and M.

    236th ECS meeting 

     More details

    Event date: 2019.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:United States  

  509. Carbon Nanowalls Propelling Social Innovations Invited International conference

    Masaru Hori, Mineo Hiramatsu

    International Association of Advanced material (IAAM) Global Graphene Forum 

     More details

    Event date: 2019.10

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:Stockholm, Sweden   Country:Sweden  

  510. 低温プラズマ医療科学の最前線 Invited

    田中 宏昌、堀 勝

    大学共同利用機関法人自然科学研究機構核融合科学研究所と 国立大学法人名古屋大学低温プラズマ科学研究センターとの 連携・協力に関する協定書調印式・記念講演会  

     More details

    Event date: 2019.10

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:名古屋大学 減災連携研究センター1 階 減災ホール   Country:Japan  

  511. プラズマ誘起有機酸の前駆体が拓く超バイオ機能 Invited

    石川 健治、橋爪 博司、田中 宏昌、吉武 淳、柴田 貴広、小鹿 一、伊藤 昌文、古閑 一憲、白谷 正治、豊國 伸哉、吉川 史隆、水野 正明、堀 勝

    2019年 第80回応用物理学会秋季学術講演会 

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:北海道大学札幌キャンパス   Country:Japan  

  512. 酸化窒素ラジカル活性乳酸リンゲル液によるメラノーマ細胞の不活化

    堀 侑己、村田 富保、堀 勝、伊藤 昌文

    2019年 第80回応用物理学会秋季学術講演会 

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道大学札幌キャンパス   Country:Japan  

  513. ピコ秒レーザPLD法を用いたGaNトンネル接合コンタクトの形成

    児玉 和樹、小笠原 直、内藤 一樹、小田 修、堀 勝、上田 大助

    2019年 第80回応用物理学会秋季学術講演会 

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道大学札幌キャンパス   Country:Japan  

  514. プラズマ活性リンゲル液処理による細胞応答と抗腫瘍効果物質の解明

    須田 雄貴、石川 健治、田中 宏昌、橋爪 博司、吉川 史隆、水野 正明、堀 勝

    2019年 第80回応用物理学会秋季学術講演会 

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道大学札幌キャンパス   Country:Japan  

  515. 酸素ラジカル照射トリプトファン含有リン酸緩衝液溶液中の大腸菌殺菌効果

    岩田 直幸、ガマリェエフ ウラディスラフ、橋爪 博司、呉 準席、太田 貴之、石川 健治、堀 勝、伊藤 昌文

    2019年 第80回応用物理学会秋季学術講演会 

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道大学札幌キャンパス   Country:Japan  

  516. 酸素ラジカル処理フェニルアラニン溶液による支持脂質二重膜の側方拡散性への影響

    久米 寛、岩田 直幸、手老 龍吾、橋爪 博司、近藤 博基、堀 勝、伊藤 昌文

    2019年 第80回応用物理学会秋季学術講演会 

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道大学札幌キャンパス   Country:Japan  

  517. プラズマ活性乳酸リンゲル液(PAL)で培養したHeLa細胞の細胞代謝解析

    前田 昌吾、石川 健治、田中 宏昌、中村 香江、橋爪 博司、吉川 史隆、水野 正明、堀 勝

    2019年 第80回応用物理学会秋季学術講演会 

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道大学札幌キャンパス   Country:Japan  

  518. 非平衡大気圧Ar プラズマ源における放電形状の経時変化および水素ラジカル密度空間分布計測

    勝野 楓、堤 隆嘉、石川 健治、竹田 圭吾、橋爪 博司、田中 宏昌、近藤 博基、関根 誠、堀 勝

    2019年 第80回応用物理学会秋季学術講演会 

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道大学札幌キャンパス   Country:Japan  

  519. イネ圃場における定期的な低温プラズマ処理による生育や収穫に対する検討 (II)

    橋爪 博司、北野 英己、水野 寛子、湯浅 元気、東野 里江、田中 宏昌、石川 健治、松本 省吾、榊原 均、仁川 進、前島 正義、水野 正明、堀 勝

    2019年 第80回応用物理学会秋季学術講演会 

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道大学札幌キャンパス   Country:Japan  

  520. プラズマ処理によるイチゴ果実中の抗酸化物質量増加

    橋爪 博司、坪田 憲紀、松本 省吾、伊藤 昌文、湯浅 元気、東野 里江、田中 宏昌、石川 健治、北野 英己、榊原 均、仁川 進、前島 正義、水野 正明、大熊 隆之、堀 勝

    2019年 第80回応用物理学会秋季学術講演会 

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道大学札幌キャンパス   Country:Japan  

  521. プラズマ活性化乳酸リンゲル点滴 (PAL) によるイネ幼苗の成長促進効果

    橋爪 博司、北野 英己、水野 寛子、湯浅 元気、東野 里江、田中 宏昌、石川 健治、松本 省吾、榊原 均、仁川 進、前島 正義、水野 正明、堀 勝

    2019年 第80回応用物理学会秋季学術講演会 

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道大学札幌キャンパス   Country:Japan  

  522. Mechanism of Aqueous Reactions in Non-equilibrium Atmospheric Pressure Plasma-activated Lactec Solutions

    Yang Liu,Kenji Ishikawa,Hiroshi Hashizumi,Hiromasa Tanaka,Takayoshi Tsutsumi,Hiroki Kondo,Makoto Sekine,Masaru Hori

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  523. 自己吸収型マイクロ放電ホローカソード光源を用いた水素プラズマの真空紫外吸収分光計測

    清水 奨、竹田 圭吾、堤 隆嘉、平松 美根男、堀 勝

    2019年 第80回応用物理学会秋季学術講演会 

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道大学札幌キャンパス   Country:Japan  

  524. SiNx の ALE におけるフッ素ラジカルの In-situ エッチング反応解析

    中根 一也、ルネイ ヘリンカス ヨセフ フェーフィート、堤 隆嘉、小林 伸好、堀 勝

    2019年 第80回応用物理学会秋季学術講演会 

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道大学札幌キャンパス   Country:Japan  

  525. 酸素ラジカル照射支持脂質二重膜上の液相厚が膜内側方拡散係数に及ぼす影響

    飯尾 望、久米 寛、手老 龍吾、堀 勝、伊藤 昌文

    2019年 第80回応用物理学会秋季学術講演会 

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道大学札幌キャンパス   Country:Japan  

  526. ラジカル活性フェニルアラニン含有リン酸緩衝液を用いた植物生長促進

    伊藤 銀二、岩田 直幸、ウラディス ガマリェエフ、橋爪 博司、堀 勝、伊藤 昌文

    2019年 第80回応用物理学会秋季学術講演会 

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道大学札幌キャンパス   Country:Japan  

  527. Arイオン照射窒化ガリウム表面の塩素吸着層のイオンエネルギー依存性

    長谷川 将希、堤 隆嘉、近藤 博基、関根 誠、石川 健治、堀 勝

    2019年 第80回応用物理学会秋季学術講演会 

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道大学札幌キャンパス   Country:Japan  

  528. CH3Fの電子物性と解離

    林 俊雄、石川 健治、関根 誠、堀 勝

    2019年 第80回応用物理学会秋季学術講演会 

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道大学札幌キャンパス   Country:Japan  

  529. 和周波発生分光法を用いたグルコース膜表面の分子構造解析

    吉田 勇太、勝谷 稜也、太田 貴之、石川 健治、堀 勝

    2019年 第80回応用物理学会秋季学術講演会 

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:北海道大学札幌キャンパス   Country:Japan  

  530. Challenge of Plasma Nanoprocesses for Industry and Life Innovations Invited International conference

    M. Hori, K. Ishikawa, M. Sekine and M. Hiramatsu

    The 10th international conference on plasma nanoscience(iPlasmaNano X 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:Poreč Croatia   Country:Croatia  

  531. Synthesis of nano-materials using gas-liquid interfacial plasma Invited International conference

    Ohta, T. Saida , M. Hori

    The 10th international conference on plasma nanoscience(iPlasmaNano X 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Poreč Croatia   Country:Croatia  

  532. Highly-durable carbon nanowalls electrodes for fuel cell synthesized employing a C2F6/H2 mixture gas plasma International conference

    H. Kondo, S. Imai, T. Tsutsumi, K. Ishikawa, M.Sekine, M. Hiramatsu, M.Hori

    International Conference on Solid State Devices and Materials 2019 

     More details

    Event date: 2019.9

    Language:English  

    Venue:Nagoya, Aichi   Country:Japan  

  533. In-liquid plasma synthesis of iron-nitrogen-doped carbon nanosheets with highly electro- catalytic activity for fuel cell application International conference

    R. Hamaji, T. Amano, H. Kondo, T. Tsutsumi, K. Ishikawa, M. Sekine, K. Takeda, M. Hiramatsu, M. Hori

    International Conference on Solid State Devices and Materials 2019 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya, Aichi   Country:Japan  

  534. Leading Edge of Plasma Process Research Invited International conference

    Masaru Hori

    International Conference on Solid State Devices and Materials 2019 (Satellite Workshop Current Status and Future of Plasma Process) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Nagoya, Aichi   Country:Japan  

  535. Challenge of Low-temperature Plasma Sciences towards SDGs Invited International conference

    Masaru Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:Jeju-do, Korea   Country:Korea, Republic of  

  536. Intracellular responses of Coccomyxa sp. during culture in plasma-treated nutrient solution International conference

    Takumi Kato, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Jeju-do, Korea   Country:Korea, Republic of  

  537. H2-added Cl2 plasma etching of GaN at high temperature International conference

    Takahiro Omichi, Atsushi Tanide, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Jeju-do, Korea   Country:Korea, Republic of  

  538. Intracellular response of HeLa cells treated by plasma- activated Ringer's lactate solution International conference

    Shogo Maeda, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, Fumitaka Kikkawa, Masaaki Mizuno and Masaru Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Jeju-do, Korea   Country:Korea, Republic of  

  539. Composition of ion species in pulsed dual frequency CCP with synchronized dc bias using fluorocarbon gases International conference

    Kazuya Nakane, Shin-Nan Hsiao , Takayoshi Tsutsumi, Taku Gohira, Kenji Ishikawa, Makoto Sekin, Yoshinobu Ohya and Masaru Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Jeju-do, Korea   Country:Korea, Republic of  

  540. Chemical reaction analysis on surface of glucose-film using sum-frequency generation spectroscopy International conference

    Yuta Yoshida, Ryoya Katuya, Takayuki Ohta, Kenji Ishikawa and Masaru Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Poster presentation  

    Venue:Jeju-do, Korea   Country:Korea, Republic of  

  541. Apoptosis-inducing activity through caspase-3 and -12 of radical- activated medium for melanoma cells International conference

    Kazuma Ogawa, Takahito Mizuno, Kaede Hashimoto, Tomiyasu Murata, Masaru Hori, and Masafumi Ito

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Poster presentation  

    Venue:Jeju-do, Korea   Country:Korea, Republic of  

  542. Inactivation of biofilm-forming bacteria using deionized distilled water treated with oxygen radicals or nitric oxide radicals International conference

    Tomoyuki Nagase, Yumiko Komori, Masaru Hori, and Masafumi Ito

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Poster presentation  

    Venue:Jeju-do, Korea   Country:Korea, Republic of  

  543. Synergetic effects of the nitric oxide radical and ultraviolet light irradiations on the viability of mouse fibroblasts International conference

    Yuki Hori, Tomiyasu Murata, Masaru Hori and Masafumi Ito

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Poster presentation  

    Venue:Jeju-do, Korea   Country:Korea, Republic of  

  544. Transportation mechanism of reactive oxygen species in liquid irradiated by atmospheric-pressure oxygen-radical source International conference

    Nozomi Iio, Naoyuki Iwata, Toshiyuki Kawasaki, Masaru Hori, Masafumi Ito

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Poster presentation  

    Venue:Jeju-do, Korea   Country:Korea, Republic of  

  545. Vacuum Ultraviolet Absorption Spectroscopy with Self-absorbing Micro-discharge Hollow Cathode Lamp International conference

    S. Shimizu, N. Kishi, K. Takeda, T. Tsutsumi, M. Hiramatsu, and M. Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Poster presentation  

    Venue:Jeju-do, Korea   Country:Korea, Republic of  

  546. Lifetime of bactericidal efficacy in oxygen-radical-activated Phenylalanine solution International conference

    Naoyuki Iwata, Gamaleev Vladislav, Jun-Seok Oh, Hiroshi Hashizume, Takayuki Ohta, Kenji Ishikawa, Masaru Hori, and Masafumi Ito

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Jeju-do, Korea   Country:Korea, Republic of  

  547. Decomposition of vanillin using atmospheric-pressure oxygen- radical and pulsed low-current-arc plasma sources International conference

    Ginji ito, Naoyuki Iwata, Vladislav Gamaleeve, Motoyuki Shimizu, Masashi Kato, Masaru Hori, Masafumi Ito

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Jeju-do, Korea   Country:Korea, Republic of  

  548. Similarities and differences of biological networks between PAM- and PAL-treated glioblastoma cells International conference

    Masaru Hori, Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Nobuhisa Yoshikawa, Hiroaki Kajiyama, and Fumitaka Kikkawa

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Poster presentation  

    Venue:Jeju-do, Korea   Country:Korea, Republic of  

  549. Effects of radical-treated phenylalanine solution on fluorescent molecules in supported lipid bilayer International conference

    Yutaka Kume, Naoyuki Iwata, Ryugo Tero, Hiroshi Hashizume, Hiroki Kondo, Masaru Hori, and Masafumi Ito

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Poster presentation  

    Venue:Jeju-do, Korea   Country:Korea, Republic of  

  550. Hydrogen atom exposure for termination of dangling bonds in amorphous carbon films International conference

    Yasuyuki Ohashi, Hirotsugu Sugiura, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Masaru Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Jeju-do, Korea   Country:Korea, Republic of  

  551. Disordering in crystallinity induced by intermediates in synthesis of nanographene using in-liquid plasma International conference

    Ryo Hamaji, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Keigo Takeda, Mineo Hiramatsu, and Masaru Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Jeju-do, Korea   Country:Korea, Republic of  

  552. Control of Interspace between Carbon Nanowalls grown in Plasma Enhanced Chemical Vapor Deposition International conference

    Keigo Takeda, Mineo Hiramatsu, Hiroki Kondo and Masaru Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Jeju-do, Korea   Country:Korea, Republic of  

  553. In situ surface analysis of Ga dangling sites and chlorination layers for determining atomic layer etching properties of GaN International conference

    Masaki Hasegawa, Takayoshi Tsutsumi, Atsushi Tanide, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Jeju-do, Korea   Country:Korea, Republic of  

  554. Gene expression analyses revealed the differences of intracellular molecular mechanisms of PAM- and PAL-induced cell death Invited International conference

    Hiromasa Tanaka, Masaaki Mizuno, Yuko Katsumata, Kenji Ishikawa, Hiroki Kondo, Hiroshi Hashizume, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Nobuhisa Yoshikawa, Hiroaki Kajiyama, Fumitaka Kikkawa, and Masaru Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Jeju-do, Korea   Country:Korea, Republic of  

  555. Yield improvement of rice plants with cold plasma treatment in paddy field during cultivation. International conference

    H. Hashizume, H. Kitano, G. Yuasa, S. Tohno, H. Tanaka, K. Ishikawa, S. Matsumoto, H. Sakakibara, S. Nikawa, M. Maeshima, M. Mizuno, and M. Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Jeju-do, Korea   Country:Korea, Republic of  

  556. 未来をつくるプラズマ Invited

    堀 勝

    平成31年度 低温プラズマ科学研究センター市民公開講座「のぞいてみよう!プラズマと生物と医療・農業の不思議な世界」 

     More details

    Event date: 2019.8

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:名古屋大学東山キャンパス ナショナル・イノベーション・コンプレックス(NIC)   Country:Japan  

  557. 低温プラズマ科学研究センターの概要 Invited

    堀 勝

    名古屋大学低温プラズマ科学研究センター創立記念式典・講演会 

     More details

    Event date: 2019.7

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:名古屋大学 豊田講堂ホール   Country:Japan  

  558. Rapid Thermal-Cyclic Atomic Layer Etching of Thin Films with Highly Selective, Self-Limiting, and Conformal Characteristics International conference

    Kazunori Shinoda, Hiroyuki Kobayashi, Nobuya Miyoshi, Masaru Izawa, Kenji Ishikawa, Masaru Hori

    AVS 19th International Conference on Atomic Layer Deposition (ALD 2019)/6th International Atomic Layer Etching Workshop (ALE 2019) 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Washington,USA   Country:United States  

  559. Selectivity during Plasma ALE of Si-Compounds: Reaction Mechanism Studied by in-situ Surface Spectroscopy International conference

    René Vervuurt, Kazuya Nakane, Takayoshi Tsutsumi, Masaru Hori, Nobuyoshi Kobayashi

    AVS 19th International Conference on Atomic Layer Deposition (ALD 2019)/6th International Atomic Layer Etching Workshop (ALE 2019) 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Washington,USA   Country:United States  

  560. Chlorinated Surface Layer of GaN in Quasi Atomic Layer Etching of Cyclic Processes of Chlorine Adsorption and Ion Irradiation International conference

    Masaki Hasegawa, Takayoshi Tsutsumi, Atsushi Tanide, Hiroki Kondo, Makoto Sekine, Kenji Ishikawa, Masaru Hori

    AVS 19th International Conference on Atomic Layer Deposition (ALD 2019)/6th International Atomic Layer Etching Workshop (ALE 2019) 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Washington,USA   Country:United States  

  561. Control of the Interface Layer in ALE Process by Alternating O2 Plasma with Fluorocarbon Deposition for High Selectivity Etching International conference

    Takayoshi Tsutsumi, Akiko Kobayashi, Nobuyoshi Kobayashi, Masaru Hori

    AVS 19th International Conference on Atomic Layer Deposition (ALD 2019)/6th International Atomic Layer Etching Workshop (ALE 2019) 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Washington,USA   Country:United States  

  562. Optimized properties of carbon nanowall surface for laser desorption / ionization mass spectrometry International conference

    Ryusei Sakai, Tomonori Ichikawa, Shun Imai, Hiroki Kondo, Kenji Ishikawa, Takayuki Ohta, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    XXXIV International Conference on Phenomena in Ionized Gases(XXXIV ICPIG)/10th International Conference on Reactive Plasmas(ICRP-10) 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sapporo, Hokkaido   Country:Japan  

  563. Behavior of metastable Ar atom generated in microwave excited atmospheric pressure Ar gas plasma jet in open air International conference

    Keigo Takeda, Fumiya Satake, Masaki Kataoka, Mineo Hiramatsu, Kenji Ishikawa, Masaru

    XXXIV International Conference on Phenomena in Ionized Gases(XXXIV ICPIG)/10th International Conference on Reactive Plasmas(ICRP-10) 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Poster presentation  

    Venue:Sapporo, Hokkaido   Country:Japan  

  564. Enhancement of alcohol production of budding yeasts by treatment of non-equilibrium atmospheric pressure plasma International conference

    Shogo Matsumura, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Masafumi Ito, Masaru Hori

    XXXIV International Conference on Phenomena in Ionized Gases(XXXIV ICPIG)/10th International Conference on Reactive Plasmas(ICRP-10) 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sapporo, Hokkaido   Country:Japan  

  565. Generation of bactericidal efficacy in neutral pH range using oxygen-radical treatment of organic compound International conference

    Naoyuki Iwata, Vladislav Gamaleeve, Jun-Seok Oh, Hiroshi Hashizume, Takayuki Ohta, Kenji Ishikawa, Masaru Hori, Masafumi Ito

    XXXIV International Conference on Phenomena in Ionized Gases(XXXIV ICPIG)/10th International Conference on Reactive Plasmas(ICRP-10) 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sapporo, Hokkaido   Country:Japan  

  566. Effects of fluorine introduction and termination of CNWs on their electrochemical reactions International conference

    Hiroki Kondo, Masakazu Tomatsu, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Mineo Hiramatsu

    XXXIV International Conference on Phenomena in Ionized Gases(XXXIV ICPIG)/10th International Conference on Reactive Plasmas(ICRP-10) 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sapporo, Hokkaido   Country:Japan  

  567. Effects of Ion Bombardment Energy Flux on Chemical Compositions and Structures of Hydrogenated Amorphous Carbon Films Grown by a Radical-Injection Plasma-Enhanced Chemical Vapor Deposition International conference

    Hitotsugu Sugiura, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori

    XXXIV International Conference on Phenomena in Ionized Gases(XXXIV ICPIG)/10th International Conference on Reactive Plasmas(ICRP-10) 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Poster presentation  

    Venue:Sapporo, Hokkaido   Country:Japan  

  568. Electrical conductivity for vertical direction of radical injection plasma enhanced chemical vapor deposited carbon nanowalls (RI-PECVD-CNW) International conference

    Atsushi Ozaki, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Masaru Hori

    XXXIV International Conference on Phenomena in Ionized Gases(XXXIV ICPIG)/10th International Conference on Reactive Plasmas(ICRP-10) 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sapporo, Hokkaido   Country:Japan  

  569. Decomposition of vanillin using non-equilibrium atmospheric-pressure oxygen radical source and rotating arc plasma International conference

    Ginji Ito, Naoyuki Iwata, Vladislav Gamaleeve, Motoyuki Shimizu, Masashi Kato, Masaru Hori, Masafumi Ito

    XXXIV International Conference on Phenomena in Ionized Gases(XXXIV ICPIG)/10th International Conference on Reactive Plasmas(ICRP-10) 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Poster presentation  

    Venue:Sapporo, Hokkaido   Country:Japan  

  570. Differences of intracellular molecular mechanisms of cell death between plasma-activated medium-treated glioblastoma cells and plasma-activated Ringer's lactate solution-treated glioblastoma cells International conference

    Hiromasa Tanaka, Masaaki Mizuno, Yuko Katsumata, Kenji Ishikawa, Hiroki Kondo, Hiroshi Hashizume, Shinya Toyokuni, Kae Nakamura, Nobuhisa Yoshikawa, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaru Hori

    XXXIV International Conference on Phenomena in Ionized Gases(XXXIV ICPIG)/10th International Conference on Reactive Plasmas(ICRP-10) 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sapporo, Hokkaido   Country:Japan  

  571. Cell response in treatment of plasma-activated Ringer's acetate International conference

    Yuki Suda, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Shinya Toyokuni, Fumitaka Kikkawa, Masaaki Mizuno, Masaru Hori

    XXXIV International Conference on Phenomena in Ionized Gases(XXXIV ICPIG)/10th International Conference on Reactive Plasmas(ICRP-10) 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Poster presentation  

    Venue:Sapporo, Hokkaido   Country:Japan  

  572. Fabrication of graphene-based materials using microwave-exited non-equilibrium atmospheric pressure plasma International conference

    Mineo Hiramatsu, Koki Miyashita, Taishu Oyama, Keigo Takeda, Hiroki Kondo, Masaru Hori

    XXXIV International Conference on Phenomena in Ionized Gases(XXXIV ICPIG)/10th International Conference on Reactive Plasmas(ICRP-10) 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Poster presentation  

    Venue:Sapporo, Hokkaido   Country:Japan  

  573. Growth promotion of mouse fibroblasts using irradiation of nitric oxide radicals and ultraviolet light International conference

    Yuki Hori, Naoyuki Iwata, Tomiyasu Murata, Masaru Hori, Masafumi Ito

    XXXIV International Conference on Phenomena in Ionized Gases(XXXIV ICPIG)/10th International Conference on Reactive Plasmas(ICRP-10) 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Poster presentation  

    Venue:Sapporo, Hokkaido   Country:Japan  

  574. Distribution measurement of reactive oxygen species in water irradiated from atmospheric-pressure oxygen-radical source International conference

    Nozomi Iio, Naoyuki Iwata, Vladislav Gamaleev, Toshiyuki Kawasaki, Masaru Hori, Masafumi Ito

    XXXIV International Conference on Phenomena in Ionized Gases(XXXIV ICPIG)/10th International Conference on Reactive Plasmas(ICRP-10) 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Poster presentation  

    Venue:Sapporo, Hokkaido   Country:Japan  

  575. 低温プラズマの大気圧下での発生・発生源から生体への活性種輸送 Invited

    堀 勝、石川健治、田中 宏昌、水野 正明、吉川 史隆、豊國 伸哉

    第72回日本酸化ストレス学会学術集会 

     More details

    Event date: 2019.6

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:北海道立道民活動センター かでる2・7   Country:Japan  

  576. 低温プラズマ活性乳酸中に存在する分子によるがん細胞の選択的殺傷

    石川 健治、吉武 淳、柴田 貴広、田中 宏昌、橋爪 博司、豊國 伸哉、吉川 史隆、水野 正明、堀 勝

    第72回日本酸化ストレス学会学術集会 

     More details

    Event date: 2019.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道立道民活動センター かでる2・7   Country:Japan  

  577. プラズマ支援原子層プロセスにおける表界面反応層制御・診断 Invited

    堤 隆嘉,近藤 博基,石川 健治,関根 誠,堀 勝

    第4回 Atomic Layer Process (ALP) Workshop 

     More details

    Event date: 2019.6

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:大阪大学中之島センター   Country:Japan  

  578. Investigation of emission spectrum profile of hydrogen atom in micro-hollow cathode discharge International conference

    Keigo Takeda, Sho Shimizu, Seigo Takashima, Mineo Hiramatsu, Masaru Hori

    24th International Symposium on Plasma Chemistry (ISPC24) 

     More details

    Event date: 2019.6

    Language:English   Presentation type:Poster presentation  

    Venue:Naples Italy   Country:Italy  

  579. Gene expression dynamics of glioblastoma cells in plasma-activated medium and plasma-activated Ringer's lactate solution International conference

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Makoto Sekine, Hiroki Kondo, Hiroshi Hashizume, Takayoshi Tsutsumi, Kae Nakamura, Nobuhisa Yoshikawa, Hiroaki Kajiyama, Shinya Toyokuni, Fumitaka Kikkawa, Masaru Hori

    24th International Symposium on Plasma Chemistry (ISPC24) 

     More details

    Event date: 2019.6

    Language:English   Presentation type:Poster presentation  

    Venue:Naples Italy   Country:Italy  

  580. Bactericidal Activity in oxygen-radical-activated water International conference

    Naoyuki Iwata, Vladislav Gamaleeve, Jun-Seok Oh, Kenji Ishikawa, Masaru Hori, Masafumi Ito

    24th International Symposium on Plasma Chemistry (ISPC24) 

     More details

    Event date: 2019.6

    Language:English   Presentation type:Poster presentation  

    Venue:Naples Italy   Country:Italy  

  581. Surface reaction analysis of plasma-treated bio-molecules using sum frequency generation spectroscopy International conference

    Takayuki Ohta, Ryoya Katsuya, Kenji Ishikawa, Masaru Hori

    24th International Symposium on Plasma Chemistry (ISPC24) 

     More details

    Event date: 2019.6

    Language:English   Presentation type:Poster presentation  

    Venue:Naples Italy   Country:Italy  

  582. Low-temperature plasmas assisted syntheses of carbon nanomaterials International conference

    Masaru Hori, Hiroki Kondo, Makoto Sekine, Kenji Ishikawa

    24th International Symposium on Plasma Chemistry (ISPC24) 

     More details

    Event date: 2019.6

    Language:English   Presentation type:Poster presentation  

    Venue:Naples Italy   Country:Italy  

  583. Precisely wafer-temperature-controlled plasma etching and its application for nano-scale pattern fabrication of organic material International conference

    Makoto Sekine, Yusuke Fukunaga, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Masaru Hori

    24th International Symposium on Plasma Chemistry (ISPC24) 

     More details

    Event date: 2019.6

    Language:English   Presentation type:Poster presentation  

    Venue:Naples Italy   Country:Italy  

  584. Novel bactericidal method for aquaculture using benzoic-compound solutions treated with oxygen radicals International conference

    Naoyuki Iwata, Vladislav Gamaleeve, Jun-Seok Oh, Hiroshi Hashizume, Takayuki Ohta, Kenji Ishikawa, Masaru Hori, Masafumi Ito

    24th International Symposium on Plasma Chemistry (ISPC24) 

     More details

    Event date: 2019.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Naples Italy   Country:Italy  

  585. Effect of plasma treatment on growth and harvest of rice seeds International conference

    Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Satoru Kinoshita, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, Masaru Hori

    24th International Symposium on Plasma Chemistry (ISPC24) 

     More details

    Event date: 2019.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Naples Italy   Country:Italy  

  586. Plasma-assisted Synthesis and Modification of Carbon Nanowalls for Emerging Applications International conference

    Hiroki Kondo, Takayoshi Tsutsumi, Keigo Takeda, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Mineo Hiramatsu

    International Conference NANO-M&D2019 Fabrication, Properties and Applications of Nano-Materials and Nano-Devices 

     More details

    Event date: 2019.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Paestum, Italy   Country:Italy  

  587. Challenge of atomic layer and molecular processes for future plasma chemistry Invited International conference

    Masaru Hori

    8th Central European Symposium on Plasma Chemistry 

     More details

    Event date: 2019.5

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:Gozd Martuljek, Slovenia   Country:Slovenia  

  588. 低温プラズマ科学が拓くイノベーション Invited

    堀 勝

    独立技術士交流委員会 

     More details

    Event date: 2019.4

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  589. 低温プラズマ科学研究の取り組みと共同利用・共同研究拠点としての研究推進~低温プラズマ科学による持続可能な地球の実現~ Invited

    堀 勝

    企業基調講演(東京エレクトロン宮城株式会社) 

     More details

    Event date: 2019.4

    Language:Japanese   Presentation type:Oral presentation (keynote)  

    Venue:東京エレクトロン宮城   Country:Japan  

  590. 大気圧プラズマを用いた医療応用の最前線 Invited

    堀 勝

    公益社団法人日本産婦人科学会 第71回学術講演会 

     More details

    Event date: 2019.4

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  591. 低温プラズマのレクチャー Invited

    堀 勝

    名古屋大学低温プラズマ研究センター交流会 

     More details

    Event date: 2019.4

    Language:Japanese   Presentation type:Oral presentation (keynote)  

    Venue:名古屋大学   Country:Japan  

  592. Plasma activated media for cancer treatment Invited International conference

    H. Tanaka & M. Hori

    6th International Workshop on Plasma for Cancer Treatment (IWPCT2019)  

     More details

    Event date: 2019.4

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Antwerp, Belgium   Country:Belgium  

  593. Plasma-activated fluids as a novel treatment option for peritoneal metastasis from gastric/pancreatic cancer Invited International conference

    Y.Kodera, S.Yamada, K.Torii, N.Hattori, S.Takeda, Y.Sato, H.Tanaka, M.Mizuno and M.Hori

    6th International Workshop on Plasma for Cancer Treatment (IWPCT2019) 

     More details

    Event date: 2019.4

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Antwerp, Belgium   Country:Belgium  

  594. In-liquid plasma formation at low temperature of in situ binding SnO2/Graphene International conference

    Kenji Ishikawa, Rajit R.Borude, Hirotsugu Sugiura, Takayoshi Tsusumi, Hiroki Kondo, Nobuyuki Ikarashi, and Masaru Hori

    10th International Workshop on Microplasmas IWM-10 

     More details

    Event date: 2019.4

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  595. 低温プラズマ科学が拓く破壊的イノベーション Invited

    堀 勝

    名古屋大学シリコンフロンティア・特別研究会~特定領域研究『ポストスケール』から10年を超えて~ 

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:名古屋大学   Country:Japan  

  596. Future Medicine and Innovation for Agriculture and Fisheries Opened by Low-Temperature Plasma Sciences Invited International conference

    Masari Hori

    The 9th Federations of the Asian and Oceanian Physiological Societies Congress (9th FAOPS CONGRESS) 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  597. Global Conference on Carbon Nanotubes and Graphene Technologies Invited International conference

    Hiroki Kondo, Keigo Takeda, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

    2nd Global Conference on Carbon Nanotubes and Graphene Technologies 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Milan   Country:Italy  

  598. Influence of Hypochlorous Acid on Molecular Diffusion of Supported Lipid Bilayer International conference

    Yutaka Kume, Ryugo Tero, Hiroki Kondo, Hiroshi Hashizume, Masaru Hori and Masafumi Ito

    ISPlasma2019/IC-PLANTS2019 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya Institute of Technology   Country:Japan  

  599. Aqueous Reactions in Non-equilibrium Atmospheric Pressure Plasma-activated Ringer s Lactate Solutions International conference

    Yang Liu, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Takyoshi Tsutsumi, Fumitaka Kikkawa, Kae Nakamura, Masaaki Mizuno, Shinya Toyokuni and Hiroki Kondo

    ISPlasma2019/IC-PLANTS2019 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Institute of Technology   Country:Japan  

  600. Organic High Aspect Ratio Pattern Formation with Self-Limitation Manner by Plasma-Controlled Process based on Substrate Temperature Measurement International conference

    Yusuke Fukunaga, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine and Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Institute of Technology   Country:Japan  

  601. Synthesis, Characterization, and Study of Electrical Properties of Tin oxide-Graphene Composite Synthesized by Liquid Phase Plasma International conference

    Ranjit Borude, Hirotsugu Sugiura, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo and Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Institute of Technology   Country:Japan  

  602. Growth Effects of Ultraviolet Exposure on Saccharomyces Cerevisiae International conference

    Shoma Ito, Hiroshi Hashizume, Motoyuki Shimizu, Masashi Kato, Masaru Hori and Masafumi Ito

    ISPlasma2019/IC-PLANTS2019 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya Institute of Technology   Country:Japan  

  603. Growth Effects of Oxygen-radical Treatment on Trichoderma Reesei-Spores International conference

    Takahiro Deguchi, Motoyuki Shimizu, Masashi Kato, Masaru Hori and Masahumi Ito

    ISPlasma2019/IC-PLANTS2019 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya Institute of Technology   Country:Japan  

  604. High-Speed Atomic Force Microscopy of Dynamical Changes of Supported Lipid Bilayers Containing Cholesterol in Plasma-Activated Lactec International conference

    Sotaro Yamaoka, Hiroki Kondo, Hiroshi Hashizume, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Hiromasa Tanaka and Masaru Hor

    ISPlasma2019/IC-PLANTS2019 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Institute of Technology   Country:Japan  

  605. Degradation of Powdered Cellulose using Direct Exposure to Oxygen Radicals International conference

    Ginji Ito, Vladislav Gamaleeve, Motoyuki Shimizu, Masashi Kato, Masaru Hori and Masafumi Ito

    ISPlasma2019/IC-PLANTS2019 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya Institute of Technology   Country:Japan  

  606. Mechanism of Selective Killing of Glioblastoma to Astrocytes in PlasmaActivated Lactate International conference

    Yugo Hosoi, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Masaaki Mizuno, Fumitaka Kikkawa and Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Institute of Technology   Country:Japan  

  607. Growth Promotion of Mouse Fibroblasts in DPBS Irradiated with Nitrogen-Oxide Radicals International conference

    Yuki Hori, Wataru Sato, Tomiyasu Murata, Masaru Hori and Masafumi Ito

    ISPlasma2019/IC-PLANTS2019 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya Institute of Technology   Country:Japan  

  608. Promotion of Reducing Sugar Production using Spores Treated with Oxygen Radicals International conference

    Takuya Goto, Motoyuki Shimizu, Masashi Kato, Hiroshi Hashizume, Masaru Hori and Masafumi Ito

    ISPlasma2019/IC-PLANTS2019 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya Institute of Technology   Country:Japan  

  609. Promotion Effects of Nitric-oxide Radical Irradiation on Purple Photosynthetic Bacteria International conference

    Masakazu Takeno, Vladislav Gamaleev, Hiroshi Hashizume, Masaru Hori and Masafumi Ito

    ISPlasma2019/IC-PLANTS2019 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya Institute of Technology   Country:Japan  

  610. Electrochemical Impedance Analysis of Pt Nanoparticles-Supported Carbon Nanowalls for Fuel Cell Application International conference

    Shun Imai, Hiroki Kondo, Hyungjun Cho, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Institute of Technology   Country:Japan  

  611. Intracellular Reactive Oxygen Species Responses of HeLa Cells Treated with PlasmaActivated Organics International conference

    Shogo Maeda, Yugo Hosoi, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine and Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya Institute of Technology   Country:Japan  

  612. Distribution of Reactive Oxygen Species in Water Irradiated with Oxygen Radicals International conference

    Nozomi Iio, Gamaleev Vladislav, Toshiyuki Kawasaki, Masaru Hori and Masafumi Ito

    ISPlasma2019/IC-PLANTS2019 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya Institute of Technology   Country:Japan  

  613. Activation of Caspase in Melanoma Cells Treated with Nitrogen-oxide-radicalactivated Medium International conference

    Kazuma Ogawa, Tomiyasu Murata, Masaru Hori and Masafumi Ito

    ISPlasma2019/IC-PLANTS2019 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya Institute of Technology   Country:Japan  

  614. Ligh Source Wavelength Characteristics for Silicon-Wafer Temperature Measurement by Frequency-Domain Lowcoherence Interferometry International conference

    Takayoshi Tsutsumi, Kenji Ishikawa, Takayuki Ohta, Masafumi Ito, Hiroki Kondo, Makoto Sekine and Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Institute of Technology   Country:Japan  

  615. Effects of Hydroxy Group on Synthesis Rate and Crystallinity of Nanographene by In-liquid Plasma International conference

    Hiroki Kondo, Keigo Takeda, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine and Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Institute of Technology   Country:Japan  

  616. Growth of Ultrathin AlN on Si (111) Substrate by Radical Enhanced Metalorganic Chemical Vapor Deposition (REMOCVD) International conference

    Frank Wilson Amalraj, Arun Kumar Dhasiyan, Yi Lu, Naohiro Shimizu, Osamu Oda, Kenji Ishikawa and Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya Institute of Technology   Country:Japan  

  617. Floating-Wire-Assisted Atmospheric Pressure Plasma for High-Speed and Large-Area Glass Treatment International conference

    Thi-Thuy-Nga Nguyen, Minoru Sasaki, Hidefumi Odaka, Takayoshi Tsutsumi, Kenji Ishikawa and Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya Institute of Technology   Country:Japan  

  618. A Door of Plasma Chemistry toward Bio Innovations Opened by Professor Riccard D'Agostino Invited International conference

    Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Nagoya Institute of Technology   Country:Japan  

  619. Carbon Nanoflakes Involving Fe-N-C Bonds by Growth of In-liquid Plasma of Ethanol and Iron Phthalocyanine Mixture, International conference

    Ryo Hamaji, Tomoki Amano, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Keigo Takeda, Mineo Hiramatsu and Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya Institute of Technology   Country:Japan  

  620. Carbon Nanoflakes Involving Fe-N-C Bonds by Growth of In-liquid Plasma of Ethanol and Iron Phthalocyanine Mixture International conference

    Ryo Hamaji, Tomoki Amano, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Keigo Takeda, Mineo Hiramatsu and Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  621. Simaltaneous Achievement of Bactericidal Efficacy and Plant-growth in Neutral pH Range using Radical-Activated Benzoic Compounds International conference

    Naoyuki Iwata, Vladislav Gamaleeve, Jun-SeokOh, Hiroshi Hashizume, Takayuki Ohta, Kenji Ishikawa, Masaru Hori and Masafumi Ito

    ISPlasma2019/IC-PLANTS2019 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Institute of Technology   Country:Japan  

  622. Regulation of Cell Proliferation Changing Duty Ratio of an Electrical Stimulation on Carbon Nanowalls Scaffold International conference

    Tomonori Ichikawa, Hiroki Kondo, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya Institute of Technology   Country:Japan  

  623. Inactivation of Biofilm-forming Pseudomonas Aeruginosa using Deionized Distilled Water Treated with Oxygen Radicals International conference

    Tomoyuki Nagase, Yumiko Komori, Masaru Hori and Masafumi Ito

    ISPlasma2019/IC-PLANTS2019 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya Institute of Technology   Country:Japan  

  624. Control of sp2-C Fraction and Hardness of Amorphous Carbon Films by Formation of Precursor Radicals Depending on a Residence Time International conference

    Hirotsugu Sugiura, Yasuyuki Ohashi, Lingyun Jia, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Toshio Hayashi, Keigo Takeda, Makoto Sekine and Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Institute of Technology   Country:Japan  

  625. Lipid Accumulation of Pseudochoricystis Ellipsoidea by Irradiation of Nonequilibrium Atmospheric Pressure Plasma to Nourishing Solution International conference

    Takumi Kato, Yugo Hosoi, Sotaro Yamaoka, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine and Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya Institute of Technology   Country:Japan  

  626. Elucidation of Electron Extinction Mechanism during Afterglow of Fluorocarbon Pulsed Plasma with Synchronized DC Bias International conference

    Kazuya Nakane, Toshinari Ueyama, Xie Xitong, Takayoshi Tsutsumi, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Yoshinobu Ohya and Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya Institute of Technology   Country:Japan  

  627. Morphological Dynamics of Dying Cells Incubated in Plasma-Activated Medium International conference

    Nanami Ito, Maho Yamada, Yugo Hosoi, Hiromasa Tanaka, Kenji Ishikawa and Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya Institute of Technology   Country:Japan  

  628. Cytotoxicity of Plasma-Activated Glucose and Amino Acids International conference

    Maho Yamada, Nanami Ito, Yugo Hosoi, Hiromasa Tanaka, Kenji Ishikawa and Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya Institute of Technology   Country:Japan  

  629. GaN film Etching at H-added Chlorine Plasma at High Temperature at 400°C International conference

    Takahiro Omichi, Atsushi Tanide, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine and Masaru Hori

    ISPlasma2019/IC-PLANTS2019 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Institute of Technology   Country:Japan  

  630. プラズマ照射溶液で培養したHeLa 細胞の観察

    前田 昌吾、細井 祐吾、石川 健治、橋爪 博司、田中 宏昌、堀 勝

    第66回応用物理学会春季学術講演会 

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京工業大学   Country:Japan  

  631. プラズマ活性乳酸のがん細胞の選択的殺傷効果を有する分子

    細井 祐吾、前田 昌吾、石川 健治、吉武 淳、柴田 貴広、田中 宏昌、橋爪 博司、吉川 史隆、水野 正明、堀 勝

    第66回応用物理学会春季学術講演会 

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京工業大学   Country:Japan  

  632. プラズマ活性溶液がコレステロール含有脂質二重膜の形状に及ぼす効果

    山岡 壮太郎、近藤 博基、橋爪 博司、石川 健治、田中 宏昌、堀 勝

    第66回応用物理学会春季学術講演会 

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京工業大学   Country:Japan  

  633. In-liquid plasma formation at low temperature for in-situ binding of SnO2/Graphene

    Ranjit Borude, Hirotsugu Sugiura, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo, Nobuyuki Ikarashi, and Masaru Hori

     More details

    Event date: 2019.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  634. カーボンナノウォール足場上での電気刺激印加細胞培養における負荷率の効果

    市川 知範、近藤 博基、橋爪 博司、田中 宏昌、堤 隆嘉、石川 健治、堀 勝

    第66回応用物理学会春季学術講演会 

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京工業大学   Country:Japan  

  635. リモート酸素プラズマ照射によるグラフェンのlayer-by-layerエッチング過程のその場透過電子顕微鏡観察

    杉浦 啓嗣、近藤 博基、樋口 公孝、荒井 重勇、堤 隆嘉、石川 健治、堀 勝

    第66回応用物理学会春季学術講演会 

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京工業大学   Country:Japan  

  636. 酸素ラジカル処理芳香族化合物による中性pH領域における細菌不活性化と植物の生長促進

    岩田 直幸、ガマリェエフ ウラディスラフ、呉 準席、橋爪 博司、太田 貴之、石川 健治、堀 勝、伊藤 昌文

    第66回応用物理学会春季学術講演会 

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京工業大学   Country:Japan  

  637. 酸素ラジカル処理芳香族化合物による中性pH領域における細菌不活性化と植物の生長促進

    岩田 直幸、ガマリェエフ ウラディスラフ、呉 準席、橋爪 博司、太田 貴之、石川 健治、堀 勝、伊藤 昌文

    第66回応用物理学会春季学術講演会 

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京工業大学   Country:Japan  

  638. イネ圃場における定期的な低温プラズマ処理による生育や収穫に対する検討

    橋爪 博司、北野 英己、湯浅 元気、東野 里江、水野 寛子、田中 宏昌、石川 健治、松本 省吾、榊原 均、仁川 進、前島 正義、水野 正明、堀 勝

    第66回応用物理学会春季学術講演会 

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京工業大学   Country:Japan  

  639. イネ種子への低温プラズマ照射による成長促進効果

    橋爪 博司、北野 英己、水野 寛子、木下 悟、湯浅 元気、東野 里江、田中 宏昌、石川 健治、松本 省吾、榊原 均、仁川 進、前島 正義、水野 正明、堀 勝

    第66回応用物理学会春季学術講演会 

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京工業大学   Country:Japan  

  640. 種子へ低温プラズマ照射したイネ苗の環境制御下における栽培

    橋爪 博司、北野 英己、湯浅 元気、東野 里江、水野 寛子、木下 悟、田中 宏昌、石川 健治、松本 省吾、榊原 均、仁川 進、前島 正義、水野 正明、堀 勝

    第66回応用物理学会春季学術講演会 

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京工業大学   Country:Japan  

  641. 青果物栽培における低温プラズマ処理の品質への効果

    堀 勝、橋爪 博司、松本 省吾、坪田 憲紀、伊藤 昌文、湯浅 元気、東野 里江、田中 宏昌、石川 健治、北野 英己、榊原 均、仁川 進、前島 正義、水野 正明、大熊 隆之

    第66回応用物理学会春季学術講演会 

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京工業大学   Country:Japan  

  642. BF3及びBCl3化合物の電子物性と解離

    林 俊雄、関根 誠、石川 健治、堀 勝

    第66回応用物理学会春季学術講演会 

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京工業大学   Country:Japan  

  643. Etching reactions of Si, SiO2, and SiN films using with hydrofluorocarbon compounds

    Jiawei Ni, Toshio Hayashi, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, and Masaru Hori

     More details

    Event date: 2019.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  644. 酸素・窒素ラジカル活性培養液の抗腫瘍効果

    小川 和馬、水野 貴仁、村田 富保、堀 勝、伊藤 昌文

    第66回応用物理学会春季学術講演会 

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京工業大学   Country:Japan  

  645. 酸素ラジカル処理芳香族化合物による中性pH領域における細菌不活性化と植物の生長促進

    岩田 直幸、ガマリェエフ ウラディスラフ、呉 準席、橋爪 博司、太田 貴之、石川 健治、堀 勝、伊藤 昌

    第66回応用物理学会春季学術講演会 

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京工業大学   Country:Japan  

  646. 酸素ラジカルまたは酸化窒素ラジカルで処理した滅菌水を用いた緑膿菌の不活性化

    長瀬 智之、小森 由美子、堀 勝、伊藤 昌文

    第66回応用物理学会春季学術講演会 

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京工業大学   Country:Japan  

  647. 酸素ラジカル照射リン酸緩衝生理食塩中に生成した過酸化水素と次亜塩素酸による支持脂質二重膜の側方拡散性への影響

    久米 寛、手老 龍吾、橋爪 博司、近藤 博基、堀 勝、伊藤 昌文

    第66回応用物理学会春季学術講演会 

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:東京工業大学   Country:Japan  

  648. 紅色光合成細菌増殖における酸化窒素ラジカル照射量依存性

    嶽野 正和、橋爪 博司、堀 勝、伊藤 昌文

    第66回応用物理学会春季学術講演会 

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:東京工業大学   Country:Japan  

  649. 酸素ラジカル照射されたコウジカビ胞子のα-アミラーゼ活性化

    後藤 拓也、志水 元亨、加藤 雅士、橋爪 博司、堀 勝、伊藤 昌文

    第66回応用物理学会春季学術講演会 

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:東京工業大学   Country:Japan  

  650. Plasma-activated solutions with plant-growth promotion and sterilization in neutral pH Invited International conference

    Masafumi Ito, Naoyuki Iwata, Hiroshi Hashizume, Jun-Seok Oh, and Masaru Hori

    7th International Conference on Advanced Plasma Technologies 

     More details

    Event date: 2019.2 - 2019.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Hue, Vietonam   Country:Viet Nam  

  651. Nano-composite innovation based on carbon nanowalls and advanced plasma processses Invited International conference

    Hiroki Kondo, Takayoshi Tsutsumi, Makoto Sekine, Kenji Ishikawa, Masaru Hori and Mineo Hiramatsu

    7th International Conference on Advanced Plasma Technologies 

     More details

    Event date: 2019.2 - 2019.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Hue, Vietonam   Country:Viet Nam  

  652. Synthesis of carbon nanomaterials employing in-liquid plasma Invited International conference

    Makoto Sekine, Hiroki Kondo, Kenji Ishikawa, Masaru Hori and Mineo Hiramatsu

    7th International Conference on Advanced Plasma Technologies 

     More details

    Event date: 2019.2 - 2019.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Hue, Vietonam   Country:Japan  

  653. Synthesis of carbon nanomaterials employing in-liquid plasma Invited International conference

    Makoto Sekine, Hiroki Kondo, Kenji Ishikawa, Masaru Hori and Mineo Hiramatsu

    7th International Conference on Advanced Plasma Technologies 

     More details

    Event date: 2019.2 - 2019.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Hue, Vietonam   Country:Viet Nam  

  654. フルオロカーボンガスを用いた2周波容量結合型パルスプラズマにおける粒子密度のDC重畳効果

    中根 一也、植山 稔正、解 錫同、堤 隆嘉、武田 圭吾、近藤 博基、石川 健治、関根 誠、大矢 欣伸、堀 勝

    応用物理学会シリコンテクノロジー分科会 第215回 研究集会 

     More details

    Event date: 2019.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京大学 本郷   Country:Japan  

  655. Low Temperature Plasma Surface Interactions Among Multiple Phase Invited International conference

    Kenji Ishikawa, Masaru Hori

    The 5th Asian Workshop on Applied Plasma Science and Engineering 

     More details

    Event date: 2019.1

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:Kuala Lumpur, Malaysia   Country:Malaysia  

  656. Bactericidal Effects And Reactive Species In Oxygen-Radical-Activated Water Invited International conference

    Masafumi Ito, Naoyuki Iwata, Vladislv Gamaleeve, Jun-Seok Oh, Masaru Hori

    The 5th Asian Workshop on Applied Plasma Science and Engineering 

     More details

    Event date: 2019.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Kuala Lumpur, Malaysia   Country:Malaysia  

  657. Bactericidal Effects And Reactive Species In Oxygen-Radical-Activated Water Invited International conference

    Masafumi Ito, Naoyuki Iwata, Vladislv Gamaleeve, Jun-Seok Oh, Masaru Hori

    The 5th Asian Workshop on Applied Plasma Science and Engineering  

     More details

    Event date: 2019.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Kuala Lumpur, Malaysia   Country:Malaysia  

  658. Low Temperature Plasma Surface Interactions Among Multiple Phase Invited International conference

    Kenji Ishikawa, Masaru Hori

    The 5th Asian Workshop on Applied Plasma Science and Engineering  

     More details

    Event date: 2019.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Kuala Lumpur, Malaysia   Country:Malaysia  

  659. 高精度基板温度制御による有機材料のナノパターン形成

    福永 祐介, 関根誠, 堤隆義, 近藤博基, 石川健治, 堀勝

    第36回プラズマプロセシング研究会/第31回プラズマ材料科学シンポジウムテクニカルプログラム 

     More details

    Event date: 2019.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:高知城ホール   Country:Japan  

  660. 酸素ラジカル活性培養液で処理したメラノーマ細胞における酸化ストレスに基づく

    小川和馬, 村田富保, 堀勝, 伊藤昌文

    第36回プラズマプロセシング研究会/第31回プラズマ材料科学シンポジウムテクニカルプログラム 

     More details

    Event date: 2019.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:高知城ホール   Country:Japan  

  661. 浮遊細菌とバイオフィルム形成細菌に対する超音波振動印加酸素ラジカル照射水

    長瀬智之, 小森由美子, 堀勝, 伊藤昌文

    第36回プラズマプロセシング研究会/第31回プラズマ材料科学シンポジウムテクニカルプログラム 

     More details

    Event date: 2019.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:高知城ホール   Country:Japan  

  662. がん細胞の選択的殺傷効果を有するプラズマ活性乳酸内の反応分子の解明

    細井祐吾, 石川健治, 田中宏昌, 吉武淳, 柴田貴広, 橋爪博司, 吉川史隆, 水野正明, 堀勝

    第36回プラズマプロセシング研究会/第31回プラズマ材料科学シンポジウムテクニカルプログラム 

     More details

    Event date: 2019.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:高知城ホール   Country:Japan  

  663. プラズマ活性乳酸リンゲル液処理をしたHeLa 細胞の細胞内応答解析

    前田 昌吾, 細井祐吾, 石川健治, 橋爪博司, 田中宏昌, 近藤博基, 関根誠,

    第36回プラズマプロセシング研究会/第31回プラズマ材料科学シンポジウムテクニカルプログラム 

     More details

    Event date: 2019.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:高知城ホール   Country:Japan  

  664. 酸素ラジカル処理フェニルアラニンを用いた殺菌における基底状態酸素原子の効果

    岩田直幸, ガマリーヴブラディスラーブ, 呉準席, 橋爪博司, 太田貴之, 石川健治, 堀勝, 伊藤昌文

    第36回プラズマプロセシング研究会/第31回プラズマ材料科学シンポジウムテクニカルプログラム 

     More details

    Event date: 2019.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  665. ラジカル処理されたコウジカビ胞子による還元糖生成促進

    後藤拓也, 志水元亨, 加藤雅士, 橋爪博司, 堀勝, 伊藤昌文

    第36回プラズマプロセシング研究会/第31回プラズマ材料科学シンポジウムテクニカルプログラム 

     More details

    Event date: 2019.1

    Language:Japanese   Presentation type:Poster presentation  

    Venue:高知城ホール   Country:Japan  

  666. ラジカル照射リン酸緩衝生理食塩水中の次亜塩素酸による支持脂質二重膜への影響

    久米寛, 手老龍吾, 橋爪博司, 近藤博基, 堀勝, 伊藤昌文

    第36回プラズマプロセシング研究会/第31回プラズマ材料科学シンポジウムテクニカルプログラム 

     More details

    Event date: 2019.1

    Language:Japanese   Presentation type:Poster presentation  

    Venue:高知城ホール   Country:Japan  

  667. 酸化窒素ラジカル照射による紅色光合成細菌の成長促進条件の調査

    嶽野正和, 橋爪博司, 堀勝, 伊藤昌文

    第36回プラズマプロセシング研究会/第31回プラズマ材料科学シンポジウムテクニカルプログラム 

     More details

    Event date: 2019.1

    Language:Japanese   Presentation type:Poster presentation  

    Venue:高知城ホール   Country:Japan  

  668. Chemical reaction system on Carbon nanowalls for future nanoelectronics devices Invited International conference

    Hiroki Kondo, Masaru Hori, Mineo Hiramatsu

    International Workshop on Plasma-Tailored Nanostructures and Applications 

     More details

    Event date: 2019.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Rogla, Slovenia   Country:Slovenia  

  669. Fe-N-C bonds in carbon nanoflakes grown by plasma of ethanol and iron phthalocyanine International conference

    R. Hamaji, T. Amano, H. Kondo, K. Ishikawa, M. Hori

    28th Annual Meeting of MRS-J, International Symposium, Plasma Lifesciences, Frontier of Nano-Materials Based on Advanced Plasma Technologies 

     More details

    Event date: 2018.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  670. Cell responses of Pseudochoricystis ellipsoidea on atmospheric pressure plasma treatments International conference

    T. Kato, Y. Hosoi, S.Yamaoka, H.Hashizume, K.Ishikawa, H.Tanaka, T.Tsutsumi, H.Kondo, M.Sekine and M.Hori

    28th Annual Meeting of MRS-J, International Symposium, Plasma Lifesciences, Frontier of Nano-Materials Based on Advanced Plasma Technologies 

     More details

    Event date: 2018.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  671. Anti-proliferative effect of glyoxylate generated in plasma-activated lactate solution International conference

    Y. Hosoi, K. Ishikawa, H. Tanaka, H. Hashizume, F. Kikkawa, M. Mizuno and M. Hori

    28th Annual Meeting of MRS-J, International Symposium, Plasma Lifesciences, Frontier of Nano-Materials Based on Advanced Plasma Technologies 

     More details

    Event date: 2018.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  672. Trimming Process with Feedback Control of Wafer Temperature for Organic Material International conference

    T. Tsutsumi, Y. Fukunaga, K. Ishikawa, H. Kondo, M. Sekine, and M. Hori

    28th Annual Meeting of MRS-J, International Symposium, Plasma Lifesciences, Frontier of Nano-Materials Based on Advanced Plasma Technologies 

     More details

    Event date: 2018.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  673. カーボンナノウォール足場と電気刺激による遺伝子発現制御

    市川 知範、近藤 博基、橋爪 博司、田中 宏昌、堤 隆嘉、石川 健治、堀 勝

    第28回 日本MRS年次大会 カーボンナノマテリアル研究の最前線 

     More details

    Event date: 2018.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  674. Cell response mechanisms of HeLa cells cultured with plasma-activated lactate Ringer's solution (PAL) International conference

    S. Maeda, Y. Hosoi, K. Ishikawa, H. Hashizume, H. Tanaka, T. Takayoshi, H. Kondo, M. Sekine, and M.Hori

    28th Annual Meeting of MRS-J, International Symposium, Plasma Lifesciences, Frontier of Nano-Materials Based on Advanced Plasma Technologies 

     More details

    Event date: 2018.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  675. Real-time control of a wafer temperature for uniform plasma process Invited International conference

    T. Tsutsumi, Y. Fukunaga, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    International Symposium on Semiconductor Manufacturing ISSM2018 

     More details

    Event date: 2018.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  676. プラズマが誘起する細胞内の生体反応と生化学反応 Invited

    石川健治、田中宏昌、橋爪博司、豊國伸哉、水野正明、吉川史隆、堀勝

    プラズマ・核融合学会 第35回年会 

     More details

    Event date: 2018.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:大阪大学吹田キャンパス内コンベンションセンター   Country:Japan  

  677. プラズマ科学が拓く未来 Invited

    堀 勝

    研究討論会 特別講演 

     More details

    Event date: 2018.11

    Language:Japanese   Presentation type:Oral presentation (keynote)  

    Venue:株式会社デンソー本社(刈谷)    Country:Japan  

  678. Towards a Comprehensive Understanding of Plasma Activated Medium Treated Cells International conference

    Masaru Hori, Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Shinya Toyokuni, Hiroaki Kajiyama, Fumitaka Kikkawa

    A Meeting of the Materials Research Society 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Poster presentation  

    Venue:Boston Massachusetts   Country:United States  

  679. Effects and Mechanism of Electric Stimulation Through Carbon Nanowalls Scaffold on Proliferation and Differentiation of Cultured Cells International conference

    Hiroki Kondo, Tomonori Ichikawa, Kenji Ishikawa, Hiromasa Tanaka, Takayoshi Tsutsumi, Keigo Takeda, Makoto Sekine, Masaru Hori, Mineo Hiramatsu

    A Meeting of the Materials Research Society 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Boston Massachusetts   Country:United States  

  680. Effectiveness and Safety of Plasma Activated Medium Invited International conference

    Hiromasa Tanak, Masaaki Mizuno, Kenji Ishikawa, Shinya Toyokuni, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaru Hori

    A Meeting of the Materials Research Society 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Boston Massachusetts   Country:United States  

  681. 大気圧低温プラズマによるガン治療~プラズマ活性培養液によるがん治療への挑戦~ Invited

    田中 宏昌、堀 勝

    第34回 九州・山口プラズマ研究会 

     More details

    Event date: 2018.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  682. Cell viability measurement of melanoma cells treated with nitrogen-oxide-radical activated medium International conference

    K. Ogawa, T. Murata, M. Hori and M. Ito

    40th International Symposium on Dry Process 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  683. In situ analysis of ion-irradiated and chlorinated GaN surface during cyclic etching processes International conference

    M. Hasegawa, T. Tsutsumi, A. Tanide, H. Kondo, M. Sekine, K. Ishikawa, M. Hori

    40th International Symposium on Dry Process 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya   Country:Japan  

  684. Effects of synchronized DC bias on densities of charged species in pulsed plasmas of fluorocarbon gases International conference

    K. Nakane, T. Ueyama, T. Tsutsumi, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, Y. Ohya, and M. Hori

    40th International Symposium on Dry Process 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya   Country:Japan  

  685. Floating-Wire-Assisted Atmospheric Pressure Plasma for High-Speed Glass Etching International conference

    Thi-Thuy-Nga Nguyen, M. Sasaki, H. Odaka, T. Tsutsumi, K. Ishikawa and M. Hori

    40th International Symposium on Dry Process 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  686. Dissociative properties of C2HF5 and C2F6 obtained using computational chemistry International conference

    T. Hayashi, M. Sekine, K. Ishikawa, and M. Hori

    40th International Symposium on Dry Proces 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  687. Fundamental study of the interaction of plasma species with organic materials by experimental and computational approaches International conference

    Y. Fukunaga, P. Ventzek, B. Lane, A. Ranjan, G. S. Hwang, G. Hartmann, R. Upadhyay, L. L. Raja, T. Tsutsumi, K. Ishikawa, H. Kondo, M. Sekine, and M. Hori

    40th International Symposium on Dry Process 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  688. High temperature etching of GaN with H2-added Cl2 plasma International conference

    T. Omichi, A. Tanide, K. Ishikawa, T. Tsutsumi, H. Kondo, M. Sekine, M. Hori

    40th International Symposium on Dry Process 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  689. Measurement of water cluster ions in plasma plume of an atmospheric pressure He plasma jet International conference

    J. S.Oh, Y. Nakai, T. Kawasaki, T. Shirafuji, M. Hori, M. Hiramatsu and M.i Ito

    40th International Symposium on Dry Process 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  690. Defect termination mechanism in amorphous carbon films by atomic hydrogen radicals International conference

    Y. Ohashi, H. Sugiura, H. Kondo, K. Ishikawa, T. Tsutsumi, M. Sekine, M. Hori

    40th International Symposium on Dry Process 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  691. Epitaxial growth of GaN films with the argon-based sputtering method using additive H2 and Cl2 gases International conference

    A. Tanide, S. Nakamura, A. Horikoshi, S. Takatsuji, M. Kohno, K. Kinose, S. Nadahara, M. Nishikawa, A. Ebe, K. Ishikawa and M. Hori

    40th International Symposium on Dry Process 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  692. Effect of reactive species on the structure of carbon nanowalls grown in CH4/H2/Ar mixture plasma International conference

    T. Suzuki, H. Nozaki, K. Takeda, M. Hiramatsu, H. Kondo, M. Hori

    40th International Symposium on Dry Process 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  693. Plasma Modification of Si-compound Surfaces: Opportunities for Atomic Layer Etching International conference

    R.H.J. Vervuurt, K. Nakane, T. Tsutsumi, A. Kobayashi, M. Hori and N. Kobayashi

    40th International Symposium on Dry Process 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  694. Etch Characteristics of Atomic Layer Etching by Alternating Fluorocarbon Deposition and Oxygen Plasma Etching International conference

    T. Tsutsumi, A. Kobayashi, T. Nozawa, N. Kobayashi and M. Hori

    40th International Symposium on Dry Process 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  695. Analysis of radical-treated amino acid using UV absorption spectroscopy for plasma-medical application International conference

    N. Iwata, H. Hashizume, J.-S. Oh, Masaru Hori, and Masafumi Ito

    40th International Symposium on Dry Process 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  696. Effect of Solutions Irradiated with Oxygen Radicals on Molecular Mobility of Supported Lipid Bilayer International conference

    Y. Kume, H.Kondo, R.Tero, H.Hashizume, M.Hori, M.Ito

    40th International Symposium on Dry Process 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  697. Synthesis of nanographene by in-liquid plasma and its application to catalyst layer of polymer electrolyte fuel cell International conference

    K. Ito, K. Kajikawa, V. Gamaleev, K. Takeda, M. Hiramatsu, H. Kondo, M. Hori

    40th International Symposium on Dry Process 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  698. Effects of electrical and spectroscopic properties of nonequilibrium atmospheric pressure plasma source on adhesion strengths of a polymeric resin International conference

    K. Katsuno, T. Tsutsumi, K. Ishikawa, K. Takeda, T. Jindo, S. Takikawa, A. Niwa, S. Takashima, Y. Nonoyama, H. Hashizume, H. Tanaka, H. Kondo, M. Sekine, and M. Hori

    40th International Symposium on Dry Process 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  699. Synthesis of composite of tin oxide particles and graphene sheets employing the in-liquid plasma process International conference

    Ranjit R. Borude1 , Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo and Masaru Hori

    40th International Symposium on Dry Process 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  700. Nonequilibrium atmospheric pressure plasma activates organic substances for antitumor effects International conference

    Kenji Ishikawa, Yugo Hosoi, Hiromasa Tanaka, Hiroshi Hashizume, Shinya Toyokuni, Fumitaka Kikkawa, Masaaki Mizuno, and Masaru Hori

    40th International Symposium on Dry Process 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  701. Effect of radical irradiation on purple photosynthetic bacteria in various solutions International conference

    M. Takeno, H.Hashizume, M.Hori, and M.Ito

    40th International Symposium on Dry Process 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  702. Promotion of Aspergillus-spore germination through radical irradiation International conference

    T. Goto, M. Shimizu, M. Kato, H. Hashizume, M. Hori and M. Ito

    40th International Symposium on Dry Process 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  703. Influence of Chamber Pressure on the Crystal Quality of Homo-Epitaxial GaN Grown by Radical Enhanced MOCVD (REMOCVD) International conference

    A. F. Wilson, D. Arun Kumar, N. Shimizu, O. Oda, H. Kondo, K. Ishikawa, M. Sekine and M. Hori

    40th International Symposium on Dry Process 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  704. Inactivation of biofilm-forming Pseudomonas aeruginosa using water treated with oxygen radicals International conference

    T. Nagase, Y. Komori, M. Hori and M. Ito

    40th International Symposium on Dry Process 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  705. Challenges to the systematization of the biological interaction by plasmas Invited International conference

    Masaru Hori and Kenji Ishikawa

    2nd Asia-Pacific Conference on Plasma Physics 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Oral presentation (keynote)  

    Country:Japan  

  706. Spatial diagnostics of reactive species in AC-excited atmospheric pressure Ar plasma jet generated in open air International conference

    Keigo Takeda, Ren Kuramashi, Kenji Ishikawa,Takayuki Tsutsumi, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, Masaru Hori

    2nd Asia-Pacific Conference on Plasma Physics 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kanazawa   Country:Japan  

  707. 低温プラズマ科学が拓く未来 Invited

    堀 勝

    プラズマナノ工学研究センター最終記念シンポジウム 

     More details

    Event date: 2018.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  708. Influence of N2/H2 Plasma Irradiation to GaN Substrates for Improving the Interface of the Homoepitaxial GaN Grown by Radical Enhanced MOCVD(REMOCVD) International conference

    Frank Wilson Amalraj, Arun Kumar Dhasiyan, Naohiro Shimizu, Osamu Oda, Nobuyuki Ikarashi, Hirotaki Kondo, KenjiIshkawa, and Masaru Hori

    International Workshop on Nitride Semiconductors 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  709. Plasma Processing for Graphene-Based Materials International conference

    Mineo Hiramatsu, Keigo Takeda, Hiroki Kondo, Masaru Hori

    71st Annual Gaseous Electronics Conference 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Portland, Oregon   Country:United States  

  710. Spatiotemporal behavior of OH radical in AC-excited atmospheric pressure Ar plasma jet generated in open air International conference

    Keigo Takeda, Ren Kuramashi, Kenji Ishikawa ,Takayuki Tsutsumi, Masaru Hori

    71st Annual Gaseous Electronics Conference  

     More details

    Event date: 2018.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Portland, Oregon   Country:United States  

  711. Floating-wire-assisted remote generation of high-density atmospheric pressure inductively coupled plasma International conference

    Thi-Thuy-Nga Nguyen, Minoru Sasaki, Hidefumi Odaka, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori

    71st Annual Gaseous Electronics Conference  

     More details

    Event date: 2018.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Portland, Oregon   Country:United States  

  712. Effects of in-situ irradiation of nitrogen-hydrogen plasma on flatness and composition of GaN surfaces before epitaxial growth by a radical-enhanced metalorganic chemical vapor deposition International conference

    Hiroki Kondo, Amalraj Frank Wilson, Dhasiyan Arun Kumar, Yi Lu, Naohiro Shimizu, Osamu Oda, Kenji Ishikawa, Masaru Hori

    71st Annual Gaseous Electronics Conference 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Portland, Oregon   Country:United States  

  713. 未来を創る低温プラズマ科学技術 Invited

    堀 勝

    金沢工業会 東海支部総会 

     More details

    Event date: 2018.10

    Language:Japanese   Presentation type:Oral presentation (keynote)  

    Venue:D-SQUARE スクエアプラザ(BF)   Country:Japan  

  714. プラズマ活性溶液の合成・分析の現状と今後の展望 Invited

    田中 宏昌、堀 勝

    学振136委員会 第138回研究会 『プラズマ誘起による液中化学反応技術の現状と将来展望」 

     More details

    Event date: 2018.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:東京理科大学 森戸記念会館 第1フォーラム   Country:Japan  

  715. Fundamental Studies of Plasma Species with Organic Materials of Varying Hydrogen and Oxygen Composition by Computational and Experimental Approaches

    Yuske. Fukunaga, P.L.G Ventzek, A.Ranjan, M.sekine, T. Tsutsumi, H.Kondo, K.Ishikawa, L.L.Raja, G Hartmann, G.S.Hwang, M.Hori

    AVS 65th International Symposium & Exhibition 

     More details

    Event date: 2018.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Long Beach CA   Country:United States  

  716. Behaviors of Charged Species in Afterglow of Dual Frequency Pulsed Capacitively Coupled Plasma with a Synchronous Negative DC-bias International conference

    Takayoshi Tsutsumi, T Ueyama, K. Ishikawa, H.Kondo, M.Sekine, Y. Ohya, M.Hori

    AVS 65th International Symposium & Exhibition 

     More details

    Event date: 2018.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Long Beach CA   Country:United States  

  717. Biological Effects of Plasma-Irradiated Oraganic Molecules in Plasma-Treated Liquids. International conference

    Kenji Ishikawa, Y. Hosoi, D.Kanno, Y. Kurokawa, H. Tanaka, M. Mizuno, F.Kikkawa, M.Hori

    AVS 65th International Symposium & Exhibition 

     More details

    Event date: 2018.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Long Beach CA   Country:United States  

  718. Mechanisms for Atomic Layer Etching of Metal Films by the Formation of Beta-diketonate Metal Complexes International conference

    Kazunori Shinoda, H.Kobayashi, N.Miyoshi, K.Kawamura, M.Izawa, K.Ishikawa, M.Hori

    AVS 65th International Symposium & Exhibition 

     More details

    Event date: 2018.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Mechanisms for Atomic Layer Etching of Metal Films by the Formation of Beta-diketonate Metal Complexes   Country:United States  

  719. プラズマが拓く未来社会

    堀 勝

    NAGOYA UNIVERSITY HOMECOMING DAY2018 

     More details

    Event date: 2018.10

    Language:Japanese   Presentation type:Public lecture, seminar, tutorial, course, or other speech  

    Venue:名古屋大学 ES総合館   Country:Japan  

  720. The Mechanism of Surface Modifications of Photoresist Material in Plasma Etching Nano Processes Invited International conference

    Masaru Hori, Kenji Ishikawa, Yan Zhang

    AIMES2018 

     More details

    Event date: 2018.9 - 2018.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Cancun Mexico   Country:Mexico  

  721. Fine Temperature Monitoring of Si Wafer for Plasma Processing Invited International conference

    Masafumi Ito, Takayuki Ohta, Takayoshi Tsutsumi, Masaru Hori

    AIMES2018 

     More details

    Event date: 2018.9 - 2018.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Cancun Mexico   Country:Mexico  

  722. Spectroscopic Measurement of RONS in Interaction of Plasma with Liquid Invited International conference

    Masaru Hori, Timothy R. Brubaker, Kenji Ishikawa, Keigo Takeda, Jun-Seok Oh, Masafumi Ito, Hiromasa Tanaka, Sean D.Knecht, Sven G. Bilen, Fumitaka Kikkawa and Masaaki Mizuno

    The 8th Internationa Workshop on Plasma Spectroscopy 

     More details

    Event date: 2018.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Worcester College Oxford UK   Country:United Kingdom  

  723. ガス滞在時間に依存した成膜前駆体の生成に基づくアモルファスカーボン膜中のsp2炭素結合の制御 International conference

    杉浦 啓嗣、大橋 靖之、賈 凌雲、近藤 博基、石川 健治、堤 隆嘉、竹田 圭吾、関根 誠、堀 勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋国際会議場   Country:Japan  

  724. プラズマ活性ラクテックの抗腫瘍作用物質の解明 Invited

    細井 祐吾, 石川 健治, 橋爪 博司, 田中 宏昌, 吉川 史隆, 水野 正明, 堀 勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:名古屋国際会議場   Country:Japan  

  725. コレステロール含有脂質二重膜形状のプラズマ活性溶液中観察

    山岡壮太郎、橋爪博司、近藤博基、石川健治、田中宏昌、堀勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋国際会議場   Country:Japan  

  726. カーボンナノウォール足場上での電気刺激下細胞培養における分化制御機構

    市川 知範, 近藤 博基, 橋爪 博司, 田中 宏昌, 堤 隆嘉, 石川 健治, 堀 勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋国際会議場   Country:Japan  

  727. In-situ表面解析手法による水素プラズマのSiNx表面改質機構

    中根一也、ルネイ ヘリンカス ヨセフ フェーフィート、堤隆嘉、小林明子、小林伸好、堀勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋国際会議場   Country:Japan  

  728. シュードコリシスティス藻培養への大気圧プラズマ処理効果

    加藤拓海,細井祐吾, 山岡壮太郎, 橋爪博司, 石川健治, 田中宏昌, 堤隆嘉,近藤博基, 関根誠, 堀勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  729. 原子状水素によるアモルファスカーボン膜の欠陥終端機構

    大橋 靖之, 杉浦 啓嗣, 近藤 博基, 石川 健治, 堤 隆嘉, 関根 誠, 堀 勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  730. Cl2プラズマによるGaN高温エッチングのH2添加効果

    大道貴裕、 谷出敦、 石川健治、 堤隆嘉、 近藤博基、 関根誠、 堀勝

    名古屋国際会議場 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018)   Country:Japan  

  731. 液中プラズマを用いて合成したカーボンナノフレークの酸素還元特性における分散溶媒効果

    濱地遼, 天野 智貴, 今井 駿, 近藤 博基,石川 健治, 堀 勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  732. Si系材料のフルオロカーボンプラズマ支援原子層エッチング

    堤 隆嘉、近藤 博基、石川 健治、関根 誠、小林 伸好、堀 勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋国際会議場   Country:Japan  

  733. 高電位試験時における白金微粒子担持カーボンナノウォール電極の分極特性の変化

    今井 駿, 近藤 博基, 石川 健治, 堤 隆嘉, 平松 美根男, 関根 誠, 堀 勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋国際会議場   Country:Japan  

  734. プラズマ工学の過去・現在・未来 Invited

    堀 勝

    2018年 第79回 応用物理学会秋季学術講演会 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:名古屋国際会議場   Country:Japan  

  735. 様々なプラズマ活性溶液による抗腫瘍効果とその細胞内分子機構 International conference

    田中 宏昌、黒川 幸宏、水野 正明、石川 健治、竹田 圭吾、橋爪 博司、中村 香江、梶山 広明、豊國 伸哉、吉川 史隆、堀 勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋国際会議場   Country:Japan  

  736. プラズマ活性ラクテック(PAL)で培養したHeLa細胞応答メカニズム

    前田 昌吾、細井 祐吾、石川 健治、橋爪 博司、田中 宏昌、堤 隆嘉、近藤 博基、関根 誠、堀 勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋国際会議場   Country:Japan  

  737. プラズマ活性乳酸処理グリオーマのメタボロミクス解析(2) International conference

    石川 健治、細井 祐吾、橋爪 博司、田中 宏昌、豊國 伸哉、吉川 史隆、水野 正明、堀 勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋国際会議場   Country:Japan  

  738. 蓚酸カルシウム結晶化過程のプラズマ照射単糖溶液中活性有機物質

    岡部 萌、石川 健治、田中 宏昌、橋爪 博司、堤 隆嘉、近藤 博基、関根 誠、堀 勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋国際会議場   Country:Japan  

  739. 液中プラズマによるナノグラフェン合成におけるヒロドキシル基の効果

    近藤 博基、安藤 睦、石川 健治、堤 隆嘉、平松 美根男、関根 誠、堀 勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋国際会議場   Country:Japan  

  740. プラズマプロセス制御による線幅10 nmの高アスペクト有機薄膜パターン形成

    福永 裕介、堤 隆嘉、石川 健治、近藤 博基、関根 誠、堀 勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋国際会議場   Country:Japan  

  741. Aqueous Reactions of Radicals in Non-equilibrium Atmospheric Pressure Plasma-activated Lactec Solutions

    Yang Liu,Kaede Katsuno,Yugo Hosoi,Kenji Ishikawa,Hiroshi Hashizume,Hiromasa Tanaka,Takayoshi Tsutsumi,Hiroki Kondo,Makoto Sekine,Masaru Hori

     More details

    Event date: 2018.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  742. 和周波発生分光法を用いたプラズマと生体分子の反応解析

    勝谷 稜也、太田 貴之、石川 健治、堀 勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋国際会議場   Country:Japan  

  743. 紫外・可視吸収分光によるラジカル処理したアミノ酸の分析

    岩田直幸, 呉準席, 堀勝, 伊藤昌文

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋国際会議場   Country:Japan  

  744. 酸化窒素ラジカル活性培養液で処理したメラノーマ細胞の不活性化

    小川和馬, 村田富保, 堀勝, 伊藤昌文

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋国際会議場   Country:Japan  

  745. 久米寛, 手老龍吾, 橋爪博司, 近藤博基, 堀勝, 伊藤昌文

    久米寛, 手老龍吾, 橋爪博司, 近藤博基, 堀勝, 伊藤昌文

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  746. ラジカル照射によるコウジカビ胞子の発芽促進

    後藤拓也, 志水元亨, 加藤雅士, 橋爪博司, 堀勝, 伊藤昌文

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋国際会議場   Country:Japan  

  747. 酸素ラジカルで処理した滅菌水を用いた緑膿菌の不活性化

    長瀬智之, 小森由美子, 堀勝, 伊藤昌文

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  748. 各種溶液中の紅色光合成細菌に対するラジカル照射の効果

    嶽野正和, 橋爪博司, 呉準席, 堀勝, 伊藤昌文

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋国際会議場   Country:Japan  

  749. C2HxFy化合物の電子物性と解離(III)

    林 俊雄, 関根 誠, 石川 健治, 堀 勝

    名古屋国際会議場 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  750. Liquid phase plasma assisted synthesis of Tin oxide - Graphene composite International conference

    Ranjit Borude, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo, Masaru Hori

     More details

    Event date: 2018.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  751. GaN結晶のスパッタリング成長方式におけるプラズマ-基板間距離の効果

    谷出 敦, 河野元宏, 高辻茂, 堀越章, 中村 昭平, 木瀬一夫, 灘原 壮一, 西川正純, 江部明憲, 石川健治, 堀勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  752. 高速熱サイクルALEのランプ加熱工程におけるSelf-limiting特性およびW/TiN選択性制御 International conference

    篠田和典, 小林 浩之, 三好 信哉, 川村剛平, 伊澤勝, 石川健治, 堀勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋国際会議場   Country:Japan  

  753. Etching of glass by floating-wire assisted atmospheric pressure plasma

    Thi-Thuy-Nga Nguyen, Minoru Sasaki, Hidefumi Odaka, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori

     More details

    Event date: 2018.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  754. Surface Treatment Method of GaN Substrates for Homoepitaxial GaN Growth by REMOCVD

    Frank Wilson Amalraj, Arun Kumar Dhasiyan, Naohiro Shimizu, Osamu Oda, Hiroki Kondo, Kenji Ishikawa, Masaru Hori

     More details

    Event date: 2018.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  755. Arイオン照射窒化ガリウム表面の塩素吸着挙動

    長谷川将希,堤隆嘉,谷出敦,近藤博基,関根誠,石川健治,堀勝

    2018年 第79回 応用物理学会秋季学術講演会(The 79th JSAP Autumn Meeting 2018) 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋国際会議場   Country:Japan  

  756. 科学とイノベーションとプラズマのアンサンブルで創る未来 Invited

    堀 勝

    プラズマバイオコンソーシアムワークショップ(第1回) 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (keynote)  

    Venue:九州大学伊都キャンパス   Country:Japan  

  757. プラズマ活性ラクテック(PAL)培養したHeLa細胞応答メカニズム

    前田昌吾、細井祐吾、石川健治、橋爪博司、田中宏昌、堤隆嘉、近藤博基、関根誠、堀勝

    第12回プラズマエレクトロニクスインキュベーションホール 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:静岡県 国立中央青少年交流の家   Country:Japan  

  758. Low temperature plasma surface interactions for their future basic researches and applications Invited International conference

    K.Ishikawa, M.Itou, M.Hori

    22nd International Conference Gas Discharges and Their Applications 

     More details

    Event date: 2018.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Serbia   Country:Serbia  

  759. Novel Epitaxial Growth Methods for Nitride Materials by Using Plasma Technology International conference

    O.Oda, F.W.Amlraj,N. Shimizu, H.Kondo, M.Sekine, Y.Tsutumi, K.Ishikawa, H.Kano, N.Ikarashi, H.Amano and M.Hori

    iMiD 2018 The 18th International Meeting on Information Display 

     More details

    Event date: 2018.8

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Busan, Korea   Country:Korea, Republic of  

  760. A New Scheme of Plasma Science and Technology for the Future: Plasma Programmed Processing Invited International conference

    Masaru Hori, Kenji Ishikawa

    2018 Asia-Pacific Conference on Plasma and Terahertz Science 

     More details

    Event date: 2018.8

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Xi'an China   Country:China  

  761. Plasma Agriculture Invited International conference

    2018 Plasma Processing Science GRC  

     More details

    Event date: 2018.8

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:United States  

  762. 未来をつくるプラズマ Invited

    堀 勝

    ひらめきときめきサイエンス 

     More details

    Event date: 2018.8

    Language:Japanese   Presentation type:Oral presentation (keynote)  

    Country:Japan  

  763. In situ Quantitative Analysis of Chlorine Adsorption on Ion-irradiated GaN for Atomic Layer Etching International conference

    Masaki Hasegawa, T. Tsutsumi. H. Kondo, K. Ishikawa, M.Hori

    ALD 2018 

     More details

    Event date: 2018.7 - 2018.8

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  764. Novel cancer treatments based on syntheticapproaches of plasma-activated liquids Invited International conference

    Masaru Hori, Hiromasa Tanaka, Kenji Ishikawa, Fumitaka Kikkawa, and Masaaki Mizuno

    ICMAP 2018 

     More details

    Event date: 2018.7

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:Songdo ConvensiA, Incheon, Korea   Country:Korea, Republic of  

  765. Long -Term Investigation of Radical-Activated Water Invited International conference

    Jun-Seok Oh, Naoyuki Iwata, Takayuki Ohta, Masaru Hori, and Masafumi Ito

    ICMAP 2018 

     More details

    Event date: 2018.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Songdo ConvensiA, Incheon, Korea   Country:Korea, Republic of  

  766. Novel Surface Assisted Laser Desorption/lonization Mass Spectrometry Using Carbon Nanowalls for Analyzing Bio Molecule Invited International conference

    Takayuki Ohta, Kenji ishikawa, Hiroki Kondo, Mineo

    ICMAP 2018 

     More details

    Event date: 2018.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  767. Time-Dependence Monitoring of Sterilization Effects and RONS Concentration in Radical-Activated Water International conference

    Naoyuki Iwata, Jun-Seok Oh, Takayuki Ohta, Masaru Hori, and Masafumi Ito

    ICMAP 2018 

     More details

    Event date: 2018.7

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  768. プラズマ科学による持続可能な地球の創生 Invited

    堀 勝

    プラズマバイオコンソーシアム発足記念式典 

     More details

    Event date: 2018.7

    Language:Japanese   Presentation type:Oral presentation (keynote)  

    Venue:名古屋大学坂田・平田ホール   Country:Japan  

  769. 自動車、医療/バイオ分野で急拡大するプラズマ技術の最前線 Invited

    堀 勝

    日経BP技術者塾 

     More details

    Event date: 2018.7

    Language:Japanese   Presentation type:Public lecture, seminar, tutorial, course, or other speech  

    Venue:HOTELルブラ王山   Country:Japan  

  770. Controlled synthesis of carbon nanomaterials and nanocomposites employing in-liquid plasma Invited International conference

    Hiroki Kondo, Hori Masaru, Hiramatsu Mineo

    THERMEC' 2018 INTERNATIONAL CONFERENCE on PROCESSING & MANUFACTURING OF ADVANCED MATERIALS 

     More details

    Event date: 2018.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:CDS, Paris, France   Country:France  

  771. Challenges of Plasma Sciences to the Creation of a Future Society Invited International conference

    Hori Masaru

    MPS School 

     More details

    Event date: 2018.7

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:Jožef Stefan Institute   Country:Slovenia  

  772. Challenges of Plasma Sciences to the Creation of a Future Society Invited International conference

    Hori Masaru

    Lectures of Sciences Creation of a Future Society and discussions on the possibilities of mutual cooperation in the field of plasma physics. 

     More details

    Event date: 2018.6

    Language:English   Presentation type:Public lecture, seminar, tutorial, course, or other speech  

    Venue:University of West Bohemia   Country:Czech Republic  

  773. KEY CHALLENGES TO BIO AND MEDICAL APPLICATIONS OF PLASMA SCIENCE Invited International conference

    M.Hori, H.Tanaka, K.Ishikawa

    SPPT2018 

     More details

    Event date: 2018.6

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:Czech Technical University   Country:Japan  

  774. Similarities and Differences in Gene Transcription in Plasma-activated Medium International conference

    H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, H. Hashizume, H. Kondo, M. Sekine, K. Nakamura, H. Kajiyama, S. Akiyama, S. Maruyama, S. Toyokuni, F. Kikkawa, M. Hori

    ICPM7 

     More details

    Event date: 2018.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Drexekl University Philadelphia   Country:United States  

  775. Intracellular responses in apoptotic cells to reactive species in plasma treated liquids Invited International conference

    K. Ishikawa, H. Tanaka, T. Kondo, S. Toyokuni, F. Kikkawa, M. Mizuno, and M. Hori

    ICPM7 

     More details

    Event date: 2018.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Drexekl University Philadelphia   Country:United States  

  776. Mechanisms of plasma jets impinging upon liquids International conference

    T. Brubaker, K. Ishikawa, H. Hashizume, H. Tanaka, T. Tsutsumi, H. Kondo,

    ICPM7 

     More details

    Event date: 2018.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Drexekl University Philadelphia   Country:United States  

  777. Evaluation of severity of inflammation after hemostasis with non-thermal plasma Invited International conference

    M. Ueda, D. Yamagami, K. Watanabe, K. Sano, A. Mori, H. Kimura, H. Saji,

    ICPM7 

     More details

    Event date: 2018.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Drexekl University Philadelphia   Country:United States  

  778. Circulating atumor cells (CTC) filtration of non-fluorescent International conference

    Masaru Hori, Naoto Kihara, Kenji Ishikawa, Hidefumi Odaka, Daisuke Onoshima, Yoshinobu Baba

    ICCG12 

     More details

    Event date: 2018.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Congress Centrum Würzburg (CCW)   Country:Germany  

  779. アトミックレイヤーエッチングの基礎 Invited

    堀 勝, 石川 健治

    第28回シンポジウム「アトミックレイヤープロセッシングの基礎と最新技術動向」 

     More details

    Event date: 2018.6

    Language:Japanese   Presentation type:Oral presentation (keynote)  

    Venue:東京大学 弥生キャンパス 弥生講堂 一条ホール   Country:Japan  

  780. 低温プラズマ科学研究へのアプローチ Invited

    堀 勝

    第1回 IWOPA2サテライト研究会 

     More details

    Event date: 2018.6

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:大分県日田温泉 ひなの里 山陽館   Country:Japan  

  781. プラズマ活性ラクテック(PAL)による抗腫瘍効果

    石川健治 細井圭吾 田中宏昌 橋爪博司 豊國伸哉 吉川史隆 水野正明 堀勝

    日本酸化ストレス学会 日本NO学会 合同学術集会 

     More details

    Event date: 2018.5

    Language:Japanese   Presentation type:Poster presentation  

    Venue:京都ホテルオークラ   Country:Japan  

  782. プラズマ科学に基づいたダイナミックエッチング~パルスプラズマ技術の新しい展開とALE技術の応用~ Invited

    堀 勝

    名古屋大-TML共同研究 特別講演会 

     More details

    Event date: 2018.5

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:東京エレクトロン宮城 大和事業所   Country:Japan  

  783. Novel Epitaxial Growth Methods for Nitride Materials with Using Plasma Techonology Invited International conference

    O.Oda, N.Shimizu. H.Kondo, M.Sekine, Y.Ttsutumi, K.Ishikawa, H.Kano, N.Ikarashi, H.Amano and M. Hori

    EMN Greece Meeting 2018 

     More details

    Event date: 2018.5

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Heraklion-Crete, Greece   Country:Greece  

  784. Plasma-Activated Medium Inhibites Metastatic Activivies Of Ovarian Cancer Cells In Vitro Via Repressing Mapk Pathway International conference

    Yang Peng,Hiroki Kajiyama, Kae Nakamura, Fumi Utsumi, Nobuhisa Yoshikawa, Hiromasa Tanaka, Masaaki Mizuno, Shinya Toyokuni, Masaru Hori, Fumitaka Kikkawa

    5th International Workshop on Plasma for Cancer Treatment  

     More details

    Event date: 2018.3

    Language:English   Presentation type:Poster presentation  

    Venue:Greifswald   Country:Germany  

  785. Similarities And Differences In The Cellular Resposnes Between Plasma-Activated Medium-Treated Glioblastomas And Plasma-Activated Ringer's Lactate Solution-Treated Glioblastomas International conference

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Yasumasa Okazaki, Shinya Toyokuni, Shinichi Akiyama, Shoichi Maruyama, Fumitaka Kikkawa, Masaru Hori

    5th International Workshop on Plasma for Cancer Treatment  

     More details

    Event date: 2018.3

    Language:English   Presentation type:Poster presentation  

    Country:Germany  

  786. Intraperitoneal Treatment With Plasma-Activated Liquid Inhibits Peritoneal Metastasis In Ovarian Cancer Mouse Model International conference

    Kae Nakamura,Hiroaki Kajiyama, Yang Peng, Fumi Utsumi, Nobuhisa Yoshikawa, Hiromasa Tanaka, Masaki Mizuno, Shinya Toyokuni, Masaru Hori, Fumitaka Kikkawa

    5th International Workshop on Plasma for Cancer Treatment  

     More details

    Event date: 2018.3

    Language:English   Presentation type:Poster presentation  

    Venue:Greifswald   Country:Germany  

  787. AN INTERACTION OF PLASMA WITH LIQUID FOR MEDICINE AND SYNTHESIS OF NANO-MATERIAL Invited International conference

    Masaru Hori

    International workshop on plasma synthesis of nanomaterials and its applications for sensor devices 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  788. IN-LIQUID PLASMA SYNTHES AND STRUCTURAL CONTROL OF NANOGRAPHENE MATERIALS Invited International conference

    Hiroki Kondo, Masaru Hori, Mineo Hiramatsu

    IInternational workshop on plasma synthesis of nanomaterials and its applications for sensor devices 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  789. A Novel Method for the Growth of Nitrides-Radical Enhanced MOCVD (REMOCVD) International conference

    Dhasiyan Arun Kumar, Osamu Oda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Yuri Trsutumi and Masaru Hori

    International workshop on plasma synthesis of nanomaterials and its applications for sensor devices 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  790. High detection of H2O2 by electrochemical sensor using carbon nanowalls International conference

    M. Tomatsu, M. Hiramatsu, H. Kondo, K. Ishikawa, and M.Hori

    International workshop on plasma synthesis of nanomaterials and its applications for sensor devices 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  791. Time-evolution measurements of the bactericidal ability in radical -activated water International conference

    Naoyuki Iwata, Jun-Seok Oh, Takayuki Ohta, Masaru Hori, Masafumi Ito

    International workshop on plasma synthesis of nanomaterials and its applications for sensor devices 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  792. Effects of deposition conditions and atmospheric pressure plasma surface treatment on optical and electrical properties of carbon films International conference

    Ranjit R.Borude, Hirotsugu Sugiura, Kenji Ishikawa, Takayoshi Tsutsmi, Hiroki Kondo, and Masaru Hori

    International workshop on plasma synthesis of nanomaterials and its applications for sensor devices 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  793. GROWTH CONTROL OF PURPLE PHOTOSYTHETIC BACTERIA USING OXYGEN OR NITRIC OXIDE RADICAL TREATMENT International conference

    Masakazu Takeno,Jun-Seok Oh, Hiroshi Hashizume, Masaru Hori, Masafumi Ito

    International workshop on plasma synthesis of nanomaterials and its applications for sensor devices 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  794. Effects of Surface State of Carbon Nanowalls and Fuel Cell Application International conference

    Shun Imai, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori

    International workshop on plasma synthesis of nanomaterials and its applications for sensor devices 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  795. Cellulose degradation is enhanced by oxygen-radical pretreatment International conference

    Saran Kimoto, Kiyota Sakai, Saki Kojiya, Junya Kamijo, Masahiro Maebayashi, Jun-Seok Oh, Masafumi Ito, Masaru Hori, Motoyuki Shimizu, and Masashi Kato

    IWOPA2 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  796. BEHAVIOR OF THE ELECTRON AND RONS IN GAS AND LIQUID PHASE OF LASER GENERATED PLASMA ACTIVATED MEDIUM International conference

    Takayoshi Tsutsumi,Yukihiro Kurokawa, Keigo Takeda, Kenji Ishikaw, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, and Masaru Hori

    IWOPA2 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  797. Applications of Atmospheric-Pressure Plasma in Agriculture International conference

    Masaru Hori, Hiroshi Hashizume, Yuta Tanaka, Masashi Okachi, Jun-Seok Oh, Takayuki Ohta, Masafumi Ito

    IWOPA2 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  798. Application of Non-thermal Atmospheric Pressure Plasma Technology to Enzyme Degradation of Biomass International conference

    Kiyota Sakai, Kiyota Sakai, Saki Kojiya, Junya Kamijo, Yuta Tanaka, Kenta Tanaka, Masahiro Maebayashi, Jun-Seok Oh, Masafumi Ito, Masaru Hori, Motoyuki Shimizu, Masashi Kato

    IWOPA2 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  799. GROWTH CONTROL OF PURPLE PHOTOSYNTHETIC BACTERIA THROUGH RADICAL IRRADIATION International conference

    Masakazu Takeno,Jun-Seok Oh, Hiroshi Hashizume, Masaru Hori, Masafumi Ito

    IWOPA2 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  800. Inhomogeneity of nitrite anion concentrations in depth and time by convection during and after plasma-liquid interactions International conference

    Kenji Ishikawa, Timothy R. Brubaker, Keigo Takeda, Jun-Seok Oh, Masafumi Ito, Hiroki Kondo, Hiroshi Hashizume, Hiromasa Tanaka, Sean D. Knecht, Sven G. Bilén, Masaru Hori

    IWOPA2 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  801. A Bactericidal Techniquie for Food Hygeine of Raw Horse Meat Using NO and H-radical Irradiation International conference

    Yuto Kitada, Timothy R. Brubaker, Keigo Takeda, Jun-Seok Oh, Masafumi Ito, Hiroki Kondo, Hiroshi Hashizume, Hiromasa Tanaka, Sean D. Knecht, Sven G. Bilén, Masaru Hori

    IWOPA2 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  802. IN-SITU FLUORESCENCE OBSERVATION OF SUPPORTED LIPID BILAYER DUARING OXYGEN-RADICAL IRRADIATION International conference

    Yuto Kitada, Jun-Seok Oh, Toshiya Hayashi, Kenji Ishikawa, Masaru Hori, Masafumi Ito

    IWOPA2 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  803. UV-VIS SPECTROSCOPY STUDY OF RADICAL-ACTIVATED WATER International conference

    Jun-Seok Oh,Naoyuki Iwata, Takayuki Ohta, Hiroshi Hashizume, Masaru Hori, Masafumi Ito

    IWOPA2 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  804. Sum frequency generation spectroscopy for analyzing molecular dynamics of lipid bilayer treated by atmospheric pressure cold plasma International conference

    Ryoya Katsuya, Takayuki Ohta, Kenji Ishikawa and Masaru Hori

    IWOPA2 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  805. MOLECULAR MOBILITY DECREASE OF SUPPORTED LIPID BILAYER USING OXYGEN RADICAL IRRADIATED DDW International conference

    Yutaka Kume, Taisei Kondo, Jun-Seok Oh, Ryugo Tero, Hiroshi Hashizume, Hiroki Kondo, Masaru Hori, Masafumi Ito

    Yutaka Kume, Taisei Kondo, Jun-Seok Oh, Ryugo Tero, Hiroshi Hashizume, Hiroki Kondo, Masaru Hori, Masafumi Ito 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  806. Inactivation of Pseudomonas aeruginosa through direct or indirect irradiation of oxygen radicals International conference

    Tomoyuki Nagase,Yumiko Komori, Masaru Hori, Masafumi Ito

    IWOPA2 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  807. REACTIVE SPECIES DENSITY PROFILES IN EFFULUENT OF THE ATMOSPHERIC PRESSURE PLASMA SOURCE International conference

    Ren Kuramashi, Keigo Takeda, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine and Masaru Hori

    IWOPA2 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  808. A Novel Designed High-density Atomospheric Pressure Inductively Coupled Plasma Remotely Generated at the Downstream Side of a Quartz Tube Using a Floating Wire International conference

    Thi-Thuy-Nga Nguyen, Minoru Aasaki, Hidefumi Odaka, Takayoshi Tsutsumi, Kenji Ishikawa and Masaru Hori

    ISPlasma 2018/IC-PLANTS2018 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  809. Understanding Morphology and Chemical Bonds of Si Surface in Cyclic CF4/Ar Plasma Process by Surface Analysis without Air Exposure International conference

    Atsuki Asano, Takayoshi Tsutsumi, Hiroki Kondo, Kenji Ishikawa and Masaru Hori

    ISPlasma 2018/IC-PLANTS2018 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  810. High Temperature Damegeless Etching of GaN Employing BCl3-Cl2 plasma International conference

    Masato Imamura, Atsushi Tanide, Kenji Ishikawa, Takayoshi Tsustmi, Hiroki Kondo, Osamu Oda, and Masaru Hori

    ISPlasma 2018/IC-PLANTS2018 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  811. Cytotoxic Substances in the Laser Generated Plasma Activated Medium (LPAM) International conference

    Yukihiro Kurokawa, Keigo Takeda, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo and Masaru Hori

    ISPlasma 2018/IC-PLANTS2018 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  812. Polycarbonate Surface after Atmospheric Pressure Plasma Treatments International conference

    Mika Takahashi, Kenji Ishikawa, Naoyuki Kurake, Shingo Kondo, Takahashi Aoki, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine and Masaru Hori

    ISPlasma 2018/IC-PLANTS2018 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  813. Antitumor Agents in the Plasma-activated Lactate in Ringer's Solution (PAL) International conference

    Yugo Hosoi, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Fumitaka Kikkawa, Masaaki Mizuno and Masaru Hori

    ISPlasma 2018/IC-PLANTS2018 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  814. High Quality GAN Crystal Growth Using Radical-Enhanced Metalorganic Chemical Vapor Deposition (REMOCVD)with Optimizing a Shield of Plasma Regions International conference

    Yasuhiro Isobe, Takayuki Sakai, Naoharu Sugiyama, Ichiro Mizushima, Kyoichi Suguro, Naoto Miyashita, Yi Lu, Amalraj Frank Wilson, Dhasiyan Arun Kumar, Hiroki Kondo, Kenji Ishikawa, Naohiro shimizu , Osamu Oda, Makoto Sekine and Masaru Hori.

    ISPlasma 2018/IC-PLANTS2018 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  815. Plasma-enhanced CVD growth of GaN films International conference

    Atsushi Tanide, Shohei Nakamura, Akira Horikoshi, Shigeru Takatsuji, Motohiro Kohno, Kazuo Kinose, Soichi Nadahara, Masazumi Nishikawa, Akinori Ebe, Kenji Ishikawa, Masaru Hori

    ISPlasma 2018/IC-PLANTS2018 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  816. Synthesis of Vertical Graphene Network for Emerging Applications Invited International conference

    K. Takeda,T. Suzuki, H.Iwata, H. Nozaki, T. Ohata, M Ito, M. Hiramatsu, H. Kondo, M. Hori

    ISPlasma 2018/IC-PLANTS2018 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  817. Structure Control of Carbon Nanowallsgrown using in Ductively Coupled Plasma Enhanced Chemical Vapor Deposition International conference

    Takuya Suzuki, Hitoshi Nozaki, Keigo Takeda, Mineo Hiramatsu, Hiroki Kondo, Masaru Hori

    ISPlasma 2018/IC-PLANTS2018 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  818. Development of Exosome Isolation by Porous Glass Device International conference

    Keita Aoki, Hiroshi Yukawa, Daisuke Onoshima, Shuji Ymazaki, Naoto Kihara, Ryohwi Koguchi, Kumiko Takahashi, Hidefumi Odaka, Kenji ishikawa, Masaru Hori and Yoshinobu Baba

    ISPlasma 2018/IC-PLANTS2018 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  819. Activation of Caspase Signaling Pathway in Melanoma Cells using Oxygen-radical-irradiated Medium International conference

    Takayoshi Koizumi, Tomiyasu Murata, Masaru Hori and Masafumi Ito

    ISPlasma 2018/IC-PLANTS2018 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  820. Development of Single Cell Separation and Detection Device for Rapid Microbiologial Analysis International conference

    Kentaro Uchida, Daisuke Onoshima, Hiroshi Yukawa, Kenji Ishikawa, Masaru Hori and Yoshinobu Baba

    ISPlasma 2018/IC-PLANTS2018 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  821. Evaluation of Fungal -spore Activity using Oxygen-radical Treatment International conference

    Yuta Tanaka, Takuya Goto, Jun-Seok Oh, Masashi Kato, Hiroshi Hashizume, Takayuki Ohta, Masaru Hori and Masafumi Ito

    ISPlasma 2018/IC-PLANTS2018 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  822. Long-term Bactericidal Activity of Plasma-Activated Water International conference

    Naoyuki Iwata, Yuto Kitada, jin-Seok Oh, Hiroshi Hashizume, Masaru Hori and Masafumi Ito

    ISPlasma 2018/IC-PLANTS2018 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  823. EPITAXIAL GROWTH OF GaN BY RADICAL -ENHANCED METALORGANIC CHEMICAL VAPOR DEPOSITION(REMOCED)- EFFECT OF InN NUCLEATION LAYER International conference

    Dhasiyan Arun Kumar, Amalraj Frank Wilson, Yi Lu, Shinnosuke Takai, Naohiro Shimizu, Osamu Oda, keido Takeda, Hiroki Kondo, Kenji Ishikawa, makoto Sekine and Masaru Hori

    ISPlasma 2018/IC-PLANTS2018 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  824. Plasma Surface Treatment of Carbon Films Deposited by Magnetron Sputtering International conference

    Ranjit R. Borude, Hirotsugu Sugiura, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo and Masaru Hori

    ISPlasma 2018/IC-PLANTS2018 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  825. Cytotoxic Effects of Fullerenol Enhanced by Atmospheric Pressure Plasma International conference

    Daiki Kanno,Hirotsugu Sugiura, Hiromasa Tanaka, Kenji Ishikawa, Hiroshi Hashizume, Hiroki Kondo and Masaru Hori

    ISPlasma 2018/IC-PLANTS2018 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  826. Visualization of Advection in Plasma-liquid Interactions: Flow Due to an Impinging Plasma Jet International conference

    Timothy R. Brubaker, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsustumi, Hiroki Kondo and Masaru Hori

    ISPlasma 2018/IC-PLANTS2018 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  827. プラズマ科学の新たな潮流とその未来展望 Invited

    堀 勝

    各融合エネルギーフォーラム 改組10周年記念 

     More details

    Event date: 2018.2

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:名古屋大学東山キャンパス   Country:Japan  

  828. 低温プラズマによる高品質イチゴの作出~低温プラズマ技術と産学連携事業~ Invited

    堀 勝

    平成29年度 東山会西三支部研修会 

     More details

    Event date: 2018.1

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:刈谷市産業振興センター   Country:Japan  

  829. プラズマ誘起表面反応の基礎 Invited

    堀 勝

    プラズマ材料科学第153委員会 

     More details

    Event date: 2018.1

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:金沢大学大会議室   Country:Japan  

  830. Challenge to future innovations with plasma life sciences. Invited International conference

    ISVSP 2018 

     More details

    Event date: 2018.1

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:Philippine   Country:Japan  

  831. 先進プラズマ技術によるカーボンナノウォールの制御合成とナノバイオ応用

    第66回CVD研究会 

     More details

    Event date: 2017.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  832. Application of plasmas to biology Invited International conference

    Post ITC OlasmaBio workshop 

     More details

    Event date: 2017.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  833. Challenge to Medical Treatment by a Low Temperature Plasma Invited International conference

    7th NU-SKKU Joint Symposium on Bio-Medical Engineering 

     More details

    Event date: 2017.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  834. Plasma medical science and Plasma-activated medium Invited International conference

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Shinya Toyokuni, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaru Hori

    MRS-Japan 2017 

     More details

    Event date: 2017.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  835. Intracellular responses during incubation in plasma-activated cell culture medium(PAM) International conference

    Kenji Ishikawa,Ryo Furuta, Naoyuki Kurake, Keigo Takeda, Hiroshi Hashizume, Hiromasa Tanaka, Takayuki Ohta, Masafumi Ito, Hiroki Kondo, Makoto Sekine, Masaru Hori

    MRS-Japan 2017 

     More details

    Event date: 2017.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  836. Dynamic of Supported Lipid Bilayers in Plasma-Activated Liquids Observed by High-Speed Atomic Force Microscopy International conference

    Sotaro Yamaoka, Takuya Tonami, Hiroshi Hashizume, Hiroki Kondo, Kenji Ishikawa, Hiromasa Tanaka, Masaru Hori

    MRS-Japan 2017 

     More details

    Event date: 2017.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  837. Plasma-enhanced CVD growth of GaN films International conference

    Atsushi Tanide, Shohei Nakamura, Akira Horikoshi, Shigeru Takatsuji, Motohiro Kohno, Kazuo Kinose, Soichi Nadahara, Masazumi Nishikawa, Akinori Ebe, Kenji Ishikawa, Masaru Hori

    MRS-Japan 2017 

     More details

    Event date: 2017.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  838. Rapid Thermal Cyclic ALE for Conformal Removal of Thin Films Invited International conference

    Masaru Izawa, Kazunori Shinoda, Nobuyuki Miyoshi, Hiroyuki Kobayashi, Yoshihide Yamaguchi, Satoshi Sakai, Kenji Ishikawa, Masaru Hori

    The 82nd IUVSTA Workshop on Plasma-based Atomic Layer Processes 

     More details

    Event date: 2017.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  839. Growth promotion for agriculture stimulated by atmospheric pressure plasma Invited International conference

    Takayuki Ohta, Masafumi Ito, Masaru Hori

    The 10th EU-Japan Joint Symposium on Plasma Processing  

     More details

    Event date: 2017.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  840. Electronic properties of HFC-245fa (1,1,1,3,3-pentafluoropropane) and dissociation paths obtained using computational chemistry International conference

    Toshio Hayashi, Makoto Sekine, Kenji Ishikawa, Masaru Hori

    The 10th EU-Japan Joint Symposium on Plasma Processing  

     More details

    Event date: 2017.12

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  841. An Atomic Layer Etching of SiO2 Film Employing Fluorocarbon and O2 Plasma Chemistry Invited International conference

    Masaru Hori, Takayoshi Tsusumi, Masaru Zaitsu, Akiko Kobayashi, Nobuyoshi Kobayashi

    The 82nd IUVSTA Workshop on Plasma-based Atomic Layer Processes 

     More details

    Event date: 2017.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  842. Effects of ion energies on damages and surface morphologies in a high-temperature Cl2 plasma etching of GaN International conference

    Masaru Hori, Kenji Ishikawa, Hiroki Kondo

    15th Aakasaki Reserch Center Symposium  

     More details

    Event date: 2017.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  843. プラズマ材料科学学振153委員会におけるプラズマ研究の歩みと今後の展開 Invited

    堀 勝

    プラズマカンファレンス2017 

     More details

    Event date: 2017.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  844. DDWとPBS中での脂質二重膜蛍光像への酸素ラジカル照射の影響のその場観察

    近藤 大成 呉 準席 手老 龍吾 橋爪 博司 近藤 博基 堀 勝 伊藤 昌史

    プラズマカンファレンス2017 

     More details

    Event date: 2017.11

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  845. 各種ラジカル照射された馬肉水抽出液の可視吸光分光測定

    北田 悠人 呉 準席 林 利哉 石川 健治 堀 勝 伊藤 昌史 

    プラズマカンファレンス2017 

     More details

    Event date: 2017.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  846. 未来の健康長寿社会を実現するプラズマ医療技術への挑戦 Invited

    石川 健治

    プラズマカンファレンス2017 

     More details

    Event date: 2017.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  847. プラズマ活性ラクテック(PAL)内成分の物理化学解析と抗腫瘍効果の解明

    細井 祐吾 石川 健治 田中 宏昌 橋爪 博司 水野 正明 堀 勝

    プラズマカンファレンス2017 

     More details

    Event date: 2017.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  848. 酸素ラジカル照射培養液で処理したメラノーマ細胞におけるアポトーシス誘導因子の活性化

    小泉 貴義 村田 富保 堀 勝 伊藤 昌文

    プラズマカンファレンス2017 

     More details

    Event date: 2017.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  849. 酸素ラジカル処理されたコウジカビ胞子群の成長制御

    田中 優太 呉 準席 加藤 雅士 橋爪 博司 太田 貴之 堀 勝 伊藤 昌史

    プラズマカンファレンス2017 

     More details

    Event date: 2017.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  850. 酸化窒素ラジカル照射溶液中での出芽酵母増殖因子の解明

    岡地 正嗣 呉 準席  橋爪 博司 堀 勝 伊藤 昌史

    プラズマカンファレンス2017 

     More details

    Event date: 2017.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  851. Behaviors of electrons and fluorocarbon radicals in synchronized dc-imposed pulsed plasma International conference

    T.Ueyama,T.Tsutsumi, K.Takeda, H.Kondo, K.shikawa, M.Sekine, Y.Ohya, M.Hori

    International Symposium on Dry Process 

     More details

    Event date: 2017.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tokyo Tech Front   Country:Japan  

  852. Carbon nanowall (CNW) electrochemical H2O2 sensor International conference

    T.Tomatsu,M.Hiramatsu, K.Ishsikawa, H.Kondo, M.Hori

    International Symposium on Dry Process 

     More details

    Event date: 2017.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tokyo Tech Front   Country:Japan  

  853. Fluoropolymer membrane filters for a cell filtration International conference

    Naoto Kihara, Hidefumi Odaka, Daiki Kuboyama, Daisuke Onoshima, Ryohei Koguchi, Hiromasa Tanaka, Tesumari Hase, Hiroshi Yukawa, Kenji Isikawa, Yoshinori Hasegawa, Yoshinobu Baba, Masaru Hori.

    International Symposium on Dry Process 

     More details

    Event date: 2017.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tokyo Tech Front   Country:Japan  

  854. Dissociative properties of 1,1,1,2-tetrafluoroethane(HFC-134a)obtained using computational chemistry International conference

    T.hayashi, M.Sekine, K.Ishikawa, M.Hori

    International Symposium on Dry Process 

     More details

    Event date: 2017.11

    Language:English   Presentation type:Poster presentation  

    Venue:Tokyo Tech Front   Country:Japan  

  855. Ag film etching by halogen gas plasma International conference

    T.Sasaki, A.Asano, Y.Miyawaki, K.Yoshikawa, K.Furumoto, K.Kikutani, I.Sakai, H.Hayashi,M.Sekine,M.Hori

    T.Sasaki, A.Asano, Y.Miyawaki, K.Yoshikawa, K.Furumoto, K.Kikutani, I.Sakai, H.Hayashi,M.Sekine,M.Hori 

     More details

    Event date: 2017.11

    Language:English   Presentation type:Poster presentation  

    Venue:Tokyo Tech Front   Country:Japan  

  856. Behavior of negative ions in asymmetric capacitively coupled plasma discharge produced in Ar/O2/C4F8 gas mixture at 100MHz International conference

    T.Tsutsumi, N.Sirse, M.Sekine, A.R.Ellingbo, M.Hori

    International Symposium on Dry Process 

     More details

    Event date: 2017.11

    Language:English   Presentation type:Poster presentation  

    Venue:Tokyo Tech Front   Country:Japan  

  857. Characterization of arc plasma for syntheses of highly crystalline single-walled carbon nanotubes(SWNTs) International conference

    International Symposium on Dry Process 

     More details

    Event date: 2017.11

    Language:English   Presentation type:Poster presentation  

    Venue:Tokyo Tech Front   Country:Japan  

  858. Effects of residence time on dissociation of precursors at deposition of amorphous carbon film by H2/CH4 plasma International conference

    Hirotsugu Sugiura, Lingyun Jia, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Masaru Hori

    International Symposium on Dry Process 

     More details

    Event date: 2017.11

    Language:English   Presentation type:Poster presentation  

    Venue:Tokyo Tech Front   Country:Japan  

  859. Spatial distribution of ionic species in plasma plume of an atmospheric pressure He plasma jet International conference

    Jun-Seok Oh, Yoshihiro Nakai, Mineko Hiramatsu, Masaru Hori, Masafumi Ito

    International Symposium on Dry Process 

     More details

    Event date: 2017.11

    Language:English   Presentation type:Poster presentation  

    Venue:Tokyo Tech Front   Country:Japan  

  860. Fabrication of fuel cell electrocatalytic layer using nanographene synthesized by in-liquid plasma International conference

    K.Kajikawa, K,Takeda, M.Hiramatsu, H.Kondo, M.Hori

    International Symposium on Dry Process 

     More details

    Event date: 2017.11

    Language:English   Presentation type:Poster presentation  

    Venue:International Symposium on Dry Process   Country:Japan  

  861. Plasma-induced reactions and damage reduction in high temperature chlorine plasma etching of GaN International conference

    Kenji Ishikawa, Plasma-induced reactions and damage reduction in high temperature chlorine plasma etching of GaN

    International Symposium on Dry Process 

     More details

    Event date: 2017.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:International Symposium on Dry Process   Country:Japan  

  862. PS+AS+SS-MoA9 Effect of Temporal Variation of Discharge on Photon-induced Interface Defects in Pulse-modulated Inductively Coupled Plasma International conference

    Yasufumi Miyoshi, M.Fukasawa, K.Nagahata, K.Ishikawa, M.Sekine, M.Hori, T.Tatsumi

    The AVS 64th International Symposium &Exhibition 

     More details

    Event date: 2017.10 - 2017.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tampa   Country:United States  

  863. PB+BI+PS-TuM5 Multiplex Coherent Anti-Stokes Raman Scattering (CARS) Observations of HeLa Cells Cultured in Non-equilibrium Atmospheric Pressure-Plasma-Activated Medium(PAM) International conference

    Kenji Ishikawa, R.Furuto, K.Takeda, T.Ohta, M.ito, H.hashizume, H.Tanaka, H.Kondo, M Sekine, M.Hori

    The AVS 64th International Symposium &Exhibition 

     More details

    Event date: 2017.10 - 2017.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tampa   Country:United States  

  864. プラズマ利用の現状(半導体プロセスから医療応用までの概観) Invited

    堀 勝

    芝浦メカトロニクス株式会社 

     More details

    Event date: 2017.10

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:芝浦メカトロニクス 横浜事業所 54K 小ホール   Country:Japan  

  865. プラズマ精密加工と医療科学への応用~癌治療とその実際~ Invited International conference

    堀 勝

    光部品生産技術部会 

     More details

    Event date: 2017.10

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:機械振興会館別館4階   Country:Japan  

  866. Selective atomic-level etching of nitride films using infrared annealing for next-generation semiconductor device manufacturing Invited International conference

    N. Miyoshi, H. Kobayashi, K. Shinoda, M. Kurihara, T. Watanabe, Y. Kouzuma, K. Yokokawa, K. Kawamura, M. Izawa, K. Ishikawa, and M. Hori

    Advanced Metallization Conference plus 2017, 27th Assian session 

     More details

    Event date: 2017.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Japan   Country:Japan  

  867. An Utrahigh Rate Synthesis of Nanographenes Employing a Triple Phase Plasma Invited International conference

    Masaru Hori

     More details

    Event date: 2017.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:National Dong Hwa University   Country:Taiwan, Province of China  

  868. 材料・医療・農業を革新するプラズマ最新技術 Invited

    堀 勝

    企業向け人材育成講座技術者塾 

     More details

    Event date: 2017.10

    Language:Japanese   Presentation type:Oral presentation (keynote)  

    Venue:ホテルルブラ王山(愛知県 名古屋)   Country:Japan  

  869. プラズマが牽引する医療革命・農業革命・水産革命 Invited

    堀 勝

    第3回EAJ中部レクチャー 

     More details

    Event date: 2017.10

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:名古屋大学減災連携研究センター 減災ホール   Country:Japan  

  870. 最先端プラズマ科学に基づいたプロセス制御と未来産業への展望

    堀 勝 石川 健治 関根 誠

    化学工業会 第49回秋季大会(2017) 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学 東山キャンパズ   Country:Japan  

  871. プラズマ科学とその医療への展開

    堀 勝

    日本ハイパーサーミア学会 第34回大会 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:京都テルサ   Country:Japan  

  872. Process Plasma Diagnostics for PECVD and Etching Invited International conference

    M.Hori

    The 11th Anniversary Asian-European International Conference on Plasma Surface Engineering (AEPSE 2017)  

     More details

    Event date: 2017.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Korea   Country:Korea, Republic of  

  873. Novel laser desorption / inoization method using carbon nanowalls for mass spectrometry International conference

    Hironori Ito, Takayuki Ohta, Kenji Ishikawa, Hiroki Kondo, Mineo Hiramatsu, Masaru Hori

    The 11th Anniversary Asian-European International Conference on Plasma Surface Engineering (AEPSE 2022) 

     More details

    Event date: 2017.9

    Language:English   Presentation type:Poster presentation  

    Venue:Korea   Country:Japan  

  874. Synthesis of copper oxide nanoparticles using gas-liquid interface plasma reduction International conference

    Akira Ito, Takayuki Ohta, Masaru Hori

    The 11th Anniversary Asian-European International Conference on Plasma Surface Engineering (AEPSE 2022) 

     More details

    Event date: 2017.9

    Language:English   Presentation type:Poster presentation  

    Venue:Korea   Country:Korea, Republic of  

  875. Oxygen radical treatment of Aspergillus flavus spores International conference

    Yuta Tanaka, Jun-Seok Oh, Hiroshi Hashizume, Masashi Kato, Masaru Hori, and Masafumi Ito

    The 11th Anniversary Asian-European International Conference on Plasma Surface Engineering (AEPSE 2017)  

     More details

    Event date: 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Korea   Country:Korea, Republic of  

  876. In-situ observation of molecular diffusion supported lipid bilayer irradiated oxygen radicals International conference

    Taisei Kondo, Jun-Soek Oh, Ryugo Tero, Hiroshi Hashizume, Hiroki Kondo, Masaru Hori, Masafumi Ito

    The 11th Anniversary Asian-European International Conference on Plasma Surface Engineering (AEPSE 2017)  

     More details

    Event date: 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Korea   Country:Korea, Republic of  

  877. In-situ observation of molecular diffusion supported lipid bilayer irradiated oxygen radicals International conference

    Taisei Kondo, Jun-Soek Oh, Ryugo Tero, Hiroshi Hashizume, Hiroki Kondo, Masaru Hori, Masafumi Ito

    The 11th Anniversary Asian-European International Conference on Plasma Surface Engineering (AEPSE 2017)  

     More details

    Event date: 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Korea   Country:Korea, Republic of  

  878. Elucidation of electrochemical and polarization characteristics of Pt-nanoparticles supported carbon nanowalls for fuel cell application International conference

    Shun Imai, Kenichi Naito, Hiroki Kondoondo, Hyungjun Cho, Hiroyuki Kano, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, Masaru Hori

    The 11th Anniversary Asian-European International Conference on Plasma Surface Engineering (AEPSE 2017)  

     More details

    Event date: 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Korea   Country:Korea, Republic of  

  879. A Novel Controlling Method of differentiation of Cultured Cells on Carbon Nanowalls Scaffold with an Electric Stimulationan International conference

    Tomonori Ichikawa, Suiki Tanaka, Hiroki Kondo, Kenji Ishikawa, Kenji Ishikawa, Hiroshi Hasizume, Hiromasa Tanaka and Masaru Hori

    The 11th Anniversary Asian-European International Conference on Plasma Surface Engineering (AEPSE 2018) 

     More details

    Event date: 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Korea   Country:Korea, Republic of  

  880. Fullerenol-addition effects on Plasma-Activated Medium International conference

    Daiki Kanno, Hiromasa Tanaka, Kenji Ishikawa, Hiroshi Hashizume, Hiroki Kondo, Makoto Sekine, Masaru Hori

    The 11th Anniversary Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     More details

    Event date: 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Korea   Country:Korea, Republic of  

  881. "Visible light absorption spectrometry of aqueous extract of raw horse meat irradiated with oxygen radicals. International conference

    Yuto Kitada, Toshiya Hayashi, Kenji Ishikawa, Masaru Hori, Masafumi Ito

    The 11th Anniversary Asian-European International Conference on Plasma Surface Engineering (AEPSE 2020) 

     More details

    Event date: 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Korea   Country:Korea, Republic of  

  882. Effects of oxygen radical treatment on purple photosynthetic bacteria International conference

    Masakazu Takeno,Jun-Seok Oh, Hiroshi Hashizume, Masaru Hori, and Masafumi Ito

    The 11th Anniversary Asian-European International Conference on Plasma Surface Engineering (AEPSE 2021) 

     More details

    Event date: 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Korea   Country:Korea, Republic of  

  883. Apoptosis induction of melanoma cells treated with oxygen radical-irrated medium International conference

    Takayoshi Koizumi, Tomiyasu Murata, Masaru Hori, Masafumi Ito

    The 11th Anniversary Asian-European International Conference on Plasma Surface Engineering (AEPSE 2022) 

     More details

    Event date: 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:korea   Country:Korea, Republic of  

  884. Ambient control during preparations of the plasma-activated medium (PAM) for antitumor effects International conference

    Yugo Hosoi, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, Masaru Hori

    The 11th Anniversary Asian-European International Conference on Plasma Surface Engineering (AEPSE 2022) 

     More details

    Event date: 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Korea   Country:Korea, Republic of  

  885. Proliferative effects of budding yeast cells irradiated with nitric oxide radicals and oxygen radicals International conference

    Masashi Okachi, Jun-Seok Oh, Hiroshi Hashizume, Masaru Hori, Masafumi Ito

    The 11th Anniversary Asian-European International Conference on Plasma Surface Engineering (AEPSE 2022) 

     More details

    Event date: 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Korea   Country:Korea, Republic of  

  886. Laser diagnostics of properties of atmospheric pressure

    YuChun Lin, Atsushi Ando, Kenji Ishikawa, Masaru Hori, Meng-Jiy Wang

     More details

    Event date: 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  887. プラズマ照射有機溶液を用いたシュウ酸カルシウム結晶の生成機構

    岡部 萌、倉家 尚之、石川 健治、田中 宏昌、橋爪 博司、堤 隆嘉、近藤 博基、関根 誠、堀 勝

    第78回応用物理学会秋季学術講演会 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場 国際センター 福岡サンパレス   Country:Japan  

  888. ポリカーボネート表面のプラズマ処理による接着力増強効果

    高橋 美香、倉家 尚之、石川 健治、近藤 真悟、青木 孝司、堤 隆嘉、近藤 博基、関根 誠、堀 勝

    第78回応用物理学会秋季学術講演会 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場 国際センター 福岡サンパレス   Country:Japan  

  889. 非平衡大気圧プラズマ源の構造検討

    勝野 楓、石川 健治、堤 隆嘉、竹田 圭吾、橋爪 博司、田中 宏昌、近藤 博基、関根 誠、堀 勝

    第78回応用物理学会秋季学術講演会 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場 国際センター 福岡サンパレス   Country:Japan  

  890. "ラジカル注入型プラズマ励起化学気相堆積法においてRF

    杉浦 啓嗣、近藤 博基、石川 健治、堤 隆嘉、竹田 圭吾、関根 誠、堀 勝

    第78回応用物理学会秋季学術講演会 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場 国際センター 福岡サンパレス   Country:Japan  

  891. ガラスの絶縁破壊微細貫通加工と絶縁性液体塗布の効果

    村上 開士、吉武 尚樹、石川 健治、裏地 啓一郎、龍腰 健太郎、堤 隆嘉、近藤 博基、堀 勝

    第78回応用物理学会秋季学術講演会 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場 国際センター 福岡サンパレス   Country:Japan  

  892. "ハイドロフルオロカーボンガスプラズマ活性種と絶縁膜

    武田 直己、張 彦、林 俊雄、関根 誠、近藤 博基、石川 健治、堀 勝

    第78回応用物理学会秋季学術講演会 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場 国際センター 福岡サンパレス   Country:Japan  

  893. 高温での窒化ガリウム低損傷塩素エッチング

    石川 健治、劉 沢成、今村 真人、堤 隆嘉、近藤 博基、小田 修 、関根 誠、堀 勝

    第78回応用物理学会秋季学術講演会 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場 国際センター 福岡サンパレス   Country:Japan  

  894. A Challenge of Plasma Activated Medium to the Medical Care International conference

    H. Tanaka, M. Mizuno, F. Kikkawa, and M. Hori

    ESB2017 

     More details

    Event date: 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Greece  

  895. A Challenge for Future Carbon Devices by Advanced Plasma Nano-Processes Invited International conference

    M.Hori

    The 15th International Conference on Advanced Materials (IUMRS-ICAM 2017) 

     More details

    Event date: 2017.8 - 2017.9

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:Japan   Country:Japan  

  896. Carrying Knowledge into a New Vision Invited International conference

    M.Hori

    23rd International Symposium on Plasma chmistry  

     More details

    Event date: 2017.7 - 2017.8

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:Montreal, Canada   Country:Canada  

  897. 低温プラズマ材料科学のマイルストーン

    堀 勝

    プラズマ材料科学153委員会 30周年記念講演会 プラズマ材料科学の未来 

     More details

    Event date: 2017.7

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:東京工業大学 蔵前会館「くらまえホール」   Country:Japan  

  898. Profile Control of ALD-SiO2 Film Assisted by Alternating ALE Process of Fluorocarbon Depositionn and O2 Plasma Etching International conference

    Masaru Zaitsu, T.Tsutsumi, A. Kobayashi, H.Kondo, M.Hori, T.Nozawa, N. Kobayashi

    ALD 2017 

     More details

    Event date: 2017.7

    Language:English   Presentation type:Poster presentation  

    Country:United States  

  899. Plasma-material interactions; diagnostics and control Invited International conference

    M. Hori

     More details

    Event date: 2017.7

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:Lisbon   Country:Portugal  

  900. Measurement of nitrogen and oxygen atom density in N2/Ar sputtering plasma for fabrication of high-mobility amorphous in 2O3: Sn films International conference

    Masaharu Sshiratani, Toshiyuki aTakasaki, Han Wang, Koichi Matsushima, Hyunwoong Seo, Kazunori Koga, Keigo Takeda, Masaru Hori and Naho Itagaki

     More details

    Event date: 2017.7

    Language:English   Presentation type:Poster presentation  

    Venue:Lisbon   Country:Portugal  

  901. Effect of nitric oxide radicals on the proliferation of budding yeast International conference

    Masafumi Ito, Masashi Okachi, Jun-Seok Oh, Hiroshi Hashizume, M. Hori

    International Conference On Phenomena In Ionized Gases 2017 

     More details

    Event date: 2017.7

    Language:English   Presentation type:Poster presentation  

    Venue:Lisbon   Country:Portugal  

  902. In-liquid plasma processing for nano-material and bio applications Invited International conference

    Hori Masaru

    JSPS-ARRS 

     More details

    Event date: 2017.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Slovenia  

  903. Programmed Process For Synthesis of Calsium Oxalate Crystals Ina Bufferd Glucose Solution By Irradiation With Non-Equilibrium Atomosphereic-Pressure Plasma International conference

    Kenji Ishikawa, N.Kurake, H. Tanaka, K. Nakamura, H. Kajiyama, F. Kikkawa, M. Mizuno, Y.Yamanishi, Y.Ikehara and M. Hori

    8th International Conference on Plasma Nanoscience 

     More details

    Event date: 2017.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Belgium  

  904. 大気圧プラズマによるがん治療への挑戦

    堀 勝

    平成29年度名古屋大学協力会総会・講演会 『がん治療開発の最前線』 

     More details

    Event date: 2017.7

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学 ES総合館 ESホール   Country:Japan  

  905. Interactions of Plasma Activated Medium with Cells Invited International conference

    M. Hori, Hiromasa Tanaka and Kenji Ishikawa

    ISPB2017 

     More details

    Event date: 2017.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Jeju, Korea   Country:Korea, Republic of  

  906. 医療を革新するプラズマ技術 Invited

    堀 勝

    日経BP技術者塾 

     More details

    Event date: 2017.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:エッサム神田ホール(東京都)   Country:Japan  

  907. Structure Control of State of The Arts in Plasma Medical Science Invited International conference

    M.Hori, H.Tanaka, K.Ishikawa, K.Takeda, H.Hashizume, H.Kondo,M.Sekine, M.Mizuno, S.Toyokuni, F.Kikkawa

    The 1st International Conference on Plasma medical Science Innovation 

     More details

    Event date: 2017.2

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Nagoya University, AICHI   Country:Japan  

  908. Intracellular Changes of Reactive Oxygen and Nitrogen Species in HeLa Cells Induced by Plasma-Activated Medium International conference

    R.Furuta, K.Ishikawa, H.Hashizume, H.Tanaka, K.Takeda, T.Ohta, H.Kondo, M.Ito, M.Sekine, M.Hori

    The 1st International Conference on Plasma medical Science Innovation 

     More details

    Event date: 2017.2

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya University, AICHI   Country:Japan  

  909. Antitumor Effect of Culture Medium Irradiated with Non-Equilibrium Atmospheric Pressure Plasmas under Purge of Ambient by N2 and O2 Mixtures Invited International conference

    Y.Hosoi, R.Furuta, N.Kurake, K.Ishikawa, H.Hashizume,H.Tanaka, K.Takeda, H.Kondo, M.Sekine, M.Hor

    The 1st International Conference on Plasma medical Science Innovation 

     More details

    Event date: 2017.2

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya University, AICHI   Country:Japan  

  910. Synthesis and Crystallization of oxalates in Glucose-Containing Liquid Irradiated with Non-Equilibrium Atmospheric Pressure Plasmas International conference

    N.Kurake, H.Tanaka, K.Ishikawa, K.Nakamura, H.Kajiyama. F.Kikkawa, M.Mizuno, K.takeda,H.Kondo, M.Sekine, M.Hori

    The 1st International Conference on Plasma medical Science Innovation 

     More details

    Event date: 2017.2

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya University, AICHI   Country:Japan  

  911. A Mechanism on Egneration of H2O2 and NO2- in Plasma Activated Medium International conference

    N.Kurake, H.Tanaka, K.Ishikawa, K.Nakamura, H.Kajiyama, F.Kikkawa, T.Kondo, M.Mizuno,K.Takeda, H.Kondo, M.Sekine, M.Hori

    The 1st International Conference on Plasma medical Science Innovation 

     More details

    Event date: 2017.2

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya University, AICHI   Country:Japan  

  912. Enhancement of Cancer Cell Killing Effect of Hydrogen Peroxide by Nitrite Existence in Plasma Activate Medium International conference

    N.Kurake, H.Tanaka, K.Ishikawa, K.Nakamura, H.Kajiyama, F.Kikkawa, M.Mizuno, K.Takeda, H.Kondo, M.Sekine, M.Hori

    The 1st International Conference on Plasma medical Science Innovation 

     More details

    Event date: 2017.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University, AICHI   Country:Japan  

  913. Time Evolution of Reactive Oxygen Nitrogen Species in Plasma-Activated Liquids International conference

    Timothy R. Brubaker, K.Ishikawa, K.Takeda, H.Hashizume, H.Tanaka, H.Kondo, M.Sekine, M.Hori

    The 1st International Conference on Plasma medical Science Innovation 

     More details

    Event date: 2017.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University, AICHI   Country:Japan  

  914. Effects of Dielectric walls on Atmospheric-Pressure Plasma Discharges Invited International conference

    K.Katsuno, N.Kurake, K.Ishikawa, K.Takeda, H.Hashizume, H.Tanaka, H.Kondo, M.Sekine, M.Hori

    The 1st International Conference on Plasma medical Science Innovation 

     More details

    Event date: 2017.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University, AICHI   Country:Japan  

  915. Dynamic Observations on Morphology of HeLa Cell Membranes in Plasma Activated Medium by high-speed Atomic Force Microscopy International conference

    S.Yamaoka, Y.Tonami, H.Hashizume, H.Kondo, K.Ishikawa, K.Takeda, H.Tanaka, M.Sekine, M.Ito, M.Hor

    The 1st International Conference on Plasma medical Science Innovation 

     More details

    Event date: 2017.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University, AICHI   Country:Japan  

  916. Measurement of Activate Oxygen/Nitrogen Species in Plasma Treated Water for Promoting Growth of Crops International conference

    K.Yamamasu, K.Yamashita, T.Ohta, M.Ito, M.Hori

    The 1st International Conference on Plasma medical Science Innovation 

     More details

    Event date: 2017.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University, AICHI   Country:Japan  

  917. Apoptosis Induction of Melanoma Cells Treated with Radical-Irradiated Medium International conference

    T.Koizumi, T.Murata, M.Hori, M.Ito

    The 1st International Conference on Plasma medical Science Innovation 

     More details

    Event date: 2017.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University, AICHI   Country:Japan  

  918. Decomposition of Arginine by Atomic Oxygen Radical Observed with Surface assisted Laser Desorption/Ionization mass Spectrometry International conference

    H.Ito, T.Ohta, K.Ishikawa, M.Hiramatsu, M.Hori

    The 1st International Conference on Plasma medical Science Innovation 

     More details

    Event date: 2017.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University, AICHI   Country:Japan  

  919. Efficacy of Intraperitoneal Therapy with Plasma-Activated Medium (PAM) Targeting Micrometastasis in Gastric Cancer in Nude Mice International conference

    H.Nakamishi, H.Tanaka, K.Ishikawa, M.Hori, Y.Ikehara

    The 1st International Conference on Plasma medical Science Innovation 

     More details

    Event date: 2017.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University, AICHI   Country:Japan  

  920. Dynamic Observations on Morphology of HeLa Cell Membranes in PlaPlasma Activated Tedium Stimulation may Affect Low Serum Culture ASCs Cytokine Secretion sma Activated Medium by high-speed Atomic Force Microscopy International conference

    Y.Kamimura, M.Tsuboi, H.Tanaka, M.Hori

    The 1st International Conference on Plasma medical Science Innovation 

     More details

    Event date: 2017.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University, AICHI   Country:Japan  

  921. Anti-Cancer Effect on Cancer-Initiating Cells Treated with Plasma-Activated Medium(PAM) in Cancer Cells International conference

    J.Ikeda, H.Tanaka, K.Ishikawa, H.Sakakita, Y.Ikehara, M.Hori

    The 1st International Conference on Plasma medical Science Innovation 

     More details

    Event date: 2017.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University, AICHI   Country:Japan  

  922. Mechanism of Blood Coagulation Induced by Low Temperature Plasma Treatment International conference

    Y.Ikehara, S.Ikehara, Y.Akimoto, H.Sakakita, K.Ishiakawa, M.Ueda, J.Ikeda, J.Kim, T.Yamaguchi,H.nakanishi, T.Shimizu, N.Shimizu, M.Hori

    The 1st International Conference on Plasma medical Science Innovation 

     More details

    Event date: 2017.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University, AICHI   Country:Japan  

  923. Molecular Morphological Study of Healing Wounded Skin Treated with Low-Temperature Plasma: Comparison with Treatment by Electronical Coagulation International conference

    Y.Akimoto, S.Ikehara, T.Yamaguchi, J.Kim, H.kawakami, N.Shimizu, M.Hori, H.Sakakita, Y.Ikehara

    The 1st International Conference on Plasma medical Science Innovation 

     More details

    Event date: 2017.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University, AICHI   Country:Japan  

  924. Elucidation of the Effects of Plasma-Activated Medium on Supported Lipid Bilayers by employing High-Speed Atomic Force Microscopy International conference

    T.Tonami, N.Kurake, K.Takeda, K.Ishikawa, H.Hashizume, H.Tanaka, K.Takeda, T.Ohta, H.Kondo, M.Ito, M.Sekine, M.Hori

    The 1st International Conference on Plasma medical Science Innovation 

     More details

    Event date: 2017.2

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya University, AICHI   Country:Japan  

  925. Effect of Plasma-Treatment of The In Vitro Growth Of Trypanosoma Brucei International conference

    N.Yokoyama, H.Sakakita, Y.Akimoto, T.Sivalumar, M.Inoue, K.Ishikawa, M.Hori, Y.Ikehara

    The 1st International Conference on Plasma medical Science Innovation 

     More details

    Event date: 2017.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University, AICHI   Country:Japan  

  926. Application of Non-Thermal Atmospheric Pressure Plasma Irradiation to Spontaneously Developed Melanoma in Mice International conference

    M.Iida, U.Omata, T.Kajiwara, I.Yajima, M.Hori

    The 1st International Conference on Plasma medical Science Innovation 

     More details

    Event date: 2017.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University, AICHI   Country:Japan  

  927. Comparison of Inflammation after Hemostasis with Non-Thermal Plasma and thermal Coagulation by Using Molecular Imagining Technique International conference

    M.Ueda, D.Yamagami, A.Morioka, K.Watanabe, K.Sano, A.Mori, H.Kimura, H.Saji, H.Sakakita, Y.Ikehara, K.Ishikawa, M.Hori, S.Enomoto

    The 1st International Conference on Plasma medical Science Innovation 

     More details

    Event date: 2017.2

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya University, AICHI   Country:Japan  

  928. ehavior of OH Radical in Gas-Liquid Interface Region on Ac Excided Non-Equilibrium Atmospheric Pressure Plasma Jet Irradiation to Liquid International conference

    R. Kuramashi, K.Takeda, K.Ishikawa, H.tanaka, H.Kondo, M.Sekine, M.Hori

    The 1st International Conference on Plasma medical Science Innovation 

     More details

    Event date: 2017.2

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya University, AICHI   Country:Japan  

  929. Anti-Tumor Effects of Plasma-Activated Medium Which Contains Fullerenol International conference

    D.Kanno, N.Kurake, H.Tanaka, H.Hashizume, K.Takeda, H.Kondo, K.Ishikawa, M.Sekine, M.Hori

    The 1st International Conference on Plasma medical Science Innovation 

     More details

    Event date: 2017.2

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya University, AICHI   Country:Japan  

  930. Antitumor Effects of Laser-Generated Plasma Activated Medium (LPAM) with and without Catalase International conference

    Y.Kurokawa, N.Kurake, K.Takeda, K.Ishikawa, H.Hashizume, H.Tanaka, H.Kondo, M.Sekine, M.Hori

    The 1st International Conference on Plasma medical Science Innovation 

     More details

    Event date: 2017.2

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya University, AICHI   Country:Japan  

  931. 医療、生体、バイオの表面技術 Invited

    堀 勝

    ASTEC 2017 第12回先端表面技術展・会議 

     More details

    Event date: 2017.2

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:東京ビッグサイト(東京国際展示場)   Country:Japan  

  932. Summarization of Plasma Medical Science and Future Prospective Invited International conference

    Masaru Hori

    34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science 

     More details

    Event date: 2017.1

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:Hokkaido University, Sapporo   Country:Japan  

  933. Analysis of Apoptosis Induction in Melanoma Cells by Treatment with Oxygen Radical Irradiated-medium International conference

    Takayoshi Koizumi, Tomiyasu Murata, Masaru Hori, Masafumi Ito

    34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science 

     More details

    Event date: 2017.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hokkaido University, Sapporo   Country:Japan  

  934. Effect of Hydrophilic Treatment of Carbon Nanowalls on Surface Assisted Laser Desorption / Ionization Mass Spectrometry Invited International conference

    Hironori Ito1, Takayuki Ohta1, Kenji Ishikawa2, Mineo Hiramatsu1, Masaru Hori

    34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science 

     More details

    Event date: 2017.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hokkaido University, Sapporo   Country:Japan  

  935. Hydrogen Peroxide Sensor Based on Carbon Nanowalls Grown by Plasma Enhanced Chemical Vapor Deposition Invited International conference

    Masakazu Tomatsu, Mineo Hiramatsu, Hiroki Kondo, Masaru Hori

    34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science 

     More details

    Event date: 2017.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hokkaido University, Sapporo   Country:Japan  

  936. Analysis of Morphological Change of Supported Lipid Bilayers Induced by Reactive Oxygen and Nitrogen Species in Plasma-Activated Medium Employing High-Speed Atomic Force Microscopy Invited International conference

    Takuya Tonami, Naoyuki Kurake, Hiroshi Hashizume, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Hiromasa Tanaka, Makoto Sekine, Masafumi Ito, Ryugo Tero, Masaru Hori

    34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science 

     More details

    Event date: 2017.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hokkaido University, Sapporo   Country:Japan  

  937. Efficient Production of Meter-scale Atmospheric-pressure Line Plasma Using Modified Waveguide and cw Microwave Power ( Invited International conference

    Haruka Suzuki, Yuto Tamura, Yaoki Inomata, Hitoshi Itoh, Makoto Sekine, Masaru Hori, Hirotaka Toyoda

    34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science 

     More details

    Event date: 2017.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hokkaido University, Sapporo   Country:Japan  

  938. Influence of pH on Inactivation of E-coli in Oxygen-Radical Treated Water Invited International conference

    Tsuyoshi Kobayashi, Jun-Seok Oh, Hiroshi Hashizume, Takayuki Ohta, Kenji Ishikawa, Masaru Hori, Masafumi Ito

    34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science 

     More details

    Event date: 2017.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hokkaido University, Sapporo   Country:Japan  

  939. Power Generation Characteristics of Polymer Electrolyte Fuel Cell Using Carbon Nanowalls Invited International conference

    Hiroaki Iwata, Takayuki Ohta, Masafumi Ito, Mineo Hiramatsu, Hiroki Kondo, Masaru Hori

    34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science 

     More details

    Event date: 2017.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hokkaido University, Sapporo   Country:Japan  

  940. Effects of Additive Gases on Characteristics of High Temperature Plasma Etching of GaN In Cl2 Based Plasma International conference

    Masato Imamura, Zecheng Liu, Jialin Pan, Atsushi Tanide, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Osamu Oda, Makoto Sekine, Masaru Hori

    34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science 

     More details

    Event date: 2017.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hokkaido University, Sapporo   Country:Japan  

  941. Proliferative Effects of Nitric Oxide Radical Irradiation on Budding Yeast Cell International conference

    Masashi Okachi, Jun-Seok Oh, Hiroshi Hashizume, Masaru Hori, Masafumi Ito

    34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science 

     More details

    Event date: 2017.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hokkaido University, Sapporo   Country:Japan  

  942. Fluorescence Microscopy of Penicillium Digitatum Spores Irradiated with Oxygen Radicals International conference

    Yuta Tanaka, Jun-Seok Oh, Hiroshi Hashizume, Takayuki Ohta, Masaru Hori, Masafumi Ito

    34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science 

     More details

    Event date: 2017.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hokkaido University, Sapporo   Country:Japan  

  943. Electromagnetic Field Simulation of Modified Ridge Waveguide for Long Line Plasma Production Invited International conference

    Yuto Tamura, Haruka Suzuki, Yaoki Inomata, Hitoshi Itoh, Makoto Sekine, Masaru Hori, Hirotaka Toyoda

    34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science 

     More details

    Event date: 2017.1

    Language:English   Presentation type:Poster presentation  

    Venue:Hokkaido University, Sapporo   Country:Japan  

  944. Effect of Dispersing Agent on the Synthesis of Copper Nanoparticles Using Gas-liquid Interface Plasma Invited International conference

    Akira Ito, Takayuki Ohta, Masaru Hori

    34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science 

     More details

    Event date: 2017.1

    Language:English   Presentation type:Poster presentation  

    Venue:Hokkaido University, Sapporo   Country:Japan  

  945. Structure Control of Carbon Nanowalls Formed Using Inductively Coupled Plasmaenhanced Chemical Vapor Deposition Invited International conference

    Takuya Suzuki, Hitoshi Nozaki, Mineo Hiramatsu, Masakazu Tomatsu, Hiroki Kondo, Masaru Hori

    34th Symposium on Plasma Processing (SPP34) / The 29th Symposium on Plasma Science 

     More details

    Event date: 2017.1

    Language:English   Presentation type:Poster presentation  

    Venue:Hokkaido University, Sapporo   Country:Japan  

  946. Interaction of Plasma with Cells for Agriculture and Biomedical Applications Invited International conference

    M.Hori, M.Sekine, K.Ishikawa, H.Kondo, K.Takeda, H,Hashizume, H.Tanaka,F.Kikkawa,M.Mizuno, M.Ito

    AJC-APSE 

     More details

    Event date: 2017.1

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:Nong Lam Univsersity, Hochiminh city, Vietnum   Country:Viet Nam  

  947. Characterization of a moderate-sized Multi-Electrodes Atmospheric Pressure Plasma Jet Aiming Plasma Applications Invited International conference

    B.B.Sahu, J.G.Han, Y.Yin, J.S.Lee, S.B.Jim, M.Hori

    AJC-APSE 

     More details

    Event date: 2017.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nong Lam Univsersity, Hochiminh city, Vietnum   Country:Viet Nam  

  948. A new concept and high performances of GaN etching employing the halogen gas-free plasma chemistry Invited

    Takashi Kako, Kenji Ishikawa, Osamu Oda, Makoto Sekine, Masaru Hori

    The 26th annual meeting of MRS-J  

     More details

    Event date: 2016.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama   Country:Japan  

  949. Advanced Plasma Etching Processing with Feedback Control of Wafer Temperature for Fabrication of Atomic-Scale Organic Devices Invited

    Takayoshi TSUTSUMI, Yusuke FUKUNAGA, Keigo TAKEDA, Kenji ISHIKAWA, Hiroki KONDO, Makoto SEKINE, Masaru HORI

    The 26th annual meeting of MRS-J  

     More details

    Event date: 2016.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama   Country:Japan  

  950. GaN epitaxial growth by Plasma assisted RF Sputter deposition Invited

    Atsushi TANIDE, Shohei NAKAMURA, Akira HORIKOSHI, Shigeru TAKATSUJI, Motohiro KOHNO, Kazuo KINOSE, Soichi NADAHARA, Masazumi NISHIKAWA, Akinori EBE, Takashi KAKO, Kenji ISHIKAWA, Masaru HORI

    The 26th annual meeting of MRS-J  

     More details

    Event date: 2016.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama   Country:Japan  

  951. Plasma-activated Medium (PAM) and Metabolic Analysis of Glioblastoma Invited

    Kenji ISHIKAWA, Naoyuki KURAKE, Hiroshi HASHIZUME, Hiromasa TANAKA, Keigo TAKEDA, Hiroki KONDO, Makoto SEKINE, Takashi KONDO, Shoko OHNUMA, Masashi KATO, Kae NAKAMURA, Hiroaki AJIYAMA, Fumitaka KIKKAWA, Masaaki MIZUNO, Shinya TOYOKUNI, Masaru HORI

    The 26th annual meeting of MRS-J  

     More details

    Event date: 2016.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Yokohama   Country:Japan  

  952. A Novel Controlling Method of Proliferation of Cultured Cells on Carbon Nanowalls Scaffold with an Electric Stimulation Invited

    Suiki TANAKA, Tomonori ICHIKAWA, Hiroki KONDO, Kenji ISHIKAWA, Hiroshi HASHIZUME, Hiromasa TANAKA, Makoto SEKINE, Masaru HORI

    The 26th annual meeting of MRS-J  

     More details

    Event date: 2016.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama   Country:Japan  

  953. Synthesis of Oxalic Acids and Crystallization of Calcium Oxalate Dihydrates in Culture Medium Irradiated with Non-equilibrium Atmospheric-pressure Plasma Invited

    Kenji ISHIKAWA, Naoyuki KURAKE, Hiromasa TANAKA, Kae NAKAMURA, Hiroaki KAJIYAMA, Fumitaka KIKKAWA, Masaaki MIZUNO, Yoko YAMANISHI,Masaru HORI

    The 26th annual meeting of MRS-J  

     More details

    Event date: 2016.12

    Language:English   Presentation type:Poster presentation  

    Venue:Yokohama   Country:Japan  

  954. Synthesis of copper nanoparticles using gas-liquid interface plasma Invited

    A. Ito, T.Ohta, M.Hori

    The 26th annual meeting of MRS-J  

     More details

    Event date: 2016.12

    Language:English   Presentation type:Poster presentation  

    Venue:Yokohama   Country:Japan  

  955. Improvement of power generation efficiency on polymer electrolyte fuel cell using carbon nanowalls Invited

    H. Iwata, T. Ohta, M.Ito, M.Hiramatsu, H.Kondo, M.Hori

    The 26th annual meeting of MRS-J  

     More details

    Event date: 2016.12

    Language:English   Presentation type:Poster presentation  

    Venue:Yokohama   Country:Japan  

  956. Plasma spectroscopy in a gas, a liquid and cells forbiomedical applications Invited International conference

    Masaru Hori

    6th International Conference on Advanced Plasma Technologies (ICAPT-6) / Workshop on Industrial Application of Plasma Solutions 

     More details

    Event date: 2016.12

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:Siem Reap, Cambodia   Country:Cambodia  

  957. Effects of Residence Time on Growth Characteristics and Properties of Amorphous Carbon Films Grown by radical-Injection Plasma-enhanced Chemical Vapor Deposition Invited International conference

    H.Sugiura, L.Jia, S.Sato, H.Kondo, K.Takeda, K.Ishiakawa, M.Sekine,M.Hori

    MRS Fall Meeting & Exhibit  

     More details

    Event date: 2016.11 - 2016.12

    Language:English   Presentation type:Poster presentation  

    Venue:Boston   Country:United States  

  958. Functionalization of Plasma Activated medium and its Application to Cancer therapy and Regenerative Medicine Invited International conference

    M.Hori

    MRS Fall Meeting & Exhibit  

     More details

    Event date: 2016.11 - 2016.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Boston   Country:United States  

  959. Electrochemical Durability of Pt-Supported Carbon Nanowalls synthesized Using C2F6/H2 Mixture Plasma Invited International conference

    S.Imai, H.Kondo, H.Cho, K.Kano, K.Ishikawa, M.Sekine, M.Hiramatsu, M.Hori

    MRS Fall Meeting & Exhibit  

     More details

    Event date: 2016.11 - 2016.12

    Language:English   Presentation type:Poster presentation  

    Venue:Boston   Country:United States  

  960. Measurements of Absolute N Atom Density in Ar/N2 Sputtering Plasma during Heteroepitaxial Growith of Single Crystalline ZnO Films on Sapphire Substrates Invited International conference

    K.Iwasaki, T. Iwasaki, T.Ide, K.Matsushima, T. Takasaki, K.Takeda, M.Hori, S.Yamashita, Hyunwoong Seo, K.Koga, M.Shiratani, N.Itagaki

    MRS Fall Meeting & Exhibit  

     More details

    Event date: 2016.11 - 2016.12

    Language:English   Presentation type:Poster presentation  

    Venue:Boston   Country:United States  

  961. Phase-resolved measurement of electron density afterglow of synchronized dc-imposed pulsed plasmas of fluorocarbon based gases International conference

    T.Ueyama, M.Iwata, Y.Fukunaga, T.Tsusumi, K.Takeda, K.Ishikawa, M.Sekine, Y.Ohya, M.Hori,H.Sugai

    DPS 2016 

     More details

    Event date: 2016.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hokkaido Univ.   Country:Japan  

  962. Unveiling aspects of alternative refrigerants involving HFO-1234ze from computational chemistry International conference

    T.Hayashi, M.Sekine, K. Ishikawa M.Hori

    DPS 2016 

     More details

    Event date: 2016.11

    Language:English   Presentation type:Poster presentation  

    Venue:Hokkaido Univ.   Country:Japan  

  963. Ambient Mass spectrometric Investigation of Atomic Oxygen radicals in Afterglow Plasma Invited International conference

    Jun-Seok Oh, T. Kobayashi, T.Ohta, M. Hiramatsu, M.Hori, M. Ito

    DPS 2016 

     More details

    Event date: 2016.11

    Language:English   Presentation type:Poster presentation  

    Venue:Hokkaido Univ.   Country:Japan  

  964. Hydrogen peroxide sensor based on carbon nanowalls grown by plasma enhanced chemical vapor deposition International conference

    M.Tomatsu, M.Hiramatsu, H.Kondo, M.Hori

    DPS 2016 

     More details

    Event date: 2016.11

    Language:English   Presentation type:Poster presentation  

    Venue:Hokkaido Univ.   Country:Japan  

  965. Growth of InN at a low-temperature by radical enhanced chemical vapor deposition International conference

    S.Takai, Y.Lu, A.F. Wilson, O.Oda, K.takeda, H.Kondo, K.Ishikawa, M.Sekine, M.Hori

    DPS 2016 

     More details

    Event date: 2016.11

    Language:English   Presentation type:Poster presentation  

    Venue:Hokkaido Univ.   Country:Japan  

  966. プラズマ医療科学の推進と展望 Invited

    堀 勝

    第32回 九州・山口プラズマ研究会 

     More details

    Event date: 2016.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:佐賀大学理工学部   Country:Japan  

  967. プラズマの生成と制御

    堀 勝

    第27回プラズマエレクトロニクス講習会 

     More details

    Event date: 2016.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:東京大学 本郷キャンパス   Country:Japan  

  968. RE‐MOCVD法によるAlInN/GaN 系次世代半導体

    堀 勝

    愛知地域 スーパークラスタプログラム 成果報告会 

     More details

    Event date: 2016.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋国際センター   Country:Japan  

  969. Selective Cyclic Plasma Etching of Thin Films in Two heating way, Ion Bombardment and infrared Irradiation International conference

    N.Miyoshi, H.Kobayashi, N.Yasui, M.tanaka, Y.Sonoda, K.Kuwahara, K.Ishikawa, M.Hori

    AVS 63rd International Symposium & Exhibition 

     More details

    Event date: 2016.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue: Nashville, Tennessee   Country:United States  

  970. Transport Mechanism of Reactive Species in Downflow Reactors for F-based Etch Invited International conference

    K.Ishikawa, T.Tsusumi, Y.Zzhang, M.Sekine, T.Hayashi, M.Hori, Y.Horiike

    AVS 63rd International Symposium & Exhibition 

     More details

    Event date: 2016.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue: Nashville, Tennessee   Country:United States  

  971. Isotropic Atomic Layer etching of Titanium Nitride Using Formation and Desorption of Ammonium Salt Invited International conference

    K. Shinoda, N.Miyoshi, M.Kurihara, S.sakai, M.Izawa, K.Ishikawa, M.Hori

    AVS 63rd International Symposium & Exhibition 

     More details

    Event date: 2016.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue: Nashville, Tennessee   Country:United States  

  972. Generation of Reactive Species In Medium Irradiated laser-Induced-Plasmas International conference

    Y.Kurokawa, N.Kurake, K.takeda, K.Ishikawa, H.Hashizume, H.tnaka, H.Kondo, M.Sekine, M.Hori

    AVS 63rd International Symposium & Exhibition 

     More details

    Event date: 2016.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue: Nashville, Tennessee   Country:United States  

  973. Plasma diagnostics for thin silicon film deposition Invited International conference

    Kenji Ishikawa, Masaru Hori, Jeon Geon Han

    AVS 63rd International Symposium & Exhibition 

     More details

    Event date: 2016.10 - 2016.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Soul   Country:Korea, Republic of  

  974. Advanced Plasma Surface science and Technologies for Future Industry and Medicine Invited International conference

    M.Hori

    7th International Conference of Surface Engineering 

     More details

    Event date: 2016.10

    Language:English   Presentation type:Oral presentation (keynote)  

    Country:China  

  975. Challenge towards controlling atomic level interactions of plasma with surfaces Invited International conference

    M.Hori

    69th Annual Gaseous electronics Conference  

     More details

    Event date: 2016.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Bochum   Country:Germany  

  976. Oxygen reduction reaction on highly-durable Pt/nanographene fuel cell catalyst synthesized employing in-liquid plasma Invited International conference

    T. AMANO, H. KONDO, K.TAKEDA, K.ISHIKAWA, H.KANO, M.HIRAMATSU, M. SEKINE, M.HORI

    69th Annual Gaseous electronics Conference  

     More details

    Event date: 2016.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Bochum   Country:Germany  

  977. Structure Control of Vertical Nanographene toward Electrochemical and Bio Applications Invited International conference

    MINEO HIRAMATSU, HIROKI KONDO, MASARU HORI,

    69th Annual Gaseous electronics Conference  

     More details

    Event date: 2016.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Bochum   Country:Germany  

  978. Calcium oxalate syntheses in a solution containing glucose by the atmospheric pressure plasma irradiation Invited International conference

    NAOYUKI KURAKE,HIROMASA TANAKA, KENJI ISHIKAWA, KAE NAKAMURA, HIROAKI KAJIYAMA, FUMITAKA KIKKAWA, MASAAKI MIZUNO,YOKO YAMANISHI, MASARU HORI

    69th Annual Gaseous electronics Conference  

     More details

    Event date: 2016.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Bochum   Country:Germany  

  979. Atomic oxygen behavior at downstream of AC excited atmospheric pressure He plasma jet Invited International conference

    KEIGO TAKEDA, KENJI ISHIKAWA, HIROMASA TANAKA, MAKOTO SEKINE, MASARU HORI

    69th Annual Gaseous electronics Conference  

     More details

    Event date: 2016.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Bochum   Country:Germany  

  980. 100% N2 atmospheric-pressure microwave-line-plasma production with a modified waveguide structure Invited International conference

    HARUKA SUZUKI, YUTO TAMURA, HITOSHI ITOH, MAKOTO SEKINE, MASARU HORI, HIROTAKA TOYODA

    69th Annual Gaseous electronics Conference  

     More details

    Event date: 2016.10

    Language:English   Presentation type:Poster presentation  

    Venue:Bochum   Country:Germany  

  981. Promotion of cell proliferation using atmospheric-pressure radical source Invited International conference

    M. Ito, M.Okachi, T. Koizumi, Jun-Seo Oh, H.Hashizume, T. Murata, M.Hori

    69th Annual Gaseous electronics Conference  

     More details

    Event date: 2016.10

    Language:English   Presentation type:Poster presentation  

    Venue:Bochum   Country:Germany  

  982. Electron and negative ion densities in a CW and pulsed 100 MHz capacitively coupled plasma discharge Invited International conference

    N. SIRSE, B. ELLINGBOE, T.TSUTSUMI, S. MAKOTO, M.HORI

    69th Annual Gaseous electronics Conference  

     More details

    Event date: 2016.10

    Language:English   Presentation type:Poster presentation  

    Venue:Bochum   Country:Germany  

  983. Variation in photon-induced interface defects due to transient behavior of pulse modulated inductively coupled plasma Invited International conference

    Y. MIYOSHI, M. FUKASAWA, K. NAGAHATA,T. TATSUMI, Z. LIU, Y. ZHANG, A. ANDO, K. TAKEDA, K. ISHIKAWA, M. SEKINE, M. HORI

    69th Annual Gaseous electronics Conference  

     More details

    Event date: 2016.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Bochum   Country:Germany  

  984. Unobtrusively Medical and Health Monitoring of Older Adults in Every-day Life Mobility with a Vehicle International conference

    K.Ishikawa, S.Onoshima, H.Yukiwa, H.tanaka,H.Hashizume, Y.Baba, M.Hori, T.Hase, M.Kondo, Y.Hasegawa, M.Mizuno, N. Kihara, K.tatsukoshi, H.Odaka

    The 3rd International Conference on Universal Village (UV 2016) 

     More details

    Event date: 2016.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  985. Healthcare innovations for Future Society Invited International conference

    M.Hori

    The 3rd International Conference on Universal Village (UV 2016) 

     More details

    Event date: 2016.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Nagoya   Country:Japan  

  986. Control of internal Plasma Parameters Toward Atomic Level Processing Invited International conference

    M.Sekine, T.Tsutumi, U.Fukunaga, K.Takeda, H.Kondo, K.Ishikawa, M.Hori

    PRiME 2016 

     More details

    Event date: 2016.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:United States  

  987. Radical-Controlled Plasma Nano Processing for Green and Life Innovations Invited International conference

    M.Hori

    PRiME 2016 

     More details

    Event date: 2016.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:United States  

  988. Plasma-Assisted Deposition of Carbon Nanowalls for Detection of Organic Invited International conference

    U. Cvelbar, P. Slobodian, H.Kondo, M.Sekine, M.Hori

    PRiME 2016 

     More details

    Event date: 2016.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  989. プラズマ照射活性化培地を用いた残存未分化ヒトiPS細胞の選択的除去

    長島 拓則、清水 一憲、松本 凌、田中 宏昌、水野 正明、吉川 .史隆、堀 勝、本多 裕之

    第68回日本生物工学会大会 

     More details

    Event date: 2016.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:富山国際会議場   Country:Japan  

  990. Plasma nanoInnovations for Future Industry, Medicine and Agriculture International conference

    M.Hori

    Nano Innovation Conference and Exhibition 2016 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Oral presentation (keynote)  

    Country:Italy  

  991. フォトリソグラフィとUV キュア処理によるナノギャップ電極アレイ形成

    粂内真子,熊谷慎也,趙享峻,近藤博基, 石川健治,堀勝,佐々木実

    第77回応用物理学会秋季学術講演会 

     More details

    Event date: 2016.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:朱鷺メッセ 新潟市    Country:Japan  

  992. C2F6/H2プラズマにより成長したカーボンナノウォールの電気化学的耐久性能,

    今井 駿, 近藤 博基, 石川 健治, 平松 美根男, 関根 誠, 堀 勝

    第77回応用物理学会秋季学術講演会 

     More details

    Event date: 2016.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:朱鷺メッセ 新潟市    Country:Japan  

  993. レーザー誘起プラズマによる培養液中の活性種生成と細胞応答

    黒川幸宏、倉家尚之、竹田圭吾、石川健治、橋爪博司、田中宏昌、近藤博基、関根誠、堀勝

    第77回応用物理学会秋季学術講演会 

     More details

    Event date: 2016.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:朱鷺メッセ 新潟市    Country:Japan  

  994. DCシンクロパルスプラズマを用いた最先端絶縁膜エッチングプロセスにおける電子の電極間密度分布およびアフターグローでの挙動

    植山稔正、岩田学、福永裕介、堤隆嘉、竹田圭吾、近藤博基、石川健治、関根誠、大矢欣伸、堀勝、菅井秀郎

    第77回応用物理学会秋季学術講演会 

     More details

    Event date: 2016.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:朱鷺メッセ 新潟市    Country:Japan  

  995. HFC-1234yfの電子物性と解離

    林 敏雄、関根 誠、石川 健治、堀 勝

    第77回応用物理学会秋季学術講演会 

     More details

    Event date: 2016.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:朱鷺メッセ 新潟市    Country:Japan  

  996. Development of high-density radical source and its application for plasma-assisted MBE of nitride semiconductors International conference

    M.Sekine, H.Kondo, H.Cho, K.Takeda,K.Ishikawa, H.Kano, M.Hori

    5th International Conference on Plasma Surface Engineering 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Germany  

  997. Effect of Plasma-treatment on the in vitro growth of Trypanosoma brucei International conference

    N.Yokoyama, H.Sakakida, Y.Akimoto, T.Sivakumar, K.Ishikawa, M.Hori, Y.Ikehara

    6th International Conference on Plasma Medicine 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Poster presentation  

    Venue:Bratislava, Slovakia   Country:Slovakia  

  998. Diagnostics of gas- and liquid-phase reactive species generated by AC excited atmospheric pressure Ar Plasma International conference

    K.Takeda, K.Ishikawa, H.Tanaka, M.Sekine, M.Hori

    6th International Conference on Plasma Medicine 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Poster presentation  

    Venue:Bratislava, Slovakia   Country:Slovakia  

  999. Health Effect of repeated intraperitoneal injections of plasma-activated medium in mice International conference

    A.Tanaka, M.Hirata, Y.Ikehara, Y.Akimoto, H.Nakanishi, H.Tanaka, M.Hori

    6th International Conference on Plasma Medicine 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Poster presentation  

    Venue:Bratislava, Slovakia   Country:Slovakia  

  1000. Plasma-irradicated liquid therapy suppresses intraperitioneal metastasis of chemoreesistant ovarian cancer International conference

    H.Kajiyama, F.Utsumi, K.Nakamura, H.Tanaka, M.Hori, F.Kikkawa

    6th International Conference on Plasma Medicine 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Poster presentation  

    Venue:Bratislava, Slovakia   Country:Slovakia  

  1001. Plasma-activated medium (PAM) inhibits peritoneal metastasis in ovarian cancer mouse model International conference

    K.Nakamura, H.Kajiyama, F.Utsumi, Y.Peng, H.Tanaka, M.Mizuno, S.Toyokuni, M.Hori, F.Kikkawa

    6th International Conference on Plasma Medicine 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Poster presentation  

    Venue:Bratislava, Slovakia   Country:Slovakia  

  1002. Effect of non-equilibrium atmospheric pressure plasmas irradication on spontaneously developed melanoma in RET-mice International conference

    M.Iida, Y.Omata, I.Yajima, Y.Kato, M.Yosihnaga, M.Hori, M.Kato

    6th International Conference on Plasma Medicine 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Poster presentation  

    Venue:Bratislava, Slovakia   Country:Slovakia  

  1003. Efficacy of intraaperitoneal therapy with plasma-activated medium targeting peritoneal micrometastasis as revealed by GFP-tagged human gastric cancer cell lines in nude mice International conference

    H. Nakanishi, H.Tanaka, Y. Akimoto, A.Tanaka, M.Hori, Y. Ikehara

    6th International Conference on Plasma Medicine 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Poster presentation  

    Venue:Bratislava, Slovakia   Country:Slovakia  

  1004. Particulates generation in the plasma activated medium International conference

    N.Kurake, H.Tanaka, K.Ishikawa, H.hashizume, K.Nakamura, H.Kajiyama, F.Kikkawa, T.Kondo, M.Mizuno, K.Takeda, H.Kondo, M.Sekine, M.Hori

    6th International Conference on Plasma Medicine 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Poster presentation  

    Venue:Bratislava, Slovakia   Country:Slovakia  

  1005. Generation of active species in laser-induced-plasma activated medium International conference

    Y.Kurokawa, N.Kurake, K.Takeda, K.Ishikawa, H.Hashizume, H.Tanaka, H.Kondo, M.Sekine, M.Hori

    6th International Conference on Plasma Medicine 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Bratislava, Slovakia   Country:Slovakia  

  1006. Selective killing of human breast cancer cell by plasma-activated medium International conference

    H.Hashizume, H.Tanaka, K.Nakaura, H.Kano, K.Ishikawa, F.Kikkawa, M.Mizuno, M.Hori

    6th International Conference on Plasma Medicine 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Poster presentation  

    Venue:Bratislava, Slovakia   Country:Slovakia  

  1007. Plasma-activated medium change ASCs cytokine profile International conference

    Y.Kamimura, N.tsuboi, H.Tanaka, M.Hori, .S.Maruyama

    6th International Conference on Plasma Medicine 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Poster presentation  

    Venue:Bratislava, Slovakia   Country:Slovakia  

  1008. Plasma-activated medium inhibits metastatic tendency of ovarian cancer cells in vitro International conference

    Y.Peng, H.Kajiyama, K.Nakamura, F.Ustumi, H.Tanaka, M.Mizuno, S.Toyokuni, M.Hori, F.Kikkawa

    6th International Conference on Plasma Medicine 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Poster presentation  

    Venue:Bratislava, Slovakia   Country:Slovakia  

  1009. Metabolic profiles on glioblastoma (U251SP) modified in plasma-activated medium (PAM) cultivation International conference

    K.Ishikawa, N.Kurake, H.Tanaka, H.Hashizume, K.Takeda, K.Nakamura, H.Kajiyama, H.Kondo, M.Sekine, M.Kato, M.Mizuno, F.Kikkawa, M.Hori

    6th International Conference on Plasma Medicine 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Bratislava, Slovakia   Country:Slovakia  

  1010. Dynamic behavior of HeLa cells in plasma-activated medium International conference

    R.Furuta, H.hashizume, K.Ishikawa, H.Tanaka, K.Takeda, T. Ohta, H.Kondo, M.Ito, M.Sekine, M.Hori

    6th International Conference on Plasma Medicine 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Bratislava, Slovakia   Country:Slovakia  

  1011. Mechanisum of blood coagulation induced by plasma treatment in addition to the acceleration of the natural blood coagulation process International conference

    Y.Ikehara, S.Ikehara, Y,.Akimoto, H.sakakita, K.Ishikawa, M.Ueda, J.Ikeda, J.Kim, Y.Yamaguchi, H.Nakanishi, T.Shimizu, N.Shimizu, M. Hori

    6th International Conference on Plasma Medicine 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Bratislava, Slovakia   Country:Slovakia  

  1012. Plasma-activated medium and cancer International conference

    H.Tanaka, M.Mizuno, K.Ishikawa, K.Takeda, H.Kondo, H.Hashizume, K.Nakamura, H.Kajiyama, H.Kano, Y.Okazaki< S.Toyokuni, S.Maruyama, F.Kikkawa, M.Hori

    6th International Conference on Plasma Medicine 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Bratislava, Slovakia   Country:Slovakia  

  1013. Expression of galectins and alpha smooth muscle actin in low-temperature plasma-treated healing skin International conference

    Y.Akimoto, S.Ikehara, T.Yamaguchi, J.Kim, H.Kawakami, N.Shimizu, M.Hori, H.Sakakita, Y.Ikehara

    6th International Conference on Plasma Medicine 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Poster presentation  

    Venue:Bratislava, Slovakia   Country:Slovakia  

  1014. Comparison of Inflammation after hemostasis with non-thermal plasma or thermal coagulation: A histological and nuclear medical evaluation International conference

    M. Ueda, D.Yamagami, A.Morioka, K.Watanabe, K.Sano, A.Mori, H.Kimra, H.Saji, H.Sakakita, Y.Ikehara, K.Ishikawa, M.Hori, S.Enomoto

    6th International Conference on Plasma Medicine 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Poster presentation  

    Venue:Bratislava, Slovakia   Country:Slovakia  

  1015. Comprehensive model on interactions among plasmas, liquids, intracellular molecules and animals towards a plasma medical innovation International conference

    M.Hori

    6th International Conference on Plasma Medicine 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Bratislava, Slovakia   Country:Slovakia  

  1016. Inactivation factors of microorganisms in solutions treated with neutral oxygen radical source International conference

    M.Ito, T.Kobayashi, H.Hashizume, T.Ohta, K.Ishikawa, M.Hori

    6th International Conference on Plasma Medicine 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Bratislava, Slovakia   Country:Slovakia  

  1017. プラズマ活性化溶液(PAM)培養した脳腫瘍細胞(U251SP)の代謝プロファイル

    石川 健治、倉家 尚之、田中 宏昌、橋爪 博司、竹田 圭吾、中村 香江、梶山 広明、 近藤 博基、関根 誠、加藤 昌志、水野 正明、吉川 史隆、堀 勝

    第69回日本酸化ストレス学会学術集会 

     More details

    Event date: 2016.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:仙台国際センター 会議棟   Country:Japan  

  1018. Plasma Science towards Next-generation Healthcare Innovations International conference

    Masaru Hori

    International Symposium on the Physics of Ionized Gases (28th) 

     More details

    Event date: 2016.8 - 2016.9

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:Belgrade, Serbia   Country:Serbia  

  1019. Synthesis of nanostructured platform based on 3-dimensional graphene network for biosinsing and energy applications International conference

    Mineo Hiramatsu, Hiroki Kondo, Masaru Hori

    20th International Vacuum Congess IVC-20 

     More details

    Event date: 2016.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1020. Plasma etching of gallium nitride at high temperature International conference

    Zecheng Liu, Jialin Pan, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Osamu Oda, Masaru Hori

    20th International Vacuum Congess IVC-20 

     More details

    Event date: 2016.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1021. Plasma-activated medium as a novel cancer therapeutic approach International conference

    Hiromasa Tanaka, Masaaki Mizuno, Fumitaka Kikkawa, Masaru Hori

    20th International Vacuum Congess IVC-20 

     More details

    Event date: 2016.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1022. Carbon nanowalls for sustaionable future International conference

    Masaru Hori, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Makoto Sekine, Mineo Hiramatsu

    20th International Vacuum Congess IVC-20 

     More details

    Event date: 2016.8

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  1023. Latent chemistry in plasma activetied medium (PAM) International conference

    Kenji Ishikawa, Naoyuki Kurake, Hiromasa Tanaka, Hiroko MIzuno, Hiroshi Hashizume, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Takeshi Kondo, Shoko Ohnuma, Masashi, Kato, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Masaru Hori

    20th International Vacuum Congess IVC-20 

     More details

    Event date: 2016.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1024. プラズマ医療科学の創成による未来医療への挑戦

    堀 勝

    第30回東海ハイパーサーミア研究会 

     More details

    Event date: 2016.7

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1025. 大気圧プラズマプロセスの基礎とそのデバイスプロセス応用 Invited

    堀 勝

    透明参加物光・電子材料第166委員会 第72研究会 

     More details

    Event date: 2016.7

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:田町キャンパスイノベーションセンター(東京)   Country:Japan  

  1026. Carrying Knowledge into a New Vision of Plasma Science International conference

    Masaru Hori

    The 1st Innovative Global GRDC Workshop 

     More details

    Event date: 2016.7

    Language:English   Presentation type:Oral presentation (keynote)  

    Country:United States  

  1027. Synthesis of nanographenes for catalytic effects by advanced plasma process International conference

    M.Hori

    ISPCEM-2016 

     More details

    Event date: 2016.6 - 2016.7

    Language:English   Presentation type:Oral presentation (keynote)  

    Country:China  

  1028. UV absorbance of DDW treated with oxygen radicals for inactivating Escherichia coli International conference

    T.Kobayashi, J.Oh, H.Hashizume, T.Ohta, K.Ishikawa, M.Hori, M.Ito

    7th International workshop on Plasma Spectroscopy (IPS 2016) 

     More details

    Event date: 2016.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1029. Vertical Distribution measurement of electron Density and Optical emission in aftergrow of pulsed fluorocarbon plasma International conference

    T. Ueyama, M.Iwata, U.FUkunaga, T.Tsutumi, K. Takeda, K.Ishikawa, M.Sekine, Y.Ohya, M.Hori, H.Sugai

    7th International workshop on Plasma Spectroscopy (IPS 2016) 

     More details

    Event date: 2016.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1030. Behaviors of OH radical generated by irradiation of AC excited atmospheric pressure Ar jet to medium International conference

    K.Takeda, K.Ishikawa, H.Tanaka, M.Sekine, M. Hori

    7th International workshop on Plasma Spectroscopy (IPS 2016) 

     More details

    Event date: 2016.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1031. Gas flow effect on transport of O(3Pj) atoms in ac power excited atmospheric pressure O2/Ar Plasma jet International conference

    K.Takeda, K.Ishikawa, H.Tanaka, M.Sekine, M.Hori

    7th International workshop on Plasma Spectroscopy (IPS 2016) 

     More details

    Event date: 2016.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1032. Utility of plasma diagnostics for the radical and plasma control for low-temperature Deposition of Si Thin Films in Hybrid Plasma Processes (General) International conference

    B.B.Sahu, J.G.Han, K.Takeda, M.Hori

    7th International workshop on Plasma Spectroscopy (IPS 2016) 

     More details

    Event date: 2016.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1033. Molecular vibrational imaging of plasma-induced biological samples International conference

    R.Furuta, H.Hashizume, K.Ishikawa, H.Tanaka, T.Ohta, H.Kondo, M.Ito, M.Sekine, M.Hori

    7th International workshop on Plasma Spectroscopy (IPS 2016) 

     More details

    Event date: 2016.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1034. High-Speed-Camera Observation of Plasma Behavior in Atmospheric-Pressure Microwave Line Plasma International conference

    H. Suzuki, H.Itoh, M.Sekine, M.Hori, H.Toyoda

    7th International workshop on Plasma Spectroscopy (IPS 2016) 

     More details

    Event date: 2016.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1035. Diagnostics of activated species generated by non-equilibrium atmospheric pressure plasmas for plasma biomedical applications Invited International conference

    K.Ishikawa, K.Takeda, H. Hashizume, H.Tanaka, H.Kondo, M.Sekine, M.Hori

    7th International workshop on Plasma Spectroscopy (IPS 2016) 

     More details

    Event date: 2016.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1036. Inactivation of Asperigillus spores using oxygen-radical sources quantified by VUVAS International conference

    Y.Tanaka, H.Hashizume, T.Ohta, K.takeda, M.Hori, M.Ito

    7th International workshop on Plasma Spectroscopy (IPS 2016) 

     More details

    Event date: 2016.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1037. Impact of Synergism of nitrate and hydrogen Preroxide on cell survivals in plasma-activated-medium(PAM) International conference

    N.Kurake, H.Tanaka, K.Ishikawa, K.Nakamura, H.Kajiyama, F.Kikkawa, T.Kondo, M.Mizuno, H.Kondo, M.Sekine, M.Hori

    43rd IEEE International Conference on Plasma Science 

     More details

    Event date: 2016.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Canada  

  1038. Increase in Galectin expression In Healing Wounded Skin Treated with Low-Temperature Plasma: Comparison with Treatment by Electronical Coagulation International conference

    Y. Akimoto, S. Ikehara, T. Yamaguchi, J. Kim, H. Kawakami, N. Shimizu, M. Hori, H. Sakakita, Y. Ikehara

    43rd IEEE International Conference on Plasma Science 

     More details

    Event date: 2016.6

    Language:English   Presentation type:Poster presentation  

    Country:Canada  

  1039. PLASMATREATMENT INDUCES BLOOD CLOT FORMATION; PROTEIN AGGREGATION AND HEMOLYSIS International conference

    Y. Ikehara, S. Ikehara, T. Yamaguchi, Y. Akimoto, H. Sakakita, J. Kim, K. Ishikawa, M. Hori, H. Nakanishi, N. Shimizu

    43rd IEEE International Conference on Plasma Science 

     More details

    Event date: 2016.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Canada  

  1040. Main bacterical factors of escherichia coli in solutions treated with neutral oxygen radicals International conference

    M. Ito, T. Kobayashi, T. Ohta, H. Hashizume, K. Ishiykawa, M. Hori

    43rd IEEE International Conference on Plasma Science 

     More details

    Event date: 2016.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Canada  

  1041. Plasma-Activated Medium and its Medical and Biological Applications (General) International conference

    H.Tanaka, M.Mizuno, F.Kikkawa, M.Hori

    43rd IEEE International Conference on Plasma Science 

     More details

    Event date: 2016.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Canada  

  1042. Plasma Medical Innovation Using Non-Thermal Atmospheric Pressure Plasma International conference

    M.Hori

    43rd IEEE International Conference on Plasma Science 

     More details

    Event date: 2016.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Canada  

  1043. Plasma Medical Scienece Innovation Towards A Fututre Therapy International conference

    Maastu HOri

    Advanced Coastings for Large -Area or High-Volume Products 

     More details

    Event date: 2016.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Germany  

  1044. プラズマによって創成された新しい医療科学(プラズマ医療) Invited

    堀 勝

    プラズマライフサイエンスイノベーション研究体シンポジウム「プラズマと医学の融合が拓く未来」 

     More details

    Event date: 2016.6

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:東京都市大学 世田谷キャンパス   Country:Japan  

  1045. Plasma Synthesis and Structual Control for Carbon Nanowalls for Novel Nano-Bio Devices International conference

    M. Hori, H.Kondo, M.Hiramatsu

    229th ECS MEETING 

     More details

    Event date: 2016.5 - 2016.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:United States  

  1046. 『食糧難を救う! プラズマ技術が農業・水産業を変える』

    堀勝

    日経BP技術者塾 

     More details

    Event date: 2016.4

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:化学会館 (東京・御茶ノ水)   Country:Japan  

  1047. Plasma Activated Medium for Cancer Therapy International conference

    Masaru Hori, H.Tanaka, K.Ishikawa, M.Mizuno, F.Kikkawa

    EMN Meeting on Biomaterials  

     More details

    Event date: 2016.4

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Thailand  

  1048. Chemically surface-modified Carbon nanowalls for nano-bio application International conference

    Hiroki Kondo, Masaru Hori, M.Hiramatsu

    EMN Meeting on Biomaterials  

     More details

    Event date: 2016.4

    Language:English   Presentation type:Oral presentation (general)  

    Country:Thailand  

  1049. Bond Scission and Corss-linking during Exposure of Atomic Hydorgen to Poly(methulmethacrylate) International conference

    Kenji Ishiakwa,Hideo Horibe, Keigo takeda, Hiroki Kondo , Makoto Sekine, Masaru Hori

    EMN Meeting on Biomaterials  

     More details

    Event date: 2016.4

    Language:English   Presentation type:Oral presentation (general)  

    Country:Thailand  

  1050. Overview and progress of Plasma Medicine Innovation in PLANT International conference

    M Hori

    The Workshop of NU-SKKU Joint Institute for Plasma Nano Materials 

     More details

    Event date: 2016.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  1051. Panel Discussion on New Plasmas,Diagnostics and Nano Materials International conference

    M Hori,Jeon G Han

    The Workshop of NU-SKKU Joint Institute for Plasma Nano Materials 

     More details

    Event date: 2016.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  1052. Plasma Medicine:Fundamental to Future Vision International conference

    M Hori

    The Workshop of NU-SKKU Joint Institute for Plasma Nano Materials 

     More details

    Event date: 2016.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  1053. Effects of radicals and ions on electronic properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition International conference

    Hirotsugu Sugiura, Lingyun Jia, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Makoto Sekine, Masaru Hori

    8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2016.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1054. Low damage etching of Gallium Nitride with Cl2 plasma at high temperature International conference

    Z. Liu, J. Pan, A. Asano, K. Ishikawa, K. Takeda, O. Oda, H. Kondo, M. Sekine and M. Hori

    8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2016.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1055. Plasma Induced Reactions on Budding Yeasts Observed by Multiplex Coherent Anti-Stokes Raman Scattering(CARS)

    M Hori

    IS Plasma2016/IC-PLANT2016 

     More details

    Event date: 2016.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1056. Degradation mechanism of Pt nanoparticles-supported carbon nanowalls for fuel cell application

    Shun Imai, Hiroki Kondo, Naitou Kenichi, Hyungjun Cho, Hiroyuki Kano, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu Masaru Hori

    IS Plasma2016/IC-PLANT2016 

     More details

    Event date: 2016.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1057. Study on crystallographic and electronic properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition

    Lingyun Jia, Hirotsugu Sugiura, Hiroki Kondo, Keigo Takeda, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    IS Plasma2016/IC-PLANT2016 

     More details

    Event date: 2016.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1058. Effects of fluorine termination on nanostructures and electrical proeprties of carbon nanowalls

    H. Cho, S. Tajima, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hiramatsu, M. Hori

    IS Plasma2016/IC-PLANT2016 

     More details

    Event date: 2016.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1059. Control of Radial Distribusion of Wafer Temperature during Plasma Process

    T. Tsutsumi, K. Ishikawa, K. Takeda, T. Ohta, M. Ito, H. Kondo, M. Sekine, and M. Hori

    IS Plasma2016/IC-PLANT2016 

     More details

    Event date: 2016.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1060. Low-temperature Growth of InN Films on Si(111) Substrates by Radical-Enhanced Metal-Organic Chemical Vapor Deposition

    S. Takai, Y. Lu, K. Iwamoto, O. Oda, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine and M. Hori

    IS Plasma2016/IC-PLANT2016 

     More details

    Event date: 2016.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1061. Vacuum ultraviolet (VUV) light effects on Polyethylene Terephthalate (PET) surfaces in Plasma Modification

    Y. Zhang, K. Ishikawa, M. Mozetic, K. Takeda, H. Kondo, M. Sekine and M. Hori

    IS Plasma2016/IC-PLANT2016 

     More details

    Event date: 2016.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1062. Oxygen reduction reaction of fuel cell catalytic electrodes using nanographene materials synthesized by in-liquid plasma

    T. Amano, H. Kondo, K. Takeda, K. Ishikawa, T. Ohta, M. Ito, H. Kano, M. Hiramatsu, M. Sekine and M. Hori

    IS Plasma2016/IC-PLANT2016 

     More details

    Event date: 2016.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1063. Induction of human breast cancer cells to selective death by plasma-activated medium

    Hiroshi Hashizume, Hiromasa Tanaka, Kae Nakamura, Hiroyuki Kano, Kenji Ishikawa, Fumitaka Kikkawa, Masaaki Mizuno, Masaru Hori

    IS Plasma2016/IC-PLANT2016 

     More details

    Event date: 2016.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1064. Measurement of reactive species in neutral-pH solutions treated with neutral oxygen radicals

    Tsuyoshi Kobayashi, Hiroshi Hashizume, Takayuki Ohta, Kenji Ishikawa, Masaru Hori, Masafumi Ito

    IS Plasma2016/IC-PLANT2016 

     More details

    Event date: 2016.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1065. Inactivation effects of various kinds of solutions irradiated with oxygen radicals on yeast cells

    Jun Kobayashi, Masashi Okachi, Hiroshi Hashizume, Takayuki Ohta, Masaru Hori, Masafumi Ito

    IS Plasma2016/IC-PLANT2016 

     More details

    Event date: 2016.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1066. Behaviors of gas- and liquid-phase reactive oxygen species generated by AC excited atmospheric pressure He plasma

    K. Takeda, T. Kumakura, K. Ishikawa, H. Tanaka, Y. Nakai, M. Sekine, M. Hori

    IS Plasma2016/IC-PLANT2016 

     More details

    Event date: 2016.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1067. Liquid transport of micro-sized holes for isolated trapping of single cell, 07pE05O International conference

    Takumi Itoh, Kenji Ishikawa, Daisuke Onoshima, Naoto Kihara, Kentaro Tatsukoshi, Hidefumi Odaka, Hiroshi Hashizume, Hiromasa Tanaka, Hiroshi Yukawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Yoshinobu Baba, and Masaru Hori

    IS Plasma2016/IC-PLANT2016 

     More details

    Event date: 2016.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1068. Gas-Phase Diagnostics of AC Excited Non-equilibrium Atmospheric Pressure Plasma Jet for Biomedical Application International conference

    T. Kumakura, K. Takeda, K. Ishikawa, H. Tanaka, H. Kondo, Y. Nakai, M. Sekine, M. Hori

    IS Plasma2016/IC-PLANT2016 

     More details

    Event date: 2016.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1069. Evaluation of Substrate Temperature Effect on the Sidewall Surface Reactions by Intermittent Plasma Generation for Control Substrate Temperature

    Yusuke Fukunaga, Takayoshi Tsutsumi, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo Makoto Sekine, Masaru Hori

    IS Plasma2016/IC-PLANT2016 

     More details

    Event date: 2016.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1070. Plasma medical innovations for cancer therapy International conference

    M Hori

    The 5th International Conference on Advanced Plasma Technologies 

     More details

    Event date: 2016.2 - 2016.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Slovenia  

  1071. プラズマ精密加工と医療科学への応用 ~がん治療とその実際~

    堀 勝

    第31回 精密加工プロセス研究会講演会 

     More details

    Event date: 2016.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  1072. Behaviors of gas-and liquid-phase reactive oxygen species generated by AC excited atmospheric pressue He plasma jet International conference

    Keigo Takeda,Takumi Kumakura,Kenji Ishikawa,Hiromasa Tanaka,Yoshihiro Nakai,Makoto Sekine,Masar Hori

    The 2nd Asian International Workshop 2016 

     More details

    Event date: 2016.2

    Language:English   Presentation type:Oral presentation (general)  

    Country:Thailand  

  1073. A novel strategy for cancer therapy using plasma-activated medium International conference

    Hiromasa Tanaka,Masaaki Mizuno,Kenji Ishikawa,Keigo Takeda ,Hiroshi Hashizume,Kae Nakamura,Hiroaki Kajiyama,Hiroyuki Kano,Yasumasa Okazaki,Shinya Toyokuni, Shoichi Maruyama, Yasuhiro Kodera, Hiroko Terasaki, Tetsuo Adachi, Masashi Kato, Fumitaka Kikkawa, Masaru Hori,

    The 2nd Asian International Workshop 2016 

     More details

    Event date: 2016.2

    Language:English   Presentation type:Oral presentation (general)  

    Country:Thailand  

  1074. Fungicidal process of P.digitatum spores through neutral oxygen radicals International conference

    M Hori

    The 2nd Asian International Workshop 2016 

     More details

    Event date: 2016.2

    Language:English   Presentation type:Oral presentation (keynote)  

    Country:Thailand  

  1075. Plasma-enhanced synthesis and chemical termination of carbon nanomaterials for application International conference

    Hirotsugu Sugiura,L. Jia, H. Kondo, H. Hashizume, K. Ishikawa, K. Takeda, M. Hiramatsu, M. Sekine, M. Hori

    The Asian Joint Committee International Workshop 2016 on Advanced Plasma Technology and Applications 

     More details

    Event date: 2016.2

    Language:English   Presentation type:Oral presentation (general)  

    Country:Thailand  

  1076. Plasma-enhanced synthesis and chemacal termination of carbon nanomaterials for application as cell culturing scaffold International conference

    H Sugiura,L Jia,H Kondo,H hashizume,K Takeda,K Ishikawa,M Hiamatsu,M Sekine,M Hori

    The 2nd Asian International Workshop 2016 

     More details

    Event date: 2016.2

    Language:English   Presentation type:Oral presentation (general)  

    Country:Thailand  

  1077. Influence of ion bombardment on electronic properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition International conference

    Hirotsugu Sugiura, Lingyun Jia , Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Makoto Sekine, Masaru Hori

    The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells 

     More details

    Event date: 2016.2

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1078. Atomic-scale Plasma process based on substrate-temperature control International conference

    T tsutsumi,Y Fukunaga,K Takeda,K Ishikawa,H Kondo,M Sekine,M Hori

    Asian International Workshop on Plasma Science 

     More details

    Event date: 2016.2

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1079. Effects of Edge Terminations on nanostructure and Electrical Properties of Carbon Nanowalls International conference

    H Cho,H Kondo,K Ishikawa,K Takeda,M Sekine,M Hiramatsu,M Hori

    Asian International Workshop on Plasma Science 

     More details

    Event date: 2016.2

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1080. A novel radical-enhanced metalorganic chemical vapor deposition(REMOCVD)for the eqitaxial growth of gallium nitride(GaN) International conference

    Y Lu,O Oda,H Kondo,K Ishikawa M Sekine,M Hori

    Asian International Workshop on Plasma Science 

     More details

    Event date: 2016.2

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1081. Advanced Plasma Etching Technologies with Controlling Internal Paramenters International conference

    Masaru Hori

    SEMICON korea 2016 

     More details

    Event date: 2016.2

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  1082. プラズマ医療の展望

    堀 勝

    日本学術振興会プラズマ材料科学第153委員会 プラズマ材料科学スクール 

     More details

    Event date: 2016.2

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1083. プラズマ照射培養液による乳がん細胞への選択的殺傷効果についての検討

    橋爪博司、田中宏昌、中村香江、吉川史隆、石川健治、加納浩之、水野正明、堀勝

    日本酸化ストレス学会東海支部 第4回学術集会 

     More details

    Event date: 2016.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  1084. 「医療を変える!プラズマ医療応用技術最新動向」 International conference

    堀 勝、石川 健治、田中 宏昌、節原裕一、池原 譲、金子 俊郎、平田 孝道

    技術者塾 「プラズマ技術が医療を変える~低負担がん治療、止血、遺伝子導入、再生医療の次世代革新技術の確立に向けて~」 

     More details

    Event date: 2016.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  1085. プラズマ技術が医療を変える~低負担がん治療、止血、遺伝子導入、再生医療の次世代革新技術の確立に向けて

    堀 勝

    技術者塾 

     More details

    Event date: 2016.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1086. プラズマの技術革新によって創成された新しい医療科学とその発展に向けて

    池原 譲、榊田 創、鎮西 清行、藤代 尚武 、林 秀樹、清水 鉄司、森 雅彦、岡崎 俊也、堀 勝、浜口 智志、長谷川 靖洋

    第7回 プラズマ医療・健康産業シンポジウム 

     More details

    Event date: 2015.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:国)産業技術総合研究所・臨海副都心センター   Country:Japan  

  1087. プラズマの技術革新によって創成された新しい医療科学とその展開に向けて(仮)

    堀 勝

    第7回 プラズマ医療・健康産業シンポジウム 

     More details

    Event date: 2015.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1088. Inactivation Effects of Liquids Treated with Oxygen Radicals on Saccharomyces Cerevisiae International conference

    Jun Kobayashi (Meijo University, Japan), Hiroshi Hashizume (Nagoya University, Japan),Takayuki Ohta (Meijo University, Japan), Masaru Hori (Nagoya University, Japan),Masafumi Ito (Meijo University, Japan)

     More details

    Event date: 2015.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1089. Inactivation Effects of Neutral-pH Solutions Treated with Oxygen Radicals International conference

    Tsuyoshi Kobayashi, Takayuki Ohta, Masafumi Ito, Hiroshi Hashizume, Kenji Ishikawa, and Masaru Hori

    Technology and the 28th Symposium on Plasma Science for Materials (APSPT-9/SPSM-28) 

     More details

    Event date: 2015.12

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1090. Effect of Plasma-Treated Water to Grow the Sprout International conference

    Kyoshiro Yamashita, Takayuki Ohta, Masahumi Ito (Meijo University, Japan),Masaru Hori (Nagoya University, Japan)

     More details

    Event date: 2015.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1091. Atmospheric Pressure Plasma Processes of High-Aspect-Ratio, Micro-Sized Hole Inside International conference

    Takumi Itoh, Kenji Ishikawa, Daisuke Onoshima (Nagoya University, Japan),Naoto Kihara, Kentaro Tatsukoshi, Hidefumi Odaka (Asahi Glass Co., Ltd, Japan),Hiroshi Hashizume, Hiromasa Tanaka, Hiroshi Yukawa,Yoshinobu Baba, Masaru Hori (Nagoya University, Japan)

     More details

    Event date: 2015.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1092. Reduction Effects in Solutions Irradiated by H2/He Atmospheric-Pressure Plasma International conference

    Yosuke Mori, Tomiyasu Murata (Meijo University, Japan),Masaru Hori (Nagoya University, Japan), Masafumi Ito (Meijo University, Japan)

     More details

    Event date: 2015.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1093. O Atom Density at Downstream of a High Density Atmospheric Pressure Plasma Source International conference

    Masaru Hori, Takumi Kumakura, Keigo Taked, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi,Hashizume, Hiroki Kondo, Makoto Sekine (Nagoya University, Japan)

     More details

    Event date: 2015.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1094. Power Generation Property of Polymer Electrolyte Fuel Cell Using Carbon Nanowalls International conference

    Ryosuke Okei, Takayuki Ohta, Masafumi Ito, Mineo Hiramatsu (Meijo University, Japan),Hiroki Kondo, Masaru Hori (Nagoya University, Japan)

     More details

    Event date: 2015.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1095. Nanocarbon Platform based on Vertical Nanographene and its Electrochemical Application International conference

    Mineo Hiramatsu, Masakazu Tomatsu (Meijo University, Japan),Hiroki Kondo, Masaru Hori (Nagoya University, Japan)

     More details

    Event date: 2015.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1096. Plasma-Activate Medium and Its Application for Diseases International conference

    Masaru Hori, Masaaki Mizuno, Fumitaka Kikkawa, Masahiro Tanaka.

    2015 MRS Fall Metting & Exhibit 

     More details

    Event date: 2015.11 - 2015.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:United States  

  1097. 大気圧マイクロ波放電による長尺ラインプラズマの生成と基礎特性

    鈴木陽香,中野 優,伊藤 仁,関根 誠,堀 勝, 豊田浩孝

    プラズマ・核融合学会 第32回年会 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:名古屋大学 豊田講堂   Country:Japan  

  1098. Damage-free Plasmaetching of nitride semiconductors International conference

    第14回 赤崎記念研究センターシンポジウム2015 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Symposium, workshop panel (nominated)  

    Country:Japan  

  1099. Advanced Plasma Processing on Thin Films for Future Industries International conference

    Y.Ohya,M.Tomura,K.Ishikawa,M.Sekine and M.hori

    TACT 2015 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Oral presentation (keynote)  

    Country:Taiwan, Province of China  

  1100. プラズマエレクトロニクスと医療

    鳥本司(名大),速水真也(熊本大),山田豊和(千葉大),土射津昌久(名大),堀 勝 (名大),中里和郎(名大),高村禅(JAIST),宮内雄平(京大)

    第19回 VBLシンポジウム(2015) 

     More details

    Event date: 2015.11

    Language:Japanese   Presentation type:Symposium, workshop panel (nominated)  

    Country:Japan  

  1101. Clarification of siof layer formed on sio2 under fluorocarbon plasma etching International conference

    T. Tatsumi (Sony Corp.) Japan,N. Fujiwara (Mitsubishi Electric Corp.) Japan, S. Hamaguchi (Osaka University) Japan , H. Hayashi (Toshiba Corp.) Japan , M. Honda (TOKYO ELECTRON MIYAGI LTD.) Japan , M. Hori (Nagoya University) Japan , T. Ichiki (The University of Tokyo) Japan , K. Ishikawa (Nagoya University ) Japan , M. Izawa (Hitachi High-Technologies Corp.) Japan , N. Itabashi (Hitachi, Ltd.) Japan , K. Kinoshita (PETRA) Japan , H. Kokura (Samsung Electronics Co., Ltd) Korea , K. Kinoshita (PETRA) Japan , H. Kokura (Samsung Electronics Co., Ltd) Korea , T. Koshizawa (Applied Materials Inc.) USA , K. Nojiri (Lam Research Corp.) Japan , T. Ohiwa (TOKYO ELECTRON LIMITED) Japan , T. Okumura (Panasonic Corp.) Japan , T. Ohiwa (TOKYO ELECTRON LIMITED) Japan ,T. Okumura (Panasonic Corp.) Japan, K. Ono (Kyoto University) Japan , K. Sasaki (Hokkaido University) Japan ,M. Sekine (Nagoya University) Japan, Other tens of people

    DPS 2015 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1102. Chemical properties of gases used in highly selective etching of Si3N4 International conference

    T. Hayashi, L. Ishikawa, M. Sekine, and M. Hori

    37th International Symposium on Dry Process, Awaji Yumebutai International Conference Center 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1103. Chemical properties of gases used in highly selsective etching of Si3N4 International conference

    T.Hayashi,K.Ishikawa,M.Sekine,and M.Hori

    TACT 2015 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Oral presentation (keynote)  

    Country:Japan  

  1104. Low plasma-induced damage on GaN etched by a CL2 Plasma at high temperatures International conference

    Z.Liu, J.Pan, A.Asano,T.Kako,K.Ishikawa,K.Takeda, H.Kondo,O.Oda, M.Sekine and M.hori

    TACT 2015 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Taiwan, Province of China  

  1105. Wafer temparature dependence of organic film etch reaction in H2/N2 Plasma International conference

    Y.Fukunaga,T.Tutsumi,H.Kondo,K.Ishikawa,M.Sekine and M.Hori

    TACT 2015 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1106. Effects of irradiation on electronic properties of amorphous carbon films grown by plasama-enhanced chemical vapor deposition International conference

    H.Sugiura,L.Jia,H.Takeda,K.Ishikawa,M.Sekine,and M.Hori

    TACT 2015 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1107. Fabrication of Antireflactive Nanostructures Using Carbon Nanowalls as Etch Mask International conference

    M.Tomatsu,M.Hiramatsu,H.Kondo and M.Hori

    TACT 2015 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1108. Effect of transient behavior of pulse modulated inductively coupled plasma on photon-induced interface defects International conference

    Y.Miyoshi,M.fukasawa,TShigetoshi,Y.Miyawaki,K.Ishikawa,K.Nagahata,K.Ogawa,Y.Enomoto,M.Sekine,M.Hori,T.Tutsumi

    TACT 2015 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1109. Responses of cells in plasma-activated medium International conference

    Hiromasa Tanaka,Masaaki Mizuno,Kenji Ishikawa,Keigo Takeda ,Hiroshi Hashizume,Kae Nakamura,Hiroaki Kajiyama,Hiroyuki Kano,Yasumasa Okazaki,Shinya Toyokuni, Shoichi Maruyama, Yasuhiro Kodera, Hiroko Terasaki, Tetsuo Adachi, Masashi Kato, Fumitaka Kikkawa, Masaru Hori,

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing  

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1110. Effects of Fluorine Termination of Carbon Nanowall Edges on Their Electrical Properties by Ar/NO/F 2 Mixture Gas Treatments International conference

    Hyung Jun Cho, Satomi Tajima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, Masaru Hori

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1111. Fabrication of Nanoplatform Based on Vertical Nanographene International conference

    Mineo Hiramatsu, Masakazu Tomatsu, Hiroki Kondo, Masaru Hori

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1112. Interaction of AC excited Atmospheric Pressure Ar Plasma Jet with Medium International conference

    Keigo Takeda, Sijie Liang, Takumi Kumakura, Kenji Ishikawa, Hiromasa Tanaka, Makoto Sekine, Masaru Hori

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1113. Investigation of selective induction of breast cancer cells to death with treatment of plasma-activated medium International conference

    Masaru Hori ,Hiroshi Hashizume,Hiromasa Tanaka,Kae Nakamura,Hiroyuki Kano,Kenji Ishikawa ,Fumitaka Kikkawa, Masaaki Mizuno

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1114. Antitumor effect of synergistic contribution of nitrite and hydrogen peroxide in the plasma activated medium International conference

    Naoyuki Kurake , Hiromasa Tanaka,Kenji Ishikawa , Kae Nakamura ,Hiroaki Kajiyama, Fumiaki Kikkawa , Takashi Kondo ,Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine , Masaru Hori

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing  

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1115. Primary dissociation channels of SiH4 International conference

    Toshio Hayashi, Kenji Ishikawa ,Makoto Sekine ,Masaru Hori

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1116. Raman Spectroscopy of $a$-C:H Films Deposited Using Ar $+$ H$_{2}+$ C$_{7}$H$_{8}$ Plasma CVD International conference

    Xiao Dong , Kazunori Koga ,Daisuke Yamashita , Hyunwoong Seo , Naho Itagaki , Masaharu Shiratani , Yuichi Setsuhara, Makoto Sekine,Masaru Hori

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing  

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1117. Measurements of absolute densities of nitrogen and oxygen atoms in sputtering plasma for fabrication of ZnInON films International conference

    Hiromasa Tanaka,Masaaki Mizuno,Kenji Ishikawa,Keigo Takeda ,Hiroshi Hashizume,Kae Nakamura,Hiroaki Kajiyama,Hiroyuki Kano,Yasumasa Okazaki,Shinya Toyokuni, Shoichi Maruyama, Yasuhiro Kodera, Hiroko Terasaki, Tetsuo Adachi, Masashi Kato, Fumitaka Kikkawa, Masaru Hori,

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing  

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1118. Application of Atmospheric-Pressure Microwave Line Plasma for Low Temperature Process International conference

    Haruka Suzuki ,Suguru Nakano , Hitoshi Itoh ,Makoto Sekine,Masaru Hori ,Hirotaka Toyoda

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing  

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1119. Modeling molecules responsible for the sidewall protection during the chemical dry etching of silicon related materials using F$_{2} + $ NO$_{x} \to $ F $+$ FNO$_{x}$ International conference

    Satomi Tajima, Toshio Hayashi ,Koji Yamakawa ,Minoru Sasaki ,Kenji Ishikawa ,Makoto Sekine ,Masaru Hori

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing  

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1120. Effects of ion bombardments on electronic properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition International conference

    Hirotsugu Sugiura ,Lingyun Jia ,Hiroki Kondo, Kenji Ishikawa ,Keigo Takeda ,Makoto Sekine ,Masaru Hori

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing  

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1121. Soluble Proteins Form Film by the Treatment of Low Temperature Plasma International conference

    Sanae Ikehara ,Hajime Sakakita,Kenji Ishikawa,Yoshihiro Akimoto ,Hayao Nakanishi,Nobuyuki Shimizu,Masaru Hori ,Yuzuru Ikehara

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing  

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1122. Glutamate biosensor based on carbon nanowalls grown using plasma enhanced chemical vapor deposition International conference

    Masakazu Tomatsu,Mineo Hiramatsu ,Hiroki Kondo ,Masaru Hori

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing  

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1123. Measurement of absolute density of N atom in sputtering plasma for epitaxial growth ZnO films via nitrogen mediated crystallization International conference

    Tomoaki Ide ,Koichi Matsushima ,Toshiyuki Takasaki ,Keigo Takeda ,Masaru Hori ,Daisuke Yamashita ,Hyuwoong Seo ,Kazunori Koga ,Masaharu Shiratani, Naho Itagaki

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing  

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1124. Development of high-density radical source and its application to high-speed growth of nitride semiconductors by plasma-assisted molecular beam epitaxy International conference

    Hiroki Kondo, Yukinori Kiheida, Hiroyuki Kano, Yvon Cordier, Phannara Aing, Olivier Grange, Yuri Tsutsumi, Osamu Oda, Masaru Hori, Hiroshi Amano

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1125. Measurements of nitrogen atom density in N2/Ar sputtering plasma for fabrication of high-mobility amorphous In2O3:Sn films International conference

    64. Toshiyuki Takasaki, Tomoaki Ide, Koichi Matsushima, Keigo Takeda, Masaru Hori, Daisuke Yamashita, Hyumwoon Seo, Kazunori Koga, Masaharu Shiratani, and Naho Itagaki

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing  

     More details

    Event date: 2015.10

    Language:English   Presentation type:Poster presentation  

    Country:United States  

  1126. Multi-electrodes Atmospheric Pressure Plasma Jet Aiming Bio-applications International conference

    Jeon G. Han, B.B. Sahu, K.S. Shin, J.S. Lee, M. Hori

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing  

     More details

    Event date: 2015.10

    Language:English   Presentation type:Poster presentation  

    Country:United States  

  1127. Proliferation enhancement of budding yeast and mammalian cells with periodic oxygen radical treatment International conference

    Yosuke Mori, Jun Kobayashi, Tomiyasu Murata, Hiroshi Hahizume, Masaru Hori, Masafumi Ito

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing  

     More details

    Event date: 2015.10

    Language:English   Presentation type:Poster presentation  

    Country:United States  

  1128. Effects of solutions treated with oxygen radicals in neutral pH region on inactivation of microorganism International conference

    Tsuyoshi Kobayashi, Hiroshi Hashizume, Takayuki Ohta, Kenji Ishikawa, Masaru Hori, Masafumi Ito

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Poster presentation  

    Country:United States  

  1129. Effect of medium treated with neutral oxygen radicals on growth of \textit{Saccharomyces cerevisiae} International conference

    Jun Kobayashi, Hiroshi Hashizume, Takayuki Ohta, Masaru Hori, Masafumi Ito

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Poster presentation  

    Country:United States  

  1130. The Fourth Industry and Life Revolutions Employing Plasma Science and Technologies International conference

    M Hori

    The 21st Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & The Workshop for NU-SKKU joint Instultute for Plasma-Nano Materials 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  1131. Optimization of stage temperatures on etch-reactions of GaN films International conference

    Z. Liu, J. Pan, T. Kako, K. Ishikawa, K. Takeda, O. Oda, H. Kondo, M. Sekine, M. Hori

    The 21st Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & The Workshop for NU-SKKU joint Instultute for Plasma-Nano Materials 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1132. Highly Precise Control of Substrate Temperature During Plasma Etching and the Effect on Etched Profile International conference

    40. Yusuke Fukunaga, Takayoshi Tsutsumi, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    The 21st Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & The Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1133. Plasma Medical Science Innovation International conference

    M.Hori,T.Nozaki,T.Kaneko,M.Ito,H.Kondo,K.Koga,Y.Setsuhara,J.G.H.Han,E.H.Choi,J.H.Boo,C.Song,Y.Kim,J.H.Lee,J.Y.Choi,Y.Kim

     More details

    Event date: 2015.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1134. lnactivation of budding yeast cells in liquids treated with oxygen radicals International conference

    M.Hori,T.Nozaki,T.Kaneko,M.Ito,H.Kondo,K.Koga,Y.Setsuhara,J.G.H.Han,E.H.Choi,J.H.Boo,C.Song,Y.Kim,J.H.Lee,J.Y.Choi,Y.Kim

     More details

    Event date: 2015.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1135. The Fourth Industry and Life Revolutions Employing Plasma Science and Technologies International conference

    M.Hori,T.Nozaki,T.Kaneko,M.Ito,H.Kondo,K.Koga,Y.Setsuhara,J.G.H.Han,E.H.Choi,J.H.Boo,C.Song,Y.Kim,J.H.Lee,J.Y.Choi,Y.Kim

     More details

    Event date: 2015.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Slovenia  

  1136. Catalytic property and durability of Pt nanoparticles-supported carbon nanowalls for a high performance fuel cell electrode International conference

    Imai Shun, Hiroki Kondo, Hiroyuki Kano, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, Masaru Hori

     More details

    Event date: 2015.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Slovenia  

  1137. Growth enhancement of mouse fibroblast cells using solutions treated with oxygen radicals International conference

    M.Hori,Y.Mori,T.Murata,H.Hashizume,T.Nozaki,T.Kaneko,M.Ito,H.Kondo,K.Koga,Y.Setsuhara,J.G.H.Han,E.H.Choi,J.H.Boo,C.Song,Y.Kim,J.H.Lee,J.Y.Choi,Y.Kim

     More details

    Event date: 2015.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Slovenia  

  1138. Controlled synthesis and fuel cell application of nanographene materials employing in-liquid plasma International conference

    M.Hori,T.Nozaki,T.Kaneko,M.Ito,H.Kondo,K.Koga,Y.Setsuhara,J.G.H.Han,E.H.Choi,J.H.Boo,C.Song,Y.Kim,J.H.Lee,J.Y.Choi,Y.Kim

     More details

    Event date: 2015.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Slovenia  

  1139. Diagnostics of AC excited Atmospheric Pressure Ar Plasma Jet with Laser Scattering spectroscopy International conference

    K. Takeda, F. Jia, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    17th International Symposium on Laser-Aided Plasma Diagnostics 

     More details

    Event date: 2015.9 - 2015.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1140. Laser Absorption Spectroscopy of SiH4/H2 Plasma for Deposition of Silicon Shin Films International conference

    K. Takeda, Y. Abe, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    17th International Symposium on Laser-Aided Plasma Diagnostics 

     More details

    Event date: 2015.9 - 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1141. Diagnostics of AC Excited Atmospheric Pressure He Plasma Jet With Vacuum Ultraviolet Absorption Spectroscopy International conference

    Masaru Hori ,Keigo Takeda ,Takumi Kumakura ,Kenji Ishikawa, hiromasa Tanaka ,Makoto Sekine

    AEPSE 2015 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1142. Measurements of active species in solutions treated with quantified oxygen-atoms, International conference

    T. Kobayashi, T. Towatari, T. Ohta, H. Hashizume, K. Ishikawa, M. Hori, M. Ito

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  1143. Stable structure analysis of the fuel cell electrode using the nanographene synthesized by in-liquid plasma International conference

    T. Amano, H. Kondo, K. Takeda, K. Ishikawa, T. Ohta, M. Ito, H. Kano, M. Hiramatsu, M. Sekine and M. Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1144. Prediction of Radial Distribution from Temporal Variation of Wafer Temperature in a Plasma Reactor International conference

    T. Tsutsumi, K. Ishikawa, K. Takeda, T. Ohta, M. Ito, H. Kondo, M. Sekine, and M. Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1145. Influences of wafer temperature on etch rates and profiles of organic films in H2/N2 plasma International conference

    T. Tsutsumi, Y. Fukunaga, K. Takeda, K. Ishikawa, T. Ohta, M. Ito, H. Kondo, M. Sekine, and M. Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  1146. Hydrogen peroxide and nitrous ion generated in culture media by irradiation of non-equilibrium atmospheric pressure plasmas International conference

    Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Fumiaki Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  1147. Effects of Radical Species on Crystallographic Properties of Amorphous Carbon Films Synthesized by Radical Injection Plasma Enhanced Chemical Vapor Deposition International conference

    Lingyun Jia, Hirotsugu Sugiura, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1148. Dependence of CCP power on crystallographic and electronic properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition International conference

    Hirotsugu Sugiura, Lingyun Jia, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  1149. Effects of Plasma-Activated Medium on Surface Morphologies of Lipid Bilayers International conference

    Takuya Tonami, Naoyuki Kurake, Hiroshi Hashizume, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Hiromasa Tanaka, Makoto Sekine, Masaru Hori, Masafumi Ito and Ryugo Tero

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  1150. Development of High Density Radical Source International conference

    Yukinori Kiheda, Hiroyuki Kano, Koji Yamakawa, Shoji Den, Hiroki Kondo, Osamu Oda and Masaru Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1151. Effect of Ambient Air on Reactive Species Generation in Atmospheric Pressure Ar Plasma International conference

    Keigo Takeda, Kenji Ishikawa, Hiromasa Tanaka, Makoto Sekine, and Masaru Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1152. Resonant Floating Electrode in Inductively Coupled Micro-Plasma Source for Power Efficiency International conference

    Katsuki Tsukasaki, Daisuke Yasumatsu, Shinya Kumagai,Keigo Takeda, Masaru Hori and Minoru Sasaki

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1153. Nanoplatform Based on Vertical Nanographene International conference

    Mineo Hiramatsu, Hiroki Kondo and Masaru Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1154. Surface Reactions Of Oxygen Species On Carbon Nanowalls International conference

    Hiroki Kondo, Hironao Shimoeda, Kenji Ishikawa, Makoto Sekine, Masaru Hori and Mineo Hiramatsu

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1155. Cellular And Molecular Responses Of Plasma-activated Medium Treated Cells International conference

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Fumitaka Kikkawa, and Masaru Hori Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1156. Modification of chemical bonding structures and electrical properties of carbon nanowalls by Ar/F2 post-treatments International conference

    Hyung Jun Cho, Satomi Tajima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1157. Poration Process of Artificial Cell Membranes Induced by Plasma-Generated Active Species International conference

    Ryugo Tero, Ryuma Yamashita, Yoshiyuki Suda, Hirofumi Takikawa, Kyohei Fukuda, Hachiro Yasuda, Akira Mizuno, Hiroshi Hashizume, Masaru Hori and Masafumi Ito

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1158. Control of fragmental ratio of CH2F+ and CHF2 + by argon- or krypton-diluted CH2F2 plasmas International conference

    Yusuke Kondo, Yudai Miyawaki, Kenji Ishikawa, Toshio Hayashi, Keigo Takeda, Hiroki Kondo, Makoto Sekine and Masaru Hori Hashizume, Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Fumitaka Kikkawa, and Masaru Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  1159. Durability and Elucidation of Degradation Mechanism of Pt nanoparticles supported carbon nanowalls International conference

    Shun Imai, Hiroki kondo, Hiroyuki Kano, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu,and Masaru Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  1160. The Enhancement of Saos-2 Cell Growth by Neutral Species Extracted from the Ar/O2 +N2 Plasma International conference

    Satomi Tajima, Kayo Yamada, Kyoko Nanki, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Keigo Takeda, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  1161. Effect of Ambient Air on Reactive Species Generation in Atmospheric Pressure Ar Plasma Jet International conference

    Keigo Takeda, Kenji Ishikawa, Hiromasa Tanaka, Makoto Sekine, and Masaru Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  1162. Multiplex Coherent Anti-Stokes Raman Scattering Microscopic Observation Of Plasma-induced Budding Yeast International conference

    Ryo Furuta, Hiroshi Hashizume, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Takayuki Ohta, Masafumi Ito, Makoto Sekine, and Masaru Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  1163. Surface-assisted laser desorption/ionization mass spectrometry using carbon nanowalls International conference

    Takayuki Ohta, Hidehiko Fujita, Kenji Ishikawa, Keigo Takeda, Masaru Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  1164. Plasma Nitriding Process For Aluminium Alloy Of Al-Mg International conference

    Shoji Den, Hiroyuki Yamamoto, Koji Yamakawa, Masahiro Hayakawa, Etsuo Asami, Seigo Takashima, Hitoshi Wada and Masaru Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  1165. Silicon Substrate Temperature Measurement In Sputtering Processes Using Optical Low-coherence Interferometry International conference

    Katsuhiro Hattori, Takayuki Ohta, Masafumi Ito, Takayoshi Tsutsumi, Keigo Takeda and Masaru Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  1166. Multiplex coherent anti-Stokes Raman scattering microscopic observation of plasma-induced budding yeast International conference

    Ryo Furuta, Hiroshi Hashizume, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Takayuki Ohta, Masafumi Ito, Makoto Sekine and Masaru Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  1167. Collision-induced dissociative ionization of Ar diluted CH2F2 plasma International conference

     More details

    Event date: 2015.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1168. Advanced Plasma Diagnostics of Interactions among Plasmas, Liquids and Cell International conference

     More details

    Event date: 2015.7

    Language:English   Presentation type:Oral presentation (keynote)  

    Country:Taiwan, Province of China  

  1169. プラズマ医療の挑戦~高効率遺伝子導入~

    堀勝

    新学術領域「プラズマ医療科学の創成」(金三会) 

     More details

    Event date: 2015.7

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1170. Dynamic behaviour of glioblastoma cells in plasma-activated medium International conference

    Masaru Hori

    ISPC2015 22nd International Symposium on Plasma Chemistry 

     More details

    Event date: 2015.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Belgium  

  1171. 科学的性質

    堀勝

    第32回薄膜スクール 

     More details

    Event date: 2015.7

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:サンパーク犬山   Country:Japan  

  1172. Toward plasma cancer theraphy:Interactions among plasmas, Liquids and cells International conference

     More details

    Event date: 2015.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Portugal  

  1173. プラズマ医療の挑戦~加齢黄斑変性の治療

    堀勝

    新学術領域「プラズマ医療科学の創成」(金三会) 

     More details

    Event date: 2015.6

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1174. 常温常圧プラズマを用いた未来の医療と健康社会への展望

    堀勝

    核融合科学研究所 特別講演会 

     More details

    Event date: 2015.6

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:核融合科学研究所   Country:Japan  

  1175. 「プラズマ医療」の現状と未来

    堀勝

    プラズマ・エネルギー応用学寄付講座開設記念 公研究会 再生医療とプラズマ医療~プラズマと生体界面の反応~ 

     More details

    Event date: 2015.5

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:愛媛大学   Country:Japan  

  1176. プラズマの生命科学研究

    堀勝

    所長招聘セミナー 

     More details

    Event date: 2015.5

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:基礎生物学研究所   Country:Japan  

  1177. 最先端プラズマ科学による医療・健康デバイス開発

    堀勝

    第54回日本生体医工学会大会 

     More details

    Event date: 2015.5

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1178. 最先端研究センター2最先端プラズマ医療科学研究

    堀勝

    第104回日本病理学会総会 

     More details

    Event date: 2015.4 - 2015.5

    Language:Japanese   Presentation type:Oral presentation (keynote)  

    Country:Japan  

  1179. Electrocatalytic Property and Durability of Pt Nanoparticles-Supported Nanographene Synthesized by In-Liquid Plasma International conference

    2015 MRS Spring Meeting & Exhibit 

     More details

    Event date: 2015.4

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1180. Electrocatalytic Characteristic of Pt Nanoparticles-Supported Carbon Nanowalls for Fuel Cell Applications International conference

    Hiroki Kondo, Shun Imai, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Mineo Hiramatsu

    2015 MRS Spring Meeting and Exhibit  

     More details

    Event date: 2015.4

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1181. Selective effect of plasma-activated medium on human breast normal and cancer cells International conference

    Hiroshi Hashizume, Hiromasa Tanaka, Kae Nakamura, Fumitaka Kikkawa, Kenji Ishikawa, Hiroyuki Kano, Masaaki Mizuno, Masaru Hori

    The 2nd International Workshop on Plasma for Cancer Treatment (IWPCT-2) 

     More details

    Event date: 2015.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1182. Growth control of cells using periodic oxygen-radical treatments International conference

    Jun Kobayashi, Hiroshi Hashizume, Takayuki Ohta, Masaru Hori, Masafumi Ito

    The 2nd International Workshop on Plasma for Cancer Treatment (IWPCT-2) 

     More details

    Event date: 2015.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1183. パルスプラズマの特性とCVDプロセスへの応用可能性

    堀勝

    東京エレクトロン社内講演会 

     More details

    Event date: 2015.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:東京エレクトロン    Country:Japan  

  1184. プラズマ精密加工と医療科学への応用~癌治療とその実際~ International conference

    土肥 俊郎、江刺 正喜、澤田 廉士、堀 勝、佐野 泰久、木下 正治、山口 直宏

    第31回 精密加工プロセス研究会講演会/第2回 3次元積層造形精密加工技術WG講演会 

     More details

    Event date: 2015.2

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1185. 低温大気圧プラズマによる接合と表面加工

    堀 勝

    先端プラズマ技術研究会(金三会) 

     More details

    Event date: 2015.2

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:名古屋大学 ES総合館1F ES小会議室   Country:Japan  

    低温大気圧プラズマの生成方法から装置までを分かりやすく解説し、大気圧プラズマによる接合と表面加工に関する科学技術の事例を紹介する。

  1186. 低温プラズマとTED×NagoyaUは、聴衆を熱くする

    堀勝

    第27回中部科学技術交流会 研究交流クラブ 第167回定例会 

     More details

    Event date: 2015.2

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1187. High-density nitrogen plasma source for growing high In content InGaN by plasma-assited MBE International conference

    Hiroki Kondo, Masaru Hori, Hiroshi Amano

    SPIE Photonics West 2015 

     More details

    Event date: 2015.2

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1188. High Density Plasma Coating and Surface Modification for Material Processes International conference

    Masaru Hori

    2015 Taiwan High-density Plasma Coating Forum 

     More details

    Event date: 2015.2

    Language:English   Presentation type:Oral presentation (keynote)  

    Country:Taiwan, Province of China  

  1189. Advanced Process Control of High Density Plasma Coating and Surface Modification Processing International conference

    Masaru Hori

    Tatung University 

     More details

    Event date: 2015.2

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Taiwan, Province of China  

  1190. 次世代窒化ガリウム系デバイスのためのラジカル励起MOCVDによるGaN-ヘテロエピタキシャル成長に関する研究

    盧翌、岩本一希、小田修、石川健治、関根誠、堀勝(名大)

    ゲートスタック研究会ー材料・プロセス・評価の物理ー (第20回) 

     More details

    Event date: 2015.1

    Language:Japanese   Presentation type:Poster presentation  

    Venue:東レ研修センター   Country:Japan  

  1191. Challenge for Plasma Green and Life Innovations International conference

    Masaru Hori

    The 20th Workshop on Advanced Plasma Processes and Diagnostics & The 7th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     More details

    Event date: 2015.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1192. Recent achievements on plasma-nano materials and processes in IPNM International conference

    Jeon G.Han,Bibhuti B.Sahu,Su B. Jin,Kyung S.shin,Jun s.Lee and Masaru Hori

    The 20th Workshop on Advanced Plasma Processes and Diagnostics & The 7th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     More details

    Event date: 2015.1

    Language:English   Presentation type:Oral presentation (keynote)  

    Country:Japan  

  1193. Measurement of atomic oxygen generated by AC excited atmospheric pressure O2/Ar plasma with vacuum ultraviolet absorption spectroscopy International conference

    Keigo Takeda,Kenji Ishikawa,Makoto Sekine,Masaru Hori

    The 20th Workshop on Advanced Plasma Processes and Diagnostics & The 7th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     More details

    Event date: 2015.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1194. Etching of SiOCH in direct current superposed dual-frequency capactively coupled plasmas with a sheath modification International conference

    Masaru Hori

    The 75th IUVSTA Workshop on Sheath Phenomena Plasma Processing of Advanced Materials 

     More details

    Event date: 2015.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Slovenia  

  1195. 地域イノベーションプラットフォーム概略紹介

    堀勝

    大気圧プラズマによる超高速・超機能化異種材料接合オープンフラットフォーム 

     More details

    Event date: 2015.1

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1196. 医療イノベーション創出にむけたプラズマ技術への期待

    堀 勝

    第6回プラズマ医療・健康産業シンポジウム 

     More details

    Event date: 2014.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:(独)産業技術総合研究所・臨海副都心センター 別館11階   Country:Japan  

  1197. Electron spin Resonance Study of Plasma-Activated-Media (PAM) International conference

    Masaru Hori, Naoyuki Kurake, Kenji Ishikawa, Hiromasa Tanaka, Takashi Kondo, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo and Makoto Sekine

    2014 MRS Fall Meeting & Exhibit 

     More details

    Event date: 2014.11 - 2014.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1198. Plasma-Enhanced Synthesis and Edge-Modification of Carbon Nanowalls for Nano-Bio Applications International conference

    Hiroki Kondo, Mineo Hiramatsu, Makoto Sekine and Masaru Hori

    2014 MRS Fall Meeting & Exhibit 

     More details

    Event date: 2014.11 - 2014.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1199. プラズマ生命科学が拓く未来社会

    堀 勝

    第30回九州・山口プラズマ研究会 

     More details

    Event date: 2014.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:ラグナガーデンホテル   Country:Japan  

  1200. Plasma Medical Science Innovation and Future Prospective International conference

    Plasma Conference 2014  

     More details

    Event date: 2014.11

    Language:Japanese   Presentation type:Oral presentation (keynote)  

    Country:Japan  

  1201. Plasma Science and Technology Enabling Disruptive Innovations Towards Global Creation International conference

    Masaru Hori

    The GRDC Symposium 2014 Innovative Science and Engineering for Creative Economic Ecosystems 

     More details

    Event date: 2014.11

    Language:English   Presentation type:Oral presentation (keynote)  

    Country:Korea, Republic of  

  1202. Controlled synthesis and electrocatalytic characteristics of Pt nanoparticles-supported nanographene synthesized by in-liquid plasma International conference

    Hiroki Kondo, Tomoki Amano, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Mineo Hiramatsu

    67th Annual Gaseous Electronics Conference 

     More details

    Event date: 2014.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1203. Growth of graphene-based films using aftergrow of inductively coupled plasma International conference

    Mineo Hiramatsu, Masakazu Tomatsu, Hiroki Kondo, Masaru Hori

    67th Annual Gaseous Electronics Conference 

     More details

    Event date: 2014.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1204. 最先端プラズマ科学研究が拓く未来医療と健康~工学と医学と農水産学の融合~

    堀 勝

    学部学科研究会ー最前線で活躍する研究者に聞く「学問・研究」- 

     More details

    Event date: 2014.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:長野高校   Country:Japan  

  1205. 最先端プラズマプロセスにおける計測・制御

    堀 勝

    2014年度第1回研究会 非線形現象とプラズマの計測・制御 

     More details

    Event date: 2014.10

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:京都工芸繊維大学    Country:Japan  

  1206. プラズマで「がん」を治すはなし

    堀 勝

    あいちサイエンスフェスティバル2014 

     More details

    Event date: 2014.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋テレビ塔2階大会議室   Country:Japan  

  1207. Radical-Controlled Plasma Nanoprocesses towards Global Innovations International conference

    Hori Masaru

    The Fifth International Symposium on Plasma Nanoscience (iPlasmaNano-V) 

     More details

    Event date: 2014.9 - 2014.10

    Language:English   Presentation type:Oral presentation (keynote)  

    Country:Spain  

  1208. 最先端プラズマ科学が拓く電子デバイス・機能材料プロセッシング

    堀 勝

    第2回エレクトロニクス薄膜材料研究会「最先端電子・情報素子と機能材料研究の動向」 

     More details

    Event date: 2014.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:名古屋大学東山キャンパス全学教育棟4階(C40)   Country:Japan  

  1209. プラズマ誘起ナノプロセスの進展と放射光実験への期待

    近藤博基、堀勝

    平成26年度前期短期研究会「真空紫外・軟X戦放射光物性研究のパラダイムシフトに向けて」 

     More details

    Event date: 2014.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京大学物性研究所   Country:Japan  

  1210. 計算化学を用いたプロセスプラズマ中の反応解析

    林 俊雄,石川健治,関根 誠,堀  勝

    第75回応用物理学会秋季学術講演会 

     More details

    Event date: 2014.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:北海道大学札幌キャンパス   Country:Japan  

  1211. プラズマCVDによって形成したカーボンナノウォールを用いた燃料電池の作製

    大慶 亮佑,岩本 翔太,太田 貴之,伊藤 昌文,平松 美根男,近藤 博基,堀 勝

    第75回秋季応用物理学会学術講演会 

     More details

    Event date: 2014.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道大学   Country:Japan  

  1212. Anisotropic etching and structural modification of carbon nanowalls by oxygen-related radicals International conference

    HIROKI KONDO, Hironao Shimoeda, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    14th International Conference on Plasma Surface Engineering 

     More details

    Event date: 2014.9

    Language:English   Presentation type:Poster presentation  

    Country:Germany  

  1213. Surface modification of carbon nanowalls for their nano-bio applications International conference

    Masaru Hori, HIROKI KONDO, Mineo Hiramatsu

    14th International Conference on Plasma Surface Engineering 

     More details

    Event date: 2014.9

    Language:English   Presentation type:Poster presentation  

    Country:Germany  

  1214. Discharge Properties and Radical-Generation Chracteristics in DBD Plasma Jet International conference

    Giichiro Uchida, Kosuke Takenaka, Kazufumi Kawabata, Atsushi Miyazaki, Yuichi Setsuhara, Keigo Takeda, Kenji Ishikawa, Masaru Hori

    14th International Conference on Plasma Surface Engineering 

     More details

    Event date: 2014.9

    Language:English   Presentation type:Poster presentation  

    Country:Germany  

  1215. Diagnostic studies for synthesis of Al doped ZnO film by magnetron sputtering International conference

    Jun Suck Lee, JayBum Kim, Su B. Jin, B.B. Sahu, Jeon G. Han, H. Kondo, M. Hori

    14th International Conference on Plasma Surface Engineering 

     More details

    Event date: 2014.9

    Language:English   Presentation type:Poster presentation  

    Country:Germany  

  1216. Advanced Plasma Diagnostics in Plasma Processing Science and Technology ~Comprehensively understanding of plasma processes from gas phase to surface reactions~ International conference

    Masaru Hori

    14th International Conference on Plasma Surface Engineering 

     More details

    Event date: 2014.9

    Language:English   Presentation type:Oral presentation (keynote)  

    Country:Germany  

  1217. Challenge of Carbon Nanowalls for Future Nanodevices International conference

    Masaru Hori

    ISMEN2014 (International Symposium on Materials for Enabling Nanodevices 2014) 

     More details

    Event date: 2014.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Taiwan, Province of China  

  1218. Controlled Synthesis of Carbon Nanomaterials Employing Plasma-Enhanced Chemical Vapor Deposition for Future Green Energy Applications International conference

    Hiroki Kondo, Mineo Hiramatsu, Masaru Hori

    IUMRS-ICA 2014  

     More details

    Event date: 2014.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1219. Dawning of Plasma Life Sciences ~ Diagnostics and Control of Reactive Species in Plasma Bio Processing ~ International conference

    Masaru Hori, Masahiro Tanaka, Kenji Ishikawa, Hiroki Kondo, Keigo Takeda, Makoto Sekine, Fumitaka Kikkawa, Kae Nakamura, Hiroaki Kajiyama, Masaaki Mizuno, Hiroyuki Ohta, Masafumi Ito and Hiroyuki Kano

    The 4th International Symposium for Plasma Biosciences (ISPB 2014) 

     More details

    Event date: 2014.8

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  1220. Interactions between Plasma and Biological Material: Analysis by Electron spin resonance (ESR) technique International conference

    Hiromasa Tanaka, Keigo Takeda, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiroki Kondo, Makoto Sekine, Masaru Hori

    Plasma Processing Science, Gordon Research Conference 

     More details

    Event date: 2014.7 - 2014.8

    Language:English   Presentation type:Poster presentation  

    Country:United States  

  1221. 高密度窒素ラジカル源を用いたRF-MBE法による(111)Si基板上GaNナノワイヤ高速成長

    堤裕理, 水谷駿介, 木津良祐, 近藤博基, 堀勝, 本田善央, 天野浩

    日本結晶成長学会 ナノ構造・エピタキシャル成長分科会 2014春季講演会 第6回 窒化物半導体結晶成長講演会 

     More details

    Event date: 2014.7

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名城大学天白キャンパス   Country:Japan  

  1222. Temporal Changes in H and N Atom Densities in Plasmas Caused by Reactor Surface Modifications International conference

    Toshiya Suzuki, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    The XXII Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG) 

     More details

    Event date: 2014.7

    Language:English   Presentation type:Poster presentation  

    Country:Germany  

  1223. Plasma-Biological Material Interactions Studied by Employing Electron Spin Resonance (ESR) Technique International conference

    Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    The XXII Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG) 

     More details

    Event date: 2014.7

    Language:English   Presentation type:Poster presentation  

    Country:Germany  

  1224. Plasma-Biological Material Interactions Studied by Employing Electron Spin Resonance (ESR) Technique International conference

    Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    The XXII Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG) 

     More details

    Event date: 2014.7

    Language:English   Presentation type:Poster presentation  

    Country:Germany  

  1225. 先端エッチング技術の最新動向徹底解説

    堀 勝

     More details

    Event date: 2014.7

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:連合会館(東京・御茶ノ水)   Country:Japan  

  1226. Optical method for radical density measurement International conference

    Masaru Hori

    International Conference on Microelectronics and Plasma Technology 2014 (ICMAP 2014) 

     More details

    Event date: 2014.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  1227. Synthesis and electrocatalytic properties of Pt nanoparticles-supported nanographene synthesized employing in-liquid plasma International conference

    Hiroki Kondo, Tomoaki Amano, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Masafumi Ito, and Mineo Hiramatsu

    International Conference on Microelectronics and Plasma Technology 2014 (ICMAP 2014) 

     More details

    Event date: 2014.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1228. Advanced transparent conductive Al doped ZnO film deposition using ICP assisted facing target sputtering International conference

    Masaru Hori, Jaybum Kim, Subong Jin, Bibhuti Bhusan Sahu, and Jeongeon Han

    International Conference on Microelectronics and Plasma Technology 2014 (ICMAP 2014) 

     More details

    Event date: 2014.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1229. Cancer Treatments using Plasma-Activated Medium International conference

    Masaru Hori, Hiromasa Tanaka, Masaaki Mizuno, Kae Nakamura, Hiroaki Kajiyama, Keigo Takeda, Kenji Ishikawa, Hiroyuki Kano, Fumitaka Kikkawa

    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     More details

    Event date: 2014.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  1230. Nano-Bio applications of carbon-nano materials synthesized and modified by plasma International conference

    Hiroki Kondo, Mineo Hiramatsu, and Masaru Hori

    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     More details

    Event date: 2014.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  1231. Modifications of Photoresists Surface on Photon Irradiations in HBr Plasmas International conference

    Yan Zhang, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     More details

    Event date: 2014.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1232. Growth-activation of budding yeast cells using oxygen radical source International conference

    Jun Kobayashi, Keisuke Yamauchi, Hiroshi Hashizume, Takayuki Ohta, Masaru Hori, Masafumi Ito

    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     More details

    Event date: 2014.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1233. Diagnostics of Non-equilibrium Atmospheric Pressure Plasma for Agricultural Application International conference

    Takumi Kumakura, Keigo Takeda, Kenji Ishikawa, Hiromasa Tanaka, Hiroki Kondo, Hiroyuki Kano, Yoshihiro Nakai, Makoto Sekine, Masaru Hori

    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     More details

    Event date: 2014.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1234. Chemical analysis of plasma-activated-medium for understanding mechanism of its antitumor effect International conference

    Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Fumiaki Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     More details

    Event date: 2014.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1235. Inactivation of Aspergillus Niger and flavus spores using atmospheric-pressure radical source International conference

    Yosuke Mori, Keisuke Nishida, Hiroshi Hashizume, Takayuki Ohta, Masaru Hori, Masafumi Ito

    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     More details

    Event date: 2014.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1236. High Density Radical Source International conference

    Y. Kiheda, H. Kano, H. Kondo, M. Hori, H. Amano, M. Hiramatsu

    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     More details

    Event date: 2014.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1237. The History and Research Activity of Plasma Nano Technology in Nagoya University International conference

    Hori Masaru

    1st International Workshop on Plasma-enhanced Atomic Layer Deposition 

     More details

    Event date: 2014.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1238. Study on Reaction Mechanism of Plasma-Enhanced Atomic Layer Deposition of SiO2 Films by In-Situ Fourier Transform Infrared Spectroscopy International conference

    Yi Lu, Akiko Kobayashi, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    14th International Conference on Atomic Layer Deposition (ALD 2014) 

     More details

    Event date: 2014.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1239. In situ analysis of the surface reations in PE-ALD SiO2 films for advanced litho applications International conference

    Masaru Hori, Hiroki Kondo, and Akiko Kobayashi

    14th International Conference on Atomic Layer Deposition (ALD 2014) 

     More details

    Event date: 2014.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1240. プラズマ医療科学の潮流

    堀勝

     『プラズマが拓く新学術領域と今後の展開』 

     More details

    Event date: 2014.6

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:名古屋大学東山キャンパスIB情報電子館 中棟2階大講義室 及び 中棟1階   Country:Japan  

  1241. 独創的研究が創出するプラズマ材料イノベーション

    堀勝

    プラズマ材料科学第153委員会、第117回研究会『プラズマ材料科学のフロンティア』 

     More details

    Event date: 2014.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:弘済会館   Country:Japan  

  1242. プラズマナノ工学研究センターにおけるナノ・バイオプロセス研究と支援事例

    堀勝

    名古屋大学微細加工ナノテクノロジープラットフォーム施設見学会 

     More details

    Event date: 2014.6

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1243. Quantitative evaluation of the inactivation process of P. digitatum spores on the basis of dose of ground-state atomic oxygen International conference

    H. Hashizume, T. Towatari, T. Ohta, K. Takeda, K. Ishikawa, M. Hori, M. Ito

    International Workshop on Diagnostics and Modelling for Plasma Medicine (DMPM2014) 

     More details

    Event date: 2014.5

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1244. Diagnostics of intracellular signaling systems of glioblastoma brain tumor cells treated with plasma-activated medium International conference

    H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, Y. Okazaki, S. Toyokuni, S. Maruyama, F. Kikkawa, M. Hori

    International Workshop on Diagnostics and Modelling for Plasma Medicine (DMPM2014) 

     More details

    Event date: 2014.5

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1245. The Effect of Neutral Species on Modification of the A549 and Saos-2 Growth and Proliferation International conference

    S. Tajima, H. Hashizume, M. Ito, T. Ohta, K. Takada, K. Ishikawa, M. Sekine, M. Hori

    International Workshop on Diagnostics and Modelling for Plasma Medicine (DMPM2014) 

     More details

    Event date: 2014.5

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1246. Bridge the Gap between Plasma and Medical Sciences toward Future Medical Care International conference

    Masaru Hori

    5th International Conference on Plasma Medicine (ICPM5) 

     More details

    Event date: 2014.5

    Language:English   Presentation type:Oral presentation (keynote)  

    Country:Japan  

  1247. Signaling circuits that are affected by plasma-activated medium in brain tumor cells International conference

    H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, Y. Okazaki, S. Toyokuni, S. Maruyama, F. Kikkawa, M. Hori

    5th International Conference on Plasma Medicine 

     More details

    Event date: 2014.5

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1248. Charactaristics of AC excited Non-equilibrium Atomospheric Pressure Helium Plasma Jet for Medical Application International conference

    K. Takeda, T. Kumakura, K. Ishikawa, H. Tanaka, H. Kondo, H. Kano, Y. Nakai, M. Sekine, M. Hori

    5th International Conference on Plasma Medicine 

     More details

    Event date: 2014.5

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1249. Free Radical Generation by Cold Atmospheric Argon Plasma in Aqueous Solutions. An ESR Spin Trapping Study International conference

    H. Uchiyama, Z. Qing-Li, N. Nojima, K. Takeda, K. Ishikawa, M. Hori. T. Kondo

    5th International Conference on Plasma Medicine 

     More details

    Event date: 2014.5

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1250. Histological comparison of the wound healing process between non-thermal plasma hemostasis and thermal coagulation hemostasis International conference

    D. Yamagami, M. Ueda, S. Kamino, K. Watanabe, M. Hori, K. Ishikawa, Y. Ikehara, H. Sakakita, S. Enomoto

    5th International Conference on Plasma Medicine 

     More details

    Event date: 2014.5

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1251. Inactivation of microorganism in liquid treated with neutral reactive oxygen species International conference

    T. Towatari, H. Hashizume, T. Ohta, K. Takeda, K. Ishikawa, M. Hori, M. Ito

    5th International Conference on Plasma Medicine 

     More details

    Event date: 2014.5

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1252. Molecular structure of microorganisms measured by multiplex coherent anti-Stokes Raman scattering microspectroscopy International conference

    T. Ohta, H. Kato, K. Takeda, M. Ito, M. Hori

    5th International Conference on Plasma Medicine 

     More details

    Event date: 2014.5

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1253. Non-equilibrium atmospheric pressure plasma (NEAPP) generates oxidative injury International conference

    Y. Okazaki, Y. Wang, H. Tanaka, M. Mizuno, K. Nakamura, H. Kajiyama, H. Kano, F. Kikkawa, M. Hori, S. Toyokuni

    5th International Conference on Plasma Medicine 

     More details

    Event date: 2014.5

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1254. Charactaristics of Reactive Particle Production in Atmospheric Pressure DBD Plasma Jet International conference

    G. Uchida, K. Takenaka, A. Miyazaki, K.Kawabata, Y. Setsuhara, K. Takeda, K. Ishikawa, M. Hori

    5th International Conference on Plasma Medicine 

     More details

    Event date: 2014.5

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1255. Proliferation mechanism of budding yeast cells eith oxygen radical treatment International conference

    H. Hashizume, T. Ohta, M. Hori, M. Ito

    5th International Conference on Plasma Medicine 

     More details

    Event date: 2014.5

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1256. Electron Spin Resonance Study of Plasma-Biological Surface Interactions under Atmospheric Pressure Plasmas International conference

    K. Ishikawa, H. Hashizume, T. Ohta, M. Ito, H. Tanaka, K. Takeda, S. Tajima, H. Kondo, M. Sekine, M. Hori

    5th International Conference on Plasma Medicine 

     More details

    Event date: 2014.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1257. Behaviors of Atmospheric-Pressure Discharge and its Interaction with Soft Materials as a Basis for Plasma Medicine International conference

    Y. Setsuhara, G. Uchida, K. Takenaka, A. Miyazaki, K. Kawabata, K. Takeda, K. Ishikawa, M. Hori

    5th International Conference on Plasma Medicine 

     More details

    Event date: 2014.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1258. Inactivation process of P. digitatumspores evaluated by dose of ground-state oxygen International conference

    H. Hashizume, T. Towatari, T. Ohta, K. Takeda, K.Ishikawa, M.Hori, M. Ito

    5th International Conference on Plasma Medicine 

     More details

    Event date: 2014.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1259. New strategic plasma therapy for advanced and/or refractory epithelial ovarian cancer International conference

    H. Kajiyama, K. Nakamura, F. Utsumi, H. Tanaka, M. Hori, F. Kikkawa

    5th International Conference on Plasma Medicine 

     More details

    Event date: 2014.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1260. Electron Spin Resonance Study of Plasma-Activated-Medium International conference

    K. Ishikawa, N. Kurake, H. Tanaka, T. Kondo, K. Nakamura, H. Kajiyama, F. Kikkawa, M. Mizuno, K. Takeda, H. Kondo, M. Sekine, and M. Hori

    The 5th International Conference on Plasma Medicine (ICPM5) 

     More details

    Event date: 2014.5

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1261. Diagnostics of SiH4/H2 Plasma and Surface Reaction in Microcrystalline Silicon Deposition International conference

    Kenji Ishikawa, Yusuke Abe, Atsushi Fukushima, Ya Lu, Sho Kawashima, Keita Miwa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    The International Conference on Metallurgical Coatings and Thin Films (ICMCTF) 

     More details

    Event date: 2014.4 - 2014.5

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:United States  

  1262. プラズマ医療・分子システム科学

    堀勝

    第39回電子システムデバイスセミナー 

     More details

    Event date: 2014.4

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:九州大学、伊都: 総合学習プラザ110   Country:Japan  

  1263. Challenge for Plasma Life Science and Innovations International conference

    Masaru Hori

    Sungkyunkwan University, International Seminar 

     More details

    Event date: 2014.4

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  1264. Control of Etching Process based on Real-time Monitoring of Atomic Radical Density and Wafer Temperature International conference

    Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, Masaru Hori

    The International Symposium on Plasma-Nano Materials and Processes 

     More details

    Event date: 2014.4

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  1265. Advanced plasma-nano processes for controls of crystallographic and electrical properties of carbon nanomaterials International conference

    Hiroki Kondo, Masaru Hori, Mineo, Hiramatsu

    The International Symposium on Plasma-Nano Materials and Processes 

     More details

    Event date: 2014.4

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  1266. Ultra high density atmospheric plasma source International conference

    Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    The International Symposium on Plasma-Nano Materials and Processes 

     More details

    Event date: 2014.4

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  1267. Challenge of plasma nanotechnology for future industry International conference

    Masaru Hori

    The International Symposium on Plasma-Nano Materials and Processes 

     More details

    Event date: 2014.4

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  1268. Intracellular Molecular Mechanisms of Apoptosis in Cancer Cells by Plasma-Activated Medium International conference

    H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, Y. Okazaki, S. Toyokuni, S. Maruyama, F. Kikkawa, M. Hori

    The International Workshop on Plasmas for Cancer Treatment (IWPCT) 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:United States  

  1269. Future Perspective of Strategic Plasma Therapy for Refractory Epithelial Ovarian Cancer International conference

    H. Kajiyama, K. Nakamura, F. Utsumi, H. Tanaka, M. Hori, F. Kikkawa

    The International Workshop on Plasmas for Cancer Treatment (IWPCT) 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:United States  

  1270. Challenge for plasma medical science and innovations International conference

    Masaru Hori

    17th Biennial Meeting of Society for Free Radical Research International (SFRRI 2014) 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1271. Electron spin resonance study of radical generation during non-thermal plasma blood coagulation International conference

    Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, Masaru Hori

    17th Biennial Meeting of Society for Free Radical Research International (SFRRI 2014) 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1272. Microscopic observation of inactivation process of fungal spores treated with oxygen radicals produced from an atmospheric-pressure plasma International conference

    Hiroshi Hashizume, Takuya Towatari, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, Masafumi Ito

    17th Biennial Meeting of Society for Free Radical Research International (SFRRI 2014) 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1273. Non-equilibrium atmospheric pressure plasma (NEAPP) generates oxidative injury International conference

    Yasumasa Okazaki, Yue Wang, Hiromasa Tanaka,, Masaaki Mizuno, Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Fumitaka Kikkawa, Masaru Hori, Shinya Toyokuni

    17th Biennial Meeting of Society for Free Radical Research International (SFRRI 2014) 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1274. Microscopic observation of inactivation process of fungal spores treated with oxygen radicals produced from an atmospheric-pressure plasma International conference

    Hiroshi Hashizume, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, and Masafumi Ito

    The 17th Biennial Meeting for the Society for Free Radical Research International (SFRRI 2014) 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1275. Electron spin resonance study of radical generation during non-thermal plasma blood coagulation International conference

    Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    The 17th Biennial Meeting for the Society for Free Radical Research International (SFRRI 2014) 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1276. プラズマでがんを治すはなし

    堀勝

    名古屋大学オープンレクチャー2014 

     More details

    Event date: 2014.3

    Language:Japanese  

    Country:Japan  

  1277. カーボンナノウォールの結晶構造に対するラジカル酸化効果(Ⅲ)

    近藤博基,下枝弘尚,石川健治,平松美根男,関根誠,堀勝

    第61回応用物理学会春季学術講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス   Country:Japan  

  1278. 大気圧プラズマ照射培養液の電子スピン共鳴(ESR)による解析

    倉家尚之, 田中宏昌, 石川健治, 中村香江, 梶山広明, 吉川史隆, 近藤隆, 水野正明, 竹田圭吾, 近藤博基, 関根誠, 堀勝

    第61回応用物理学会春季学術講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス   Country:Japan  

  1279. 中性酸素ラジカル照射による液中殺菌

    唐渡卓也,橋爪博司,太田貴之,堀勝,伊藤昌文

    第61回応用物理学会春季学術講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス   Country:Japan  

  1280. プラズマ照射した食肉血液成分の電子スピン共鳴解析

    坂倉崚亮,石川健治,田中宏昌,橋爪博司,太田貴之,伊藤昌文,竹田圭吾,近藤博基,関根誠,堀勝

    第61回応用物理学会春季学術講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス   Country:Japan  

  1281. 酸素ラジカル照射による出芽酵母の増殖制御の解析

    橋爪博司,太田貴之,堀勝,伊藤昌文

    第61回応用物理学会春季学術講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス   Country:Japan  

  1282. 酸素ラジカル殺菌におけるミドリカビ胞子の細胞内構造の観察

    橋爪博司,岡有也,唐渡卓也,太田貴之,竹田圭吾,石川健治,堀勝,伊藤昌文

    第61回応用物理学会春季学術講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス   Country:Japan  

  1283. プロセス履歴のチャンバー壁表面損失確率への影響とH2/N2プラズマ中のラジカル密度の時間変化

    鈴木俊哉,福永裕介,竹田圭吾,近藤博基,石川健治,関根誠,堀勝

    第61回応用物理学会春季学術講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス   Country:Japan  

  1284. CF4-x(x=0-3)化合物の超励起状態とイオン化

    林俊雄,石川健治,関根誠,堀勝

    第61回応用物理学会春季学術講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス   Country:Japan  

  1285. MEMS大気圧プラズマ光源の省電力化

    佐藤龍仁,熊谷慎也,堀勝,佐々木実

    第61回応用物理学会春季学術講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス   Country:Japan  

  1286. NOとF2を用いたSiケミカルドライエッチング中のF失活過程の解析(I)

    田嶋聡美,林俊雄,石川健治,関根誠,佐々木実,山川晃司,堀勝

    第61回応用物理学会春季学術講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス   Country:Japan  

  1287. CHxFyプラズマにおける活性種生成過程とエッチング機構の解明(IV)

    近藤祐介,宮脇雄大,竹田圭吾,近藤博基,田嶋聡美,石川健治,林俊雄,関根誠,堀勝

    第61回応用物理学会春季学術講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス   Country:Japan  

  1288. CH4/H2プラズマによるGaNの高温エッチング

    加古隆,劉沢セイ,石川健治,小田修,竹田圭吾,近藤博基,関根誠,堀勝

    第61回応用物理学会春季学術講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス   Country:Japan  

  1289. プラズマプロセス中のポリメタクリル酸メチル(PMMA)表面の実時間・その場電子スピン共鳴法による反応解析

    宮脇雄大,王浩然,石川健治,近藤祐介,竹田圭吾,近藤博基,堀邊英夫,関根誠,堀勝

    第61回応用物理学会春季学術講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス   Country:Japan  

  1290. 非接触基板温度計測システムを用いたプラズマプロセス中の基板温度および熱流速計測

    堤隆嘉, 竹田圭吾,石川健治,近藤博基,太田貴之,伊藤昌文,関根誠,堀勝

    第61回応用物理学会春季学術講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス   Country:Japan  

  1291. 液中プラズマで合成したナノグラフェンを用いた燃料電池用電極の安定性

    天野智貴,近藤博基,竹田圭吾,石川健治,太田貴之,伊藤昌文,平松美根男,加納浩之,関根誠,堀勝

    第61回応用物理学会春季学術講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス   Country:Japan  

  1292. 医療・バイオ用AC励起大気圧非平衡プラズマジェットの気相診断

    熊倉匠, 竹田圭吾, 石川健治, 田中宏昌, 近藤博基, 加納浩之, 中井義浩, 関根誠, 堀勝

    第61回応用物理学会春季学術講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス   Country:Japan  

  1293. 高温における窒化ガリウム(GaN)のエッチング機構(4)

    劉沢セイ,加古 隆,石川健治,小田 修,竹田圭吾,近藤博基,関根 誠,堀勝

    第61回応用物理学会春季学術講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス   Country:Japan  

  1294. プラズマ光と表面の相互作用の解明

    張 彦, 石川 健治, 関根 誠, 深沢 正永, 長畑 和典, 冨谷 茂隆, 辰巳 哲也, 竹田 圭吾, 近藤 博基, 堀 勝

    第61回応用物理学会春季学術講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス   Country:Japan  

  1295. Mechanism of Surface Roughness of ArF Photoresist During HBr PlasmaEtching Processes (2)

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  1296. AC励起アルコール液中プラズマにおけるナノグラフェン合成機構の解析

    安藤 睦,小島 和晃,竹田 圭吾,近藤 博基,石川 健治,関根 誠,太田 貴之,伊藤 昌文,平松 美根男,加納 浩之,堀 勝

    第61回応用物理学会春季学術講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス   Country:Japan  

  1297. AC 励起大気圧非平衡 Ar プラズマ生成における 大気ガス巻込みの影響

    梁 思潔, 竹田 圭吾, 近藤 博基, 加納 浩之, 石川 健治 , 関根 誠, 堀 勝

    第61回応用物理学会春季学術講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス   Country:Japan  

  1298. コヒーレントアンチストークスラマン散乱分光法を用いたナノグラフェン合成用液中プラズマの診断

    小島和晃,安藤睦,竹田圭吾,石川健治,太田貴之,伊藤昌文,堀勝

    第61回応用物理学会春季学術講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス   Country:Japan  

  1299. パルスマイクロ波励起大気圧ラインプラズマの空間分解計測

    鈴木陽香,中野優,伊藤仁,関根誠,堀勝,豊田浩孝

    第61回応用物理学会春季学術講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス   Country:Japan  

  1300. メートル級マイクロ波大気圧ラインプラズマの均一性評価

    中野優,鈴木陽香,伊藤仁,関根誠,堀勝,豊田浩孝

    第61回応用物理学会春季学術講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス   Country:Japan  

  1301. 大気圧プラズマ化学気相堆積法による超撥水薄膜の形成

    孫昿達,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    第61回応用物理学会春季学術講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス   Country:Japan  

  1302. 大気圧誘電体バリア放電プラズマジェットにおける反応性粒子生成挙動 - 放電周波数に対す効果 -

    内田儀一郎,竹中弘祐,宮崎敦史,川端一史,節原裕一,竹田圭吾,石川健治,堀勝

    第61回応用物理学会春季学術講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス   Country:Japan  

  1303. Challenge to Plasma Medical Science towards the Fourth Treatment of Cancers International conference

    Masaru Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 7th International Conference on Plasma-Nano Technology & Science (ISPlasma2014/IC-PLANTS2014) 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Oral presentation (keynote)  

    Country:Japan  

  1304. Electro catalytic properties of Pt-supported nanographene synthesis using International conference

    T. Amano, K. Takeda, H. Kondo, K. Ishikawa, T. Ohta, M. Ito, H. Kano, M. Hiramatsu, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1305. Study on a novel radical-enhanced metalorganic chemical vapor deposition (REMOCVD) for GaN epitaxial growth International conference

    Y. Lu, K. Ishikawa, H. Kondo, O. Oda, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1306. Length Effect of Floating Wire Electrode in Transportable 144MHz Inductively Coupled Micro-Plasma Source International conference

    D. Yasumastu, H. Matsuyama, S. Kumagai, K. Takeda, M. Hori, M. Sasaki

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1307. Production of High-Uniform Microwave Line Plasma at Atmospheric Pressure International conference

    H. Suzuki, S. Nakano, H.Itoh, M. Sekine, M. Hori, H. Toyoda

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1308. Spatiotemporal Resolved Investigations of Atmospheric Pressure Line Plasma International conference

    S. Nakano, H. Suzuki, H. Itoh, M. Sekine, M. Hori, H. Toyoda

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1309. Fabrication of graphene films on Ni layer by microwave plasma-enhanced CVD International conference

    Y. Kashima, M. Hiramatsu, H. Kondo, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1310. Nucleation control of carbon nanowalls using plasma-enhanced CVD with re-duced ion bombardment International conference

    R. Tsukada, M. Hiramatsu, H. Kondo, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1311. Biosensing properties of carbon nanowalls grown using plasma enhanced CVD International conference

    M. Tomatsu, R. Tsukada, M. Nagashima, M. Hiramastu, H. Kondo, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1312. Plasma-activated medium downregulated signaling pathways that control proliferation and survival in glioblastoma brain tumor cells International conference

    H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, S. Maruyama, F. Kikkawa, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1313. Vibrational analysis of biological samples treated with atmospheric pressure plasma using multiplex coherent anti-Stokes Raman scattering microspectroscopy International conference

    H. Kato, T. Ohta, K. Takeda, H. Hashizume , M. Hori M. Ito

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1314. Emission charateristics of metallic elements in solution using non-equilibrium atmospheric pressure microplasma International conference

    J. Kularatne, T. Ohta, J. Jolibois, M. Ito, H. Takemura, H. Kano, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1315. Effects of Oxygen Radical Treatment in Liquid Phase on Inactivation of E. coli International conference

    T. Towatari, H. Hashizume, T. Ohta, M. Hori, M. Ito

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1316. Fluoescent analysis of inactivation mechanism of P. digitatum spores treated with oxygen radicals International conference

    H. Hashizume, T. Towatari, T. Ohta, K. Takeda, K. Ishikawa, M. Hori, M. Ito

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1317. Nanostructural observation of P. digitatum spores on the inactivation process with oxygen radical treatment International conference

    H. Hashizume, T. Ohta, K. Takeda, K. Ishikawa, M. Hori, M. Ito

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1318. Promotion and repression effects of oxygen radical treatment on budding yeast cells International conference

    H. Hashizume, T. Ohta, M. Hori, M. Ito

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1319. Spatial profiles of emission spectra from atmospheric-pressure plasma jet for plasma medicine International conference

    G. Uchida, K. Takenaka, A. Miyazaki, K. Kawabata, Y. Setsuhara, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1320. Synthesis of nanographene/Pt composite using alcohol in-liquid plasma International conference

    K. Yoshida, M. Ito, M. Hiramatsu, T. Saida, H. Kano, H. Kondo, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1321. Silicon thin film solar cells fabricated by H radical injection plasma International conference

    K. Miwa, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1322. Deposition of AZO Thin Film using RF and ICP Plasma at Facing Magnetron Sputtering System International conference

    H. R. Kim, J. B. Kim, Y. Choi, M. Hori, J. G. Han

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1323. Measurement of Heat Fluxes of Si Substrate from Plasma by Using Frequency Low CoherenceInterferometer International conference

    T. Tsutsumi, K. Ishikawa, K. Takeda, T. Ohta, M. Ito, H. Kondo, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1324. Effects of residence time on electronic and electricalthe optical properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition International conference

    L. Jia, D. Xu, M. Nakamura,K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1325. Observation of Dangling Bonds in Silicon Nitride Films during Exposure of F Atoms At Down-Flow of CF4 Plsmas Using Real-time / in-situ Electron Spin Resonance International conference

    Y. Miyawaki, K. Ishikawa, Y. Kondo, K. Takeda, S. Tajima, H. Kondo, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1326. MEMS Nozzle for Localized Irradiation of Atmospheric Pressure Plasma Trapping Micro-Samples International conference

    R. Shimane, S. Kumagai, H. Hashizume, T. Ohta, M. Ito, M. Hori, M. Sasaki

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1327. Synthesis of Durable Hydrophobic Film by Control of Radicals in PECVD International conference

    J. S. Lee, J. X. Piao, Y. S. Choi, K. Takeda, J. G. Han, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1328. A high temperature etching of GaN employing CH4/H2 gases International conference

    T. Kako, Z. Liu, K. Ishikawa, K. Takeda, H. Kondo, O. Oda, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1329. Effect of Ar flow rate on the synthesis of nanographene produced from in-liquid plasma International conference

    J. Jolibois, J. Kularatne, H. Kondo, M. Ito, H. Kano, K. Ishikawa, M. Hiramatsu, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1330. Detection Method for Metallic Elements in Fishes using Non-Equilibrium Atmospheric Pressure Microplasma International conference

    H. Takemura, T. Ohta , M. Ito , H. Kano, Y. Higashijima, G. Piao, O. Oda, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1331. The relationship between the pressure and the Si etch rate using the reaction of F2+NO--->F+FNO International conference

    63.S. Tajima, T. Hayashi, K. Ishikawa, M. Sekine, M. Sasaki, K. Yamakawa, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1332. Electrical, Optical, and Structural properties of Al doped ZnO films prepared by mirror type facing target sputtering International conference

    J. B. Kim, K. S. Shin, J. S. Lee, H. Suzuki, M. Hori, J. G. Han

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1333. Effect of Gas Flow Rate on Crystalline Structures of Amorphous Carbon Films Employing Radical-Injection Plasma-Enhanced Chemical Vapor Deposition International conference

    D. Xu, L. Jia, M. Nakamura, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1334. Spectroscopic analysis of gas-liquid plasma for nanographene synthesis International conference

    A. Ando, T. Takeda, H. Kondo, K. Ishikawa, M. Sekine T. Ohta, M. Ito, M. Hiramatsu, H. Kano, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1335. Control of Edge and Surface Oxidation of Carbon Nanowalls by Argon Ion Irradiation International conference

    H. Shimoeda, H. Kondo, K. Takeda, K. Ishikawa, M. Hiramatsu, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1336. GaN etching at high temperature employing N2 added Cl2 Plasma International conference

    Z. Liu, T. Kako, K. Ishikawa, O. Oda, K. Takeda, H. Kondo, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1337. Effect of Ambient Air Engulfment on Generation of Activated Species in 60 Hz Non-Equilibrium Atmospheric Pressure Ar Plasma Jet International conference

    S. Liang, T. Tsutsumi, A. Ando, K. Sun, K. Takeda, H. Kondo, K. Ishikawa, H. Kano, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1338. Effects of Low RF Bias Power on Optoelectrical Properties of Amorphous Carbon Films Grown byPlasma-Enhanced Chemical Vapor Deposition International conference

    M. Nakamura, L. Jia, D. Xu, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1339. Mechanism of Generating Active Species and Etch Reaction in CHxFy Plasma International conference

    Y. Kondo, Y. Miyawaki, K. Takeda, S. Tajima, H. Kondo, K. Ishikawa, T. Hayashi, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1340. Plasma Induced Surface Roughness of Polymeric Materials International conference

    K. Ishikawa, T. Takeuchi, Y. Zhang, Y. Setsuhara, K. Takeda, H. Kondo, M. Sekine, M. Hori

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1341. Behaviors of activated species in SiH4/H2 plasma for µc-Si:H thin film deposition International conference

    K. Takeda, Y. Abe, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1342. Dynamics and Reactive Particle Generation in Atmospheric-Pressure Discharge as a Basis for Plasma Medicine International conference

    Y. Setsuhara, G. Uchida, K. Kawabata, A. Miyazaki, K. Takenaka, K. Takeda, K. Ishikawa, M. Hori

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1343. Challenge to Plasma Medical Science towards the Fourth Treatment of Cancers International conference

    M. Hori

    18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1344. Electron spin resonance analysis of plasma-biological material interactions in atmospheric pressure plasma International conference

    K. Ishikawa, H. Hashizume, T. Ohta, M. Ito, H. Tanaka, K. Takeda, S. Tajima, H. Kondo, M. Sekine, M. Hori

    International workshop on control of fluctuation of plasma processes -Joint International Workshop between "Frontier science of interactions between plasmas and nano-interfaces" and "Plasma medical innovation" 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1345. Diagnostics of activated species generated by AC excited non-equilibrium atmospheric pressure Ar plasma jet for plasma medical and bio applications International conference

    K. Takeda, K. Ishikawa, H. Tanaka, H. Kano, M. Sekine, M. Hori

    International workshop on control of fluctuation of plasma processes -Joint International Workshop between "Frontier science of interactions between plasmas and nano-interfaces" and "Plasma medical innovation" 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1346. Control of cellular activities by oxygen radical treatment Invited International conference

    T. Ohta, H. Hashizume, K. Takeda, K. Ishikawa, M. Hori, M. Ito

    International workshop on control of fluctuation of plasma processes -Joint International Workshop between "Frontier science of interactions between plasmas and nano-interfaces" and "Plasma medical innovation" 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1347. Radical-controlled plasma processing towards global innovations International conference

    M. Hori

    8th International Conference on Reactive Plasmas 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (keynote)  

    Country:Japan  

  1348. Evaluation of the loss of F during the Si chemical dry etching using the reaction of F2+NO--->F+FNO International conference

    S. Tajima, T. Hayashi, K. Yamakawa, K. Ishikawa, M. Sasaki, S. Den, M. Sekine, M. Hori

    8th International Conference on Reactive Plasmas 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1349. Control of cellular activities by oxygen radical treatment International conference

    T. Ohta, H. Hashizume, K. Takeda, K. Ishikawa, M. Hori, M. Ito

    8th International Conference on Reactive Plasmas 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1350. Chemical analyses of edible meat irradiated atmospherics-pressure-plasmas International conference

    K. Ishikawa, H. Hashizume, T. Ohta, M. Ito, H. Tanaka, K. Takeda, S. Tajima, H. Kondo, M. Sekine, M. Hori

    8th International Conference on Reactive Plasmas 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1351. Diagnostics of activated species generated by AC excited non-equilibrium atmospheric pressure Ar plasma jet for plasma medical and bio applications International conference

    K. Takeda, K. Ishikawa, H. Tanaka, H. Kano, M. Sekine, M. Hori

    8th International Conference on Reactive Plasmas 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1352. Survival and proliferation signaling pathways are downregulated by plasma-activated medium in glioblastoma brain tumor cells International conference

    H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, S. Maruyama, F. Kikkawa, M. Hori

    8th International Conference on Reactive Plasmas 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1353. Effect of HBr plasma cure for reduction of roughness formation on ArF photoresist surface during plasma etching process International conference

    M. Sekine, Y. Zhang, T. Takeuchi, H. Nagano, K. Ishikawa, K. Takeda, H. Kondo, M. Hori

    8th International Conference on Reactive Plasmas 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1354. Fluorescent and structural observations of P. digitatum spores inactivated with oxygen radical treatment International conference

    H. Hashizume, T. Ohta, K. Takeda, K. Ishikawa, M. Hori, M. Ito

    8th International Conference on Reactive Plasmas 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1355. Effect of spiral microwave antenna configuration on the production of nano-crystalline film by chemical sputtering in ECR plasma International conference

    H. Kondo, L. Jia, D. Xu, M. Nakamura, K. Ishikawa, M. Sekine, M. Hori

    8th International Conference on Reactive Plasmas 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1356. Effect of gas flow on spatial distribution of O (3Pj) atoms in ac power excited on-equilibrium atmospheric pressure O2/Ar plasma jet International conference

    K. Takeda, F. Jia, K. Ishikawa, H. Kano, M. Sekine, M. Hori

    8th International Conference on Reactive Plasmas 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1357. Recovery of Plasma-induced Damage in GaN by In situ Radical Exposure International conference

    M. Sekine, Z. Liu, S. Chen, Y. Lu, R. Kometani, K. Ishikawa, H. Kano, K. Takeda, H. Kondo, M. Hori

    8th International Conference on Reactive Plasmas 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1358. Isolation of neutral species generated from the Ar/O2 non-equilibrium atmospheric-pressure micro hollow-cathode discharge for the modification of the A549 cells International conference

    S. Tajima, M. Sekine, H. Hashizume, M. Ito, T. Ohta, K. Takeda, K. Ishikawa, M. Hori

    8th International Conference on Reactive Plasmas 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1359. Large-scale synthesis of nanographene using in-liquid plasma and chemical reduction process International conference

    J. Jolibois, J. Kularatne, H. Kano, M. Ito, M. Hiramatsu, H. Kondo, K. Ishikawa, M. Hori

    8th International Conference on Reactive Plasmas 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1360. Effect of Power supply on metal emission intensity induced by non-equilibium atmospheric pressure plasma International conference

    J. Kularatne, J. Jolibois, Ohta, M. Ito, H. Kano, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    8th International Conference on Reactive Plasmas 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1361. Carbon nanowalls: plasma synthesis and applications International conference

    M. Hiramatsu, H. Kondo, M. Hori

    8th International Conference on Reactive Plasmas 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1362. Nucleation control of carbon nanowalls for device application International conference

    R. Tsukada, M. Hiramatsu, H. Kondo, M. Hori

    8th International Conference on Reactive Plasmas 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1363. Pressure dependence of carbon film deposition using H-assisted plasma CVD International conference

    X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, K. Takenaka, Y. Setsuhara, M. Sekine, M. Hori

    8th International Conference on Reactive Plasmas 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1364. Vibrational analysis of biological samples using multiplex coherent anti-stokes ramanscattering microspectroscopy using multiplex coherent anti-Stokes Raman scattering microspectroscopy International conference

    H. Kato, T. Ohta, K. Takeda, H. Hashizume, M. Hori, M. Ito

    8th International Conference on Reactive Plasmas 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1365. Effects of oxygen radical treatment in liquid phase on inactivation of microorganism International conference

    T. Towatari, H. Hashizume, T. Ohta, M. Hori, M. Ito

    8th International Conference on Reactive Plasmas 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1366. Meter-Scale Production of Atmospheric Pressure Microwave Plasma by Travelling Wave International conference

    H. Suzuki, S. Nakano, H. Itoh, M. Sekine, M. Hori, H. Toyoda

    8th International Conference on Reactive Plasmas 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1367. Fabrication of graphene films using microwave plasma-enhanced CVD in surface wave mode International conference

    Y. Kashima, M. Hiramatsu, H. Kondo, M. Hori

    8th International Conference on Reactive Plasmas 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1368. Optical emission characteristics of atmospheric-pressure plasma jet for plasma biomedicine International conference

    G. Uchida, K. Takenaka, A. Miyazaki, K. Kawabata, Y. Setsuhara, M. Hori

    8th International Conference on Reactive Plasmas 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1369. Accuracy of substrate temperature measurements using optical low coherence interferometry International conference

    T. Ohta, Hiroki Kato, T. Tsutsumi, K. Takeda, M. Hori, M. Ito

    8th International Conference on Reactive Plasmas 31st Symposium on Plasma Processing 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1370. Electron spin resonance analyses of plasma-biological material interactions in atmospheric pressure plasmas International conference

    K. Ishikawa, H. Hashizume, T. Ohta, M. Ito, H. Tanaka, K. Takeda, S. Tajima, H. Kondo, M. Sekine, M. Hori

    8th International Conference on Reactive Plasmas 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1371. Rapid non-contact measurements of heat fluxes to substrate in nitorogen plasmas International conference

    T. Tsutsumi, K. Ishikawa, K. Takeda, T. Ohta, M. Ito, H. Kondo, M. Sekine, M. Hori

    8th International Conference on Reactive Plasmas 31st Symposium on Plasma Processing 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1372. Diagnostics of AC Excited Non-equlibrium Atmospheric Pressure Ar PlasmaJet for Plasma Biomedical Applications International conference

    K. Takeda, M. Hori

    The 9th EU-Japan Joint Symposium on Plasma Processing 

     More details

    Event date: 2014.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Slovenia  

  1373. Plasma nano-interface with organic materials for surface-roughness formation International conference

    M. Sekine, Y. Zhang, K. Ishikawa, K. Takeda, H. Kondo, M. Hori

    The 9th EU-Japan Joint Symposium on Plasma Processing 

     More details

    Event date: 2014.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Slovenia  

  1374. プラズマ診断技術の最新動向

    堀勝

    プラズマ材料科学第153委員会 第115回研究会 

     More details

    Event date: 2014.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:やすらぎ伊王島 海の見えるホテル1F「中ホール」   Country:Japan  

  1375. Plasma Medical Science for Cancer Therapy International conference

    Masaru Hori

    8th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology 

     More details

    Event date: 2013.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Taiwan, Province of China  

  1376. Carbon Nanowalls: Growth Control and their Clean Applications International conference

    M. Hiramatsu, H. Kondo, M. Hori

    8th Asia-Pacfic International Symposium on the Basics and Applications of Plasma Technology 

     More details

    Event date: 2013.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Taiwan, Province of China  

  1377. Molecular Stracture Analysis of Microorganisms by Raman Spectroscopy International conference

    H. Kato, T. Ohta, H. Hashizume, M. Hiramatsu, M. Hori, M. Ito

    8th Asia-Pacfic International Symposium on the Basics and Applications of Plasma Technology 

     More details

    Event date: 2013.12

    Language:English   Presentation type:Poster presentation  

    Country:Taiwan, Province of China  

  1378. Gas phase diagnostics of atmospheric oxygen radical sourse on inactivation of P. digitatum spores International conference

    T. Ohta, H. Hashizume, M. Ito, T. Takeda, K. Ishikawa, M. Hori

    8th Asia-Pacfic International Symposium on the Basics and Applications of Plasma Technology 

     More details

    Event date: 2013.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Taiwan, Province of China  

  1379. Inactivation of Escherichia coli in liquid phase treated with neutral oxygen radical sourse International conference

    T. Towatari, H. Hashizume, T. Ohta, M. Hori, M. Ito

    8th Asia-Pacfic International Symposium on the Basics and Applications of Plasma Technology 

     More details

    Event date: 2013.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Taiwan, Province of China  

  1380. ナノグラフェン合成液中プラズマの分光学的診断

    安藤睦、竹田圭吾、近藤博基、石川健治、関根誠、太田貴之、伊藤昌文、平松美根男、加納浩之、堀勝

    第23回日本MRS年次大会 

     More details

    Event date: 2013.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:横浜市開港記念会館、神奈川県   Country:Japan  

  1381. Synthesis of graphene Using Alcohol In-Liquid Plasma International conference

     More details

    Event date: 2013.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  1382. Crystallographic structure control and fuel cell application of nano-graphene materials synthesized by in liquid plasma

     More details

    Event date: 2013.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  1383. Localized Microplasma Generation in MEMS Gas Channel International conference

    Ryoto Sato, Daisuke Yasumatsu, Shinya Kumagai, Masaru Hori and Minoru Sasaki

     More details

    Event date: 2013.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1384. 最先端プラズマプロセス

    堀勝

    成膜プロセス技術の最前線 

     More details

    Event date: 2013.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:産総研つくば中央第2事業所12棟 第6会議室   Country:Japan  

  1385. 常温常圧プラズマを用いた未来医療と健康社会

    堀 勝

    尚和会総会 

     More details

    Event date: 2013.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:ホテルグランコート名古屋 七階「ザ・グランコート」   Country:Japan  

  1386. プラズマで第四の癌治療を目指す

    堀勝

    TEDxNagoyaU 

     More details

    Event date: 2013.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学ES総合館ESホール   Country:Japan  

  1387. CHxFyプラズマにおける活性種生成過程の解明

    近藤祐介,宮脇雄大,竹田圭吾,近藤博基,田嶋聡美,石川健治,林俊雄,関根誠,堀勝

    電気学会プラズマ研究会 

     More details

    Event date: 2013.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名城大学名駅サテライト, 愛知県   Country:Japan  

  1388. 低コヒーレンス干渉計を用いた基板温度計測における計測精度の検討

    宇佐美拓也、加藤寛人、太田貴之、堤 隆嘉、堀 勝、伊藤昌文

    電気学会プラズマ研究会 

     More details

    Event date: 2013.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名城大学名駅サテライト、愛知県   Country:Japan  

  1389. 中圧力領域マイクロホローカソード放電のスペクトルプロファイルの検討

    藤田英彦、太田貴之、伊藤昌文、堀 勝

    電気学会プラズマ研究会 

     More details

    Event date: 2013.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名城大学名駅サテライト、愛知県   Country:Japan  

  1390. カーボンナノウォールの核発生制御に関する研究

    塚田僚介、平松美根男、近藤博基、堀 勝

    電気学会プラズマ研究会 

     More details

    Event date: 2013.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名城大学名駅サテライト、愛知県   Country:Japan  

  1391. マイクロ波プラズマCVD法を用いたグラフェンの作製

    加島洋平、平松美根男、近藤博基、堀 勝

    電気学会プラズマ研究会 

     More details

    Event date: 2013.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名城大学名駅サテライト、愛知県   Country:Japan  

  1392. ナノグラフェン合成のための気-液プラズマの分光計測

    安藤 睦、竹田圭吾、近藤博基、石川健治、関根 誠、太田貴之、伊藤昌文、平松美根男、加納浩之、堀 勝

    電気学会プラズマ研究会 

     More details

    Event date: 2013.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名城大学名駅サテライト、愛知県   Country:Japan  

  1393. 酸素ラジカルの密度測定に基づいた真菌胞子のプラズマ殺菌

    橋爪博司、太田貴之、竹田圭吾、石川健治、堀 勝、伊藤昌文

    電気学会プラズマ研究会 

     More details

    Event date: 2013.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名城大学名駅サテライト、愛知県   Country:Japan  

  1394. Carbon nanowalls: synthesis and application International conference

    M. Hiramatsu, H. Kondo, M. Hori

    The 1st International Conference on Surface Engineering (ICSE2013) 

     More details

    Event date: 2013.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1395. Inactivation of microorganism in liquid phase treated with neutral oxygen radical source International conference

    T. Towatari, H. Hashizume, T. Ohta, M. Hori, M. Ito

    The 1st International Conference on Surface Engineering (ICSE2013) 

     More details

    Event date: 2013.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1396. Vibration analysis of biological samples treaated with plasma using multiplex coherent anti- Stokes Raman scattering spectroscopy International conference

    H. Kato, T. Ohta, K. Takeda, H. Hashizume, M. Hiramatsu, M. Hori, M. Ito

    The 1st International Conference on Surface Engineering (ICSE2013) 

     More details

    Event date: 2013.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1397. Rapid precise measurements of film-covered-substrate temperatures during plasma processes International conference

    M. Ito, T. Tsutsumi, T. Ohta, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, and M. Hori

    The 1st International Conference on Surface Engineering (ICSE2013) 

     More details

    Event date: 2013.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  1398. Advanced Surface Engineering on Material Processing Employing Ultrahigh Density Atmospheric Pressure Plasma International conference

    Masaru Hori, K. Takeda, H. Kondo, K. Ishikawa, M.Sekine

    The 1st International Conference on Surface Engineering (ICSE2013) 

     More details

    Event date: 2013.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  1399. 酸素ラジカル源を用いた液中の微生物の不活性化

    唐渡卓也、橋爪博司、太田貴之、堀勝、伊藤昌文

    応用物理学会学SC東海地区学術講演会 2013 

     More details

    Event date: 2013.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学IB電子情報館   Country:Japan  

  1400. マイクロ波プラズマCVD法を用いたグラフェンの作成

    加島洋平、平松美根男、近藤博基、堀勝

    応用物理学会学SC東海地区学術講演会 2013 

     More details

    Event date: 2013.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学IB電子情報館   Country:Japan  

  1401. カーボンナノウォールの核発生制御に関する研究

    塚田僚介、平松美根男、近藤博基、堀勝

    応用物理学会学SC東海地区学術講演会 2013 

     More details

    Event date: 2013.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学IB電子情報館   Country:Japan  

  1402. ラマン散乱分光法による生体試料の分子構造解析

    加藤寛人、太田貴之,橋爪博司,平松美根男、堀勝,伊藤昌文

    応用物理学会学SC東海地区学術講演会 2013 

     More details

    Event date: 2013.11

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学IB電子情報館   Country:Japan  

  1403. 燃料電池用途のためのアルコールを溶媒とする液中プラズマを用いたナノグラフェンの合成

    吉田圭佑、伊藤昌文,平松美根男、加納浩之,J. Jolibois,J. Kularantne,近藤博基,堀勝

    応用物理学会学SC東海地区学術講演会 2013 

     More details

    Event date: 2013.11

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学IB電子情報館   Country:Japan  

  1404. 反応性プラズマ(プラズマCVD を中心として)

    伊藤仁

    第7回名古屋大学プラズマナノ工学スクール:プラズマプロセスの基礎講義と実践的なプラズマ計測実習 

     More details

    Event date: 2013.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学VBL3階ベンチャーホール   Country:Japan  

  1405. 高密度大気圧低温プラズマの生成方法と計測、その応用

    堀勝

    第7回名古屋大学プラズマナノ工学スクール:プラズマプロセスの基礎講義と実践的なプラズマ計測実習 

     More details

    Event date: 2013.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学VBL3階ベンチャーホール   Country:Japan  

  1406. 実践的プラズマ計測手法

    石川健治、竹田圭吾

    第7回名古屋大学プラズマナノ工学スクール:プラズマプロセスの基礎講義と実践的なプラズマ計測実習 

     More details

    Event date: 2013.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学VBL3階ベンチャーホール   Country:Japan  

  1407. 次世代医療を指向したプラズマ生命分子科学の幕開け

    堀勝

    第29回九州・山口プラズマ研究会 

     More details

    Event date: 2013.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:クレドホテル臼杵   Country:Japan  

  1408. Non-contact measurements of substrate-temperature by frequency-domain low coherence interferometry International conference

    T. Tsutsumi, T. Ohta, K. Ishikawa, K Takeda, H. Kondo, M. Sekine, M. Hori, M. Ito

    AVS 60th International Symposium & Exhibition 

     More details

    Event date: 2013.10 - 2013.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1409. Reaction Mechanism at the Sidewall of Through Si via (TSV) Etching by SF6/O2/SiF4 Plasma International conference

    I. Sakai, S. Amasaki, T. Takeuchi, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine N. Sakurai, H. Hayashi, T. Ohiwa, M. Hori

    AVS 60th International Symposium & Exhibition 

     More details

    Event date: 2013.10 - 2013.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1410. High Temperature Etching of GaN Preserving Smooth and Stoichiometric GaN Surface International conference

    R. Kometani, K. Ishikawa, K Takeda, H. Kondo, M. Sekine, M. Hori

    AVS 60th International Symposium & Exhibition 

     More details

    Event date: 2013.10 - 2013.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1411. Etching Characterristics of AlGaN and GaN in Inductively Coupled Cl2 Prasma International conference

    D. Cao, Y. Lu, R. Kometani, J. Park, K. Ishikawa, K Takeda, H. Kondo, M. Sekine, M. Hori

    AVS 60th International Symposium & Exhibition 

     More details

    Event date: 2013.10 - 2013.11

    Language:English   Presentation type:Poster presentation  

    Country:United States  

  1412. PlasmaInduced Surface Roughness of ArF Photoresist Examined by Plasma-Beam Processes International conference

    T. Takeuchi, Y. Zhang, K. Ishikawa, M. Sekine, Y. Setsuhara, K. Takeda, H. Kondo, M. Hori

    AVS 60th International Symposium & Exhibition 

     More details

    Event date: 2013.10 - 2013.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1413. Collaboration program of PLANT for IPNM International conference

    M. Hori

    The Workshop of the Joint Institute for Plasma Nano Materials (IPNM) 

     More details

    Event date: 2013.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1414. Advanced Thin Film Coating Based on Plasma Material Science International conference

    M. Hori

    International Thin Films Conferance 2013, Taiwan Association for Coatings and Thin Films Technology 

     More details

    Event date: 2013.10

    Language:English   Presentation type:Oral presentation (keynote)  

    Country:Taiwan, Province of China  

  1415. Measurement of actived species generated by AC power excited non-equilibrium atmospheric pressure Ar plasma jet with Air engulfment International conference

    K. Takeda, K. Ishikawa, H. Tanaka, H. Kano, M. Sekine, M. Hori

    66th Annual Gaseous Electronic Conference (GEC2013) 

     More details

    Event date: 2013.9 - 2013.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1416. Reduction of Mechanism of Surface Roughness on ArF-Photoresist Using C5HF7 Gas Plasma International conference

    Y. Miyawaki, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, A. Ito, H. Matsumoto, M. Hori

    66th Annual Gaseous Electronic Conference (GEC2013) 

     More details

    Event date: 2013.9 - 2013.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1417. Plasma-activated medium induced apoptosis on tumor cells International conference

    M. Hori, H. Tanaka, M. Mizuno, K. Nakamura, H. Kajiyama, K. Takeda, K. Ishikawa, H. Kano, F. Kikkawa

    66th Annual Gaseous Electronic Conference (GEC2013) 

     More details

    Event date: 2013.9 - 2013.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1418. Growth control of budding yeast cells by oxygen-radical treatment International conference

    T. Ohta, H. Hashizume, M. Ito, K. Takeda, M. Hori

    66th Annual Gaseous Electronic Conference (GEC2013) 

     More details

    Event date: 2013.9 - 2013.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1419. Electromagnetic Simulation of Long-Slotted Waveguide Antenna for Production of Meter-Scale Plasma under Atmospheric Pressure International conference

    H. Suzuki, S. Nakano, H. Itoh, M. Sekine, M. Hori, H. Toyada

    66th Annual Gaseous Electronic Conference (GEC2013) 

     More details

    Event date: 2013.9 - 2013.10

    Language:English   Presentation type:Poster presentation  

    Country:United States  

  1420. Reaction mechanism between cell membranes of P. digitatum spores and oxygen radicals International conference

    M. Ito, H. Hashizume, T. Ohta, K. Takeda, K. Ishikawa, M. Hori

    66th Annual Gaseous Electronic Conference (GEC2013) 

     More details

    Event date: 2013.9 - 2013.10

    Language:English   Presentation type:Poster presentation  

    Country:United States  

  1421. プラズマ医療科学創成のための戦略的国際研究とグローバルイノベーションの推進

    堀勝

    新学術領域研究「プラズマ医療科学の創成」公開シンポジウム  

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  1422. Future industry created by plasma science

    Masaru Hori

     More details

    Event date: 2013.9

    Language:English   Presentation type:Oral presentation (keynote)  

    Country:Japan  

  1423. CH4 /H2 plasma etching on gallium nitride at high temperature

    Takashi Kako, Ze-cheng Liu, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Osamu Oda, Makoto Sekine and Masaru Hori

     More details

    Event date: 2013.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1424. Electrical properties of microcrystalline silicon thin films deposited by employing hydrogen radical-injection plasma-enhanced CVD method

    Keita Miwa, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori

     More details

    Event date: 2013.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1425. Proliferation activity of budding yeast cells controlled by dose of neutral oxygen species

    H. Hashizume, T. Ohta, M. Hori, M. Ito

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  1426. In-situ fluorescent observation of oxidation of P. digitatum spores treated by neutral oxygen radicals

    H. Hashizume, T. Towatari, T. Ohta, K. Takeda, K. Ishikawa, M. Hori, M. Ito

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  1427. Etching Characteristics of Gallium Nitride based compound semiconductors with Inductively Coupled Cl2 Plasma

    Jia-dong Cao, Yi Lu, Jong-yun Park, Ze-cheng Liu, Takashi Kako,Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine and Masaru Hori

     More details

    Event date: 2013.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1428. 大気圧プラズマを用いたミスト化学気相堆積法によるシリコン酸化膜の低温形成

    孫昿達,竹田圭吾,伊藤仁,近藤博基,石川健治,関根誠,堀勝

    平成25年秋季第74回応用物理学会学術講演会 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:同志社大学京田辺キャンパス   Country:Japan  

  1429. Real-time / In-situ Electron Spin Resonance Analysis of Chemical Reactions on Silicon-Nitride with CF4 Gas Plasma

     More details

    Event date: 2013.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1430. カーボンナノウォールの表面化学修飾とナノバイオ応用

    近藤博基,渡邊 均,石川健治,関根 誠,堀  勝,平松美根男

    平成25年秋季第74回応用物理学会学術講演会 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:同志社大学京田辺キャンパス   Country:Japan  

  1431. プラズマ-表面相互作用の実時間その場電子スピン共鳴分光研究

    石川健治,鷲見直也,河野昭彦,堀邊英夫,竹田圭吾,近藤博基,関根 誠,堀  勝

    平成25年秋季第74回応用物理学会学術講演会 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:同志社大学京田辺キャンパス   Country:Japan  

  1432. AC励起非平衡大気圧Arプラズマジェットにおける活性種生成への大気巻き込みの影響

    竹田圭吾,石川健治,田中宏昌,加納浩之,関根誠,堀勝

    平成25年秋季第74回応用物理学会学術講演会 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:同志社大学京田辺キャンパス   Country:Japan  

  1433. Effect of boron doping on crystalline structures and electrical properties of amorphous carbon films grown by radical-injection plasma-enhanced chemical vapor deposition

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  1434. プラズマ励起化学気相堆積法で成長したアモルファスカーボン膜の膜構造に対する基板バイアス印加効果

    中村将之,賈凌雲,徐達,近藤博基,石川健治,関根誠,堀勝

    平成25年秋季第74回応用物理学会学術講演会 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:同志社大学京田辺キャンパス   Country:Japan  

  1435. 新規アンテナ構造を用いたメートル級マイクロ波大気圧ラインプラズマの生成

    鈴木陽香,中野優,伊藤仁,関根誠,堀勝,豊田浩孝

    平成25年秋季第74回応用物理学会学術講演会 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:同志社大学京田辺キャンパス   Country:Japan  

  1436. An Inhibition Mechanism for Surface Roughening of Photoresist During Plasma Etching Process with Plasma Cure

     More details

    Event date: 2013.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1437. CHxFyプラズマにおける活性種生成過程とエッチング機構の解明(III)

    近藤祐介,宮脇雄大,竹田圭吾,近藤博基,石川健治,林俊雄,関根誠,堀勝

    平成25年秋季第74回応用物理学会学術講演会 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:同志社大学京田辺キャンパス   Country:Japan  

  1438. CHxF4-x(x=0-3)化合物の電子衝突解離(III)

    林俊雄,石川健治,関根誠,堀勝

    平成25年秋季第74回応用物理学会学術講演会 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:同志社大学京田辺キャンパス   Country:Japan  

  1439. NOx(x=1 or 2) / F2 混合ガスの高温下Si ケミカルドライエッチング(II)

    田嶋聡美,林俊雄,石川健治,関根誠,堀勝

    平成25年秋季第74回応用物理学会学術講演会 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:同志社大学京田辺キャンパス   Country:Japan  

  1440. プラズマプロセス中における有機薄膜表面反応の実時間・その場観察電子スピン共鳴(ESR)解析(5)

    王浩然,石川健治,堀邉英夫,竹田圭吾,近藤博基,関根誠,堀勝

    平成25年秋季第74回応用物理学会学術講演会 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:同志社大学京田辺キャンパス   Country:Japan  

  1441. プラズマによるHとNラジカルの表面損失確率の変化

    鈴木俊哉,竹田圭吾,近藤博基,石川健治,関根誠,堀勝

    平成25年秋季第74回応用物理学会学術講演会 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:同志社大学京田辺キャンパス   Country:Japan  

  1442. カーボンナノウォールの表面化学修飾とナノバイオ応用

    近藤博基,渡邊均,石川健治,関根誠,堀勝,平松美根男

    平成25年秋季第74回応用物理学会学術講演会 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:同志社大学京田辺キャンパス   Country:Japan  

  1443. 液中プラズマで合成したナノグラフェンを用いた燃料電池用白金触媒電極の特性評価

    天野智貴,加納浩之,竹田圭吾,近藤博基,太田貴之,伊藤昌文,平松美根男,石川健治,関根誠,堀勝

    平成25年秋季第74回応用物理学会学術講演会 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:同志社大学京田辺キャンパス   Country:Japan  

  1444. ナノグラフェン合成中の液中プラズマの分光診断

    安藤睦,竹田圭吾,近藤博基,石川健治,関根誠,太田貴之,伊藤昌文,平松美根男,加納浩之,堀勝

    平成25年秋季第74回応用物理学会学術講演会 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:同志社大学京田辺キャンパス   Country:Japan  

  1445. AC励起非平衡大気圧プラズマの放電機構の解明に向けた時空間計測

    梁思潔,孫昿達,竹田圭吾,近藤博基,加納浩之,石川健治,関根誠,堀勝

    平成25年秋季第74回応用物理学会学術講演会 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:同志社大学京田辺キャンパス   Country:Japan  

  1446. 塩素ガスプラズマによるGaNエッチングにおける窒素ガス添加の効果

    劉沢,朴鐘胤,曹佳棟,加古隆,石川健治,小田修,竹田圭吾,近藤博基,関根誠,堀勝

    平成25年秋季第74回応用物理学会学術講演会 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:同志社大学京田辺キャンパス   Country:Japan  

  1447. カーボンナノウォールに対する酸素原子及びアルゴンイオンの同時照射効果

    下枝弘尚,近藤博基,石川健治,平松美根男,関根誠,堀勝

    平成25年秋季第74回応用物理学会学術講演会 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:同志社大学京田辺キャンパス   Country:Japan  

  1448. 低温大気圧プラズマの開発と医療・健康・福祉への応用

    堀 勝

    テクノ・フェア名大2013 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:名古屋大学豊田講堂・シンポジオンホール   Country:Japan  

  1449. 先進プラズマ技術による産業イノベーション

    堀 勝、関根 誠、近藤 博基、竹田 圭吾

    テクノ・フェア名大2013 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学豊田講堂・シンポジオンホール   Country:Japan  

  1450. 非平衡大気圧プラズマによる先端グリーン・ライフイノベーション

    豊田 浩孝、石川 健治、堀 勝、関根 誠、近藤 博基、竹田 圭吾

    テクノ・フェア名大2013 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学豊田講堂・シンポジオンホール   Country:Japan  

  1451. がん治療に向けたプラズマ装置及びプラズマ照射溶液の研究開発

    田中 宏昌、水野 正明、石川 健治、竹田 圭吾、中村 香江、梶山 広明、加納 浩之、吉川 史隆、堀 勝

    テクノ・フェア名大2013 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学豊田講堂・シンポジオンホール   Country:Japan  

  1452. Analysis of Fluorocarbon Gas Plasma Surface Interactions Using Real-time/In-situ Electron Spin Resonance International conference

    Y. Miyawaki, H. Wang, Y. Kondo, K. Ishikawa, K. Takeda, S. Tajima, H. Kondo, M. Sekine, H. Horibe, M. Hori

    Dry Process Symposium 2013 (DPS2013) 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1453. Non-contact Temperature Monitoring of Substrates Using Optical Interferometry on Plasma Processing International conference

    T. Ohta, T. Takayoshi, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori, M. Ito

    Dry Process Symposium 2013 (DPS2013) 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1454. Fabricating the Smooth Chemically Dry Etched Si Surface for MEMS Devices International conference

    S. Tajima, T. Hayashi, M. Sasaki, K. Ishikawa, M. Sekine, M. Hori

    Dry Process Symposium 2013 (DPS2013) 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  1455. Effect of Hydrogen Peroxide on Carbon Materials Produced by Gas-liquid Plasma International conference

    J. Jolibois, J. Kularatne, H. Kano, M. Ito, H. Kondo, K. Ishikawa, M. Hori

    Dry Process Symposium 2013 (DPS2013) 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  1456. Low-temperature Insulating Film Formation with Plasma Enhanced Mist Chemical Vapor Deposition International conference

    K. Sun, K. Takeda, H. Itoh, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    Dry Process Symposium 2013 (DPS2013) 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  1457. Control of Gas Phase Reaction in Etching Plasmas Employing Hydro-fluorocarbon Gases International conference

    Y. Kondo, Y. Miyawaki, K. Takeda, H. Kondo, K. Ishikawa, T. Hayashi, M. Sekine, M. Hori

    Dry Process Symposium 2013 (DPS2013) 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  1458. Quantum Chemical Investigations for Dissociation Paths of Fluoro-methane Compounds International conference

    T. Hayashi, K. Ishikawa, M. Sekine, M. Hori

    Dry Process Symposium 2013 (DPS2013) 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  1459. Plasma Etching of Ga-based Compound Semiconductor International conference

    J. Park, J. Cao, Z. Liu, T. Kako, K. Ishikawa, Y. Setsuhara, K. Takeda, H. Kondo, M. Sekine, M. Hori

    Dry Process Symposium 2013 (DPS2013) 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  1460. Analysis of Fluorocarbon Gas Plasma Surface Interactions Using Real-time/In-situ Electron Spin Resonance International conference

    Y. Miyawaki, H. Wang, Y. Kondo, K. Ishikawa, K. Takeda, S. Tajima, H. Kondo, M. Sekine, H. Horibe, M. Hori

    Dry Process Symposium 2013 (DPS2013) 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1461. Non-contact Temperature Monitoring of Substrates Using Optical Interferometry on Plasma Processing International conference

    T. Ohta, T. Takayoshi, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori, M. Ito

    Dry Process Symposium 2013 (DPS2013) 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  1462. Fabricating the Smooth Chemically Dry Etched Si Surface for MEMS Devices International conference

    S. Tajima, T. Hayashi, M. Sasaki, K. Ishikawa, M. Sekine, M. Hori

    Dry Process Symposium 2013 (DPS2013) 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  1463. Effect of Hydrogen Peroxide on Carbon Materials Produced by Gas-liquid Plasma International conference

    J. Jolibois, J. Kularatne, H. Kano, M. Ito, H. Kondo, K. Ishikawa, M. Hori

    Dry Process Symposium 2013 (DPS2013) 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  1464. Low-temperature Insulating Film Formation with Plasma Enhanced Mist Chemical Vapor Deposition International conference

    K. Sun, K. Takeda, H. Itoh, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    Dry Process Symposium 2013 (DPS2013) 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  1465. Control of Gas Phase Reaction in Etching Plasmas Employing Hydro-fluorocarbon Gases International conference

    Y. Kondo, Y. Miyawaki, K. Takeda, H. Kondo, K. Ishikawa, T. Hayashi, M. Sekine, M. Hori

    Dry Process Symposium 2013 (DPS2013) 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  1466. Quantum Chemical Investigations for Dissociation Paths of Fluoro-methane Compounds International conference

    T. Hayashi, K. Ishikawa, M. Sekine, M. Hori

    Dry Process Symposium 2013 (DPS2013) 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  1467. Plasma Etching of Ga-based Compound Semiconductor International conference

    J. Park, J. Cao, Z. Liu, T. Kako, K. Ishikawa, Y. Setsuhara, K. Takeda, H. Kondo, M. Sekine, M. Hori

    Dry Process Symposium 2013 (DPS2013) 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  1468. Plasma Medical Innovation : Addressing to a New Science and Technology International conference

    Masaru Hori

    9th Asian-european International Conference On Plasma Surface Enginnering, Conference and Exhibition 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  1469. Effect of Neutral Species Generated by the micro Hollow-cathode Discharge Radical Source On the Modification of A549 Cell Viability International conference

    S. Tajima and M. Hori

    9th Asian-european International Conference On Plasma Surface Enginnering (AEPSE), Conference and Exhibition 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  1470. Recovery of Plasma-Damaged GaN by in situ Radical Exposure International conference

    Makoto Sekine, Zecheng Liu, Shang Chen, Ryosuke Kometani, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, and Masaru Hori

    10th International Conference on Nitride Semiconductors (ICNS10) 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Poster presentation  

    Country:United States  

  1471. Surface Analysis of GaN at Elevated Substrate Temperature International conference

    Kenji Ishikawa, Ryosuke Kometani, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    10th International Conference on Nitride Semiconductors (ICNS10) 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Poster presentation  

    Country:United States  

  1472. Effects of High Temperature Etching on GaN using by Cl2 Plasma International conference

    Hiroko Iguchi, Ryosuke Kometani, Michael Liu, Kenji Nakashima, Takahiro Kozawa, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    10th International Conference on Nitride Semiconductors (ICNS10) 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Poster presentation  

    Country:United States  

  1473. In-situ ESR measurements for Plasma Materials Interractions International conference

    K. Ishikawa, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Sekine, and M. Hori

    9th Asian-european International Conference On Plasma Surface Enginnering, Conference and Exhibition 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  1474. Hydrogen radical-injection plasma fabricated microcrystalline silicon thin film for solar cells International conference

    Masaru Hori, Yusuke Abe, Atsushi Fukushima, Ya Lu, Sho Kawashima, Keita Miwa, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, and Makoto Sekine

    21th International Symposium on Plasma Chemistry (ISPC) 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Poster presentation  

    Country:Australia  

  1475. Plasma-activated medium induced apoptosis on glioblastoma brain tumor cells by inhibiting growth/survival signaling International conference

    73.Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Fumitaka Kikkawa, and Masaru Hori

    21th International Symposium on Plasma Chemistry (ISPC) 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Poster presentation  

    Country:Australia  

  1476. Detection of metal elements in soil using atmospheric pressure plasma International conference

    J. Kularatne, J. Jolibois, H. Kano, M. Ito, T. Ohta, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    The 12th Asia Pacific Physics Conference (APPC12) 

     More details

    Event date: 2013.7

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1477. Crystallographic and chemical modification of carbon nanowalls by radical oxidation International conference

    H. Shimoeda, H. Kondo, K. Ishikawa, M. Hiramatsu, M. Sekine, M. Hori

    The 12th Asia Pacific Physics Conference of AAPPS and The third Asia-Europe Physics Summit (APPP) 

     More details

    Event date: 2013.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1478. Effect of Hexane on the synthesis of nanographene using liquid plasma International conference

    J. Jolibois, J. Kularatne, H. Kano, M. Ito, H. Kondo, K. Ishikawa, M. Hori

    The 12th Asia Pacific Physics Conference of AAPPS and The third Asia-Europe Physics Summit (APPP) 

     More details

    Event date: 2013.7

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1479. Detection of metal elements in soil using atmospheric pressure plasma International conference

    J. Kularatne, J. Jolibois, H. Kano, M. Ito, T. Ohta, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    The 12th Asia Pacific Physics Conference of AAPPS and The third Asia-Europe Physics Summit (APPP) 

     More details

    Event date: 2013.7

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1480. Cell-culturing scaffold application of carbon nanowall (CNW) International conference

    M. Hori, H. Watanabe, H. Kondo, Y. Okamoto, M. Hiramatsu, M. Sekine, Y. Baba

    XXXI International Conference on Phenomena in Ionized Gases (ICPIG), Granada Congress Centre, Spain 

     More details

    Event date: 2013.7

    Language:English   Presentation type:Poster presentation  

    Country:Spain  

  1481. Inactivation of microorganisms in liquid exposed by oxygen radical source International conference

    T. Ohta, H. Hashizume, M. Ito, K. Takeda, K. Ishikawa, M. Hori

    XXXI International Conference on Phenomena in Ionized Gases (ICPIG) 

     More details

    Event date: 2013.7

    Language:English   Presentation type:Poster presentation  

    Country:Spain  

  1482. Effect of thin films on wafer temperature during plasma processes investigated by non-contact temperature measurement technique International conference

    T. Tsutsumi, K Takeda, K. Ishikawa, T. Ohta, M. Ito, H. Kondo, M. Sekine, M. Hori

    XXXI International Conference on Phenomena in Ionized Gases (ICPIG) 

     More details

    Event date: 2013.7

    Language:English   Presentation type:Poster presentation  

    Country:Spain  

  1483. Optical emission spectroscopy of arc plasma using Ni-Y catalyst for synthesizing single-walled carbon nanotubes International conference

    A. Ando, T. Ohta, K. Takeda, M. Ito, M. Hiramatsu, T. Suzuki, S. Inoue, Y Ando, M. Hori

    The 12th Asia Pacific Physics Conference of AAPPS and The third Asia-Europe Physics Summit (APPP) 

     More details

    Event date: 2013.7

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1484. Effect of Hexane on the synthesis of nanographene using liquid plasma International conference

    J. Jolibois, J. Kularatne, H. Kano, M. Ito, H. Kondo, K. Ishikawa, M. Hori

    The 12th Asia Pacific Physics Conference (APPC12) 

     More details

    Event date: 2013.7

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1485. Crystallographic and chemical modification of carbon nanowalls by radical oxidation International conference

    H. Shimoeda, H. Kondo, K. Ishikawa, M. Hiramatsu, M. Sekine, M. Hori

    The 12th Asia Pacific Physics Conference (APPC12) 

     More details

    Event date: 2013.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1486. Cell-culturing scaffold application of carbon nanowall(CNW) International conference

    M. Hori, H. Watanabe, H. Kondo, Y. Okamoto, M. Hiramatsu, M. Sekine, Y. Baba

    XXXI International Conference on Phenomena in Ionized Gases 

     More details

    Event date: 2013.7

    Language:English   Presentation type:Poster presentation  

    Country:Spain  

  1487. Inactivation of microorganisms in liquid exposed by oxygen radical source International conference

    T. Ohta, H. Hashizume, M. Ito, K. Takeda, K. Ishikawa, M. Hori

    XXXI International Conference on Phenomena in Ionized Gases 

     More details

    Event date: 2013.7

    Language:English   Presentation type:Poster presentation  

    Country:Spain  

  1488. Effect of thin films on wafer temperature during plasma processes investigated by non-contact temperature measurement technique International conference

    T. Tsutsumi, K Takeda, K. Ishikawa, T. Ohta, M. Ito, H. Kondo, M. Sekine, M.Hori

    XXXI International Conference on Phenomena in Ionized Gases 

     More details

    Event date: 2013.7

    Language:English   Presentation type:Poster presentation  

    Country:Spain  

  1489. 最先端プラズマナノ科学によるグローバルイノベーションの展開

    堀 勝

    第4回ナノエレクトロニクス懇話会・講演会 

     More details

    Event date: 2013.7

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:早稲田大学理工学術院 西早稲田キャンパス   Country:Japan  

  1490. 化学的性質

    掘 勝

    日本学術振興会 薄膜第131委員会 第30回 薄膜スクール 

     More details

    Event date: 2013.7

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:たがわ龍泉閣   Country:Japan  

  1491. 薄膜の結晶学的構造

    堀勝

    日本学術振興会 薄膜第131委員会 第30回薄膜スクール 

     More details

    Event date: 2013.7

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:たがわ龍泉閣   Country:Japan  

  1492. 大気圧・液中プラズマの医療応用におけるフリーラジカル

    堀 勝

    第66回日本酸化ストレス学会学術集会  

     More details

    Event date: 2013.6

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:WINCあいち,名古屋   Country:Japan  

  1493. ミドリカビ胞子からの実時間その場ESR 信号観察

    石川 健治、水野 寛子、田中 宏昌、橋爪 博司、太田 貴之、伊藤 昌文、竹田 圭吾、近藤 博基、堀 勝

    第66回日本酸化ストレス学会学術集会  

     More details

    Event date: 2013.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:WINCあいち,名古屋   Country:Japan  

  1494. 非平衡大気圧プラズマより発生する活性酸素種の数密度測定とミドリカビ胞子の殺菌効果の評価

    橋爪 博司,太田 貴之,賈 鳳東,竹田 圭吾,石川 健治, 堀 勝,伊藤 昌文

    第66回日本酸化ストレス学会学術集会  

     More details

    Event date: 2013.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:WINCあいち,名古屋   Country:Japan  

  1495. 低温酸素プラズマを用いたミドリカビ胞子の殺菌

    太田貴之, 橋爪 博司,伊藤 昌文,石川 健治, 竹田 圭吾,堀 勝

    第66回日本酸化ストレス学会学術集会  

     More details

    Event date: 2013.6

    Language:Japanese   Presentation type:Poster presentation  

    Venue:WINCあいち,名古屋   Country:Japan  

  1496. 卵巣癌細胞におけるプラズマの抗腫瘍効果とROSの関与

    中村 香江, 梶山 広明, 内海史, 田中 宏昌, 水野 正明, 石川 健治, 近藤 博基, 加納 浩之, 堀 勝, 吉川 史隆

    第66回日本酸化ストレス学会学術集会  

     More details

    Event date: 2013.6

    Language:Japanese   Presentation type:Poster presentation  

    Venue:WINCあいち,名古屋   Country:Japan  

  1497. Leading-edge diagnostic techniques and fundamental researches for plasma processing innovations International conference

    Masaru Hori

    ASM INTERNATIONAL N.V. 

     More details

    Event date: 2013.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Netherlands  

  1498. Leading-edge diagnostic techniques and fundamental research for plasma processing innovations International conference

    M. Hori

    ASM SEMINAR 

     More details

    Event date: 2013.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1499. Analysis of fungal spore inactivation based on measurements of reactive-oxygen-species densities International conference

    H. Hashizume, T. Ohta, F. Jia, K. Takeda, K. Ishikawa, M. Hori, M. Ito

    17th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 4th Workshop for NU-SKKU  

     More details

    Event date: 2013.5

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  1500. Global collaboration for advanced plasma R&D of PLANT International conference

    M. Hori, J. G. Han, and H. Toyoda

    17th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 4th Workshop for NU-SKKU  

     More details

    Event date: 2013.5

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1501. Chemical modification and nano-bio applications of carbon nanowalls International conference

    H. Kondo, M. Hiramatsu, M. Sekine, M. Hori

    17th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 4th Workshop for NU-SKKU  

     More details

    Event date: 2013.5

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  1502. Development of optical diagnostics method for interaction between plasma and surface using femtosecond laser technique International conference

    T. Ohta, M. Ito, and M. Hori

    17th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 4th Workshop for NU-SKKU 

     More details

    Event date: 2013.5

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  1503. Effect of boron doping on amorphous carbon films grown by radical-injection plasma-enhanced chemical vapor deposition International conference

    L. Jia, J. Kuki, L. Yu, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    17th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 4th Workshop for NU-SKKU  

     More details

    Event date: 2013.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1504. Optical emission spectroscopy of arc plasma using Ni-Y containing carbon electrode International conference

    A. Ando, T. Ohta, K. Takeda, M. Ito, M. Hiramatsu, T. Suzuki, Y. Ando, M. Hori

    17th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 4th Workshop for NU-SKKU  

     More details

    Event date: 2013.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1505. Reduction of surface roughness on ArF-photoresist by C5HF7 gas plasma International conference

    Y. Miyawaki, Y. Kondo, K. Takeda, S. Tajima, H. Kondo, K. Ishikawa, T. Hayashi, M. Sekine, A. Ito, H. Matsumoto, M. Hori

    17th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 4th Workshop for NU-SKKU  

     More details

    Event date: 2013.5

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  1506. Plasma Interactions with Soft Materials in Air and Liquid International conference

    Y. Setsuhara, A. Miyazaki, K. Takenaka, H. Abe, M. Hori

    17th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 4th Workshop for NU-SKKU  

     More details

    Event date: 2013.5

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  1507. Precise Rapid Measurement of Substrate Temperature by Frequency-Domain Optical Low-Coherence Interferometry International conference

    M. Ito, T. Ohta, T. Tsutsumi, K. Takeda, M. Hori

    17th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 4th Workshop for NU-SKKU  

     More details

    Event date: 2013.5

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  1508. Trawienie fotorezystu ArF 193 nm za pomocą wiązki rodników wodoru International conference

    Arkadiusz MALINOWSKI, Andrzej JAKUBOWSKI, Linda ŁUKASIAK, Takuya TAKEUCHI, Kenji ISHIKAWA, Makoto SEKINE, Masaru HORI

    ELTE'2013 in Poland 

     More details

    Event date: 2013.4

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1509. 窒化ガリウム(GaN)向けたサファイア基板の周波数領域型低コヒーレンス干渉計による温度計測(Ⅱ)

    堤隆嘉、竹田圭吾、石川健治、近藤博基、太田貴之、伊藤昌文、関根誠、堀勝

    第60回応用物理学会春季学術講演会 

     More details

    Event date: 2013.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  1510. 大口径、高密度MBE用ラジカルソースの開発

    NUエコエンジニアリング(株)、名古屋大学

    第4回愛知ナノテクものづくりクラスター成果活用促進事業成果発表会 

     More details

    Event date: 2013.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:「知の拠点あいち」あいち産業科学技術総合センター 1階 講習会室   Country:Japan  

  1511. 高精度超広帯域超短パルスファイバーレーザー光源の開発

    NUシステム(株)、名古屋大学

    第4回愛知ナノテクものづくりクラスター成果活用促進事業成果発表会 

     More details

    Event date: 2013.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:「知の拠点あいち」あいち産業科学技術総合センター 1階 講習会室   Country:Japan  

  1512. カーボンナノグラフェンの常温での高速合成技術の開発

    堀 勝

    高分子学会研究会 

     More details

    Event date: 2013.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:(社)高分子学会会議室   Country:Japan  

  1513. 低温プラズマの医療への応用

    堀 勝

    ミッドランドフォーラム2013 

     More details

    Event date: 2013.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:ミッドランドフォーラム   Country:Japan  

  1514. システム生物学的プラズマ医療科学の幕開け

    田中 宏昌、堀 勝、吉川 史隆、水野 正明

    平成24年度 ものづくり交流フォーラム 

     More details

    Event date: 2013.2

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:名古屋市工業研究所 管理棟1F ホール及び展示場   Country:Japan  

  1515. ガスデザインに基づいた高選択絶縁膜エッチングの検討

    宮脇雄大、浅野高平、近藤祐介、竹田圭吾、田嶋聡美、近藤博基、石川健治、林俊雄、関根誠、伊東安曇、松本裕一、堀勝

    第156回シリコンテクノロジー研究集会 

     More details

    Event date: 2013.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京大学本郷キャンパス   Country:Japan  

  1516. 先進プラズマナノ科学研究拠点形成プログラム

    堀 勝

    東海広域ナノテクものづくりクラスター最終成果発表会 

     More details

    Event date: 2013.2

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:「知の拠点」あいち産業科学技術総合センター   Country:Japan  

  1517. 先進プラズマナノ基盤技術の開発

    堀 勝

    東海広域ナノテクものづくりクラスター最終成果発表会 

     More details

    Event date: 2013.2

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:「知の拠点」あいち産業科学技術総合センター 1階 講習会室   Country:Japan  

  1518. 超精密vs 超高速:プラズマプロセスの新展開によるナノ構造材料の合成 International conference

    堀 勝

    日本真空学会 2013 年2 月研究例会 

     More details

    Event date: 2013.2

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1519. Transportable Micro-plasma VUV Light Source System International conference

    Minoru Sasaki, D. Yasumatsu, H. Matsuyama, S. Kumagai, K. Takeda, N. Ebizuka, M. Ho

    The 6th International Conference on PLAsma Nanotechnology & Science (IC-PLANTS 2013) 

     More details

    Event date: 2013.2

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1520. Vacuum ultraviolet radical monitor ~plasma diagnostics by opticaltechnology~ International conference

    N. Ebizuka, M. Hori, Y. Higashijima

    The 6th International Conference on PLAsma Nanotechnology & Science (IC-PLANTS 2013) 

     More details

    Event date: 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1521. Characterization of anti-tumor effect of glioblastoma brain tumor cellsby plasma-activated medium International conference

    H. Tanaka, M. Mizuno, K. Ishikawa, K.Nakamura, H. Kajiyama, H. Kano, F. Kikkawa, M. Hori

    The 6th International Conference on PLAsma Nanotechnology & Science (IC-PLANTS 2013) 

     More details

    Event date: 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1522. Raman spectra variation of microorganisms treated, by atmospheric-pressure oxygen-radical source International conference

    H. Kato, H. Hashizume, T. Ohta, M.Hiramatsu, M. Hori, M. Ito,

    The 6th International Conference on PLAsma Nanotechnology & Science (IC-PLANTS 2013) 

     More details

    Event date: 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1523. Local micro-plasma irradiation using MEMS nozzles International conference

    R. Shimane, H.Asano, S. Kumagai, M. Hori, M. Sasaki

    The 6th International Conference on PLAsma Nanotechnology & Science (IC-PLANTS 2013) 

     More details

    Event date: 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1524. Temperature measurement of carbon nanowall / silicon substrateusing super-continuum light source on low-coherence interferometry International conference

    T. Hiraoka, H. Kato, T. Tsutsumi, T. Ohta, M. Ito, K. Takeda, H. Kondo,M. Hori,

    The 6th International Conference on PLAsma Nanotechnology & Science (IC-PLANTS 2013) 

     More details

    Event date: 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1525. Effects of Reactive Oxygen Species on Inactivation of Penicillium Digitatum Spores Dependent on Exposure Distance using an Atmospheric-pressureOxygen Radical Source International conference

    Hiroshi Hashizume, T. Ohta, M. Ito, F. Jia, K. Takeda, K. Ishikawa, M. Hori

    The 6th International Conference on PLAsma Nanotechnology & Science (IC-PLANTS 2013) 

     More details

    Event date: 2013.2

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1526. Influence of N2 inclusion to Ar AP plasma in atomization International conference

    J. S.Kularatne, H. Kano, M. Ito, T. Ohta, K. Takeda, H. Kondo, K. Ishikawa,M. Sekine, M. Hori

    The 6th International Conference on PLAsma Nanotechnology & Science (IC-PLANTS 2013) 

     More details

    Event date: 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1527. Effects of hydrogen contained in fluorocarbon gas molecules fordielectric film etching process International conference

    Y. Kondo, Y. Miyawaki, K. Takeda, K.Ishikawa, H. Kondo, T. Hayashi, M. Sekine, M. Hori,

    The 6th International Conference on PLAsma Nanotechnology & Science (IC-PLANTS 2013) 

     More details

    Event date: 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1528. Dissociative properties of etching gases International conference

    The 6th International Conference on PLAsma Nanotechnology & Science (IC-PLANTS 2013) 

     More details

    Event date: 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1529. Chlorine plasma etching of GaN at high temperature International conference

    R. Kometani, L.Michael, K. Ishikawa, K. Takeda, H. Kondo, H. Amano, M. Sekine, M.Hori,

    The 6th International Conference on PLAsma Nanotechnology & Science (IC-PLANTS 2013) 

     More details

    Event date: 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1530. Knowledge Cluster Lecture International conference

    M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1531. High temperature plasma etching of GaN International conference

    R. Kometani, S. Chen, M. Liu, K. Ishikawa, H. Kondo, K. Takeda, T. Egawa, H. Amano, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1532. Application of atmospheric pressure plasma in element analysis of agricultural products International conference

    J. Kularatne, H. Kano, M. Ito, T. Ohta, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1533. High H radical density produced by 1-m-length atmospheric pressure microwave plasma system International conference

    H. Itoh, Y. Kubota, Y. Kashiwagi, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, H. Toyoda, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1534. The atmospheric pressure plasmas International conference

    H. Kano, K. Takeda, H. Kondo, S. Den, Y. Higashijima, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1535. Real time temperature measurements of film-covered-substrate employing fourier domain low coherence interferometer during plasma processes International conference

    T. Tsutsumi, T. Hiraoka, K. Takeda, K. Ishikawa, T. Ohta, M. Ito, H. Kondo, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1536. Measurement of carbon nanowalls / silicon substrate temperature by fourier-domain low-coherence interferometry International conference

    T. Hiraoka, T. Tsutsumi, H. Kato, K. Takeda, T. Ohta, H. Kondo, K. Ishikawa, M. Ito, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1537. Absolute density of fluorine atom in capacitively coupled plasma employing hydro-fluorocarbon gases for highly selective SiO2 etching International conference

    T. Komuro, K. Takeda, K. Ishikawa, M. Sekine, H. Kondo, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1538. Atomic hydrogen density measurement in toroidal plasma device NAGDIS-T by vacuum ultraviolet absorption spectroscopy International conference

    S. Kajita, K. Takeda, K. Sakata, N. Ohno, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1539. Cavity ring down measurements of SiH3-behavior in SiH4/H2 plasma International conference

    Y. Abe, A. Fukushima, Y. Kim, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1540. Measurement of species in non-equilibrium atmospheric pressure plasma using near infrared absorption spectroscopy with supercontinuum light International conference

    M. Hori, K. Takeda, T. Ohta, M. Ito, N. Nishizawa

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1541. Effects of carbon nanowall scaffold and their chemical termination on cell culturing International conference

    H. Watanabe, H. Kondo, Y. Okamoto, M. Sekine, M. Hiramatsu, Y. Baba, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1542. Formation and characteristics of Pt-Au nanoparticles supported on carbon nanowalls (CNWs) employing a supercritical fluid International conference

    T. Horibe, H. Kondo, H. Kano, K. Ishikawa, M. Sekine, M. Hiramatsu, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1543. Effects of morphological changes induced by hydrogen peroxide treatment on electrical properties of carbon nanowalls International conference

    H. Shimoeda, H. Kondo, K. Takeda, K. Ishikawa, M. Hiramatsu, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1544. Crystallographic and electrical properties of vertically-grown graphene sheets by CH4/H2 plasma International conference

    H. Cho, H. Kondo, K. Ishikawa, M. Sekine, M. Hiramatsu, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1545. Effect of Ar gas addition on photoconductive characteristics of amorphous carbon films synthesized by plasma-enhanced chemicalvapor deposition International conference

    L. Yu, J. Kuki, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1546. Superior properties of carbon nanowalls as cell scaffolds International conference

    Y. Okamoto, H. Watanabe, K. Kubo, H. Kondo, N. Kaji, M. Tokeshi, M. Hori,Y. Baba

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1547. Novel diffraction gratings fabricated by plasma nano-technologies International conference

    N. Ebizuka, M. Sasaki, A. Bianco, F. Zerbi, Y. Hirahara, W. Aoki, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1548. Construction of atmospheric pressure mist chemical vapor deposition technology for the all-printed electronic technology International conference

    K. Sun, K. Takeda, S. Tajima, H. Kondo, K. Ishikawa, M. Sekine, M. Hori, H. Itoh

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1549. Relation between gaseous radicals and μc-Si film property in SiH4/H2 plasma CVD International conference

    A. Fukushima, Y. Lu, Y. Abe, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1550. Hydrogen radical injection plasma deposition of (110)-preferentially oriented microcrystalline silicon films International conference

    L. Ya, A. Fukushima, Y. Abe, Y. Kim, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1551. Study on precursor adsorption and reaction within SiO2 growth cycle of low temperature plasma-enhanced atomic layer deposition using in-situ ATR-FTIR International conference

    Y. Lu, A. Kobayashi, Y. Kim, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1552. Effect of boron doping on crystalline structures and electrical properties of amorphous carbon films grown by radical-injection plasma-enhanced chemical vapor deposition International conference

    J. Kuki, L. Yu, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1553. Rapid and low-temperature nitridation of austenitic stainless steel using electron beam excited plasma (EBEP) International conference

    K. Yamakawa, H. Yamamoto, S. Takahashi, S. Den, S. Takashima, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1554. Investigation of Si etch reaction with F and O radicals using SF6/O2 plasma International conference

    M. Sekine, S. Amasaki, T. Takeuchi, K. Ishikawa, K. Takeda, H. Kondo, M.Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1555. Sequential exposure of N and H atoms for recovery of plasma-damaged GaN International conference

    Z. Liu, S. Chen, Y. Lu, R. Kometani, K. Ishikawa, H. Kano, K. Takeda, H. Kondo, M. Sekine, T. Egawa, H. Amano, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1556. Real-time variation of sputtering yield of Ar ion for ArF photoresist during Ar plasma exposure International conference

    T. Takeuchi, C. Corbella, S. Grosse-Kreul, A. Keudell, K. Ishikawa, H. Kondo, K. Takeda, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1557. The change in surface morphology of Si at elevated temperature during the plasmaless Si etching with NO/F2 gas mixture International conference

    S. Tajima, T. Hayashi, K. Ishikawa, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1558. Subsequent temporal change of gaseous H and N radical density in H2/N2 plasma after air exposure and its control International conference

    T. Suzuki, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1559. Gas-phase reaction model of Ar-diluted CHxFy plasmas International conference

    Y. Kondo, Y. Miyawaki, K. Takeda, H. Kondo, K. Ishikawa, T. Hayashi, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1560. Mechanism for degradation of porous SiOCH low-K films by O2 plasma International conference

    K. Asano, K. Ishikawa, M. Sekine, K. Takeda, H. Kondo, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1561. Excitation dissociations of c-C5F8 and c-C5HF7 etching gases International conference

    T. Hayashi, K. Ishikawa, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1562. Low-damage, high-accuracy plasma etching of Ga-compound semiconductors International conference

    J. Cao, R. Kometani, J. Park, K. Ishikawa, K. Takeda, H. Kondo, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1563. Plasma-activated medium selectively killed glioblastoma brain tumor cells and indueced apoptosis International conference

    H. Tanaka, M. Mizuno, K. Ishikawa, K. Nakamura, H. Kajiyama, H. Kano, F. Kikkawa, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1564. Electron spin resonance study of plasma-biological surface interaction for food hygiene International conference

    K. Ishikawa, H. Mizuno, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1565. Plasma-biological surface interaction investigated by electron spin resonance International conference

    H. Mizuno, K. Ishikawa, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1566. Plasma-biomaterials interaction analysis as a basis of fundamental processes in plasma medicine International conference

    Y. Setsuhara, K. Takenaka, M. Shiratani, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1567. Plasma-mediated modulation of element distribution in skin and skin cancer International conference

    I. Yajima, M. Iida, K. Nakagawa, H. Kondo, M. Kumasaka, K. Takeda, M. Hori, H. Kano, M. Kato

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1568. Nonequilibrium atmospheric pressure plasma selectively killed ovarian cancer cells and induced apoptosis International conference

    H. Tanaka, S. Iseki, K. Nakamura, M. Hayashi, H. Kondo, H. Kajiyama, H. Kano, F. Kikkawa, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1569. Effect of biological solution on generation of radical species induced by nonequilibrium atmospheric pressure plasma International conference

    J. Jolibois, K. Takeda, H. Tanaka, K. Ishikawa, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1570. Nonequilibrium atmospheric pressure plasma application for surface treatment of high density package substrate International conference

    Y. Iwata, H. Sakamoto, K. Takeda, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1571. Research on durability of the hydrophilc property of gas diffusion layer for polymer electrolyte fuel cell adapting atmospheric-pressure plasma treatment International conference

    M. Suzuki, E. Murakami, K. Kawata, K. Takeda, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1572. Study on mechanism of ultrahigh speed synthesis of high crystallinity nanographene employing in-liquid plasma International conference

    H. Kondo, T. Hagino, K. Ishikawa, H. Kano, M. Sekine, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1573. Effect of activated species on plane-direction dependence of Si oxidation in O2/Ar mixture plasma International conference

    K. Takeda, M. Hori

    ISPlasma2013(The 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1574. Application of atmospheric pressure plasma in element analysis of agricultural products International conference

    J. Kularatne, H. Kano, M. Ito, T. Ohta, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, and M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1575. High H radical density produced by 1-m-length atmospheric pressure microwave plasma system International conference

    H. Itoh, Y. Kubota, Y. Kashiwagi, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, H. Toyoda, and M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1576. The atmospheric pressure plasmas International conference

    H. Kano, K. Takeda, H. Kondo, S. Den, Y. Higashijima, and M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1577. Real time temperature measurements of film-covered-substrate employing fourier domain low coherence interferometer during plasma processes International conference

    T. Tsutsumi, T. Hiraoka, K. Takeda, K. Ishikawa, T. Ohta, M. Ito, H. Kondo, M. Sekine, and M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1578. Measurement of carbon nanowalls / silicon substrate temperature by fourier-domain low-coherence interferometry International conference

    T. Hiraoka, T. Tsutsumi, H. Kato, K. Takeda, T. Ohta, H. Kondo, K. Ishikawa, M. Ito, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1579. Absolute density of fluorine atom in capacitively coupled plasma employing hydro-fluorocarbon gases for highly selective SiO2 etching International conference

    T. Komuro, K. Takeda, K. Ishikawa, M. Sekine, H. Kondo, and M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1580. Atomic hydrogen density measurement in toroidal plasma device NAGDIS-T by vacuum ultraviolet absorption spectroscopy International conference

    S. Kajita, K. Takeda, K. Sakata, N. Ohno, and M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1581. Cavity ring down measurements of SiH3-behavior in SiH4/H2 plasma International conference

    Y. Abe, A. Fukushima, Y. Kim, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, and M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1582. Radical Density Control in H2/N2 Plasma Based on In-situ Monitoring with Vacuum Ultra Violet Absorption Spectroscopy International conference

    T. Suzuki, K. Takeda, K. Ishikawa, H. Kondo, M, Sekine, and M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2013.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1583. Effects of Hydroxyl Radicals on Crystallographic and Electrinic Structures of Carbon Nanowalls International conference

    H. Shimoeda, H. Kondo, K. Ishikawa, M. Hiramatsu, M.Sekine and M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2013.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1584. Etching characteristics of AlGaN in inductively coupled Cl2 plasmas International conference

    J.-D. Cao, Y. Lu, R. Kometani, J. -Y. Park, K. Ishikawa, K. Takeda, H. Kondo, M, Sekine, and M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2013.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1585. Real-time / in-situ electron spin resonance analysis of plasma surface interactions International conference

    H. Wang, K. Ishikawa, H. Horibe, K. Takeda, H. Kondo, M, Sekine, and M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2013.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1586. High rate deep Si etching for TSV applications International conference

    I. Sakai, N. Sakurai, T. Ohiwa, M. Sekine, M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2013.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1587. Atmospheric pressure glow discharge with large spacing conditions International conference

    L. Jia and W. Liu

    The 16th International Workshop of Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2013.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1588. Radical Density Control in H2/N2 Plasma Based on In-situ Monitoring with Vacuum Ultra Violet Absorption Spectroscopy International conference

    T. Suzuki, K. Takeda, K. Ishikawa, H. Kondo, M, Sekine, M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2013.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1589. Etching characteristics of AlGaN in inductively coupled Cl2 plasmas International conference

    J.-D. Cao, Y. Lu, R. Kometani, J. -Y. Park, K. Ishikawa, K. Takeda, H. Kondo, M, Sekine, and M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2013.1

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1590. Real-time / in-situ electron spin resonance analysis of plasma surface interactions International conference

    H. Wang, K. Ishikawa, H. Horibe, K. Takeda, H. Kondo, M, Sekine, and M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2013.1

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1591. Plasma-surface intertactions in plasma etching of future device fabrication International conference

    K. Ishikawa, Y. Miyawaki, T. Takeuchi, K. Takeda, S. Tajima, H. Kondo, T.Hayashi, M. Sekine, and M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2013.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1592. Monitoring of wafer temperture in plasma processing using optical low-coherence interferometry International conference

    T. Ohta, M. Ito, T. Tsutsumi,T. Hiraoka, K. Takeda, M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2013.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1593. Effects of Hydroxyl Radicals on Crystallographic and Electrinic Structures of Carbon Nanowalls International conference

    H. Shimoeda, H. Kondo, K. Ishikawa, M. Hiramatsu, M.Sekine and M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2013.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1594. プラズマ医療科学の創成とその展望 International conference

    堀 勝, 井関 紗千子, 田中 昌弘, 石川 健治, 近藤 博基, 竹田 圭吾, 関根 誠, 中村 香江,林 萌美, 梶山 広明, 加納 浩之, 吉川 史隆

    第30回 プラズマプロセシング研究会 (SPP-30) 

     More details

    Event date: 2013.1

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:アクトシティ浜松・研修交流センター   Country:Japan  

  1595. 中高圧力におけるマイクロホローカソード放電中の粒子の挙動 International conference

    井上 真里、太田 貴之、伊藤 昌文、堀 勝

    第30回 プラズマプロセシング研究会 (SPP-30) 

     More details

    Event date: 2013.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:アクトシティ浜松・研修交流センター   Country:Japan  

  1596. マイクロ波励起表面波プラズマCVD法を用いたカーボン膜の作製 International conference

    加島 洋平、平松 美根男、近藤 博基、堀 勝

    第30回 プラズマプロセシング研究会 (SPP-30) 

     More details

    Event date: 2013.1

    Language:Japanese   Presentation type:Poster presentation  

    Venue:アクトシティ浜松・研修交流センター   Country:Japan  

  1597. CHF3, CH2F2分子の電子励起解離過程 International conference

    林 俊雄, 石川 健治, 関根 誠, 堀 勝

    第30回 プラズマプロセシング研究会 (SPP-30) 

     More details

    Event date: 2013.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:アクトシティ浜松・研修交流センター   Country:Japan  

  1598. プラズマ励起ミスト化学気相成長法を用いた絶縁膜合成 International conference

    孫 昿達, 竹田 圭吾, 近藤 博基, 田嶋 聡美, 石川 健治, 関根 誠, 堀 勝, 伊藤 仁

    第30回 プラズマプロセシング研究会 (SPP-30) 

     More details

    Event date: 2013.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:アクトシティ浜松・研修交流センター   Country:Japan  

  1599. 中高圧力におけるマイクロホローカソード放電中の粒子の挙動 International conference

    井上 真里, ○太田 貴之, 伊藤 昌文, 堀 勝

    第30回 プラズマプロセシング研究会 (SPP-30) 

     More details

    Event date: 2013.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:アクトシティ浜松・研修交流センター   Country:Japan  

  1600. MEMSノズルを利用した大気圧プラズマ照射によるマイクロ加工 International conference

    島根 竜太郎, 熊谷 慎也, 太田 貴之, 伊藤 昌文, 堀 勝, 佐々木 実

    第30回 プラズマプロセシング研究会 (SPP-30) 

     More details

    Event date: 2013.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:アクトシティ浜松・研修交流センター   Country:Japan  

  1601. 大気圧酸素ラジカル源によって処理された微生物のラマン分光 International conference

    加藤 寛人, 橋爪 博司,太田 貴之, 平松 美根男, 堀 勝, 伊藤 昌文

    第30回 プラズマプロセシング研究会 (SPP-30) 

     More details

    Event date: 2013.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:アクトシティ浜松・研修交流センター   Country:Japan  

  1602. 電気二重層キャパシタに用いるカーボンナノウォール電極の電気化学評価 International conference

    吉田 圭佑, 平松 美根男, 近藤 博基, 堀 勝

    第30回 プラズマプロセシング研究会 (SPP-30) 

     More details

    Event date: 2013.1

    Language:Japanese   Presentation type:Poster presentation  

    Venue:アクトシティ浜松・研修交流センター   Country:Japan  

  1603. 超臨界流体を用いた2 段階担持法によるカーボンナノウォールへの超高密度Pt-Au ナノ微粒子担持 International conference

    堀部 剛良, 近藤 博基, 加納 浩之, 石川 健治, 平松 美根男, 関根 誠, 堀 勝

    第30回 プラズマプロセシング研究会 (SPP-30) 

     More details

    Event date: 2013.1

    Language:Japanese   Presentation type:Poster presentation  

    Venue:アクトシティ浜松・研修交流センター   Country:Japan  

  1604. ハイドロフルオロカーボンガスプラズマによるArF フォトレジストの低ラフネスエッチング機構 International conference

    浅野 高平, 宮脇 雄大, 石川 健治, 関根 誠, 伊東 安曇, 松本 裕一, 竹田 圭吾,近藤 博樹, 堀 勝

    第30回 プラズマプロセシング研究会 (SPP-30) 

     More details

    Event date: 2013.1

    Language:Japanese   Presentation type:Poster presentation  

    Venue:アクトシティ浜松・研修交流センター   Country:Japan  

  1605. プラズマ化学気相堆積法を用いたナノグラフェンの核発生によるカーボンナノウォールの選択成長 International conference

    塚田 僚介, 平松 美根男, 近藤 博基, 堀 勝

    第30回 プラズマプロセシング研究会 (SPP-30) 

     More details

    Event date: 2013.1

    Language:Japanese   Presentation type:Poster presentation  

    Venue:アクトシティ浜松・研修交流センター   Country:Japan  

  1606. マイクロ波励起表面波プラズマCVD法を用いたカーボン膜の作製 International conference

    加島 洋平, 平松 美根男, 近藤 博基, 堀 勝

    第30回 プラズマプロセシング研究会 (SPP-30) 

     More details

    Event date: 2013.1

    Language:Japanese   Presentation type:Poster presentation  

    Venue:アクトシティ浜松・研修交流センター   Country:Japan  

  1607. プラズマCVD を用いて作製したカーボンナノウォールのバイオセンサ特性 International conference

    永島 三千弥, 渡邊 均, 平松 美根男, 近藤 博基, 堀 勝

    第30回 プラズマプロセシング研究会 (SPP-30) 

     More details

    Event date: 2013.1

    Language:Japanese   Presentation type:Poster presentation  

    Venue:アクトシティ浜松・研修交流センター   Country:Japan  

  1608. 散乱分光法を用いた単層カーボンナノチューブ合成アークプラズマ中の微粒子計測 International conference

    安藤 睦, 竹田 圭吾, 太田 貴之, 伊藤 昌文, 平松 美根男, 鈴木 智子, 井上 栄,安藤 義則,堀 勝

    第30回 プラズマプロセシング研究会 (SPP-30) 

     More details

    Event date: 2013.1

    Language:Japanese   Presentation type:Poster presentation  

    Venue:アクトシティ浜松・研修交流センター   Country:Japan  

  1609. 塩素ガスプラズマによる窒化ガリウムの高温エッチング International conference

    米谷 亮祐, 陳 尚, 曹 佳棟, 劉 沢铖, 石川 健治, 竹田 圭吾, 近藤 博基, 関根 誠, 天野 浩, 堀 勝

    第30回 プラズマプロセシング研究会 (SPP-30) 

     More details

    Event date: 2013.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:アクトシティ浜松・研修交流センター   Country:Japan  

  1610. O(3Pj)とO2(1Δg)密度測定によるミドリカビ胞子の殺菌因子の評価 International conference

    橋爪 博司, 太田 貴之, 賈 鳳東, 竹田 圭吾, 石川 健治, 堀 勝, 伊藤 昌文

    第30回 プラズマプロセシング研究会 (SPP-30) 

     More details

    Event date: 2013.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:アクトシティ浜松・研修交流センター   Country:Japan  

  1611. プラズマ医療科学の推進

    堀 勝

    第4回プラズマ医療・健康産業シンポジウム 第13回応用物理学会・プラズマエレクトロニクス分科会・新領域研究会、文部科学省・新学術領域研究「プラズマ医療科学の創成」東京拠点会議 合同開催 

     More details

    Event date: 2012.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1612. Comprehensive study of atmospheric pressure plasma International conference

    M. Hori, K. Ishikawa, H. Kondo, K. Takeda, M. Tanaka, S. Makoto, T. Ohta, M. Ito,

    69th IUVSTA Workshop on Oxidation of Organic Materials by Excited Radicals Created in Non-equilibrium Gaseous Plasma  

     More details

    Event date: 2012.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Slovenia  

  1613. ドライエッチングの基礎―エッチング技術

    堀 勝

    ナノテク製造中核人材の養成プログラム『プラズマ技術と成膜技術』講義 

     More details

    Event date: 2012.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:産総研つくば中央第2事業所2-12棟2階第6会議室   Country:Japan  

  1614. Plasma Technology in Nagoya University International conference

    M. Hori

    SKKU-Nagoya University Nano-Bio Workshop 

     More details

    Event date: 2012.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  1615. 加熱触媒体により生成した水素ラジカルによるPMMA 系ポリマーの分解機構の解明

    能登雄佑、新井祐、高橋聖司、河野昭彦、石川健治、堀勝、

    平成 24 年度応用物理学会 北陸・信越支部 学術講演会 

     More details

    Event date: 2012.11

    Language:English   Presentation type:Poster presentation  

    Venue:富山県民会館(〒930-0006 富山県富山市新総曲輪4 番18 号)   Country:Japan  

  1616. Plasma-Biological Surface Interaction for Food Hygiene: Real-time in situ Electron Spin Resonance Measurements International conference

    K. Ishikawa, H. Mizuno, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Takeda,H. Kondo, M. Sekine, M. Hori

    34th International Symposium on Dry Process (DPS2012) 

     More details

    Event date: 2012.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1617. Highly selective etching of gap-fill dielectrics over SiC and SiN by the dc-bias superposed International conference

    T. Komuro, K. Takeda, K. Ishikawa, M. Sekine, Y. Ohya, H. Kondo,

    34th International Symposium on Dry Process (DPS2012) 

     More details

    Event date: 2012.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1618. Quantum chemical investigations for excitation dissociations of C5F8 and C5HF7 etching gases International conference

    T. Hayashi, K. Ishikawa, M. Sekine, M. Hori

    34th International Symposium on Dry Process (DPS2012) 

     More details

    Event date: 2012.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1619. A reduction of degradation on ArF photoresist by C5HF7 plasma etching and its mechanism International conference

    K. Asano, Y. Miyawaki, K. Ishikawa, M. Sekine, K. Takeda, A. Ito, H. Matsumoto, H. Kondo, M. Hori

    34th International Symposium on Dry Process (DPS2012) 

     More details

    Event date: 2012.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1620. Studies on Plasma Etching of Si3N4 in Capacitively Coupled Plasma employing C5HF7 International conference

    Y. Miyawaki, Y. Kondo, M. Sekine, K. Ishikawa, T. Hayashi, K. Takeda, A. Ito, H. Matsumoto, M. Hori

    34th International Symposium on Dry Process (DPS2012) 

     More details

    Event date: 2012.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1621. Nucleation mechanism of self-organized vertical nano-graphenes grown using inductively coupled plasma enhanced chemical vapor deposition International conference

    R. Tsukada, Y. Nihashi, M. Hiramatsu, H. Kondo, M. Hori

    34th International Symposium on Dry Process (DPS2012) 

     More details

    Event date: 2012.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1622. A High Temperature Plasma Etching of GaN and Its Reaction Mechanism International conference

    R. Kometani, S. Chen1, M. Liu, K. Ishikawa, H. Kondo, K. Takeda, T. Egawa, H. Amano, M. Sekine, M. Hori

    34th International Symposium on Dry Process (DPS2012) 

     More details

    Event date: 2012.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1623. Plasma surface modification of carbon nanowalls for biosensor application International conference

    M. Nagashima, T. Maeda, M. Hiramatsu, H. Watanabe, H. Kondo, M. Hori

    34th International Symposium on Dry Process (DPS2012) 

     More details

    Event date: 2012.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1624. An in-situ sequential H and N radical exposure process for recovery International conference

    H. Kano, K. Takeda, H. Kondo, M. Sekine, T. Egawa, H. Amano, M. Hori

    34th International Symposium on Dry Process (DPS2012) 

     More details

    Event date: 2012.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1625. Evaluation of gas-surface reaction dynamics during the plasmaless Si etching using NO/F2 gas mixture International conference

    S. Tajima, T. Hayashi, K. Ishikawa, M. Sekine, M. Hori

    34th International Symposium on Dry Process (DPS2012) 

     More details

    Event date: 2012.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1626. High performances of microcrystalline Si thin film formation for a solar cell by measurement and control of hydrogen radicals in the SiH4/H2 plasma International conference

    Y. Abe, A. Fukushima, Y. Lu, Y. Kim, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    34th International Symposium on Dry Process (DPS2012) 

     More details

    Event date: 2012.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1627. Photon-stimulated surface reaction and generation of damage to hydrogenated International conference

    M. Fukasawa, H. Matsugai, T. Honda, Y. Miyawaki, Y. Kondo, K. Takeda, H. Kondo,

    34th International Symposium on Dry Process (DPS2012) 

     More details

    Event date: 2012.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1628. プラズマの生成と制御・装置

    堀 勝

    第23回 プラズマエレクトロニクス講習会 

     More details

    Event date: 2012.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:東京大学 本郷(浅野)キャンパス 武田先端知ビル5F 「武田ホール」   Country:Japan  

  1629. Breakthrough Innovation Achieved by Plasma Science and Technology with Global International conference

    Masaru Hori

    The GRDC Symposium 2012 Green Science and Engineering for Health and Environment 

     More details

    Event date: 2012.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  1630. 大気圧・液中プラズマによる表面処理技術のイノベーション

    堀 勝

    平成24年度(第23回)表団協セミナー 

     More details

    Event date: 2012.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1631. Mechanism of Generating Ions and Radicals in Fluorocarbon Plasma Investigated by Reaction Model Analysis, International conference

    Y. Kondo, Y. Miyawaki, K. Takeda, H. Kondo, K. Ishikawa, T. Hayashi, M.

    59th AVS International Symposium and Exhibition 

     More details

    Event date: 2012.10 - 2012.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1632. Subsequent Temporal Change of Gaseous H and N Radical Density in Plasma after Different Processes International conference

    T. Suzuki, A. Malinowski, K. Takeda, H. Kondo, K. Ishikawa,Y. Setsuhara, M. Shiratani, M. Sekine, M. Hori,

    59th AVS International Symposium and Exhibition 

     More details

    Event date: 2012.10 - 2012.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1633. Real time In Situ Electron Spin Resonance (ESR) Study of Free Radicals on Materials Created by Plasmas, International conference

    K. Ishikawa, H. Horibe, M. Ito, M. Sekine, M. Hori,

    59th AVS International Symposium and Exhibition 

     More details

    Event date: 2012.10 - 2012.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:United States  

  1634. Interface Trap Generation by VUV/UV Radiation from Radiation from International conference

    M. Fukasawa,Y.Miyawaki, Y. Kondo, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, H. Matsugai, T. Honda, M. Minami, F. Uesawa, M. Hori, T. Tatsumi,

    59th AVS International Symposium and Exhibition 

     More details

    Event date: 2012.10 - 2012.11

    Language:English   Presentation type:Poster presentation  

    Country:United States  

  1635. Investigation of Plasma-Surface Interactions Between Hydrogen Radical and Chemically Amplified Photoresist, International conference

    A.Malinowski, M. Sekine, M. Hori, K. Ishikawa, H. Kondo, T. Takeuchi, T. Suzuki, A. Jakubowski, L. Lukasiak, D.Tomaszewski,

    59th AVS International Symposium and Exhibition 

     More details

    Event date: 2012.10 - 2012.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1636. Crystalline and Electrical Properties of Vertically-Laminated Carbon Nanowalls formed by Two-Step International conference

    H. Kondo, T. Kanda, M. Hiramatsu K. Ishikawa, M. Sekine, M. Hori,

    59th AVS International Symposium and Exhibition 

     More details

    Event date: 2012.10 - 2012.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1637. Control of Surface Properties on Plasma-Etched International conference

    R. Kometani, S. Chen, J. Park, J. Cao, Y. Lu, K.Ishikawa, K. Takeda, H. Kondo, H. Amano, M. Sekine, M. Hori

    59th AVS International Symposium and Exhibition Etched 

     More details

    Event date: 2012.10 - 2012.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1638. Evaluation of Surface Chemical Bonding State and Surface Roughness of Chemical Dry Etched Si using NO and F2 Gas Mixture, International conference

    S.Tajima, T. Hayashi, K. Ishikawa, M. Sekine, M. Hori

    59th AVS International Symposium and Exhibition 

     More details

    Event date: 2012.10 - 2012.11

    Language:English   Presentation type:Poster presentation  

    Country:United States  

  1639. プラズマが創る新しい高付加価値社会 International conference

    堀 勝

    第5回プラズマ技術産業応用センター(PLACIA)&プラズマが拓くものづくり研究会(PLAM)国際シンポジウム 

     More details

    Event date: 2012.10

    Language:Japanese   Presentation type:Oral presentation (keynote)  

    Venue:サイエンス交流プラザ 大会議室   Country:Japan  

  1640. プラズマ培養液による脳腫瘍細胞のアポトーシス誘導 International conference

    田中 宏昌,水野 正明,石川 健治,中村 香江,梶山 広明,加納 浩之,吉川 史隆,堀 勝

    第5回プラズマ技術産業応用センター(PLACIA)&プラズマが拓くものづくり研究会(PLAM)国際シンポジウム 

     More details

    Event date: 2012.10

    Language:Japanese   Presentation type:Poster presentation  

    Venue:サイエンス交流プラザ 大会議室   Country:Japan  

  1641. ミドリカビ胞子殺菌における活性酸素種の定量的解析 International conference

    橋爪 博司,太田 貴之,伊藤 昌文,賈 鳳東,竹田 圭吾,石川 健治,堀 勝

    第5回プラズマ技術産業応用センター(PLACIA)&プラズマが拓くものづくり研究会(PLAM)国際シンポジウム 

     More details

    Event date: 2012.10

    Language:Japanese   Presentation type:Poster presentation  

    Venue:サイエンス交流プラザ 大会議室   Country:Japan  

  1642. AC励起大気圧プラズマを用いた下水モニタリング装置の開発 International conference

    J. S. KULARATNE,加納 浩之,伊藤 昌文,太田 貴之,竹田 圭吾,石川 健治,近藤 博基,関根 誠,堀 勝

    第5回プラズマ技術産業応用センター(PLACIA)&プラズマが拓くものづくり研究会(PLAM)国際シンポジウム 

     More details

    Event date: 2012.10

    Language:Japanese   Presentation type:Poster presentation  

    Venue:サイエンス交流プラザ 大会議室   Country:Japan  

  1643. Measurement of activated species generated by 60 Hz excited atmospheric pressure Ar plasma in atmospheric gas International conference

    Keigo Takeda, Jerome Jolibois, Kenji Ishikawa, Hiromasa Tanaka, Hiroyuki Kano, Makoto Sekine, Masaru Hori

    65th Annual Gaseous Electronics Conference 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1644. Temperature measurement of substrate with a thin film using low-coherence interference International conference

    Takayoshi Tsutsumi, Takehiro Hiraoka, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, Masaru Hori

    65th Annual Gaseous Electronics Conference 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1645. Line profile and translational temperature of Pb and metastable He atoms at middle pressures in micro hollow cathode discharge International conference

    Mari Inoue, Takayuki Ohta, Masafumi Ito, Masaru Hori

    65th Annual Gaseous Electronics Conference 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1646. Fabrication of graphene-based films using remote plasma CVD International conference

    Mineo Hiramatsu, Ryosuke Tsukada, Yohei Kashima, Masateru Naito, Hiroki Kondo, Masaru Hori

    65th Annual Gaseous Electronics Conference 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1647. Healing Process of Plasma-damaged Gallium Nitride (GaN) International conference

    Kenji Ishikawa(invited),Shang Chen, Keigo Takeda, Hiroki Kondo, Makoto Sekine Masaru Hori

    the 1st International Conference on Emerging Advanced Nanomaterials (ICEAN) 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1648. いまさら聞けない「プラズマの基礎」 International conference

    堀 勝

     More details

    Event date: 2012.10

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1649. プラズマバイオ・医療

    永津 雅章

    畠山力三先生 退職記念公演会 

     More details

    Event date: 2012.10

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:ウエスティンホテル仙台   Country:Japan  

  1650. Study of the decomposition mechanism of PMMA-type polymers by hydrogen International conference

    Yu Arai, Yusuke Noto, Yousuke Goto, Seiji Takahashi, Akihiko Kono,

    7th International Conference on Hot-Wire Chemical Vapor Deposition (Cat-CVD),  

     More details

    Event date: 2012.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1651. Estimation of activation energies for decomposition reaction of polymer by hydrogen International conference

    Akihiko Kono, Yu Arai, Yousuke Goto, Seiji Takahashi, Kenji Ishikawa,

    7th International Conference on Hot-Wire Chemical Vapor Deposition (Cat-CVD),  

     More details

    Event date: 2012.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1652. Evaluation of Relationship between μC-Si Film Property and Flux Ratio of H Radicals to Film Precursors International conference

    A. Fukushima, Y. Abe, Y. Lu, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1653. Non-Contact Temperature Measurement of Sapphire Substrate for GaN using Frequency Domain Low Coherence Interferometry International conference

    Takayoshi Tsutsumi, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     More details

    Event date: 2012.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1654. Two-Step Supporting of High-Density Metal Nanoparticle Catalysts on Carbon Nanowalls using Supercritical Fluid International conference

    Takeyoshi Horibe, Hiroki Kondo, Hiroyuki Kano, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     More details

    Event date: 2012.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1655. Microcrystal Orientation of Silicon Thin Film Deposited with Hydrogen Radical Injection Plasma Enhanced CVD International conference

    Lu Ya, Astushi Fukushima, Yusuke Abe, Youn J. Kim, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     More details

    Event date: 2012.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1656. The Formation of the Insulating Film with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition by Hexamethyldisiloxan Mist International conference

    Kuangda Sun, Keigo Takeda, Hiroki Kondo, Satomi Tajima, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Hitoshi Itoh

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     More details

    Event date: 2012.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1657. Highly Selective Etching of Dielectrics over SiC Film by DC Superposed Dual-Frequency CCP International conference

    T. Komuro, K. Takeda, K. Ishikawa, M. Sekine, Y. Ohya, H. Kondo, M. Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     More details

    Event date: 2012.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1658. Mechanism and Control of Roughness Formation on ArF Photoresist by C5HF7 Etching Plasma International conference

    Kohei Asano, Yudai Miyawaki, Kenji Ishikawa, Makoto Sekine, Keigo Takeda, Azumi Ito, Hirokazu Matsumoto, Hiroki Kondo, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     More details

    Event date: 2012.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1659. Studies on Plasma Etching of Si3N4 Employing New Alternative Gas, C5HF7 International conference

    Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Azumi Ito, Hirokazu Matsumoto, M. Sekine, M. Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     More details

    Event date: 2012.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1660. Generation Mechanism of Ions and Radicals in CHxFy Etching Plasmas International conference

    Yusuke Kondo, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Toshio Hayashi, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     More details

    Event date: 2012.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1661. Change of GaAs Surface Properties by Irradiation of Cl2 Ions International conference

    Jongyun Park, Takuya Takeuchi, Jiadong Cao, Kenji Ishikawa, Yuichi Setsuhara, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     More details

    Event date: 2012.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1662. Observation of Plasma-Exposed GaN Surface at High Temperature International conference

    Ryosuke Kometani, Shang Chen, Yi Lu, David Cao, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Takashi Egawa, Horishi Amano, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     More details

    Event date: 2012.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1663. Recovery of Plasma-Damaged GaN by Employing Exposure of Radicals International conference

    Z. Liu, S. Chen, Y. Lu, R. Kometani, K. Ishikawa, Hiroyuki Kano, K. Takeda, H. Kondo, M. Sekine, T. Egawa, H. Amano, M. Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     More details

    Event date: 2012.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1664. Influence of Last Condition in Plasma Reactor on Gaseous Radical Density International conference

    Toshiya Suzuki, Arkadiusz Malinowski, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Yuichi Setsuhara, Masaharu Shiratani, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     More details

    Event date: 2012.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1665. Optical Properties of Carbon Nano Walls in Terahertz Frequencies Region International conference

    Takehiro Hiraoka, Keigo Takeda, Takayuki Ohta, Noboru Ebitsuka, Hiroki Kondo, Kenji Ishikawa, Kodo Kawase, Masafumi Ito, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     More details

    Event date: 2012.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1666. Research on Plasma Etching of Gallium Based Compound Semiconductor International conference

    J. Cao, R. Kometani, J. Park, Y. Lu, S. Chen, K. Ishikawa, M. Sekine, M. Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     More details

    Event date: 2012.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1667. Low Temperature Deposition and Characteristics of GaN Thin Film by HF-PECVD International conference

    Youn Joon Kim, Yi Lu, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     More details

    Event date: 2012.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1668. Reconsideration on Effects of H Radicals on Thin Film Surfaces in Plasma Enhanced Chemical Vapor Deposition employing SiH4/H2 Gases International conference

    Masaru Hori, Yusuke Abe, Atsushi Fukushima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Young Joon Kim, Makoto Sekine

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     More details

    Event date: 2012.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1669. Effects of Pulse Bias on Crystallographic Properties of Amorphous Carbon Films Grown by Plasma-enhanced Chemical Vapor Deposition International conference

    Jun Kuki, Leyong Yu, Hiroki Kondo, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     More details

    Event date: 2012.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1670. Surface Reaction of F2, NO, F, and FNO on Si during the Plasmaless Si Etching in NO/F2 Gas Mixture International conference

    Satomi Tajima, Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     More details

    Event date: 2012.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1671. Effect of Nitrogen Doping on Photoconductivity of Amorphous Carbon Films Grown by Radical-injection Plasma-enhanced Chemical Vapor Deposition International conference

    Leyong Yu, Jun Kuki, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     More details

    Event date: 2012.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1672. Effects of Carbon Nanowall Scaffold on HeLa Cell Culture International conference

    Hitoshi Watanabe, Hiroki Kondo, Yukihiro Okamoto, Makoto Sekine, Mineo Hiramatsu, Yoshinobu Baba, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     More details

    Event date: 2012.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1673. Effects of Hydroxyl Radical on Nanographene Surfaces in Carbon Nanowalls International conference

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     More details

    Event date: 2012.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1674. Absolute Density and Translational Temperature of Atomic Oxygen Radical in Surface Wave Oxygen Plasma with High Rare Gas Dilution International conference

    Keigo Takeda, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     More details

    Event date: 2012.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1675. Spectroscopic Determination of Radical Densities in SiH4/H2 Plasma International conference

    Yusuke Abe, Atsushi Fukushima, Ya Lu, Youn Joon Kim, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    the 11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials)  

     More details

    Event date: 2012.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1676. Edge Termination on Electrical Properties of Vertically-grown Graphene Sheets Employing N2/H2 Mixture Plasma International conference

    Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    2012 International Conference on Solid State Devices and Materials (SSDM 2012) 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1677. プラズマ気相・表界面反応科学の最前線 ~プラズマと有機・生体との相互作用の解明~

    堀 勝

    第60回レオロジー討論会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:名古屋大学東山キャンパス   Country:Japan  

  1678. Edge Termination on Electrical Properties of Vertically-grown Graphene Sheets Employing N2/H2 Mixture Plasma International conference

    Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    2012 International Conference on Solid State Devices and Materials (SSDM 2012) 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1679. Oxidative Inactivation Mechanism of Fungal Spores Employing Atmospheric-pressure Oxygen Radical Source International conference

    Takayuki Ohta, Hiroshi Hashizume, Masafumi Ito, Keigo Takeda, Kenji Ishikawa, Masaru Hori

    International Union of Materials Research Societies - Internet Conference on Electronic Materials 2012 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1680. Advanced Plasma Science and Technologies for Nanostructure Control of Carbon Nanomaterials International conference

    Hiroki Kondo, Mineo Hiramatsu, Masaru Hori

    International Union of Materials Research Societies - Internet Conference on Electronic Materials 2012 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1681. Effects of Hydrogen Peroxide Treatment on Nanostructures in Carbon Nanowalls International conference

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    International Union of Materials Research Societies - Internet Conference on Electronic Materials 2012 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1682. Field Electron Emitter Fabricated by Plasma Etching of Organic Material International conference

    Toshiya Suzuki, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Yuichi Setsuhara, Seiji Shiratani, Makoto Sekine, Masaru Hori

    International Union of Materials Research Societies - Internet Conference on Electronic Materials 2012 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1683. Investigation of Hydrogen Radical Kinetics during ArF 193nm Photoresist Processing for Ultimate CMOS Technology Development International conference

    Arkadiusz Malinowski, Makoto Sekine, Masaru Hori, Kenji Ishikawa, Hiroki Kondo, Takuya Takeuchi, Toshiya Suzuki, Andrzej Jakubowski, Lidia Lukasiak

    International Union of Materials Research Societies - Internet Conference on Electronic Materials 2012 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1684. Chemical dry etching of poly-Si and single crystal Si using NO and F2 gas mixture without using plasmas International conference

    Satomi Tajima, Kenji Ishikawa, Toshio Hayashi, Masaru Hori

    International Union of Materials Research Societies - Internet Conference on Electronic Materials 2012 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1685. In Situ XPS Analysis of ArF Photoresist Modification Caused by Fluorocarbon Ions and Radicals International conference

    T. Takeuchi, S. Amasaki, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    International Union of Materials Research Societies - Internet Conference on Electronic Materials 2012 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1686. Surface Roughness on Plasma-Etched Gallium Nitride (GaN) International conference

    Kenji Ishiwaka, Ryosuke Kometani, Shang Chen, Yi Lu, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    International Union of Materials Research Societies - Internet Conference on Electronic Materials 2012 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1687. Real-time / In-situ Electron Spin Resonance Analysis of Surface Reactions on Organic / Biological Materials Interacted with Plasmas International conference

    K. Ishikawa, H. Moriyama, N. Sumi, K. Tamiya, H. Hashizume, T. Ohta, M. Ito, A. Kono, H. Horibe, H. Tanaka, K. Takeda, H. Kondo, M. Sekine, and M. Hori

    International Union of Materials Research Societies - Internet Conference on Electronic Materials 2012 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1688. 水素ラジカルによるPMMA系ポリマーの分解反応

    新井 祐、能登 雄佑、鷲見 直也、高橋 聖司、河野 昭彦、石川 健治、堀 勝、堀邊 英夫

    第61回高分子討論会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋工業大学   Country:Japan  

  1689. Effects of Edge Termination on Crystalline and Electronic Structures of Carbon Nanowalls by Post-growth Nitrogen Plasma Treatment International conference

    Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, Masaru Hori

    Warsaw University of Technology POLAND 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Poland  

  1690. Nucleation control of self-organized vertical nano-graphenes using inductively coupled plasma enhanced chemical vapor deposition International conference

    Mineo Hiramatsu, Yuki Nihashi, Hiroki Kondo, Masaru Hori

    Warsaw University of Technology POLAND 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Poland  

  1691. Gradual transition of chemical structures at initial growth stage of carbon nanowalls International conference

    Hiroki Kondo, Koji Yasuda, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    Warsaw University of Technology POLAND 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Poland  

  1692. 「講演奨励賞受賞記念講演」有機材料エッチングによる電界電子放出ナノピラーの作成(II)

    鈴木俊哉(招待講演),Arkadiusz Malinowski,竹田圭吾,近藤博基,石川健治,節原裕一,白谷正治,関根 誠,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Japan  

  1693. Relationship between Ion Energy and Highly Selective SiO2 Etching Characteristics using C5HF7 Gas

    Yudai Miyawaki,Yusuke Kondo,Keigo Takeda,Hiroki Kondo,Ishikawa Kenji,Azumi Ito,Hirikazu Matsumoto,Makoto Sekine,Masaru Hori

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1694. Mechanism of Etching and Generating Active Species in CHxFy Plasma

    Yusuke Kondo,Yudai Miyawaki,Keigo Takeda,Hiroki Kondo,Kenji Ishikawa,Toshio Hayashi,Makoto Sekine,Masaru Hori

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1695. Impact of Last Reactor Condition to Radical Density in Subsequent H2/N2 plasma and its Control

    Toshiya Suzuki,Arkadiusz Malinowski,Keigo Takeda,Hiroki Kondo,Kenji Ishikawa,Yuiti Setsuhara,Masaharu Shiratani,Makoto Sekine,Masaru Hori

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  1696. Properties of GaAs surface by ion irradiation of chlorine

    Jongyun Park,Takuya Takeuchi,Jiadong Cao,Kenji Ishikawa,Yuichi Setsuhara,Keigo Takeda,Hiroki Kondo,Makoto Sekine,Masaru Hori

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1697. Measurement of SiH3 Radicals in SiH4/H2 Plasma for Silicon Thin-Film Solar Cells

    Yusuke Abe,Atsushi Fukushima,Ya Lu,Youn Joon Kim,Keigo Takeda,Hiroki Kondo,Kenji Ishikawa,Makoto Sekine,Masaru Hori

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1698. C5HF7ガスプラズマによるArFフォトレジストの表面ラフネス形成の低減機構

    浅野高平,宮脇雄大,竹田圭吾,近藤博基,石川健治,伊東安曇,松本裕一,関根 誠,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Japan  

  1699. 高温における窒化ガリウム(GaN)のエッチング機構(3)

    米谷亮祐,陳  尚,曹 佳棟,Michael Liu,石川健冶,竹田圭吾,近藤博基,関根 誠,江川孝志,天野 浩,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Japan  

  1700. 二周波容量結合型プラズマへのDCバイアス重畳によるSiC膜に対する高選択比絶縁膜エッチング

    古室達也,竹田圭吾,石川健治,関根 誠,大矢欣伸,近藤博基,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Japan  

  1701. GaNにおけるプラズマダメージのラジカル修復(3)

    Zecheng Liu,陳  尚,盧  翌,米谷亮祐,石川健治,加納浩之,竹田圭吾,近藤博基,関根 誠,江川孝志,堀  勝,天野 浩

    平成24年秋季第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Japan  

  1702. Ga系化合物半導体のプラズマエッチングに関する研究

    曹 佳棟,米谷亮祐,朴 鐘胤,盧  翌,陳  尚,石川健治,関根 誠,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Japan  

  1703. プラズマからの紫外線照射によるSiNx:H/Si界面準位生成

    深沢正永,松谷弘康,本多孝好,宮脇雄大,近藤祐介,竹田圭吾,近藤博基,石川健治,関根 誠,南 正樹,上澤史且,堀  勝,辰巳哲也

    平成24年秋季第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Japan  

  1704. C5F8および C5HF7の励起解離

    林 俊雄,石川健治,関根 誠,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Japan  

  1705. 酸素ラジカル照射によるミドリカビ胞子のラマンスペクトルの変化

    加藤寛人,橋爪博司,太田貴之,平松美根男,伊藤昌文,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Japan  

  1706. 活性酸素種の密度測定によるミドリカビ胞子殺菌の定量的評価の検討

    橋爪博司,太田貴之,伊藤昌文,賈 鳳東,竹田圭吾,石川健治,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Japan  

  1707. プラズマ培養液によるグリオーマ脳腫瘍細胞の選択的殺傷

    田中宏昌,水野正明,石川健治,中村香江,梶山広明,加納浩之,吉川史孝,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Japan  

  1708. 細胞培養に対するカーボンナノウォール足場の効果

    渡邊 均,近藤博基,岡本行広,関根 誠,平松美根男,堀  勝,馬場嘉信

    平成24年秋季第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Japan  

  1709. 超臨界流体を用いたカーボンナノウォール(CNWs)へのPt, Au微粒子の2段階担持における微粒子相互作用

    堀部剛良,近藤博基,加納浩之,石川健治,関根 誠,平松美根男,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Japan  

  1710. カーボンナノウォールの結晶構造に対するラジカル酸化効果

    下枝弘尚,近藤博基,石川健治,平松美根男,関根 誠,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Japan  

  1711. カーボンナノウォールのテラヘルツ時間領域分光計測による光学特性評価

    平岡丈弘,竹田圭吾,太田貴之,海老塚昇,近藤博基,石川健治,伊藤昌文,川瀬晃道,関根 誠,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Japan  

  1712. 窒素/水素混合ガスプラズマを用いたグラフェンエッジ化学修飾によるカーボンナノウォールの電気的特性制御

    趙 亨峻,近藤博基,石川健治,関根 誠,平松美根男,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Japan  

  1713. プラズマレスSiケミカルドライエッチング手法の開発(II)

    田嶋聡美,林 俊雄,石川健治,関根 誠,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Japan  

  1714. プリンタブルエレクトロニクスに向けた大気圧ミスト化学気相堆積技術の構築

    孫 昿達,竹田圭吾,近藤博基,田嶋聡美,石川健治,関根 誠,堀  勝,伊藤 仁

    平成24年秋季第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Japan  

  1715. プラズマ医療科学創成による未来医療の革新

    堀  勝 (招待講演)

    平成24年秋季第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Japan  

  1716. 半導体レーザ吸収分光法を用いたマイクロホローカソード放電中の原子の挙動解析

    井上真里,太田貴之,土谷茂樹,伊藤昌文,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Japan  

  1717. 窒化ガリウム(GaN)向けサファイア基板の周波数領域型低コヒーレンス干渉計による温度計

    堤 隆嘉,竹田圭吾,石川健治,近藤博基,太田貴之,伊藤昌文,関根 誠,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Japan  

  1718. Ni-Y触媒を用いたカーボンナノチューブ合成アークプラズマ中の微粒子の挙動

    安藤 睦,竹田圭吾,太田貴之,伊藤昌文,平松美根男,鈴木智子,井上 栄,安藤義則,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Japan  

  1719. Study on synthesis mechanisms of nano-graphene materials employing alcohol in-liquid plasma

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  1720. 水素ラジカル注入型プラズマ源を用いた微結晶シリコン成膜のRFパワーによる膜質変化

    陸  雅,福島敦史,阿部祐介,金 淵俊,竹田圭吾,石川健治,近藤博基,関根 誠,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Japan  

  1721. SiH4/H2プラズマ化学気相堆積法におけるHラジカル・成膜前駆体フラックス比とシリコン薄膜膜質の関係性

    福島敦史,阿部祐介,竹田圭吾,近藤博基,石川健治,関根 誠,堀  勝,陸  雅

    平成24年秋季第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Japan  

  1722. プラズマ励起化学気相堆積法で成長したアモルファスカーボン膜の膜構造に対するパルスバイアス印加効果

    九鬼 淳,于 楽泳,近藤博基,関根 誠,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Japan  

  1723. プラズマ異方性CVD による窒化レジスト上への硬質カーボン膜の製膜

    鳥越隆平,浦川達也,山下大輔,内田儀一郎,古賀一憲,白谷正治,節原裕一,関根 誠,堀  勝

    平成24年秋季第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛媛大学城北地区・松山大学文京キャンパス   Country:Japan  

  1724. Study on reaction mechanism of plasma-enhanced atomic layer deposition of SiO2 using in-situ ATR-FTIR

    Yi Lu,Akiko Kobayashi,Youn Joon Kim,Kenji Ishikawa,Hiroki Kond1,Makoto Sekine,Masaru Hori

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1725. Identification of ESR signals arisen from Penicillium digitatum spores-2

    Hiroko Mizuno,Hiroshi Hashizume,Hiromasa Tanaka,Kenji Ishikawa,Takayuki Ohta,Masafumi Ito,Keigo Takeda,Hiroki Kondo,Makoto Sekine,Masaru Hori

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1726. Vibrational Sum-Frequency-Generation (SFG) Spectroscopic study -2

    Kenji Ishikawa,Hiroko Mizuno,Hiromasa Tanaka,Keigo Takeda,Hiroki Kondo,Makoto Sekine,Masaru Hori

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1727. Measuring Metal Elements in Food Products Using Ar Atmospheric Pressure Plasma

    Jagath Kularatne,Hiroyuki Kano,Masafumi Ito,Takayuki Ohta,Keigo Takeda,Hiroki Kondo,Kenji Ishikawa,Makoto Sekine,Masaru Hori

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1728. Behaviors of activated species generated by ultrahigh density 60 Hz atmospheric pressure Ar plasma in atmospheric gas

    Keigo Takeda,Kenji Ishikawa,Hiromasa Tanaka,Hiroyuki Kano,Makoto Sekine,Masaru Hori

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1729. Effect of nitrogen doping on photoconductivity of amorphous carbon films grown by radical-injection plasma-enhanced chemical vapor deposition

    Leyong Yu,Jun Kuki,Hiroki Kondo,Kenji Ishikawa,Makoto Sekine,Masaru Hori

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1730. Investigation of modification of ArF photoresist during plasma etching processes International conference

    K.Takeuchi, M.Sekine, K.Ishikawa, H.Kondo, K.Takeda, M.Hori

    14th Annual TECHCON Conference Technology&Talent for the 21st Century 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1731. 未来をつくるプラズマ

    堀 勝

    テクノ・フェア名大2012-未来を明日に近づける技術- 

     More details

    Event date: 2012.8

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:名古屋大学豊田講堂   Country:Japan  

  1732. Atmospheric Pressure Plasma Processes and their Diagnostics for Life Sciences International conference

    Masasu Hori (Plenary), Masahiro Tanaka, Kenji Ishikawa, Hiroki Kondo, Keigo Takeda, Makoto Sekine, Fumitaka Kikkawa, Kae Nakamura, Moemi Hayashi, Hiroaki Kajiyama, Masaaki Mizuno, Hiroyuki Ohta, Masafumi Ito, Hiroyuki Kano

    The 2nd International Symposium for Plasma Biosciences 

     More details

    Event date: 2012.8

    Language:English   Presentation type:Oral presentation (keynote)  

    Country:Korea, Republic of  

  1733. Atmospheric Pressure Plasma Processes and their Diagnostics for Life Sciences International conference

    Masaru Hori

    2012 The 2nd International Symposium for Plasma Bioscience (SPB2012) 

     More details

    Event date: 2012.8

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  1734. High-speed synthesis and crystalinity control of nanographene using inliquid plasma in alcohol International conference

    M.Hori, H.Kondo, T.Hagino, K.Ishikawa, K.Takeda, H.Kano, M.Sekine

    XXI Europhysics Conference on the Atomic and Molecular Physics of Ionized Gases 

     More details

    Event date: 2012.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1735. Film properties of indium-zinc-oxide films using RF magnetron sputtering evaluated by optical diagnostics in gas phase International conference

    M.Inoue, M.Ito, T.Ohta, M.Hori,

    XXI Europhysics Conference on the Atomic and Molecular Physics of Ionized Gases 

     More details

    Event date: 2012.7

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

    Poster session, P1.4.11

  1736. Analysis of Photoresist Surface Modified by Fluorocarbon lons and Radicals International conference

    Makoto Sekine, Takuya Takeuchi, Shinpei Amasaki, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Toshio Hayashi and Masaru Hori

    International Conference on Plasma Science 

     More details

    Event date: 2012.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:United Kingdom  

  1737. Real time in situ Electron Spin Resonance (ESR) study of Surface Reaction on Polymer Interacted with Plasma International conference

    Masaru Hori, Kenji Ishikawa, Naoya Sumi, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, Akihiko Kono and Hideo Horibe

    International Conference on Plasma Science 

     More details

    Event date: 2012.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:United Kingdom  

  1738. Electron Spin Resonance (ESR) study of Radicals on Biological Organism Created by Interaction with Plasma International conference

    Kenji Ishikawa, Hiromasa Tanaka, Hiroko Moriyama, Sachiko Iseki, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, Masaru Hori, Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito and Hiroyuki Kano

    International Conference on Plasma Science 

     More details

    Event date: 2012.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:United Kingdom  

  1739. Inactivation Efficiencies of Reactive Oxygen Species on Spores by Atmospheric-Pressure O2/Ar Plasma Inactivation of Penicilium Degitatum International conference

    Hiroshi Hashizumi, Takayuki Ohta, Masafumi Ito, Fengdong Jia, Keigo Takeda, Kenji Ishikawa and Masaru Hori

    International Conference on Plasma Science 

     More details

    Event date: 2012.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:United Kingdom  

  1740. Advanced Plasma Science and Technology for Green and Life Innovations International conference

    M. Hori

    The 4th International Conference on Microelectronics and Plasma Technology 

     More details

    Event date: 2012.7

    Language:English   Presentation type:Oral presentation (keynote)  

    Country:Japan  

  1741. Critical Factors of Synthesis Rate and Crystallinity of Nanographene in Ultrahigh-Speed Nanographene Synthesis Employing Alcohol Plasma International conference

    H. Kondo, T. Hagino, K. Ishikawa, H. Kano, M. Sekine, M. Hori

    The 4th International Conference on Microelectronics and Plasma Technology 

     More details

    Event date: 2012.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1742. Edge and Surface Modification of Nanographenes in Carbon Nanowalls by Hydrogen Peroxide Treatments International conference

    H. Shimoeda, H. Kondo, K. Ishikawa, M. Hiramatsu, M. Sekine, M. Hori

    The 4th International Conference on Microelectronics and Plasma Technology 

     More details

    Event date: 2012.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1743. Selective Killing of Ovarian Cancer Cells through Induction of Apoptosis by a Nonequilibrium Atmospheric Pressure Plasma (NEAPP) International conference

    S. Iseki, K. Nakamura, M. Hayashi, H. Tanaka, H. Kondo, H. Kajiyama, H. Kano, F. Kikkawa, M. Hori

    4th International Conference on Plasma Medicine 

     More details

    Event date: 2012.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:France  

  1744. 次世代天文学観測装置用の新しい回折格子

    海老塚 昇,佐々木 実,青木 和光,Andrea Bianco,Filippo Maria Zerbi,関根 誠,石川 健治,近藤 博基,堀 勝

    第37回 光学シンポジウム  

     More details

    Event date: 2012.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京大学 生産技術研究所 An棟 コンベンションホール   Country:Japan  

  1745. The History and Future Challenge of Plasma Nanotechnology Center in Nagoya University International conference

    Masaru Hori

    The 15th Korea-Japan Workshop for Advanced Plasma Processing and Diagnostics (15th K-J Workshop)  

     More details

    Event date: 2012.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  1746. Selective killing of ovarian cancer cells through induction of apoptosis by nonequilibrium atmospheric pressure plasma International conference

    Tanaka Hiromasa, Sachiko Iseki, Kae Nakamura, Moemi Hayashi, Hiroki Kondo, Hiroaki Kajiyama, Hiroyuki Kano, Fumitaka Kikkawa, Masaru Hori

    The 15th Korea-Japan Workshop for Advanced Plasma Processing and Diagnostics (15th K-J Workshop)  

     More details

    Event date: 2012.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  1747. Reaction mechanism of plasma etching using hydrogen-containing fluorocarbon gas International conference

    Kondo yusuke, Yudai Miyawaki, Kenji Ishikawa, Toshio Hayashi, Makoto Sekine, Keigo Takeda, Hiroki Kondo and Masaru Hori

    The 15th Korea-Japan Workshop for Advanced Plasma Processing and Diagnostics (15th K-J Workshop)  

     More details

    Event date: 2012.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1748. High Resolution Temperature Monitoring System of Semiconductor Substrate Using Fourier Domain Low Coherence Interferometer, S02 International conference

    T. Tsutsumi, M. Hori, M. Sekine, K. Ishikawa, K. Takeda, H. Kondo, T. Ohta and M. Ito

    The 15th Korea-Japan workshop for Advanced Plasma Process and Diagnostics 

     More details

    Event date: 2012.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1749. Selective killing of ovarian cancer cells through induction of apoptosis by nonequilibrium atmospheric pressure plasma, P10 International conference

    H. Tanaka, S. Iseki, K. Nakamura, M. Hayashi, H. Kondo, H. Kajiyama, H. Kano, F. Kikkawa and M. Hori

    The 15th Korea-Japan workshop for Advanced Plasma Process and Diagnostics 

     More details

    Event date: 2012.6

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  1750. High Resolution Temperature Monitoring System of Semiconductor Substrate Using Fourier Domain Low Coherence Interferometer, P21 International conference

    T. Tsutsumi, M. Hori, M. Sekine, K. Ishikawa, K. Takeda, H. Kondo, T. Ohta and M. Ito

    The 15th Korea-Japan workshop for Advanced Plasma Process and Diagnostics 

     More details

    Event date: 2012.6

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  1751. Selective killing of ovarian cancer cells through induction of apoptosis by nonequilibrium atmospheric pressure plasma International conference

    Hiromasa Tanaka, Sachiko Iseki, Kae Nakamura, Moemi Hayashi, Hiroki Kondo, Hiroaki Kajiyama, Hiroyuki Kano, Fumitaka Kikkawa, Masaru Hori

    The 15th Korea-Japan Workshop for Advanced Plasma Processing and Diagnostics (15th K-J Workshop)  

     More details

    Event date: 2012.6

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  1752. Reaction mechanism of plasma etching using hydrogen-containing fluorocarbon gas International conference

    Kondo yusuke, Yudai Miyawaki, Kenji Ishikawa, Toshio Hayashi, Makoto Sekine, Keigo Takeda, Hiroki Kondo and Masaru Hori

    The 15th Korea-Japan Workshop for Advanced Plasma Processing and Diagnostics (15th K-J Workshop)  

     More details

    Event date: 2012.6

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  1753. Formation of 10-nm Organic Pillars by Plasma Etch with Pt particle masks and their Field Emission Properties. International conference

    Makoto Sekine, Toshiya Suzuki, Keigo Takeda, Hiroki Kondoi, Kenji Ishikawa, Yuichi Setsuhara, Masaharu Shiratani, Masaru Hori

    The 15th Korea-Japan Workshop for Advanced Plasma Processing and Diagnostics (15th K-J Workshop)  

     More details

    Event date: 2012.6

    Language:English   Presentation type:Oral presentation (keynote)  

    Country:Korea, Republic of  

  1754. Diagnostics of Atomic Oxygen in O2/Rare Gas Mixture Plasma with Vacuum Ultraviolet Laser Absorption Spectroscopy International conference

    K. Takeda, M. Hori

    5th international workshop on plasma spectroscopy 

     More details

    Event date: 2012.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:France  

  1755. Measurement of the flux ratio of hydrogen atom to film precursor for microcrystalline silicon solar cell International conference

    Y. Abe, M. Hori, A. Fukushima, L. Ya, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine

    5th international workshop on plasma spectroscopy 

     More details

    Event date: 2012.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:France  

  1756. Oxidation Process of Penicillium digitatum Spores Using Atmospheric-pressure Plasma Observed by Fluorescent Microscopy, WW-1.5 International conference

    H. Hashizume, T. Ohta, S. Iseki, T. Mori, M. Ito and M. Hori

    2012 MRS Spring Meeting & Exhibit,  

     More details

    Event date: 2012.4

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1757. Selective Killing of Ovarian Cancer Cells through Induction of Apoptosis by a Nonequilibrium Atmospheric Pressure Plasma, WW-2.4 International conference

    H. Tanaka, S. Iseki, K. Nakamura, M. Hayashi, H. Kondo, H. Kajiyama, H. Kano, F. Kikkawa and M.Hori

    2012 MRS Spring Meeting & Exhibit,  

     More details

    Event date: 2012.4

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1758. Electron Spin Resonance (ESR) Observation of Radicals on Biological Organism Interacted with Plasmas, WW-2.6 International conference

    K. Ishikawa, S. Iseki, H. Moriyama, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Sekine and M. Hori

    2012 MRS Spring Meeting & Exhibit,  

     More details

    Event date: 2012.4

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1759. Terahertz Time-domain Spectroscopic Sensing of Penicillium Digitatum Inactivated by Non-equilibrium Atmospheric Pressure Plasmas, WW-7.3 International conference

    T. Hiraoka, N. Ebizka, K. Takeda, T. Ohta, K. Ishikawa, M. Ito, K. Kawase, M. Sekine, and M. Hori

    2012 MRS Spring Meeting & Exhibit,  

     More details

    Event date: 2012.4

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1760. XPS Studies on Plasma Interactions with Soft-materials as a Basis of Investigations on Fundamental Processes with Biomolecules in Plasma Medicine, WW-9.1 International conference

    Y. Setsuhara, K. Cho, K. Takenaka, M. Shiratani, M. Sekine, and M. Hori.

    2012 MRS Spring Meeting & Exhibit,  

     More details

    Event date: 2012.4

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1761. フッ素ラジカル密度計測システムの開発, T05

    東島康裕, 高島成剛, 竹田圭吾, 石川健治, 関根 誠, 堀 勝

    中部ナノテク総合支援: ナノ材料創製加工と先端機器分析 平成23年度成果報告会 

     More details

    Event date: 2012.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:自然科学研究機構岡崎コンファレンスセンター   Country:Japan  

  1762. AC励起大気圧非平衡O2/Arプラズマジェットの酸素原子空間密度分布, P05

    竹田圭吾, 加藤正規, 石川健治, 関根 誠, 堀 勝

    中部ナノテク総合支援: ナノ材料創製加工と先端機器分析 平成23年度成果報告会 

     More details

    Event date: 2012.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:自然科学研究機構岡崎コンファレンスセンター   Country:Japan  

  1763. 浮遊電極の一部がガス流路内にある誘導結合型マイクロプラズマ源

    佐藤龍仁,熊谷慎也,堀勝,佐々木実

    第59回応用物理学関係連合講演会 

     More details

    Event date: 2012.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学、東京都   Country:Japan  

  1764. Efficiency of Ground-state Atomic Oxygen for Inactivation of P. Digitatum Spores Evaluated by Atmospheric Pressure Oxygen Radical Source International conference

    H. Hashizume, T. Ohta, M. Ito, S. Iseki, F. Jia, K. Takeda, K. Ishikawa, and M. Hori

    5th International Conference on PLAsma-NanoTechnology & Science, P-19 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1765. Plasma-activated Medium Effectively Killed Glioma Cancer Cells International conference

    H. Tanaka, K. Nakamura, S. Iseki, H. Kajiyama, H. Moriyama, K. Ishikawa, H. Kano, F. Kikkawa, M. Mizuno, and M. Hori

    5th International Conference on PLAsma-NanoTechnology & Science, P-21 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1766. Behaviours of Reactive Oxygen Species Generated by an Ar/O2 Atmospheric Pressure Plasma Jet International conference

    F. Jia, K. Takeda, K. Ishikawa, H. Kano, J. Kularatne, H. Kondo, M. Sekine, and M. Hori

    5th International Conference on PLAsma-NanoTechnology & Science, P-22 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1767. Transportable Micro Plasma Light Source System International conference

    H. Matsuyama, S. Kumagai, K. Takeda, N. Ebizuka, M. Hori, and M. Sasaki

    5th International Conference on PLAsma-NanoTechnology & Science, P-25 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1768. Fabrication Methods for Volume Binary Grating and Novel Immersion Grating by means of MEMS Technologies International conference

    N. Ebizuka, M. Sasaki, W. Aoki, A. Bianco, F. Maria Zerbi, M. Sekine, K. Ishikawa, H. Kondo, and M. Hori

    5th International Conference on PLAsma-NanoTechnology & Science, P-26 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1769. ESR Signals Arisen from Penicillium Digitatum Spores International conference

    H. Moriyama, K. Ishikawa, K. Tamiya, H. Hashizume, T. Ohta, M. Ito, H. Tanaka, K. Takeda, H. Kondo, M. Sekine, and M. Hori

    5th International Conference on PLAsma-NanoTechnology & Science, P-20 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1770. Nonequiribrium Atmospheric Pressure Plasma (NEAPP): A Promising Tool for Medicine International conference

    H. Tanaka (Invited), S. Iseki, K. Nakamura, M. Hayashi, H. Kondo, H. Kajiyama, H. Kano, F. Kikkawa, M. Hori

    International Workshop on Plasma Science for Bio-Application 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1771. Relationship between silicon thin film property and flux ratio of H radical to silicon growth precursor in SiH4/H2 plasma CVD, 5p-A04OA International conference

    A. Fukushima, Y. Abe, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, and M. Hori

    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1772. Advanced plasma nano science and technology for synthesis of nano-graphenes International conference

    M. Hori (Keynote), M. Sekine, H. Kondo, K. Ishikawa, K. Takeda, M. Hiramatsu

    iPlasmaNano-III, K-5 

     More details

    Event date: 2012.2 - 2012.3

    Language:English   Presentation type:Oral presentation (keynote)  

    Country:Singapore  

  1773. Formation of 10-nm organic pillars by plasma etch with Pt particle masks and their field emission properties International conference

    M. Sekine (Invited), T. Suzuki, K. Takeda, H. Kondo, K. Ishikawa, Y. Setsuhara, M. Shiratani, M. Hori

    iPlasmaNano-III, I-22 

     More details

    Event date: 2012.2 - 2012.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Singapore  

  1774. Study of surface reaction in etching process International conference

    Masaru Hori(Invited), Makoto Sekine, Takuya Takeuchi, Sumie Segawa, Yuji Tsukamoto, Ikuo Sawada, Jozef Brcka, Yannick P Feurprier

    Future materials and process for nanotechnology 

     More details

    Event date: 2012.2

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1775. Surface Loss Probability of Hydrogen Radical on Silicon Thin Film in SiH4/H2 Plasma CVD, O06 International conference

    K. Takeda, Y. Abe, H. Kondo, K. Ishikawa, M. Sekine, and M. Hori

    The 8th EU-Japan Joint Symposium on Plasma Processing "Atomic and Molecular Database for Plasmas and Surfaces" 

     More details

    Event date: 2012.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1776. Challenge and Scope of Carbon Nanowalls for Green Plasma Innovation International conference

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics, The 2nd Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     More details

    Event date: 2012.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1777. Clarification of Si Oxidation Mechanism in O2 and Rare Gas Mixture Plasma with Plasma Diagnostics International conference

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics, The 2nd Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     More details

    Event date: 2012.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1778. Modification of ArF Photoresist Caused by Irradiation of Fluorocarbon Plasma-beam International conference

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics, The 2nd Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     More details

    Event date: 2012.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1779. Mechanism of Generating Ions and Radicals in C3F6O Plasma International conference

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics, The 2nd Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     More details

    Event date: 2012.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1780. Challenge and Scope of Carbon Nanowalls for Green Plasma Innovation International conference

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics, The 2nd Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     More details

    Event date: 2012.1

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1781. Clarification of Si Oxidation Mechanism in O2 and Rare Gas Mixture Plasma with Plasma Diagnostics International conference

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics, The 2nd Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     More details

    Event date: 2012.1

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1782. Modification of ArF Photoresist Caused by Irradiation of Fluorocarbon Plasma-beam International conference

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics, The 2nd Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     More details

    Event date: 2012.1

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1783. Mechanism of Generating Ions and Radicals in C3F6O Plasma International conference

    The 14th International Workshop on Advanced Plasma Processing and Diagnostics, The 2nd Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     More details

    Event date: 2012.1

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1784. Advanced Plasma Nanoprocesses for Controlled Synthesis and Functional Device Applications of Carbon Nanowalls

     More details

    Event date: 2011.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1785. Formation mechanism of super-hydrophilic surface properties of CNWs by atmospheric pressure plasma surface treatment

     More details

    Event date: 2011.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  1786. Low-temperature Two-step Growth of CarbonNanowalls

     More details

    Event date: 2011.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  1787. Formation and Photocatalytic Characteristics of Ultrahigh Density TiO2 Nanoparticles Supported on Carbon Nanowalls by Supercritical Fluid

     More details

    Event date: 2011.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  1788. Improvement of Polymer Electrode Fuel Cell PerformanceUsing Atmospheric-Pressure Plasma Processing to Gas Diffusion Layer International conference

    Masashi Suzuki , Eiji Murakami ,Keiichi Kawata, Keigo Takeda and Masaru Hori

     More details

    Event date: 2011.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1789. High Speed Surface Modification in Fine-Pitch Pakage Substrate Manufacturing Process with High Density 60Hz Noneequilibrium Atmospheric Pressure Plasma International conference

    Yoshiyuki Iwata,Hajime Sakamoto,Keigo Takeda,MasaruHori

    2011MRS Fall Meeting 

     More details

    Event date: 2011.11 - 2011.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1790. Electric and Crystallographic Structures of Carbon Nanowalls International conference

    HirokiKondo,HyungJunCho,TakayukiKanda,MasaruHori,MineoHiramatsu

    2011MRS Fall Meeting 

     More details

    Event date: 2011.11 - 2011.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1791. カーボンナノウォールの初期成長表面における化学構造の変化に関する研究

    安田幸司、近藤博基、平松美根男、関根誠、堀勝

     More details

    Event date: 2011.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:石川県立音楽堂   Country:Japan  

  1792. CH4/H2プラズマを用いて成長したカーボンナノウォールの結晶構造及び電気的特徴

    趙 亨峻、竹田圭吾、近藤博基、石川健治、関根誠、堀勝

     More details

    Event date: 2011.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:石川県立音楽堂   Country:Japan  

  1793. 低温大気圧プラズマとミドリカビ胞子の相互作用

    橋爪博司、太田貴之、森拓海、伊藤昌文、竹田圭吾、石川健治、堀勝

     More details

    Event date: 2011.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:石川県立音楽堂   Country:Japan  

  1794. 低温プラズマ異方性CVDを用いた微細とトレンチ上面への自己組織カーボンマスク形成

    古閑一憲、浦川達也、内田儀一朗、鎌滝晋礼、板垣奈穂、白谷正治、節原裕一、関根誠、堀勝

     More details

    Event date: 2011.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:石川県立音楽堂   Country:Japan  

  1795. 光干渉計を用いたプラズマプロセス中の非接触ウエア温度モニタリング

    太田貴之、堤隆嘉、伊藤昌文、堀勝

     More details

    Event date: 2011.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:石川県立音楽堂   Country:Japan  

  1796. O2/Ar非平衡大気圧プラズマにおける活動週の3次元気相反応解析

    加藤正規、竹田圭吾、石川健治、近藤博基、関根誠、堀勝

     More details

    Event date: 2011.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:石川県立音楽堂   Country:Japan  

  1797. 水素原子原付プラズマCVD装置を用いた炭素薄膜の異方性製膜に対する基板バイアスの影響

    浦河達也、松崎秀文、山下大輔、内田儀一朗、古閑一憲、白谷正治、節原裕一、関根誠、堀勝

     More details

    Event date: 2011.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:石川県立音楽堂   Country:Japan  

  1798. マイクロ波プラズマCVD法を用いた銅基板へのグラフェン膜の作製

    内藤全晃、平松美根男、堀勝

     More details

    Event date: 2011.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:石川県立音楽堂   Country:Japan  

  1799. 加熱触媒体により生成した原子状水素を用いたPMMA 系ポリマーの除去 International conference

    渡邉 誠、 新井 祐, 河野 昭彦, 鷲見 直也, 石川 健治, 堀 勝, 堀邊 英夫

    平成23年度応用物理学会北陸・信越支部学術講演会 

     More details

    Event date: 2011.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:金沢歌劇座   Country:Japan  

  1800. コンビナトリアルによるプラズマ科学の構築と有機材料の超微細加工への展開

    堀勝(特別講演)

    第52回真空に関する連合講演会 

     More details

    Event date: 2011.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:学習院創立百周年記念会館   Country:Japan  

  1801. Evalutaion of Penicillium digitatum sterilization using non-equilibrium atmospheric pressure plasma by terahertz time-domain spectroscopy International conference

    T.Hiraoka,N.Ebizuka,K.Takeda,T.Ohta,H.Kondo,K.Ishikawa,K.Kawase,M.Ito,M.Sekine,M.Hori

    64thAnnualGaseouselectronicsConference 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1802. Kinetics of metastable He atom middle pressure in micro hollow cathode discharge International conference

    M.Inoue,T.Ohta,T.Kanae,M.Ito,M.Hori

    64thAnnualGaseouselectronicsConference 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1803. Deposition profile control of carbon films on trenched substrate by simulataneous plasma CVD and plasma etching International conference

    M.Shiratani,T.Urakawa,D.Yamashita,K.Kamataki,N.Itagaki,G.Uchida,K.Koga,Y.Setsuhara,M.Sekine,M.Hori

    64thAnnualGaseouselectronicsConference, 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1804. Behavior of radicals in SiH4/H2 plasma for fabrication of solar cell using silicon thin film International conference

    Y.Abe,A.Fukushima,Y.Lu,K.Takeda,H.Kondo,K.Ishikawa,M.Sekine,M.Hori

    64thAnnualGaseouselectronicsConference 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1805. Study on modification process of photoresist by fluorocarbon and radicals International conference

    T.Takeuchi,S.Amasaki,K.Takeda,K.Ishikawa,H.Kondo,M.Sekine,M.Hori

    64thAnnualGaseouselectronicsConference 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1806. Mechanism of highly Selective SiO2 Etching over Si using New Alternative Gas,C5HF7 International conference

    Y.Miyawaki,K.Takeda,H.Kondo,K.Ishikawa,M.Sekine,M.Hori,A.Yamazaki,A.Ito,H.Matsumoto

    64thAnnualGaseouselectronicsConference 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1807. Quantum chemical inestigation for Chemical dry etching by flowing NF3 into H2 down flow plasma International conference

    T.Hayashi,K.Ishikawa,M.Sekine,M.Hori,A.Kono,K.Suu

    64thAnnualGaseouselectronicsConference 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1808. Plasma induced damage to InGaN single quantum well International conference

    S.Tomiya,M.Minami,K.Ishikawa,S.Izumi,M.Kanda,M.Fukusawa,A.Yamaguchi,M.Hori,T.Tatsumi

    ,DPS2011 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1809. High Performances of Si Oxidations Employing O2/Ar Surface Wave Excited Plasma and the Clarification of their Mechanisms with Plasma Diagnostics International conference

    K.Takeda,M.Hori

    DPS2011 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1810. Optical emission spectroscopy of Ar+H2+C7H8 discharges for anisotropic plasma CVD of carbon International conference

    T.Urakawa,H.Matsuzaki,D.Yamashita,G.Uchida,K.Koga,M.Shiratani,Y.Setushara,M.Sekine,M.Hori

    DPS2011, 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1811. Investigation of plasma interactions with organic semiconductors for fabrication of flexible electronics devices International conference

    K.Cho,K.Takenaka,Y.Setsuhara,M.Shiratani,M.Sekine,M.Hori

    DPS2011 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1812. Inhibition of roughness formation on 193 nm photoresist during C5HF7/O2/Ar plasma etching International conference

    K.Asano,H.Yamamoto,Y.Miyawaki,K.Takeda,H.Kondo,K.Ishikawa,A.Yamazaki,A.Ito,H.Matsumoto,M.Sekine,M.Hori

    DPS2011 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1813. Real-time/In-situ electron spin resonance analysis of surface reactions on organic materials with atomic hydrogen irradiation International conference

    N.Sumi,K.Ishikawa,A.Kono,H.HOribe,K.Takeda,H.Kondo,M.sekine,M.Hori

    DPS2011 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1814. Quantum chemical investigation for Si Chemical dry etching by flowing NF3 into N2 down flow plasma International conference

    T.Hayashi,K.Ishikawa,M.Sekine,M.Hori,A.Kono,K.Suu

    DPS2011 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1815. Clarification of Highly Selective SiO2 Etching Mechanisms using C5HF7Gas International conference

    Y.Miyawaki,Y.Kondo,K,Takeda,K.Ishikawa,A.Yamazaki,A.ito,H.Matsumoto,T.Hayashi,M.Sekine,M.Hori

    DPS2011, 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1816. Study on photoresist surface modification induced by fluorocarbon etch species International conference

    T.Takeuchi,S.Amasaki,K.Takeda,H.Kondo,K.Ishikawa,M.Sekine,M.Hori

    DPS2011 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1817. Investigation of reaction mechanism at sidewall of through Si via(TSV)etching induced by SF6/O2plasma International conference

    S.Amasaki,T.Takeuchi,K.Takeda,K.Ishikawa,H.Kondo,M.Sekine,M.Hori,N.Sakurai,H.Hayashi,I.Sakai,T.Ohiwa

    DPS2011 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1818. Modeling of C3F6O/Ar Plasma Chemical for SiO2 Etching Processes International conference

    Y.Kondo,Y.Miyawaki,K.Takeda,K.Ishikawa,H.Kondo,T.Hayashi,M.Sekine,M.Hori

    DPS2011 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1819. Spatial Distribution of Electron Density on DC-Superposed Dual-frequency Capacitively Coupled Plasma(DS-CCP) International conference

    T.Komuro,K.Takeda,K.Ishikawa,M.Sekine,Y.Ohya,H.Kondo,M.Hori

    DPS2011 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1820. Investigation of GaN Exposed to Plasma at High Temperature International conference

    R.Kometani,S.Chen.Y.Lu,K.Ishikawa,K.Takeda,H.Kondo,T.egawa,H.Amano,M.Sekine,M.Hori

    DPS2011 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1821. Relationship between aradicals in Gas Phase and film Property of Silicon Thin Films in SiH4/H2PlasmaCVD International conference

    A.Fukushima,Y.Abe,K.Takeda,H.Kondo,K.Ishikawa,M.Sekine,M.Hori

    ICTF-15 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1822. Formation of Ultrahigh Density TiO2 Nanoparticles Supporting on Carbon Nanowalls Employing Supercritical Fluid Chemical Fluid Deposition International conference

    T.Horibe,S.Mitsuguchi,M.Hiramatsu,H.Kondo,M.Sekine,M.Hori

    ICTF-15 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1823. Plasma Oxidation Behaviors of Zn Nano Films International conference

    K.Cho,K.Takenaka,Y.Setsuhara,M.Shiratani,M.Sekine,M.Hori

    ICTF-15 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1824. PlasmaNanoSience International conference

    M.Hori

    VTT 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Finland  

  1825. PlasmaProcessTechnologyAndScience International conference

    M.Hori

    VTT 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Finland  

  1826. High Performance of 60-Hz Atmospheric Pressure Plasma: Basic Characteristics and Applications International conference

    F.Jia,K.Takeda,K.Ishikawa,H.Inui,S.Iseki,H.Kano,H.Kondo,M.Sekine,M.Hori

    AVS58thINternationalSymposium&Exhibition 

     More details

    Event date: 2011.10 - 2011.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1827. Room Temperature Radical Annealing of Plasma Damaged International conference

    S.Chen,Y.Lu,K.Takeda,K.Ishikawa,H.Kondo,H.Kano,H.Amano,Y.Tokuda,T.Egawa,M.sekine,M.Hori

    AVS58thINternationalSymposium&Exhibition,Nashville 

     More details

    Event date: 2011.10 - 2011.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1828. PolymerSurfaceModification:Real-time In Situ Electron Spin Resonance Study for Plasma Processes International conference

    K.Ishikawa,N.Sumi,A.Kono,H.Horibe,K.Takeda,H.Kondo,M.Sekine,M.Hori

    AVS58thINternationalSymposium&Exhibition 

     More details

    Event date: 2011.10 - 2011.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1829. SuppleandIntelligentialApproachtoSoftMaterialsForFlexibleElectronicsByAdvancedPLasma-NanoTechnology International conference

    M.Hori(Invited PlenaryTalk)

    TopicalWorkshopOnPlasma-nanoTechonology-GreenEnergyAndFlexibleNewMaterials 

     More details

    Event date: 2011.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  1830. SiH4/H2プ ラズマ化学気相堆積法における気相ラジカルとシリコン薄膜の膜質との関係性

    福島敦史、阿部佑介、竹田圭吾、近藤博基、石川健治、関根誠、堀勝

    第3回薄膜太陽電池セミナー 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  1831. アモルファスカーボン膜の工学的特性に対する成長温度の効果

    九鬼淳、近藤博基、関根誠、堀勝

    第3回薄膜太陽電池セミナー 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  1832. カーボンナノウォールの結晶構造に対する酸素ラジカル照射効果

    下枝弘尚、近藤弘尚、近藤博基、石川健治、平松美根男、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学   Country:Japan  

  1833. プラズマエレクトロニクスの現状と未来

    堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:名古屋大学   Country:Japan  

  1834. 非平衡大気圧H2/Arプラズマによる酸化銅還元メカニズム

    竹田圭吾、近藤博基、石川健治、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学   Country:Japan  

  1835. 光干渉計を用いた非接触ウエハ温度・膜厚計測

    大田貴之、堤隆嘉、伊藤昌文、平岡丈弘、竹田圭吾、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学   Country:Japan  

  1836. SiH4/H2プラズマにおける水素多事狩る表面損失確率

    阿部祐介、竹田圭吾、近藤博基、石川健治、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学   Country:Japan  

  1837. 真空紫外吸収分光法を持ちたマイクロ波プラズマ中のC原子の密度計測

    村田健一、内藤全晃、平松美根男、高島成剛、近藤博基、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学   Country:Japan  

  1838. Atom Behaivior of Ultrahigh Density 60 Hz Atmospheric Pressure Plasma under Ar ambient Conditions

    F.Jia,K.Takeda,K.Ishikawa,H.Kano.H.Kondo,M.Sekine,M.Hori

     More details

    Event date: 2011.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1839. 実時間・その場観察電子スピン共鳴(ESR)を用いた水素原子照射による有機薄膜表面処理メカニズムの解析

    鷲見直也、石川健治、河野昭彦、堀邊英夫、竹田圭吾、近藤博基、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学   Country:Japan  

  1840. Crystallographic Analysis of Amorphous Carbon Films Synthesized by Radical-injection Plasma-enhanced Chemical Vapor Deposition

    L.Yu,J.Kuki,K.Takeda,H.Kondo,K.Ishikawa,M.Sekine,M.Hori

     More details

    Event date: 2011.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1841. 次世代フレキシブルデバイスの作成プロセスに向けたプラズマ‐有機相互作用のナノ表面解析

    趙研、竹中弘裕、節原裕一、白田知正治、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学   Country:Japan  

  1842. 微結晶シリコン成膜における水素ラジカル注入型プラズマ源の特性

    陸雅、福島敦史、阿部祐介、竹田圭吾、石川健治、近藤博基、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学   Country:Japan  

  1843. 白金微粒子の側壁保護作用を用いた有機材料の超微細エッチング

    鈴木俊哉、竹田圭吾、近藤博基、石川健治、節原裕一、白谷正治、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学   Country:Japan  

  1844. C3F6/O2プラズマ中のイオン・ラジカルの生成機構

    近藤祐介、宮脇雄大、竹田圭吾、近藤博基、石川健治、林俊雄、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学   Country:Japan  

  1845. SF6/O2プラズマを用いたSiエッチング機構

    尼崎新平、竹内拓也、竹田圭吾、石川健治、近藤博基、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学   Country:Japan  

  1846. フルオロカーボン系エッチング種によるArFフォトレジスト表面改質過程の解明

    竹内拓也、尼崎新平、竹田圭吾、石川健治、近藤博基、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学   Country:Japan  

  1847. 酸素プラズマによるポーラスSiOCH膜の構造変化機構

    浅野高平、山本洋、竹田圭吾、近藤博基、石川健治、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学   Country:Japan  

  1848. アルモファスカーボン膜の結晶構造及び血管密度に対するRFバイアス印加効果

    九鬼淳、于楽泳、近藤博基、石川健治、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学   Country:Japan  

  1849. 超臨界流体を用いたカーボンナノウォール(CNWs)へのTiO2微粒子担持

    堀部剛良、ミツ口真司、加納浩司、近藤博基、石川健治、平松美根男、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学   Country:Japan  

  1850. 燃料電池応用に向けたカーボンナノウォールの作製

    ミツ口真司、堀部剛良、平松美根男、近藤博基、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学   Country:Japan  

  1851. カーボンナノウォール初期成長過程における結晶構造変化

    安田幸司、近藤博基、平松美根男、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学   Country:Japan  

  1852. CH4/H2プラズマを用いて成長したカーボンナノウォールにおける電気伝導度の温度依存性

    趙亨峻、竹田圭吾、近藤博基、石川健治、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学   Country:Japan  

  1853. 配列カーボンナノウォールの作製

    神田貴幸、近藤博基、山川晃司、竹田圭吾、石川健治、平松美根男、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学   Country:Japan  

  1854. 水素ラジカルによるGaN中プラズマ誘導欠陥の不活性化

    陳尚、盧翌、米谷亮祐、竹田圭吾、石川健治、近藤博基、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学   Country:Japan  

  1855. High Temprerature N2 Plasma Induced Stoichiometric Modification In Etched GaN Surface Using Angle-resolved XPS Depth Profiling Analysis

    Y.Lu,S.Chen,R.Kometani,K.Ishikawa,H.Kondo,K.Takeda,M.Sekine,T.Egawa,H.Amano,M.Hori

     More details

    Event date: 2011.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1856. In-situX線光電子分光法によるGaNをプラズマビームの相互作用解明

    米谷亮祐、陳尚、竹田圭吾、石川健治、近藤博基、関根誠、節原裕一、江川孝志、天野浩、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学   Country:Japan  

  1857. アルコール液中プラズマを用いたナノグラフェンの制御合成

    荻野達也、加納浩司、石川健治、竹田圭吾、近藤博基、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学   Country:Japan  

  1858. 大気圧プラズマ表面処理によるカーボンナノウォール表面の超親水・超撥水性出現機構

    渡邊均、近藤博基、関根誠、平松美根男、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学   Country:Japan  

  1859. ミドリカビのプラズマ殺菌における酸素ラジカルの効果

    橋爪博司、大田貴之、伊藤昌文、賈鳳東、竹田圭吾、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学   Country:Japan  

  1860. 橋爪博司、大田貴之、伊藤昌文、賈鳳東、竹田圭吾、堀勝

    平岡丈弘、海老塚昇、竹田圭吾、大田貴之、近藤博基、石川健治、川瀬晃道、伊藤昌文、関根誠、堀勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学   Country:Japan  

  1861. 先進プラズマナノ基盤技術の開発

    堀 勝

    東海広域ナノテクものづくりクラスター事業成果発表会 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Symposium, workshop panel (nominated)  

    Venue:トヨタテクノミュージアム 産業技術記念館大ホール   Country:Japan  

  1862. Fabrication of Carbon Nanowalls on Carbon Fiber Paper International conference

    S.Mitsuguchi,M.Hiramatsu,H.Kondo,M.Hori,H.Kano

    2011SSDM 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1863. Effect of H2 gas addition on Si Oxidation Process with Ar and O2 Mixture Surface Wave Plasma International conference

    K.Takeda,M.Hori

    2011SSDM 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1864. Effect of Hydrogen Radical Injection on Growth Property and Crystallinity of Microcrystalline Silicon Thin Film International conference

    Y.Abe,A.Fukushima,Y.Lu,K.Takeda,H.Kondo,K.Ishikawa,M.Sekine,M.Hori

    2011SSDM 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1865. Plasma-Induced Damage of GaN and Its Recovery by Atomic Hydrogens at a Room Temperature International conference

    Y.Lu,S.Chen,R.Kometani,K.Takeda,H.Kondo,T.Egawa,K.Ishikawa,H.Amano,M.Sekine,M.Hori

    2011SSDM 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1866. Field Emission Properties of 10-nm Pillars of Organic Fabricated by Pt particles and Plasma Etching International conference

    T.Suzuki,K.Takeda,H.Kondo,K.Ishikawa,Y.Setsuhara,M.Shiratani,M.Sekine,M.Hori

    2011SSDM 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1867. Ultrafast Synthesis of Nanographen Employing an Ultrahigh-density In-liquid Al-cohol Plasma International conference

    T.Hagino,H.Kondo,H.Kano,K.Ishikawa,M.Sekine,M.Hori

    2011SSDM 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1868. Crystallographic and Electrical Properties of Semiconducting Graphene Nanoribbon Grown Employing CH4/H2 plasma International conference

    H.J.Cho,K.Takeda,H.Kondo,K.Ishikawa,M.Sekine,M.Hori

    2011SSDM 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1869. In Situ Analysis of Plasma-Induced Modification on Porous SiOCH Films International conference

    H.Yamamoto,K.Asano,K.Ishikawa,K.Takeda,H.Kondo,M.Sekine,M.Hori

    2011SSDM 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1870. Carbon nanowalls: synthesis and application International conference

    M. Hiramatsu, H. Kondo, and M. Hori (Invited)

    IV International Conference on Surfaces, Materials and Vacuum and Specialist Meeting on Carbon (SMC2011) 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Mexico  

  1871. 大気圧プラズマ処理によるカーボンナノウォール表面の超親水・超撥水発生機構

    渡邊均、近藤博基、関根誠、堀勝、平松美根男

    表面技術協会第124回講演大会 

     More details

    Event date: 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  1872. がんばろう日本!がんばろう表面技術!特別講演会 大気圧プラズマ表面科学技術が拓く元気で,明るい日本の未来

    堀勝

    表面技術協会主催、第124回講演大会 

     More details

    Event date: 2011.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:名古屋大学   Country:Japan  

  1873. 次世代高密度プリント配線板技術のための非平衝大気圧プラズマの応用研究

    岩田義幸、坂本一、鷲見直也、竹田圭吾、堀勝

    表面技術協会第124回講演大会 

     More details

    Event date: 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  1874. Higly Spatial Mapping of Atomic Oxgen Dentisy in a 60-Hz Atmospheric Pressure Plasmas Using Clibrated two-Photon Laser-Induced Fluorescence International conference

    Fengdong Jia,Keigo Takeda,Kenji Ishikawa,Hiroyuki Kano,Hiroki Kondo,Makoto Sekine,Masaru Hori

    AEPSE2011 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Poster presentation  

    Country:China  

  1875. In situ ESR Masurements for revealing Plasma-Surface Interactions International conference

    KenjiIshikawa,NaoyaSumi,AkihikoKono,HideoHoribe,KeigoTakeda,HirokiKondo,MakotoSekine,MasaruHori

    AEPSE2011 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:China  

  1876. Modeling of C3F6O/Ar Plasma Chemistry for SiO2 Etching Processes International conference

    Yusuke Kondo,Yudai Miyawaki,Keigo Takeda,KenjiIshikawa,ToshioHayashi,

    AEPSE2011 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Poster presentation  

    Country:China  

  1877. 先進プラズマプロセスを用いた表面技術のイノベーション

    堀勝

    材料機能ドライプロセス部会および関西支部表面物性研究会 

     More details

    Event date: 2011.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:京都大学   Country:Japan  

  1878. プラズマナノ科学技術が拓く未来

    堀勝

    公益社団法人化学工学会第43回秋季大会 

     More details

    Event date: 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋工業大学   Country:Japan  

  1879. Development of radical kinetic behaivour investigation method and its application for sticking coefficient estimation International conference

    A.Malinowski,M.Hori,M.Sekine,K.Ishikawa,H.Kondo,K.Takeda

    ESSDERC2011 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Finland  

  1880. In-situ Analysis of Modification on Porous SiOCH During and After O2 Plasmas International conference

    K.Asano,H.Yamamoto,K.Ishikawa,K.Takeda,H.Kondo,M.Sekine,and M.Hori

    ADMETA Plus 2011 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1881. Analyses of cellular responses to Plasma-activated medium (PAM) and plasma-activated Ringer's lactate solution International conference

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Yasumasa Okazaki, Shinya Toyokuni, Fumitaka Kikkawa, Masaru Hori

    The 11th Anniversary Asian-European International Conference on Plasma Surface Engineering (AEPSE 2017)  

     More details

    Event date: 2011.9 - 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Korea   Country:Korea, Republic of  

  1882. Carbon nanowalls:synthesis and application International conference

    Mineo Hiramatsu,Hiroki Kondo,Masaru Hori(Invited)

    4th International conference on advanced plasma technologies, 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Slovenia  

  1883. Controlled Synthesis of Carbon Nanowalls for Functional Device Applications International conference

    Hiroki Kondo,Masaru Hori,Makoto Sekine,Mineo Hiramatsu(Invited)

    4th International conference on advanced plasma technologies, 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Slovenia  

  1884. Carbon protective layer on top surface of trench substrate using H-assisted plasma CVD International conference

    Masaru Shiratani,Tatsuya Urakawa,Giichiro Uchida,Kazunori Koga,Yuuichi Setsuhara,Makoto Sekine,Masaru Hori(Invited)

    4th International conference on advanced plasma technologies, 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Slovenia  

  1885. Systematical Measurement of Reactive Oxygen Species in Surface Wave Excited O2/Ar and O2/Kr Plasmas and Their Applications to Si Oxidation Processing International conference

    Masaru Hori, Keigo Takeda(Invited)

    4th International conference on advanced plasma technologies, 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Slovenia  

  1886. Mechanism of Highly Selective SiO2 Etching over Si2N4 using a Hydro-fluorocarbon Gas International conference

    Makoto Sekine,Yudai Miyawaki,Yusuke Kondo,Keigo Takeda,Kenji Ishikawa,Toshio Hayashi,Masaru Hori(Invited)

    4th International conference on advanced plasma technologies, 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Slovenia  

  1887. Sticking coefficient of hydrogen radicals on ArF phtotoresist estimated by parallel plate structure in conjunction with numerical analysis International conference

    A.Malinowski,M.Sekine,M.Hori,K.Ishikawa,H.Kondo,T.Suzuki,T.Takeuchi,H.Yamamoto,A.Jakubowski,L.Lukasiak,d.Tomaszewski

    SISPAD2011 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1888. Growth of two-dimensional carbon nanostructures using plasma-enhanced chemical vapor deposition employing camphor International conference

    Y. Nihashi, T. Maeda, M. Hiramatsu, H. Kondo, and M. Hori

    22nd European Conference on Diamond, Diamond-Like Materials, Carbon Nanotubes and Nitrides (Diamond 2011) 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Germany  

  1889. Fabrication of carbon nanotubes from camphor using atmospheric pressure plasma-enhanced CVD International conference

    K. Murata, M. Hiramatsu, K. Yamakawa, H. Kondo, and M. Hori

    22nd European Conference on Diamond, Diamond-Like Materials, Carbon Nanotubes and Nitrides (Diamond 2011) 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Germany  

  1890. Growth of carbon nanowalls on carbon paper for fuel cell electrode International conference

    S. Mitsuguchi, Y. Nihashi M. Hiramatsu,H. Kondo, and M. Hori

    22nd European Conference on Diamond, Diamond-Like Materials, Carbon Nanotubes and Nitrides (Diamond 2011) 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Germany  

  1891. 浮遊電極を導入した平板型マイクロプラズマ光源

    松山弘樹,熊谷慎也,堀勝,佐々木実

    第72回応用物理学会学術講演会 

     More details

    Event date: 2011.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  1892. スパイラルコイル型マイクロプラズマ源に導入した浮遊電極の特性

    浅野博敬,熊谷慎也,堀勝,佐々木実

    第72回応用物理学会学術講演会 

     More details

    Event date: 2011.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  1893. 水素ラジカル注入型プラズマ源を用いた微結晶シリコン成膜における欠陥密度の抑制効果

    陸  雅,福島敦史,阿部祐介,竹田圭吾,石川健治,近藤博基,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  1894. 10 GHzマイクロ波スロット励起大気圧プラズマにおけるプラズマ生成と活性種の挙動に関する研究

    加藤正規,竹田圭吾,石川健治,近藤博基,関根 誠,堀  勝,三好秀典,久保田雄介,伊藤 仁 

    第72回応用物理学会学術講演会 

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  1895. アルコール液中プラズマを用いたナノグラフェンの合成メカニズム

    萩野達也,加納浩之,石川健治,竹田圭吾,近藤博基,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  1896. モデリングによるC3F6O プラズマ絶縁膜エッチング機構の解明

    近藤祐介,宮脇雄大,竹田圭吾,石川健治,林 俊雄,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  1897. GaNにおけるプラズマダメージの水素ラジカル修復

    陳  尚 盧  翌,米谷亮祐,竹田圭吾,石川健治,近藤博基,加納浩之,徳田 豊 関根 誠,江川孝志,天野 浩,堀  勝

    第72回応用物理学会学術講演会 

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  1898. SF6/O2プラズマを用いたSiエッチング機構III

    尼崎新平,竹内拓也,竹田圭吾,石川健治,近藤博基,関根 誠,堀  勝,櫻井典子,林 久貴,酒井伊都子,大岩徳久

    第72回応用物理学会学術講演会  

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  1899. 高温エッチングにおける窒化ガリウム(GaN)の表面変性:角度分解X線光電子解析

    盧  翌,陳  尚,米谷亮祐,石川健治,近藤博基,竹田圭吾,関根 誠,江川孝志,天野 浩,堀  勝

    第72回応用物理学会学術講演会  

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  1900. 高温における窒化ガリウム(GaN)のエッチング機構

    米谷亮祐,陳  尚,蘆  翌,竹田圭吾,石川健治,近藤博基,関根 誠,江川孝志,天野 浩,堀  勝

    第72回応用物理学会学術講演会  

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  1901. Time-dependent Radical Flux Analysis in ArF Photoresist Plasma Etching using Parallel Plate Structure

     More details

    Event date: 2011.8 - 2011.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1902. SiNx:H膜エッチングに及ぼす紫外線の影響

    深沢正永,宮脇雄大,近藤祐介,竹田圭吾,石川健治,近藤博基,関根 誠,南 正樹,上澤史且,堀  勝,辰巳哲也

    第72回応用物理学会学術講演会  

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  1903. 超臨界流体を用いた酸化チタン微粒子のカーボン材料への担持

    堀部剛良,三ツ口真司,平松美根男,近藤博基,関根 誠,堀  勝

    第72回応用物理学会学術講演会  

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  1904. プラズマ励起気相堆積法で合成したアモルファスカーボン結晶構造解析

    于 楽泳,九鬼 淳,竹田圭吾,近藤博基,石川健治,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  1905. 薄膜シリコン太陽電池プラズマプロセスにおけ水素ラジカル表面反応

    阿部祐介,竹田圭吾,石川健治,近藤博基,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  1906. SiH4/H2プラズマ化学気相堆積法における気相ラジカルとシリコン薄膜結晶性の関係性

    福島敦史,阿部祐介,竹田圭吾,近藤博基,石川健治,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  1907. DCバイアス重畳二周波容量結合型プラズマの電子密度空間分布計測

    古室達也,竹田圭吾,石川健治,関根 誠,大矢欣伸 近藤博基,堀  勝

    第72回応用物理学会学術講演会 

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  1908. C5HF7ガスを用いたSiO2高選択エッチング実現の機構解明

    宮脇雄大,近藤祐介,竹田圭吾,石川健治,山崎敦代,伊東安曇,松本裕一,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  1909. C5HF7/O2/ArプラズマによるArfレジスト表面ラフネス発生抑制機構 (II)

    浅野高平,山本 洋,宮脇雄大,竹田圭吾,近藤博基,石川健治,関根 誠,堀  勝,山崎敦代,伊藤安曇,松本裕一

    第72回応用物理学会学術講演会 

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  1910. 有機材料の超微細エッチングにおける白金微粒子の側壁保護作用に関する研究 

    鈴木俊哉,竹田圭吾,近藤博基,石川健治,節原裕一,白谷正治,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  1911. プラズマプロセス中における有機薄膜表面反応の実時間・その場観察電子スピン共鳴(ESR)解析(2)

    鷲見直也,石川健治,河野昭彦,堀邊英夫,竹田圭吾,近藤博基,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  1912. Ar Flow Rate Dependence of Emission Intensity of Metal Atoms in Atmospheric Pressure Atomization Plasma

     More details

    Event date: 2011.8 - 2011.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1913. 高密度60Hz大気圧プラズマ生成酸素原子絶対密度の空間分布

    Fendong Jia,竹田圭吾,石川健治,加納浩之,近藤博基,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  1914. カビ胞子とプラズマの相互作用の電子スピン共鳴法による観察

    石川健治,井関紗千子,太田貴之,伊藤昌文,加納浩之,竹田圭吾,近藤博基,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  1915. フルオロカーボン系エッチング種によるArFフォトレジスト表面改質の解明 (2)

    竹内拓也,尼崎新平,竹田圭吾,石川健治,近藤博基,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  1916. カーボンナノウォールの初期成長過程における表面元素組成および化学結合状態の変化

    安田幸司,近藤博基,平松美根男,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  1917. カーボンナノウォールの配向成長

    神田貴幸,近藤博基,山川晃司,竹田圭吾,石川健冶,平松美根男,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  1918. プラズマ化学気相堆積法によって成長したカーボンナノウォールの結晶構造に対する酸素ガス添加効果

    下枝弘尚,近藤博基,石川健治,平松美根男,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  1919. 非平衡大気圧プラズマを用いたミドリカビ殺菌におけるテラヘルツ分光センシング

    平岡丈弘,海老塚昇,竹田圭吾,太田貴之,近藤博基,伊藤昌文,川瀬晃道,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  1920. プラズマ化学気相堆積法によって成長したカーボンナノウォールの微細構造解析

    近藤博基,下枝弘尚,石川健治,関根 誠,堀  勝,平松美根男

    第72回応用物理学会学術講演会 

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  1921. CH4/H2プラズマを用いて成長したカーボンナノウォールにおける電気的特性

    趙 亨峻,竹田圭吾,近藤博基,石川健治,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  1922. プラズマ励起化学気相堆積法で成長したアモルファスカーボン膜の欠陥密度に対するRFバイアス印加の効果

    九鬼 淳,于 楽泳,近藤博基,石川健治,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  1923. 大気圧プラズマ表面処理によるカーボンナノウォール表面の超親水性・超撥水性発現機構

    渡邊 均,近藤博基,平松美根男,関根 誠,堀  勝

    第72回応用物理学会学術講演会 

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  1924. Surface reaction of hydrogen radical on plasma enhanced chemical vapour deposition of silicon thins films International conference

    M.Hori

    ICPIG2011 Conference 

     More details

    Event date: 2011.8 - 2011.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1925. The role of plasmas in nano-fabrication International conference

    M.Hori

    ICPIG2011 Conference 

     More details

    Event date: 2011.8 - 2011.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:United Kingdom  

  1926. The High Density Atmospheric Plasma Process and Diagnostics for Bioscience International conference

    M.Hori

    The 1st International Symposium for Plasma Biosciences 

     More details

    Event date: 2011.8

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  1927. Plasma Nano-Technology(green energy and bio-technology) International conference

    M.Hori

    Advanced Plasma Technology for Green Energy and Biomedical Applications 

     More details

    Event date: 2011.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Thailand  

  1928. Plasma fundamentals and diagnostics International conference

    M.Hori

    Advanced Plasma Technology for Green Energy and Biomedical Applications 

     More details

    Event date: 2011.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Thailand  

  1929. Carbon nanowalls: growth mechanism and nucleation control International conference

    (Invited) M. Hiramatsu, H. Kondo, and M. Hori

    International Conference on Processing & Manufacturing of Advanced Materials (THERMEC'2011) 

     More details

    Event date: 2011.8

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Canada  

  1930. Radical density measurements in microwave plasma with carbon-containing gases used for carbon nanotube and nanocrystalline diamond film growth International conference

    M. Hiramatsu, H. Kondo, and M. Hori

    20th International Symposium on Plasma Chemistry 

     More details

    Event date: 2011.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  1931. Real-time Electron-Spin-Resonance Study of Plasma-Surface interaction International conference

    Kenji Ishikawa,Naoya Sumi,Akihiko Kono,Hideo Horibe,Keigo Takeda,Hiroki Kondo,Makoto Sekine,and Masaru Hori

    the 13 th international workshop on Advanced Plasma Processing and Diagnostics  

     More details

    Event date: 2011.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1932. Effects of Oxgen Radical Irradiation on Crystalline Structures of Carbon Nanowalls International conference

    Hironao Shimoeda,Hiroki Kondo,Kenji Ishikawa,Hiramatsu Mineo,Makoto Sekine,and Masaru Hori

    the 13 th international workshop on Advanced Plasma Processing and Diagnostics  

     More details

    Event date: 2011.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1933. Recovery of plasma-damaged GaN by atomic nitrogen hiydrogen International conference

    Shang Chen,Yi Lu,Ryousuke Kome,Konji,Ishikawa,Hiroki Kondo,Keigo Takeda,Hiroyuki Kano,Makoto Sekine and Masaru Hori

    the 13 th international workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2011.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1934. High performance and Reaction Mechanism for Decomposition and Fixation of Dry Etching exhaust Perfluoro-Compound Gases International conference

    Kei Hattori,Makoto Sekine and Masaru Hori

    the 13 th international workshop on Advanced Plasma Processing and Diagnostics  

     More details

    Event date: 2011.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  1935. Investigation of chemical bonding steates at interface of Zn/organic materials for analysis of early stage of inorganic/organic hybrid multi-layer formation

    Ken Cho,Kosuke Takenaka,Yuichi Setsuhara,Masaharu Shratani,Makoto Sekine,Masaru Hori

    The 24th Symposium on PlasmaSciencefor Materials(SPSM-4) 

     More details

    Event date: 2011.7

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1936. ラジカル注入型プラズマ化学気相堆積法を用いた高結晶性・低欠陥密度微結晶シリコン薄膜の作製

    第24回プラズマ材料科学シンポジウム(SPSM24) 

     More details

    Event date: 2011.7

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大阪大学   Country:Japan  

  1937. Electron-SpinResonance(ESR)Analyisis of Plasma-SurfaceInteraction

    Kenji Ishikawa,Naoya Sumi,Akihiko Kono,Hideo Horibe,Keigo Takeda,Hiroki Kondo,Makoto Sekine,Masaru Hori ,

    The 24th Symposium on PlasmaSciencefor Materials(SPSM-4) 

     More details

    Event date: 2011.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1938. Fabrication of Aligned Carbon Nanotube Films with Self-Assembled Conical Tips and their Electron Field Emission Properties

    Tomohiro Horaguchi,Mineo Hiramatsu,Masaru Hori

    The 24th Symposium on PlasmaSciencefor Materials(SPSM-4) 

     More details

    Event date: 2011.7

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1939. Growth of Carbon Nanowalls using Inductively Coupled Plasma-Enhanced Cheemical Vapor Deposition on Carbon Fiber Paper

    Shinji Mitsuguchi,Mineo Hiramatsu,Hiroki Kondo,Masaru Hori

    The 24th Symposium on PlasmaSciencefor Materials(SPSM-4) 

     More details

    Event date: 2011.7

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1940. Growth of Carbon Nanostructures Using Plasma-Enhanced Chemical Vapor Deposition Employing Camphor

    Yuki Nihashi,Mineo Hiramatsu,HirokiKondo,MasaruHori

    The 24th Symposium on PlasmaSciencefor Materials(SPSM-4) 

     More details

    Event date: 2011.7

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  1941. Chemical structures of initial growth surfaces of carbon nanowalls by angle-resolved X-ray photoelectron spectroscopy

    Koji Yasuda,Hiroki Kondo,MIneo Hiramatsu,Makoto Sekine,Masaru Hori

    The 24th Symposium on PlasmaSciencefor Materials(SPSM-4) 

     More details

    Event date: 2011.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1942. Fabrication of High-Crystallinity and low-Defect-Density Microcrystalline Silicon Thin Film Employing Radical-Injection Plasma-Enhanced Chemical Vapor Deposition

    Yusuke Abe,Sho Kawashima,Keigo Takeda,Hiroki Kondo,Kenji Ishikawa,Makoto Sekine,Masaru Hori

    The 24th Symposium on PlasmaSciencefor Materials(SPSM-4) 

     More details

    Event date: 2011.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1943. Chemical structures of initial growth surfaces of carbon nanowalls by angle-resolved X-ray photoelectron spectroscopy

    K. Yasuda, H. Kondo, M. Hiramatsu*, M. Sekine, and M. Hori,

     More details

    Event date: 2011.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1944. すばる望遠鏡および次世代巨大望遠鏡用の新しい回折格子

    海老塚昇、石川健治、近藤博基、堀勝、AndreaBianco,FilippoMariaZerbi

    2011年度光学シンポジウム 

     More details

    Event date: 2011.7

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京大学   Country:Japan  

  1945. Subnanometer-scale control of feature size and shape in organic low-k film etch International conference

    Toshiya. Suzuki, Shinji Mitsuguti, Arkadiusz Malinowski, Keigo Takeda, HirokiKondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    2011 ICMAP 

     More details

    Event date: 2011.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:China  

  1946. Growth processes and crystallographic properties of nanographenes synthesized employing in-liquid plasma International conference

    Hiroki Kondo, T. Hagino, K. Ishikawa, K. Takeda, H. Kano, M. Sekine, M. Hori

    ICMAP2011 

     More details

    Event date: 2011.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:China  

  1947. Global Innovations on Industries by Advanced Plasma Science and Technology International conference

    M.Hori

    International Conference on Materials For Advanced Technologies 

     More details

    Event date: 2011.6 - 2011.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Singapore  

  1948. リアルタイム計測によるラジカルと固体表面相互反応プロセスの体系化

    堀勝(招待講演)、関根誠、石川健治、近藤博基、竹田圭吾、河野昭彦、堀邊英夫

    第8回Cat-CVD研究会 

     More details

    Event date: 2011.6

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:金沢工業大学扇が丘キャンパス多目的ホール   Country:Japan  

  1949. 水素ラジカル照射による有機薄膜表面処理の実時間・その場観察電子スピン共鳴(ESR)解析

    鷲見直也、石川健治、河野昭彦、堀邊英夫、竹田圭吾、近藤博基、関根誠、堀勝

    第8回Cat-CDV研究会 

     More details

    Event date: 2011.6

    Language:Japanese   Presentation type:Poster presentation  

    Venue:金沢工業大学扇が丘キャンパス多目的ホール   Country:Japan  

  1950. 先端ナノ・マイクロプラズマ加工プロセッシング支援

    堀勝

    中部地区ナノテク総合支援:ナノ材料創成加工と先端機器分析 平成22年度成果報告 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋工業大学   Country:Japan  

  1951. 超高密度60Hz大気圧非平衡プラズマを用いた表面処理技術の開発

    竹田圭吾、近藤博基、石川健治、関根誠、堀 勝

    中部地区ナノテク総合支援:ナノ材料創成加工と先端機器分析 平成22年度成果報告 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋工業大学   Country:Japan  

  1952. 世界の潮流と我が国のプラズマ科学技術への提言

    堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:神奈川工科大学   Country:Japan  

  1953. プラズマCVDで製膜したトレンチ基板上の炭素系薄膜の製膜速度の主放電電力依存性

    浦川達也,野村卓也,松崎秀文,山下大輔,内田儀一郎,古閑一憲,白谷正治,節原裕一,関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  1954. プラズマ励起化学気相堆積法で成長したアモルファスカーボン膜の結晶構造に対するRFバイアス印加の効果

    近藤博基,木野徳重,石川健治,関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  1955. SF6/O2プラズマを用いたSiエッチング機構II

    尼崎新平,竹内拓也,竹田圭吾,石川健治,近藤博基,関根誠,堀勝,櫻井典子,林久貴,酒井伊都子,大岩徳久

    2011年春季 第58回応用物理学関係連合講演会 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  1956. エッチング代替ガスの解離過程

    林俊雄,石川健治,関根誠,堀勝,河野明廣,鄒弘綱

    2011年春季 第58回応用物理学関係連合講演会 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  1957. C3F6O代替ガスを用いた絶縁膜の高速エッチングとその機構解明(II)

    近藤祐介,宮脇雄大,竹田圭吾,石川健治,近藤博基,林俊雄,関根誠,岡本秀一,堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  1958. In-situ FTIRを用いたプラズマ曝露および大気曝露によるポーラスSiOCH low-k膜の化学組成変化解析

    山本洋,浅野高平,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  1959. フルオロカーボン系エッチング種によるArFフォトレジスト表面改質の解明

    竹内拓也,尼崎新平,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  1960. プラズマプロセス中における有機薄膜表面反応の実時間・その場観察電子スピン共鳴(ESR)解析

    鷲見直也,石川健治,河野昭彦,堀邊英夫,竹田圭吾,近藤博基,関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  1961. 低損傷GaNエッチングのための塩素プラズマビーム表面相互作用と角度分解XPSによるその場解析

    盧翌,陳尚,米谷亮祐,石川健治,近藤博基,竹田圭吾,関根誠,江川孝志,天野浩,節原裕一,堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  1962. 真空一貫プロセスによるGaNプラズマビームエッチング及び水素ラジカルダメージ層除去とその表界面反応機構の解明

    陳尚,盧翌,米谷亮祐,江川孝志,石川健治,近藤博基,加納浩之,徳田豊,関根誠,節原裕一,竹田圭吾,天野浩,堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  1963. アルコール気液プラズマを用いたナノグラフェンの合成

    萩野達也,乾裕俊,加納浩之,石川健治,竹田圭吾,近藤博基,関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  1964. アルコール気液プラズマ生成するナノカーボンの電子スピン共鳴法によるその場観察

    石川健治,萩野達也,鷲見直也,加納浩之,竹田圭吾,近藤博基,関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  1965. カーボンナノウォールの超親水化と機能性バイオテンプレートへの応用

    井関紗千子,堀勝,渡辺均,太田貴之,近藤博基,石川健治,竹田圭吾,関根誠,伊藤昌文

    2011年春季 第58回応用物理学関係連合講演会 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  1966. Deposition of carbon films on top surface of fine trenches at 100oC using a plasma anisotropic CVD method

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1967. Plasma Agriculture (V) - Fluorescence observation of spore of Penicillium digitatum during atmospheric pressure plasma treatment -

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1968. バイオ応用に向けた60Hz大気圧プラズマ源の生成酸素原子の空間分布

    賈鳳東,竹田圭吾,石川健治,乾裕俊,井関紗千子,加納浩之,近藤博基,関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  1969. Measuring Metal Elements in Wastewater Using Ar Atmospheric Pressure Plasma

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1970. プラズマを用いた無機/有機積層構造の形成初期過程における界面の化学結合状態分析

    趙研,節原裕一,竹中弘祐,白谷正治,関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  1971. カーボンナノウォールの初期成長過程におけるナノグラフェン核発生の観察

    安田幸司,近藤博基,平松美根男,関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  1972. 単一カーボンナノウォールの架橋成長制御およびその電気的特性評価

    神田貴幸,近藤博基,山川晃治,竹田圭吾,石川健冶,平松美根男,関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  1973. 先進プラズマプロセスが切り拓くグローバルイノベーションと新デバイスの期待

    堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:神奈川工科大学   Country:Japan  

  1974. 薄膜太陽電池製造装置開発に向けたSiH4/H2プラズマにおける水素ラジカル表面損失確率計測

    阿部祐介,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  1975. 非平衡大気圧プラズマにおける活性種の3次元気相反応解析

    加藤正規,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  1976. 吸収分光法を用いた炭素含有ガスによるマイクロ波プラズマ中のラジカルの計測

    村田健一,内藤全晃,平松美根男,高島成剛,近藤博基,堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  1977. レーザ吸収分光法を用いたマイクロホローカソード放電中の準安定He原子の挙動

    井上真里,太田貴之,家苗毅司,伊藤昌文,加納浩之,山川晃司,堀勝

    2011年春季 第58回応用物理学関係連合講演会 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  1978. 60Hz駆動超高密度非平衡大気圧プラズマ中の活性種の計測と表面処理への展開

    岩田義幸、堀勝、坂本一、乾裕俊、竹田圭吾

    表面技術協会第123回講演大会 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:関東学院大学金沢八景キャンパス   Country:Japan  

  1979. Synthesis of Nano-graphene by Plasma in Liquid Ethanol International conference

    T. Hagino, H. Kano, K. Ishikawa, K. Takeda, H. Kondo, M. Sekine, and M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1980. Investigation of Si Etch Reaction with F and O Radicals Using SF6/O2 Plasma International conference

    S. Amasaki, T. Takeuchi, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori, N. Sakurai, H. Hayashi, I. Sakai, and T. Ohiwa

    The 4th International Conference on PLAsma-Nano Technology & Science 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1981. Real-time/In-situ Electron-Spin-Resonance Analysis of Surface Reactions on Organic Materials in Plasma Processes International conference

    N. Sumi, K. Ishikawa, A. Kono, H. Horibe, K. Takeda, H. Kondo, M. Sekine,, and M.Hori

    The 4th International Conference on PLAsma-Nano Technology & Science 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1982. High Speed Surface Modification with AC Excited Ultra High Density Non-Equilibrium Atmospheric Pressure Plasma International conference

    M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  1983. Chemical Modeling of Etch Process Using C3F6O Alternative Gas International conference

    Y. Kondo, Y. Miyawaki, K. Takeda, K. Ishikawa, H. Kondo, T. Hayashi, H. Okamoto, M. Sekine, and M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1984. Control of Bridging Growth and Electrical properties of Single Carbon Nanowalls International conference

    T. Kanda, H. Kondo, K. Yamakawa, M. Hiramatsu, K. Takeda, K. Ishikawa, M. Sekine, and M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1985. Electrical and Chemical Structures of Nanographene Nucleated at Initial Growth Processes of Carbon Nanowalls International conference

    K. Yasuda, H. Kondo, M. Hiramatsu, M. Sekine, and M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1986. Improvement of Deposition Uniformity of Aligned Carbon Nanotube Films Using Microwave Plasma-enhanced CVD International conference

    M. Naito, K. Murata, M. Hiramatsu, and M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1987. Nucleation Control of Carbon Nanowalls Grown Using Inductively Coupled Plasmaenhanced CVD International conference

    Y. Nihashi, M. Hiramatsu, H. Kondo, and M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1988. Investigation of Surface Reactions in ArF Photoresist by Using Parallel Plate Structure in Conjunction with Numerical Analysis International conference

    A. Malinowski, M. Sekine, M. Hori, K. Ishikawa, H. Kondo, T. Suzuki, T. Takeuchi, H. Yamamoto, A. Jakubowski, and L. Lukasiak

    The 4th International Conference on PLAsma-Nano Technology & Science 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1989. Dissociations of Alternate Etching Gases in Reactive Plasma International conference

    T. Hayashi, K. Ishikawa, M. Sekine, M. Hori, A. Kono, and K. Suu

    The 4th International Conference on PLAsma-Nano Technology & Science 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1990. Diagnostics and Surface Reaction Analysis on RF Sputtering Process for Co Nanoparticles Formation International conference

    I-S Bae, K. Kuno, M. Ito, H. Kondo, M. Sekine, and M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1991. Surface Analysis of GaN Irradiated by Cl2 Plasma Beam International conference

    Y. Lu, S. Chen, R. Kometani, K. Ishikawa, H. Kondo, K. Takeda, M. Sekine, T. Egawa, H. Amano, Y. Setsuhara, and M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1992. Measurement of Atomic Oxygen in Ultrahigh Density 60 Hz Atmospheric Pressure Plasma by Two Photon Absorption Laser Induced Fluorescence International conference

    F. Jia, K. Takeda, K. Ishikawa, H. Inui, S. Iseki, H. Kano, H. Kondo, M. Sekine, and M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1993. Passivation of Plasma Damaged GaN with Hydrogen Radical Anneal International conference

    Sh. Chen, Y. Lu, R. Kometani, K. Takeda, K. Ishikawa, H. Kondo, H. Kano, Y. Tokuda, M. Sekine, Y. Setsuhara, T. Egawa, H. Amano, and M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1994. Temperature Measurement of Silicon Wafer Treated by Atmospheric Pressure Plasma Using Frequency Domain Low Coherence Interferometer International conference

    T. Tsutsumi, T. Ohta, M. Ito, and M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science, 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1995. HXPES Analysis of Ar+O2 Plasma Exposed Zn/PET Interface for Inorganic Layer Formation on Organic Materials International conference

    K. Cho, K. Takenaka, Y. Setsuhara, M. Shiratani, M. Sekine, and M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1996. Behavior of Atomic Species in the Multi-Micro Hollow Cathode Lamp Measured by Laser Absorption Spectroscopy International conference

    M. Inoue, T. Ohta, T. Kanae, N. Takota, M. Ito, H. Kano, K. Yamakawa, and M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1997. Gas Phase Reaction of Activated Species in O2/Ar Nonequilibrium Atmospheric Pressure Plasma International conference

    M. Kato, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, and M. Hori

    The 4th International Conference on PLAsma-Nano Technology & Science 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1998. In-situ FTIR Analysis of Porous Low-k Film Exposed to O2 Plasma

    K.Asano,H.yamamoto,K.Takeda,K.ishikawa,H.Kondo,M.sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  1999. Measurement of the Electron Temperature and Density of 60 Hz Nonequikibrium Atmospheric Pressure Plasma Jet by Laser Thomson Scattering

    F.Jia,N.Sumi,K.Ishikawa,H.Kano,H.Inui,K.Takeda,H.Kondo,M.Sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2000. Three Dimensional Distribution of Atomic Radical in Nonequilibrium Atmospheric Pressure Plasma

    M.kato,K.Takede,K.Ishikawa,H.Kondo,M.Skine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2001. High Resolution Measurement of Silicon Substrate Using frequency Domain Coherence Interferometer for Plasma

    T.Tsutsumi,T.Ohta,M.Ito,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2002. Behaviors of Pb Atom in the Multi-Micro Hollow Cathode Lamp Measured by Diode Laser Absorption Spectroscopy

    M.Inoue,T.Ohta,N.Takota,M.Ito,H.Kano,K.Yamakawa,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2003. Fundamental Research and Global Innovation on Plasma Nanoprocessing

    M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2004. Synthesis of Amorphous Carbon Films Employing radical-injection Plasma-Enhanced Chemical Vapor Deposition system for Solar Cell

    T.Kino,H.Kondo,K.ishikawa,M.sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2005. Crystallinity Control of Microcrystalline Silicon film Employing Hydrogen Radical-injection Plasma-enhanced Chemical Vapor Deposition

    S.Kawashima,Y.Abe,K.Takeda,K.ishikawa,H.Kondo,M.sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2006. Nitogen Radical Annealing recovery of Etch-Induced-Damage on GaN

    K.Ishikawa,S.Chen,R.Kometani,H.Kondo,K.Takeda,H.Kano,Y.Tokuda,M.Sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2007. Fluorescent Microscopy of Penicillium Digitatum in Plasma Inactivation using Non-equilibrium Atomospheric Pressure Plasma

    T.Mori,T.Ohta,M.Ito,S.Iseki,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2008. Effects of Irradiations with Ions and Photons in UV-VUV Regions on Nano-Surface of Polymers Exposed to Plasmas

    K.Cho,K.Takenaka,Y.Setsuhara,M.Shiratani,M.Sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2009. Investigation of Plasma-Polymer Interactions with Combinatorial Method

    K.Takenaka,K.cho,Y.Setsuhara,M.Shiratani,M.sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2010. Study of Etch Reaction with F and O radicals using SF6/O2 Plasma

    S.Amasaki,T.Takeuchi,K.takeda,K.ishikawa,H.Kondo,M.sekine,M.Hori,N.Sakurai,H.Hayashi,I.Sakai,T.Ohiwa

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2011. In situ XPS Analysis of Surface Modification on ArF Photoresist by Fluorocarbon Plasma Beams

    T.Takeuchi,S.Amasaki,K.Takeda,K.Ishikawa,H.Kondo,M.Sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2012. Sub-nm Scale Control of Etched-Profile-Fluctuations in Organic Low-k Film Etch

    T.Suzuki,K.Takeda,H.Kondo,K.Ishikawa,M.Sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2013. Surface Loss Probability of Hydrogen Radical in SiH4/H2Plasma

    Y.Abe,K.Takeda,K.Ishikawa,H.Kondo,M.Sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2014. SiO2 Plasma Etching Process Using Alternative Gas,C3F6O

    Y.Kondo,Y.Miyawaki,K.Takeda,K.Ishikawa,H.Kondo,T.hayashi,H.Okamoto,M.Sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2015. Deep Level Defect in GaN after Plasma Beam Etching

    S.Chen,R.Kometani,K.Ishikawa,H.Kondo,K.Takeda,H.Kano,Y.Tokuda,M.sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2016. C5HF7 Chemistry for Highly Selective Etch of SiO2 over Si

    Y.Miyawaki,Y.Kondo,H.yamamoto,K.Takeda,K.Ishikawa,A.Yamazaki,A.Ito,H.Matsumoto,M.Sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2017. Interaction of Gallium Nitride(GaN)Surface with chlorine Plasma Beams Analyzed by In-situ XPS

    R.Kometani,S.Chen,K.ishikawa,H.Kondo,K.takeda,M.Sekine,H.Amano,Y.Setsuhara,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2018. Development and Application of Electron Beam-Excited Plasma System using Hollow Cathode Electron Source

    K.Yamakawa,S.Den,S.Takahashi,H.Yamamoto,M.Hori,H.Kondo

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2019. Radical Flux Modeling and Analysis for Sticking Coefficient Evaluation

    Mailnowski,M.Hori,M.Sekine,K.Ishikawa,H.Kondo,H.yamamoto,T.Takeuchi,T.Suzuki,A.Jakubowski,L.Lukasiak

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2020. Plasma Nano-Technologies for Fabrications of VPH Grating,Quasi-Bragg Grating and Novel Immersion Grating

    N.Ebizuka,H.Kondo,K.Ishikawa,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2021. Behavior of metastable He Atom in the Multi-Micro Hollow Cathode Lamp Measured by Laser Absorption Spectroscopy

    M.Inoue,T.Ohta,T.kanae,M.Ito,K.Yamakawa,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2022. O Radical Measurement in Penicillum Digitatum Inactivation Using Non-equilibrium Atmospheric O2/Ar Plasma

    S.Iseki,T.Ohta,M.Ito,H.Kano,K.Takeda,H.Kondo,K.Ishikawa,M.Sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2023. Measurement of Optical Properties of Carbon-based Material Using Terahertz Time domain Spectroscopy

    T.Hiraoka,K.Takeda,,K.Ishikawa,H.Kondo,M.Ito,M.Sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2024. Effects of Argon Flow Rate on the Atomic Emission Process of Cu Solution in Atmospheric Pressure Plasma

    J.Kularatne,H.Kano,M.Ito,T.Ohta,K.Takeda,H.Kondo,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2025. Fabrication of Aligned Carbon Nanotube Films using Microwave Plasma-Enhanced CVD

    M.Naito,K.Murata,M.Hiramatsu,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2026. Affection of Hydrophilic and Hydrophobic of Carbon Nanowalla Using Plasma Surface Trearment

    H.Watanabe,K.Takeda,H.Kondo,M.Hiramatsu,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2027. High-Speed Synthesis of Nano-Graphene by In-liquid Plasma in Alcohol

    T.Hagino,H.Kano.K.Ishikawa,K.Takeda,H.Konodo,M.sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2028. Area-selective of Carbon Nanowalls by Using Catalyzed Substrate

    Y.Nihashi,M.Hiramatsu,H.Kondo,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2029. Determination of C Atom Density in Microwave Plasma with Carbon-Containing Gases by Vacuum Ultraviolet Absorption Spectroscopy

    K.Murata,M.Naito,M.Hiramatsu,S.Takashima,H.Kondo,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2030. Effects of Substrate Temperatures on Crystallographic Changes of Carbon Nanowalls Induced by radical Irradiation

    H.Shimoeda,H.Kondo,K.Taakeda,K.Ishikawa,M.Hiramatsu,M.Sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2031. STS Observation of Local Density of States on Growth Surface at an Initial Stage of Carbon Nanowall Synthesis

    K.Yasuda,H.Kondo,M.Hiramatsu,M.sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2032. Synthesis of Platinum Nanoparticles on Carbon Nanowall Surface by Supercritical Fluid Chemical Deposition

    S.Mitsuguchi,H.Kano,M.Hiramatsu,H.Kondo,M.Sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2033. Fabrication and Electrical Conductivity Measurements of Single Carbon Nanowall Bridging between Metal Eectrodes

    T.Kanda,K.Yamakawa,H.Kondo,M.Hiramatsu,M.Sekine,M.Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2034. Insight into plasma nano-interface with organic materials International conference

    Masaru Hori

    2nd International Workshop on Plasma Nano-Interfaces and Plasma Characterization 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Slovenia  

  2035. Gas phase and surface reactions of radicals in plasma-enhanced chemical vapor deposition processes for thin-film silicon solar cell International conference

    H.Kondo,M.Hori

    2nd International Workshop on Plasma nano-Interfaces and Plasma Characterization 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2036. Insight into plasma nano-interface with organic materials International conference

    M.Hori,K.Ishikawa,K.Takeda,S.Makoto

    2nd International Workshop on Plasma nano-Interfaces and Plasma Characterization 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Slovenia  

  2037. 地域クラスター間の連携によるグリーンイノベーションの創出に向けて

    堀勝

    地域イノベーションシンポジウム 

     More details

    Event date: 2011.2

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:メルパルク京都   Country:Japan  

  2038. プラズマプロセス中材料の表面反応の機構解析 International conference

    石川健治,竹内拓也,尼崎新平,浅野高平,山本洋,鈴木俊哉,竹田圭吾,近藤博基,関根誠,堀勝

    応用物理学会シリコンテクノロジー研究会第133 回 研究集会 

     More details

    Event date: 2011.2

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2039. Novel Gratings for Subaru Telescope, TMT and E-ELT International conference

    N. Ebizuka, K. Ishikawa, H. Kondo, M. Hori, et al.

     More details

    Event date: 2011.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2040. Main discharge dependence of deposition rate of plasma CVD carbon films deposited using H-assisted plasma CVD reactor International conference

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2011.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2041. Emission intensity measurements of Ar+H2+C7H8 plasmas using H-assisted plasma CVD International conference

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2011.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2042. Combinatorial Analyses of Plasma Materials Processing International conference

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2011.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2043. Synthesis and control of carbon nano walls for their applications to future functional devices International conference

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2011.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2044. Real-time Electron-Spin-Resonance Study of Plasma-Surface interaction International conference

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2011.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2045. Plasma Innovation towards Next Generation Green and Life Science and Technology International conference

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2011.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2046. Effect of reactive oxygen species on Penicillium digitatum inactivation International conference

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2011.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2047. Study of Terahertz Time Domain Spectroscopy for Biological Plasma Applications International conference

    The 12th International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2011.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2048. 半導体プラズマプロセス中の表面反応機構の解析

    石川健治,関根誠,堀勝

    第10回インテリジェントナノプロセス 

     More details

    Event date: 2010.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2049. 大気圧・室温プラズマの基礎・生成法と応用

    堀勝

    名古屋大学協力会第10回セミナー 

     More details

    Event date: 2010.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2050. In line Electron Spin Resonance Study of Plasma-Surface Interaction for plasma etching

     More details

    Event date: 2010.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2051. 大気圧プラズマを用いた不活性における真菌の観察

    太田貴之、伊藤昌文、堀勝

    第20回日本MRS学術シンポジウム 

     More details

    Event date: 2010.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2052. Combinatorial Analyses of Plasma Interactions with Organic Materials

     More details

    Event date: 2010.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2053. Effects of Photo-Irradiations in UV-VUV regions on Chemical Bonding States of Soft Materials Exposed to Plasmas

     More details

    Event date: 2010.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2054. Real-time electron-spin-resonance measurement of plasma induced surface intearctions

     More details

    Event date: 2010.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2055. Observation of nanographene nucleation on amorphous carbon films by STM/STS

     More details

    Event date: 2010.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2056. Controlled synthesis of amorphous carbon films by radical-injection plasma-enhanced chemical vapor deposition for solar cell

     More details

    Event date: 2010.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2057. Combinatorial plasma etching International conference

    13. M. Hori, M. Sekine, C. Moon, T. Suzuki, Y. Setsuhara, M. Shiratani(Invited)

    The Second International Symposium on Plasma Nanoscience (iPlasmaNano-II) 

     More details

    Event date: 2010.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Australia  

  2058. Plasma Induced Surface and/or Sub-Surface Interactions on Low-k Dielectrics International conference

    The Second International Symposium on Plasma Nanoscience (iPlasmaNano-II) 

     More details

    Event date: 2010.12

    Language:English   Presentation type:Oral presentation (invited, special)  

  2059. Control Synthesis and Device Applications of Carbon Nanowalls Grown by Plasma-enhanced Chemical Vapor Deposition International conference

    2010 KISE Fall Meetings & International Symposium 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (general)  

  2060. Control of Crystalline and Electronic Structures of Carbon Nanowalls for Their Device Applications International conference

    2010 IEEE Region 10 Conference (TENCON2010) 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2061. In line Electron Spin Resonance Study of Plasma-Surface Interations for Plasma Etching International conference

    The 3rd International Symposium of Plasma Center for Industrial Applications (PLACIA) & Plasma Application Monodzukuri(PLAM) on Activation of Manufacturing in Nagoya with Plasma Technology 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2062. C5HF7 Chemistry for Highly Selective Etch of SiO2 over SiN and Si International conference

    International Symposium on Dry Process, Tokyo Institute of Technology 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2063. Investigation of the Modified AeF Photoresist Surface during Fluorocarbon Plasma Etching Process International conference

    International Symposium on Dry Process, Tokyo Institute of Technology 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2064. Enhancement of Electron Field Emission Properties of Carbon Nanowalls by N2 Plasma International conference

    International Symposium on Dry Process, Tokyo Institute of Technology 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2065. Combinatiorial Analysis of Plasma-Polymer Interactions for Soft Material Processing International conference

    International Symposium on Dry Process, Tokyo Institute of Technology 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2066. Effect of Photo-Irradiations in VUV and UV Regions on Chemical Bonding States of Polymers during Plasma Exposure International conference

    International Symposium on Dry Process, Tokyo Institute of Technology 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2067. Investigation of Si Etch Reaction Induced by SF6/O2 Plasma International conference

    International Symposium on Dry Process, Tokyo Institute of Technology 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2068. Observation of 193-nm Photoresist Surface Exposed to Etching Plasma Employing C5HF7 Gas Chemistry International conference

    International Symposium on Dry Process, Tokyo Institute of Technology 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2069. Novel Plasma Processing System for Nano-bio and Nano-medicine International conference

    BIT's 1st Annual World Congress of NanoMedicine Conference (NanoMedicine-2010) 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (invited, special)  

  2070. Highly selective etching of SiOCH over SiC films by Dual Frequency CCP with DC bias superimposed to upper electrode International conference

    AVS 57th International Symposium and Exhibition 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

  2071. Mechanism of Highly Selective SiO2 Etching over Photoresist Using New Alternative Gas, C5HF7 International conference

    AVS 57th International Symposium and Exhibition 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

  2072. Plasma Surface Interactions for Low-k Material Etching International conference

    AVS 57th International Symposium and Exhibition 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (invited, special)  

  2073. Mechanism of Modification of in Si-O-Si Structure in Porous SiOCH Low-k Films by H2/N2 plasma International conference

    AVS 57th International Symposium and Exhibition 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

  2074. Polymer Surface Modification: Vibrational Sum Frequency Generation Study for Plasma Etching International conference

    AVS 57th International Symposium and Exhibition 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

  2075. High-speed growth and crystallinity control of microcrystalline silicon film employing hydrogen radical-injection plasma-enhanced chemical vapor deposition International conference

    Third International workshop on Thin Film Silicon Solar Cells 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2076. Dispersive Optical Elements for TMT International conference

    N. Ebizuka, K. Ishikawa, H. Kondo, M. Hori, Y. Hirahara, S. Sato and M. Iye

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2077. Growth of carbon nanowalls using inductively coupled plasma-enhanced chemical vapor deposition International conference

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

  2078. Analysis of gallium nitride (GaN) surface interacted with chlorine etching plasma beams International conference

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

  2079. Mechanism of Si Oxidation in H2/O2/Ar Surface Wave Plasma International conference

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

  2080. High growth rate of GaN homoepitaxy by molecular beam epitaxy using high density nitrogen radical source International conference

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

  2081. Analysis of GaN damage induced by Cl2/SiCl4/Ar plasma International conference

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

  2082. Inactivation mechanism of \textit{Penicillium digitatum} spores by atmospheric pressure argon plasma International conference

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

  2083. Density and translational temperature of Pb atom in the multi-Micro Hollow Cathode Lamp measured by diode laser absorption spectroscopy International conference

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

  2084. Plasma parameter measurements of Ar+H2+C7H8 plasmas in H-assisted plasma CVD reactor International conference

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

  2085. Dissociation channels of c-C$_{4}$F$_{8}$ to CF$_{2}$ radical in reactive plasma International conference

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

  2086. Atmospheric Pressure Micro Inductively Coupled Plasma Source with Floating Electrode International conference

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

  2087. Formation mechanism of indium-zinc-oxide film using RF magnetron sputtering based on optical diagnostics of gas phase International conference

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

  2088. Investigation of Plasma Interactions with Soft Materials via Combinatorial Plasma-Process Analyzer for Plasma Nano Processes International conference

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

  2089. Effects of Photoemissions in UV and VUV Regions on Nano-Surface Strucures of Soft Materials during Plasma Processes International conference

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

  2090. Study on modified surface layer of photoresist employing fluorocarbon ion beam and radicals International conference

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

  2091. Morphological control of carbon nanowalls by reactive ion etching International conference

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

  2092. Time dependence measurement of electron density and temperature of a 60 Hz nonequilibrium atmospheric pressure plasma by laser Thomson scattering International conference

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

  2093. In situ Electron Spin Resonance Study for Plasma-Surface interactions International conference

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

  2094. High resolution measurement of silicon wafer temperature using super-continuum light on optical low-coherence interferometry International conference

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

  2095. Crystallographic analyses of carbon nanowalls using synchrotron X-ray International conference

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

  2096. Measurement of surface loss probabilities of hydrogen radicals in plasma-enhanced Si CVD process for solar cell International conference

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

  2097. Modification of Si-O-Si Structure in Porous SiOCH Low-k Films with Ions, Radicals, and VUV Radiation in O2 Plasma International conference

    63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

  2098. Bridging Growth and Electrical Properties of Single Carbon Nanowall International conference

    International Conference on Solid State Devices and Materials 

     More details

    Event date: 2010.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2099. 3D TCAD Simulation Study of the Influence of Line-Width Roughness on FinFET Performance for the 22-nm technology node International conference

    The 10th Conference "Electron Technology ELTE 2010" 

     More details

    Event date: 2010.9

    Language:English   Presentation type:Oral presentation (general)  

  2100. ESR インライン計測による材料エッチング過程の観察

    石川健治,山崎聡,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2101. C3F6O代替ガスを用いた絶縁膜の高速エッチングとその機構解明

    近藤祐介,宮脇雄大,竹田圭吾,石川健治,近藤博基,林俊雄,関根誠,岡本秀一,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2102. プラズマCVD炭素薄膜の製膜形状の基板温度依存性

    野村卓矢,浦川達也,是永有輝,山下大輔,松崎秀文,古閑一憲,白谷正治,節原裕一,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2103. 水素ラジカル注入型プラズマ源を用いた微結晶シリコン薄膜の成膜

    川嶋翔,阿部祐介,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2104. Radical Transport Simulation under Roof on Substrate in Processing Plasma

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2105. 単一カーボンナノウォールの電気特性

    神田貴幸,山川晃司,竹田圭吾,石川健冶,近藤博基,平松美根男,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2106. シンクロトロンX線を用いたカーボンナノウオールの結晶構造の解析

    近藤博基,竹内和歌奈,廣沢一郎,木村滋,平松美根男,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2107. SF6/O2プラズマを用いたSiエッチング機構

    尼崎新平,竹内拓也,竹田圭吾,石川健治,近藤博基,関根誠,堀勝,櫻井典子,林久貴,酒井伊都子,大岩徳久

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2108. プラズマアグリカルチャー(IV) -プラズマ殺菌におけるミドリカビの蛍光観察-

    森拓海,太田貴之,伊藤昌文,井関紗千子,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2109. 非平衡大気圧プラズマによるミドリカビ殺菌速度の酸素ラジカル密度依存性

    井関紗千子,太田貴之,伊藤昌文,加納浩之,東島康裕,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2110. 非平衡大気圧プラズマにおける原子状ラジカルの挙動に関する研究

    加藤正規,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2111. 大気圧アトマイゼーションプラズマにおけるプラズマ長、Ar流量依存性

    Jagath Kularatne,加納浩之,太田貴之,伊藤昌文,竹田圭吾,近藤博基,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2112. Ar/O2/H2表面波プラズマを用いたSi酸化プロセスにおける基板バイアス印加効果

    竹田圭吾,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2113. 太陽電池用シリコン薄膜プラズマプロセスにおける水素原子表面損失確率(II)

    阿部祐介,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2114. Spatial distribution measurement of the electron temperature and density of 60 Hz nonequilibrium atmospheric pressure plasma by laser Thomson scattering

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2115. 狭帯域レーザ吸収分光法を用いたマイクロホロカソード放電中のPb原子密度と温度測定

    井上真里,太田貴之,田子多直樹,伊藤昌文,加納浩之,山川晃司,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2116. 周波数領域型低コヒーレンス干渉計を用いた高精度Si基板温度計測

    堤隆嘉,太田貴之1,伊藤昌文,平岡丈弘,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2117. MEMS化に適した大気圧プラズマ光源

    松山弘樹,熊谷慎也,堀勝,佐々木実

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2118. X線光電子分光によるプラズマーソフト材料相互作用の解析 ー UV-VUV領域の発光がナノ表面に及ぼす影響 ー

    趙研,竹中弘祐,節原裕一,白谷正治,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2119. コンビナトリアルプラズマプロセス解析装置を用いたプラズマ・ポリマー相互作用の解析(IV)

    竹中弘祐,趙研,節原裕一,白谷正治,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2120. 広帯域光源を用いた光ファイバ型キャビティリングダウン分光法の開発

    平岡丈弘,太田貴之,伊藤昌文,西澤典彦,東島康裕,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2121. 高密度窒素ラジカル源を用いたMBE 法による高速GaNホモ・エピタキシャル成長の実現

    河合洋次郎,本田善央,山口雅史,天野浩,陳尚,近藤博基,平松美根男,堀勝,加納浩之,山川晃司,田昭治

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2122. 高精度Cl2プラズマビームを用いたGaNエッチング表面反応の解明

    米谷亮祐,陳尚,竹田圭吾,石川健治,近藤博基,関根誠,江川孝志,節原裕一,天野浩,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2123. プラズマによるGaNエッチング損傷と反応機構の解析

    陳尚,米谷亮祐,竹田圭吾,石川健治,近藤博基,加納浩之,徳田豊,関根誠,節原裕一,江川孝志,天野浩,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2124. ラジカルが発生し易いエッチングガスと分子構造

    林俊雄,石川健治,関根誠,堀勝,河野明廣,鄒弘綱

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2125. ラジカル照射によるカーボンナノウォールの形態制御

    下枝弘尚,近藤博基,平松美根男,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2126. 和周波振動分光(SFG)によるポリフッ化ビニリデンの分極配向特性評価

    石川健治,河野昭彦,堀邊英夫,竹田圭吾,近藤博基,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2127. エタノールを用いた気液プラズマによるカーボンナノ材料の作製

    萩野達也,乾裕俊,加納浩之,石川建治,竹田圭吾,近藤博基,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2128. ホロカソード電子源を用いた電子ビーム励起プラズマプロセス装置の開発と機能性部材への応用

    堀勝,近藤博基,竹田圭吾,山川晃司,田昭治

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2129. リアルタイム電子スピン共鳴によるプラズマ誘起表面反応の解析

    鷲見直也,石川健冶,河野昭彦,堀邊英夫,竹田圭吾,近藤博基,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2130. O2プラズマ曝露によるポーラスSiOCH 膜へのダメージ発生メカニズム

    浅野高平,山本洋,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2131. H2/Ar大気圧プラズマによる酸化銅還元反応の検討

    乾裕俊,吉田直史,竹田圭吾,近藤博基,石川健治,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2132. 二周波容量結合型エッチングプラズマにおける上部電極へのDCバイアス印加効果(III)

    山口剛,竹田圭吾,輿水地塩,近藤博基,石川健治,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2133. サブナノメーター形状揺らぎ制御有機膜エッチングのための主要因子の解明

    鈴木俊哉,竹田圭吾,近藤博基,石川健治,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2134. C5HF7/O2/ArプラズマによるArFレジスト表面ラフネス発生抑制機構

    山本洋,宮脇雄大,竹田圭吾,石川健治,近藤博基,関根誠,堀勝,伊東安曇,松本裕一

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2135. ガスデザインに基づいたSiO2膜エッチングとその機構解明(III)

    宮脇雄大,近藤祐介,竹田圭吾,伊東安曇,松本裕一,近藤博基,石川健治,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2136. フルオロカーボンプラズマビームによるフォトレジスト表面改質層の解析

    竹内拓也,尼崎新平,竹田圭吾,石川健治,近藤博基,豊田浩孝,関根誠,堀勝,康松潤,沢田郁夫

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2137. カーボンナノウォールの成長に対する基板形状の効果

    渡邊均,近藤博基,石川健治,竹田圭吾,関根誠,堀勝,平松美根男

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2138. STM/STSによるカーボンナノウォールの核発生過程の観察

    安田幸司,山川晃司,近藤博基,平松美根男,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2139. アモルファスカーボン膜の結晶構造に対する成長温度の効果

    木野徳重,近藤博基,石川健治,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2140. N原子注入によるアモルファスカーボン膜の結晶性制御

    九鬼淳,木野徳重,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    2010年秋季 第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2141. Performance Estimation of Carbon Nanowall-based Field Effect Transistor by 3D Simulation Study International conference

    The 40th Solid-State Device Research Conference ESSDERC'2010 

     More details

    Event date: 2010.9

    Language:English   Presentation type:Oral presentation (general)  

  2142. Advanced plasma and nanotechnology related programs in Tokai Region Knowledge Cluster Initiative International conference

    The Plasma Convergence Technology Workshop 

     More details

    Event date: 2010.9

    Language:English   Presentation type:Oral presentation (invited, special)  

  2143. Plasma fundamental and processes for semiconductor, digital electronics and flexible electronics International conference

    Summer International Graduate School of Advanced Material Science and Engineering (AMSE) 

     More details

    Event date: 2010.8

    Language:English   Presentation type:Oral presentation (invited, special)  

  2144. プラズマ化学気相堆積法によるカーボンナノ材料合成の基礎と応用

    堀勝

    つくばナノテク拠点シンポジウム 

     More details

    Event date: 2010.8

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2145. Novel approach for the interpretation of etching characteristics based on internal parameters employing combinatorial plasma process International conference

    20th ESCAMPIG 

     More details

    Event date: 2010.7

    Language:English   Presentation type:Oral presentation (general)  

  2146. Modification of Si-O-Si Structure in Porous SiOCH Films by O2 plasma International conference

    The 11th International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2010.7

    Language:English   Presentation type:Oral presentation (general)  

  2147. Measurement of silicon substrate temperature by optical lowcoherenceinterferometry using Super-Continuum light International conference

    The 11th International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2010.7

    Language:English   Presentation type:Oral presentation (general)  

  2148. Control of hydrophilic and hydrophobic properties of carbon nanowalls using plasma surface treatment International conference

    The 11th International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2010.7

    Language:English   Presentation type:Oral presentation (general)  

  2149. Challenge towards Smart Plasma Nano-process Employing Integrated Monitoring International conference

    APCPST & SPSM 2010 

     More details

    Event date: 2010.7

    Language:English   Presentation type:Oral presentation (keynote)  

  2150. Growth mechanisms and controlled synthesis of vertical-standing graphen sheets by PECVD International conference

    62nd IUVSTA Workshop on PLASMA SYNTHESIS AND MODIFICATION OF NANOMATERIALS 

     More details

    Event date: 2010.6

    Language:English   Presentation type:Oral presentation (invited, special)  

  2151. Surface Treatment of CFRP by an ultrahigh density low-temperature atmospheric pressure plasma International conference

    SURFAIR 

     More details

    Event date: 2010.6

    Language:English   Presentation type:Oral presentation (general)  

  2152. Analyses of crystalline and electronic structures of carbon nanowalls using synchrotron radiation light International conference

    International Symposium on Technology Evolution for Silicon Nano-Electronics 

     More details

    Event date: 2010.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2153. Combinatorial plasma-process analysis for research and development of nano devices International conference

    International Symposium on Technology Evolution for Silicon Nano-Electronics 

     More details

    Event date: 2010.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2154. Controlled syntheses of carbon nanowalls for future electric device applications International conference

    International Symposium on Technology Evolution for Silicon Nano-Electronics 

     More details

    Event date: 2010.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2155. Bridging growth and electrical properties of single carbon nanowall International conference

    International Symposium on Technology Evolution for Silicon Nano-Electronics 

     More details

    Event date: 2010.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2156. Real-time monitoring of vertical-growth of nanographenes by spectroscopic ellipsometry International conference

    International Symposium on Technology Evolution for Silicon Nano-Electronics 

     More details

    Event date: 2010.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2157. Morphological modification of carbon nanowalls by oxygen plasma etching International conference

    International Symposium on Technology Evolution for Silicon Nano-Electronics 

     More details

    Event date: 2010.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2158. Control in optical properties of amorphous carbon films synthesized by plasma enhanced chemical vapor deposition for solar cell applications International conference

    International Symposium on Technology Evolution for Silicon Nano-Electronics 

     More details

    Event date: 2010.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2159. 多様な電磁波制御によって発展する先進 プラズマプロセスの現状と展望

    堀勝

    第1回日本電磁波エネルギー応用学会講演会-電磁波利用の最新技術- 

     More details

    Event date: 2010.5

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2160. プラズマナノ科学に基づいたカーボンナノウォールの合成と応用

    堀勝

    ナノ学会第8回大会 

     More details

    Event date: 2010.5

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2161. 超高密度60Hz大気圧非平衡プラズマの応用プロセス開発

    堀 勝、竹田圭吾

    中部地区ナノテク総合支援:ナノ材料創製加工と先端機器分析 平成21年度成果報告会 

     More details

    Event date: 2010.5

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2162. Controlled synthesis of carbon nanowalls for new functional devices International conference

    International Conference on Nanomaterials: Synthesis Characterization and Applications (ICN–2010) 

     More details

    Event date: 2010.4

    Language:English   Presentation type:Oral presentation (invited, special)  

  2163. Controlled synthesis of carbon nanowalls based on plasma nanoscience International conference

    The 3rd International Leader Workshop on Plasma Nanoscience and Nanotechnology 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (invited, special)  

  2164. Introduction to modeling of a transport mechanism in Single Carbon Nano Wall Field Effect Transistor based on Landauer-Buttiker formalism

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2165. ラジカル注入型PECVDを用いたカーボン膜のバイアス依存性

    木野徳重、近藤真悟、近藤博基、関根誠、堀勝

    2010年春季 第57回応用物理学関係連合講演会 

     More details

    Event date: 2010.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2166. イオン照射誘起深い準位欠陥の水素ラジカルによる不活性化

    陳尚,永江陽一,石川健治,中井雅文,加納浩之,竹田圭吾,近藤博基,徳田豊,関根誠,堀勝

    2010年春季 第57回応用物理学関係連合講演会 

     More details

    Event date: 2010.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2167. Investigation of VHF Capacitively Coupled Plasma with DC Bias for Low-k film International conference

    The 3rd International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2168. Quasi-Bragg grating with sub-wavelength particles International conference

    The 3rd International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2169. Hα emission intensity measurements of H2+Ar+C7H8 plasmas in H-assisted plasma CVD reactor International conference

    The 3rd International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2170. Dissociation channel of c-C4F8 to CF2 radical in reactive plasma International conference

    The 3rd International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2171. Synchrotron X-ray diffraction anlysis of carbon nanowalls synthesized by radical-injection plasma-enhanced chemical vapor deposition system International conference

    The 3rd International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2172. Investigation of influence of Single Carbon Nano Wall structure on Field Effect Transistor electrical parameters and characteristics International conference

    The 3rd International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2173. In-situ Observations of growth processes of carbon nanowalls using spectroscopic ellipsometry International conference

    The 3rd International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2174. Analysis of Plasma Interactions with Soft Materials Using Combinatorial Plasma Process Analyzer International conference

    The 3rd International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2175. XPS Analysis of Plasma-Polymer Interactions for Organic-Inorganic Hybrid Materials International conference

    The 3rd International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2176. Surface Loss Probabilities of H, N Radicals in Afterglow Plasma International conference

    The 3rd International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2177. Fabrication of carbon nanomaterials synthesized by plasma enhanced chemical vapor deposition for solar cell applications International conference

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2178. Low-Temperature Treatment Using High-Density Non-Equilibrium Atmospheric of Pressure Plasma International conference

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     More details

    Event date: 2010.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2179. Effect of Plasma Surface Treatments on Supporting of Platinum Nanoparticles to Graphite Materials in Supercritical Carbon Dioxide International conference

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2180. Effect of Ion Irradiation on Carbon Nanowalls Growth International conference

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2181. Initial Nucleation in Carbon Nnowalls Growth on Si and SiO2 Surface

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2182. Effect of Oxygen Etching on the Morphologies of Carbon Nanowalls International conference

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2183. Gas Flow Rate Ratio Denpendence of Deposition Profile of H-Assisited Plasma CVD Carbon Films in Trench Substrates International conference

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2184. Control of Hydrophilic and Hydrophobic Properties of Carbon Nanowall by Plasma Surface Treatment International conference

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2185. Measurement of Si Wafer Temperature with Metal Thin Film during Plasma Process Using Low-Coherence Interferometer International conference

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2186. Deep-Level Defect Passivation by High Density Hydrogen Radical Exposure on Ion Irradiated Si International conference

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2187. Analysis of ArF Photoresist Modified by Fluorocarbon Ion Bombardment International conference

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2188. Effect of CF Layer on Porous SiOCH Low-k Films During H2 or N2 Plasma Exposure International conference

    Effect of CF Layer on Porous SiOCH Low-k Films During H2 or N2 Plasma Exposure 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2189. SiO2 Cotact Hole Etch Mechanism Using Environment-Friendly New Gas, C5F7H International conference

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2190. Porous SiOCH Low-k Film Etch Process and its Surface Reactions Employing an Alternative Fluorocarbon Gas C5F10O International conference

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2191. Study for Damage in Porous SiOCH Film with Air Exposure After H2 or N2 Plasma Treatment International conference

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2192. Behavior of Rotational Temperature in Dual Frequency Capacitively Coupled Plasma International conference

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2193. Modeling of Radical Tranformation under `PAPE' Structure and Method of Estimation for Surface Loss Probabilities of Radicals International conference

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2194. Measurement of H Radical Density in H2/Ar Nonequilibrium Atmospheric Pressure Plasma International conference

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2195. Surface Loss Probabilities of H Atom on Various Silicon Thin Films International conference

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2196. Investigation of Formation Mechanism of Indium-Zinc-Oxide Film by RF Magnetron Sputtering International conference

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2197. Accurate Monitoring System for Silicon Wafer Temperature Using Super-Coniuum Light Source on Low-Conherence Interferometry International conference

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2198. Application Front of Advanced Plasma Science and Industry-Academia- Government Collaboration International conference

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2199. Radical Kinetics in N2-H2 Plasma Generated by Novel High Density Radical Source International conference

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2200. A Well-Established Compact Combinatorial Etching Process Employing Inductively Coupled H2/N2 Plasma International conference

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2201. Fundamental Research on Plasma Nanoprocessing International conference

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2202. Synthesis of Amorphous Carbon Films using Nonequilibrium Atmosperic-Pressure Plasma International conference

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2203. Siloxane Polymer Surface Modifications by Exposure of Plasma-Beams: A Vibrational Sum-Frequency Generation Spectroscopy (SFG) Study International conference

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2204. Atmospheric Pressure Plasma for Localized Material Deposotion on IR Sensor International conference

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2205. Combinatorial Analysis of Plasma Interactions with Soft Materials International conference

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2206. Hard X-ray Photoelectron Spectroscopy for Analysis of Plasma-Exposed Polymer Surface International conference

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2207. Diagnostics in High Pressure SiH4/H2 Plasma for Deposition of Microcrystalline Si International conference

    2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2208. プラズマプロセスの将来展望

    堀勝、 関根誠

    応用物理学会分科会シリコンテクノロジー第120回研究集会 

     More details

    Event date: 2010.2

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2209. 薄膜シリコン系材料成長時のプロセス診断

    堀勝

    プラズマ材料科学第153委員会 第95回研究会 

     More details

    Event date: 2010.2

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2210. 超高密度大気圧プラズマ技術による表面処理技術の開発~先進プラズマ科学技術による航空機産業のイノベーション~

    堀 勝

    CERCシンポジウム10 

     More details

    Event date: 2010.2

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2211. 大望遠鏡によ る高分散分光観測の展望

    海老塚 昇, 石川 健治, 近藤 博基, 堀 勝, 平原 靖大, 佐藤 修二, 家 正則

    "可視、近赤外、中間赤外の高分散素子の展望"研究集会 

     More details

    Event date: 2010.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2212. 傾斜プラズマを用いたプラズマーポリマー相互作用に関するコンビナトリアル解析

    節原裕一、趙研、竹中弘祐、白谷正治、関根誠、堀勝

    第27回プラズマプロセシング研究会 

     More details

    Event date: 2010.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2213. 低コヒーレンス干渉計を用いた半導体基盤における温度と膜厚の同時計測

    レーザー学会学術講演会第30回年次大会 

     More details

    Event date: 2010.2

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2214. カーボンナノウォールの親水・撥水に関する研究

    渡邊均, 伴野雅章, 平松美根男, 堀勝

    第27回プラズマプロセシング研究会 

     More details

    Event date: 2010.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2215. 集積モニタリングによる低圧

    堀勝、文昶盛、関根誠、竹田圭吾、節原裕一、白谷正治

    第27回プラズマプロセシング研究会 

     More details

    Event date: 2010.2

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2216. カーボンナノウォールの結晶構造に対するO2/Arプラズマエッチングの効果

    下枝弘尚、宮脇雄大、竹田圭吾、近藤博基、平松美根男、関根誠、堀勝

    第27回プラズマプロセシング研究会 

     More details

    Event date: 2010.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2217. 容量結合型VHFプラズマにおけるDC印加効果

    木村哲也、山口剛、竹田圭吾、久保田和宏、輿水地塩、関根誠、堀勝

    第27回プラズマプロセシング研究会 

     More details

    Event date: 2010.2

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  2218. 上部電極へDCバイアスを印加した二周波容量結合型プラズマの振る舞い

    山口剛、木村哲也、竹田圭吾、関根誠、輿水地塩、堀勝

    第27回プラズマプロセシング研究会 

     More details

    Event date: 2010.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2219. 「最先端モノづくり研究の世界拠点としての研究推進」「プラズマナノ工学研究センターの概要」

    堀勝

    平成21年度工学研究科懇話会―工学研究科附属センター群への期待と展望― 

     More details

    Event date: 2010.1

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2220. 大気圧プラズマの作成

    半導体技術交流会 

     More details

    Event date: 2010.1

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2221. Mechanism of plasma Oxidation Process International conference

    The 10th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop 

     More details

    Event date: 2010.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2222. Development of radical source and its application to GaN growth for LED International conference

     More details

    Event date: 2010.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2223. Plasma innovation towards next generation Green Technology International conference

    The 10th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop 

     More details

    Event date: 2010.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2224. Synthesis of μc-Si and diagnostics in a VHF capacitively coupled plasma employing SiH4/H2 International conference

    The 10th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop 

     More details

    Event date: 2010.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2225. Soft Materials Processing Technologies for Flexible Electronics International conference

    The 10th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop 

     More details

    Event date: 2010.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2226. Synthesis of carbon film employing radical injection PECVD International conference

    The 10th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop 

     More details

    Event date: 2010.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2227. 先進プラズマプロセスによるグラフェンマテリアルの開発

    堀 勝、竹内和歌奈、近藤博基、平松美根男

    平成21年度日本真空協会12月研究例会 

     More details

    Event date: 2009.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2228. ドライエッチングの基礎―エッチング技術

    堀 勝

    平成21年度実施カリキュラムー薄膜の作製と超微細加工― 

     More details

    Event date: 2009.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2229. Investigation of Plasma-Polymer Interactions Using Hard X-Ray Photoelectron Spectroscopy

     More details

    Event date: 2009.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2230. Pressure dependence of deposition profile of plasma CVD carbon films on trench substrates

    19th Symposium of MRS-Japan 

     More details

    Event date: 2009.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2231. Modeling considerations and performance estimation of Single Carbon Nano Wall based Field Effect Transistor by 3D TCAD simulation study International conference

    International conference 19th Symposium of MRS-Japan 

     More details

    Event date: 2009.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2232. O2/Arプラズマを用いたカーボンナノウォールのエッチングに関する研究

    下枝弘尚、宮脇雄大、竹田圭吾、近藤博基、平松美根男、関根誠、堀勝

    第19回日本MRS学術シンポジウム 

     More details

    Event date: 2009.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2233. Modeling considerations and performance estimation of Single Carbon Nano Wall based Field Effect Transistor by 3D TCAD simulation study

     More details

    Event date: 2009.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2234. Surface loss probability of H atom for plasma processes of silicon thin films

     More details

    Event date: 2009.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2235. Investigation of Wafer-temperature Change using Low-coherence Interferometer

     More details

    Event date: 2009.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2236. Effects of initial nuclei on carbon nanowalls density

     More details

    Event date: 2009.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2237. ポーラスSiOCH膜のH2プラズマ処理後の大気暴露の影響

    鈴木俊哉、山本洋、竹田圭吾、関根誠、堀 勝

    第19回日本MRS学術シンポジウム 

     More details

    Event date: 2009.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2238. Analysis of Plasma-Polymer Interaction with Combinatorial Plasma-Process Analyzer for Plasma Nano Processing of Soft Materials

     More details

    Event date: 2009.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2239. ラジカル注入型プラズマによるグラフェンナノシート成長

    堀 勝、近藤真悟、竹内和歌奈、竹田圭吾、平松美根男

    プラズマ核融合学会第26回年会 

     More details

    Event date: 2009.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2240. 先進プラズマ技術の産業応用

    堀 勝

    第2回プラズマ・ナノ技術研究会 

     More details

    Event date: 2009.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2241. プラズマによる地球再生イノベーション

    堀 勝

    (社)日本技術士会中部支部冬季例会 

     More details

    Event date: 2009.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2242. Co-simulation Matlab-Sentaurus for LWR modeling in Double Gate Field Effect Transistors International conference

    The VII Conference Computer Methods and Systems CMS'09 

     More details

    Event date: 2009.11

    Language:English   Presentation type:Oral presentation (general)  

  2243. Development of process technology based on advanced plasma nano science International conference

    The 2nd International Symposium of Plasma Center for Industrial Applications (PLACIA) & Plasma Application Monozukuri(PLAM) on Industrial Application of Advanced Plasma Technology 

     More details

    Event date: 2009.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2244. Gratings for the Subaru Telescope and for Plasma Diagnoses International conference

    International Symposium on "Application of Spectroscopy to Research and Development" 

     More details

    Event date: 2009.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2245. 明日の仕事に役に立つプラズマエッチングの基礎と実例 -半導体プロセスを中心としてー

    堀 勝

    プラズマエッチングの基礎と実例セミナー 

     More details

    Event date: 2009.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2246. Development of high density radical source and the behaviors of radicals in N2-H2 mixture plasma International conference

    AVS 56^th International Symposium and Exhibition 

     More details

    Event date: 2009.11

    Language:English   Presentation type:Oral presentation (general)  

  2247. Deposition Profile of Carbon Films in Submicron Wide Trenches using H-assisted Plasma CVD International conference

    AVS 56th International Symposium and Exhibition 

     More details

    Event date: 2009.11

    Language:English   Presentation type:Oral presentation (general)  

  2248. Evaluation of Ashing Plasma Damages on Porous SiOCH Films Due to Ions, Radicals, and Radiation International conference

    AVS 56th International Symposium and Exhibition 

     More details

    Event date: 2009.11

    Language:English   Presentation type:Oral presentation (general)  

  2249. HIGH PERFORMANCE OF COMPACT COMBINATORIAL ETCHING PROCESS FOR NEXT GENERATION PLAMA NANO-PROCESS International conference

    AVS 56th International Symposium and Exhibition 

     More details

    Event date: 2009.11

    Language:English   Presentation type:Oral presentation (general)  

  2250. 特許の意義と産学連携への展望~有用な特許の創出と契約交渉のポイント~

    堀 勝

    知的財産セミナー 

     More details

    Event date: 2009.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2251. MBE用高密度ラジカルソースの開発 International conference

    堀 勝

    第6回窒化物半導体応用研究会 

     More details

    Event date: 2009.10

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2252. Preparation of Dispersed Pt Nanoparticles on Carbon Nanostructure Surface by Metal Organic Chemical Fluid Deposition Employing Supercritical Carbon Dioxide International conference

    4th International Conference on Surfaces Coatings and Nanostructured Materials (NanoSMat2009) 

     More details

    Event date: 2009.10

    Language:English   Presentation type:Oral presentation (general)  

  2253. Analysis of the surface reactions of ArF photoresist during fluorocarbon plasma etching by XPS International conference

    62nd Annual Gaseous Electronics Conference 

     More details

    Event date: 2009.10

    Language:English   Presentation type:Oral presentation (general)  

  2254. Diagnostics of RF magnetron sputtering plasma for synthesizing transparent conductive Indium-Zinc-Oxide film International conference

    62nd Annual Gaseous Electronics Conference 

     More details

    Event date: 2009.10

    Language:English   Presentation type:Oral presentation (general)  

  2255. SiO2 Film Etching Process Using Environment-Friendly New Gas C5F7H International conference

    62nd Annual Gaseous Electronics Conference 

     More details

    Event date: 2009.10

    Language:English   Presentation type:Oral presentation (general)  

  2256. Behavior of hydrogen atoms in plasma enhanced chemical vapor deposition of microcrystalline silicon film International conference

    62nd Annual Gaseous Electronics Conference 

     More details

    Event date: 2009.10

    Language:English   Presentation type:Oral presentation (general)  

  2257. Electronic Structure of Carbon Nanowalls using Resonant Soft-X-Ray Emission Spectroscopy International conference

    2009 International Conference on Solid State Devices and Materials 

     More details

    Event date: 2009.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2258. Self-organization Growth of Monolithic Carbon Nanowalls Employing Low-temperture Plasmas International conference

    2nd International Conference on Advanced Plasma Technologies with 1st International Plasma Nanoscience Symposium(ICAPT2009) 

     More details

    Event date: 2009.10

    Language:English   Presentation type:Oral presentation (general)  

  2259. Investigation of Surface Loss Probabilities of H, N Radicals in Afterglow Discharge employing Vacuum Ultra-Violet Absorption Spectroscopy International conference

    International Conference on Micro and Nano Engineering (MNE2009) 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Oral presentation (general)  

  2260. Aspect ratio dependence of deposition profile of plasma CVD carbon films on trenched substrates International conference

    International Conference on Plasma Surface Engineering (AEPSE2009) 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Oral presentation (general)  

  2261. Pressure and aspect ratio dependence of deposition profile of carbon films on trench substrates deposited by plasma CVD International conference

    31th International Symposium on Dry Process(DPS2009) 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Oral presentation (general)  

  2262. Photoelectron Spectroscopy Nano-Surface Chemical Bonding States Analysis of Plasma-Exposed Polymers with Hard X-Ray International conference

    Dry Process International Symposium (DPS2009) 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Oral presentation (general)  

  2263. Investigation of Photoresist Surface Modified Layer by Fluorocarbon Ion Bombardment International conference

    31th International Symposium on Dry Process(DPS2009) 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Oral presentation (general)  

  2264. Combinatorial analysis of plasma-materials interactions for advanced R&D of future nano devices International conference

    Dry Process International Symposium (DPS2009) 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Oral presentation (general)  

  2265. Damage-free Resist Ashing on Low-k Film Using Dual Frequency Capacitively Coupled Ar/O2 Plasma International conference

    Dry Process International Symposium (DPS2009) 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Oral presentation (general)  

  2266. In-situ Evaluation of H2 Plasma Damage on Porous SiOCH Low-k Films International conference

    31th International Symposium on Dry Process(DPS2009) 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Oral presentation (general)  

  2267. New Approach of Etching Analysis based on Internal Parameters in Combinatorial Plasma Process International conference

    Dry Process International Symposium (DPS2009) 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Oral presentation (general)  

  2268. Advanced Research and Development for Plasma Processing of Polymers with Combinatorial Plasma-Process Analyzer International conference

    The 2nd International Conference on Microelectronics and Plasma Technology (ICMAP 2009) 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Oral presentation (invited, special)  

  2269. Low-Damage and Large-Area Plasma Processing of Organic-Inorganic Hybrid Materials for Development of Flexible Devices International conference

    7th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2009) 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Oral presentation (invited, special)  

  2270. Next Generation Plasma Nano Processing by Integrated Radical Control International conference

    International Conference on Plasma Surface Engineering (AEPSE2009) 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Oral presentation (keynote)  

  2271. Measurement of Si Wafer Temperature Using Low-coherence Interferometer during Plamsma Etching Processes International conference

    International Conference on Plasma Surface Engineering (AEPSE2009) 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Oral presentation (general)  

  2272. Supporting Platinum Nanoparticles on Carbon Nano Materials by Using Supercritical Fluid International conference

    International Conference on Plasma Surface Engineering (AEPSE2009) 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Oral presentation (general)  

  2273. Self-organized growth of monolithic carbon nano-graphene sheets and controlling of their semiconductor like behavior International conference

    IUVSTA (International Union for Vacuum Science, Technique and Applications) Workshop (Surface Engineering & Thin Film Divisions) 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Oral presentation (invited, special)  

  2274. a:C-Hを用いたカーボン系太陽電池作製に関する研究

    木野徳重、竹内和歌奈、石川健治、近藤博基、加納裕之、関根 誠、堀 勝

    第3回プラズマエレクトロニクスインキュベーションホール 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2275. より優れた科学研究費申請書を作成するために

    堀 勝

    科学研究費補助金に係る説明会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2276. 局所的大気圧プラズマ処理による波長選択赤外線ディテクタのコントラスト増強

    桝野雄矢,田代孝二,堀勝, 熊谷慎也,佐々木実

    2009年秋季 第70回応用物理学関係連合講演会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2277. 超臨界二酸化炭素を用いた白金微粒子担持におけるカーボン材料への表面処理効果

    間瀬航太、近藤真悟、町野琢磨、加納浩之、平松美根男、関根 誠、堀 勝

    2009年秋季 第70回応用物理学関係連合講演会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2278. ポーラスSiOCH low-k膜へのH2/N2プラズマアッシングダメージ発生機構の解明

    山本 洋,竹田圭吾,関根 誠,堀 勝,上夏井健,山本克美,林 久貴,酒井伊都子,大岩徳久

    2009年秋季 第70回応用物理学関係連合講演会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  2279. デスクトップ型コンビナトリアルプラズマナノプロセス解析装置の創成(II)

    チャンソン ムン,竹田圭吾,関根 誠,節原裕一,白谷正治,堀 勝

    2009年秋季 第70回応用物理学関係連合講演会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  2280. ガスデザインに基づいたSiO2膜エッチングとその機構解明(I)

    宮脇雄大,竹田圭吾,伊東安曇,中村昌洋,関根 誠,堀 勝

    2009年秋季 第70回応用物理学関係連合講演会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  2281. CF系イオン照射によるフォトレジスト表面改質層の解析(2)

    竹内拓也,関根 誠,豊田浩孝,竹田圭吾,堀 勝,康 松潤,沢田郁夫

    2009年秋季 第70回応用物理学関係連合講演会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  2282. 二周波容量結合型エッチングプラズマにおける上部電極へのDCバイアス印加効果

    山口 剛,木村哲也,竹田圭吾,久保田和宏,輿水地塩,関根 誠,堀 勝

    2009年秋季 第70回応用物理学関係連合講演会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  2283. ポーラスSiOCH膜プラズマ処理後の大気曝露の影響

    鈴木俊哉,山本 洋,竹田圭吾,関根 誠,堀 勝

    2009年秋季 第70回応用物理学関係連合講演会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2284. 複数金属元素密度同時モニタリングによるインジウム酸化亜鉛透明導電膜の成膜メカニズムの解明

    田子多直樹,井上真里,太田貴之,伊藤昌文,東島康裕,加納浩之,山川晃司,田 昭治,堀 勝

    2009年秋季 第70回応用物理学関係連合講演会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2285. プラズマCVD炭素薄膜のトレンチへの製膜形状のガス流量比―入射イオンエネルギーマッピング

    野村卓矢,是永有輝,梅津 潤,○古閑一憲,白谷正治,節原裕一,関根 誠,堀 勝

    2009年秋季 第70回応用物理学関係連合講演会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2286. Si酸化用表面波プラズマ内における酸素原子の振舞い

    竹田圭吾,堀 勝

    2009年秋季 第70回応用物理学関係連合講演会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2287. アルゴン大気圧プラズマを用いた銅原子発光プロセスにおける窒素添加効果

    Jgth Kulrtne,加納浩之,伊藤治彦,山川晃司,太田貴之,竹田圭吾,東島康裕,伊藤昌文,堀 勝

    2009年秋季 第70回応用物理学関係連合講演会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2288. DCバイアス印加二周波容量結合プラズマにおけるラジカルの振る舞い

    木村哲也,山口 剛,竹田圭吾,久保田和宏,輿水地塩,関根 誠,堀 勝

    2009年秋季 第70回応用物理学関係連合講演会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2289. 太陽電池用シリコン薄膜プラズマプロセスにおける水素原子表面損失確率

    阿部祐介,文 昶盛,川嶋 翔,竹田圭吾,関根 誠,堀 勝

    2009年秋季 第70回応用物理学関係連合講演会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2290. プラズマアグリカルチャー(III) ―O2/堀 勝Arプラズマの診断―

    井関紗千子,太田貴之,伊藤昌文,加納浩之,東島康裕,堀 勝

    2009年秋季 第70回応用物理学関係連合講演会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2291. X線光電子分光法を用いたプラズマ・ソフトマテリアル相互作用に関するナノ表面分析

    節原裕一,白谷正治,関根 誠,堀 勝,池永英司,中塚 理,財満鎮明

    2009年秋季 第70回応用物理学関係連合講演会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2292. コンビナトリアルプラズマプロセス解析装置を用いたプラズマ・ポリマー相互作用の解析(2)

    節原裕一,趙 研,竹中弘祐,白谷正治,関根 誠,堀 勝

    2009年秋季 第70回応用物理学関係連合講演会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2293. N2アフターグロー/NF3を用いたSi Chemic堀 勝l dry etchingの反応機構

    林 俊雄,堀 勝,河野明廣,水野栄一,樋口 靖,鄒 綱弘

    2009年秋季 第70回応用物理学関係連合講演会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2294. 高輝度放射光を用いたカーボンナノウォールのバンド構造解析

    竹内和歌奈,木下豊彦,加藤有香子,室隆桂之,池本夕佳,森脇太郎,木村 滋,平松美根男,加納浩之,徳田 豊,堀  勝

    2009年秋季 第70回応用物理学関係連合講演会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2295. カーボンナノウォール成長におけるイオン照射の効果

    近藤真悟、山川晃司、田 昭治、平松美根男、関根 誠、堀 勝

    2009年秋季 第70回応用物理学関係連合講演会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2296. 低コヒーレンス干渉計を用いたSi基盤温度計測における波長依存性の検討

    景山哲教、興水地塩、太田貫之、伊藤昌文、西澤典彦、堀勝

    2009年秋季 第70回応用物理学関係連合講演会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2297. 局所的大気圧プラズマ処理による波長選択赤外線ディテクタのコントラスト増強

    枡野雄矢、田代孝二、堀 勝、熊谷慎也、佐々木実

    2009年秋季 第70回応用物理学関係連合講演会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2298. カーボンナノウォール成長における基板組成効果

    三国裕之、竹内和歌奈、山川晃司、竹田圭吾、松美根男、加納浩之、関根 誠、 堀  勝

    2009年秋季 第70回応用物理学関係連合講演会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2299. 超高密度非平衡Ar/H2系大気圧リモートプラズマに関する研究

    乾祐俊、松平雄人、吉田直史、天野和夫、竹田圭吾、関根誠、堀勝

    2009年秋季 第70回応用物理学関係連合講演会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2300. 低コヒーレンス干渉計を用いた基板温度計測に基づくエッチングメカニズムの解析

    黒田裕樹,山本 洋,伊藤昌文,太田貴之,竹田圭吾,関根 誠,堀 勝

    2009年秋季 第70回応用物理学関係連合講演会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  2301. 高密度水素ラジカル注入によるn型シリコン中の深い準位欠陥の不活性化

    陳 尚,永江陽一,竹内和歌奈,中井雅文,加納浩之,竹田圭吾,徳田 豊,関根 誠,堀 勝

    2009年秋季 第70回応用物理学関係連合講演会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2302. 二周波容量結合型プラズマを用いたカーボンナノウォールのエッチングに関する研究

    下枝弘尚,宮脇雄大,竹内和歌奈,竹田圭吾,平松美根男,加納浩之,関根 誠,堀 勝

    2009年秋季 第70回応用物理学関係連合講演会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  2303. プラズマナノ科学を基盤とする最先端モノづくり

    堀 勝

    テクノフェア名大2009 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2304. 先進プラズマナノ基盤技術の開発

    堀 勝

    知的クラスター創成事業成果発表会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2305. Fabrication of Carbon Nanowalls and Their Application For New Functional Devices International conference

    International Conference on Processing and Manufacturing of Advanced Materials (THERMEC' 2009) 

     More details

    Event date: 2009.8

    Language:English   Presentation type:Oral presentation (invited, special)  

  2306. Low-Damage Plasma Processing of Thin Films on Polymers For Flexible Device Fabrications International conference

    International Conference on PROCESSING & MANUFACTURING OF ADVANCED MATERIALS 

     More details

    Event date: 2009.8

    Language:English   Presentation type:Oral presentation (invited, special)  

  2307. FinFET scaling - analysis of electrical parameters and characteristics International conference

    Nano and Giga Challenges in Electronics, Photonics and Renewable Energy 

     More details

    Event date: 2009.8

    Language:English   Presentation type:Oral presentation (general)  

  2308. 低温大気圧プラズマの魅力と産業革新

    堀 勝

    KICC 九州イノベーション創出促進協議会生産計測分科会プラズマ技術研究会 

     More details

    Event date: 2009.8

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2309. Spectroscopic characteristics in non-equilibrium atmospheric pressure plasma for inactivation of micro-organism International conference

    International Symposium on Plasma Chemistry 19 

     More details

    Event date: 2009.7

    Language:English   Presentation type:Oral presentation (general)  

  2310. Development of Combinatorial Plasma-Process Analyzer for Advanced R&D of Plasma Nano Processes International conference

    International Symposium on Plasma Chemistry 19 

     More details

    Event date: 2009.7

    Language:English   Presentation type:Oral presentation (general)  

  2311. Development of Novel Etching Process based on Real-time Monitoring of Substrate Temperature International conference

    International Symposium on Plasma Chemistry 19 

     More details

    Event date: 2009.7

    Language:English   Presentation type:Oral presentation (general)  

  2312. Growth mechanism of graphene sheets in initial phase International conference

    International Symposium on Plasma Chemistry 19 

     More details

    Event date: 2009.7

    Language:English   Presentation type:Oral presentation (general)  

  2313. Surface modification process for organic material and glass in nanequilibrium atmospheric-pressure pulsed remote plasma International conference

    International Symposium on Plasma Chemistry 19 

     More details

    Event date: 2009.7

    Language:English   Presentation type:Oral presentation (general)  

  2314. Plasma Damage Mechanism of Porous SiOCH Films Evaluated by In-situMeasurement System for Film Properties and Absolute Radical-Density International conference

    XXIX International Conference on Phenomena in Ionized Gases 

     More details

    Event date: 2009.7

    Language:English   Presentation type:Oral presentation (general)  

  2315. Study of photoresist surface modified layer employing selective ion beam International conference

    The 9th Korea-Japan Workshop on Thin Film and Plasma Process for Green Technology Advanced Plasma Diagnostics for Plasma-Nano Processing 

     More details

    Event date: 2009.7

    Language:English   Presentation type:Oral presentation (general)  

  2316. Non-contact measurement of substrate temperature using optical low-coherence interferometry International conference

    The 9th Korea-Japan Workshop 

     More details

    Event date: 2009.7

    Language:English   Presentation type:Oral presentation (general)  

  2317. Damage-free Ashing on Low-k Film Using Dual Frequency Capacitively Coupled Ar/O2- Plasma International conference

    The 9th Korea-Japan Workshop 

     More details

    Event date: 2009.7

    Language:English   Presentation type:Oral presentation (general)  

  2318. Analyses of Plasma-Materials Interactions for Development of Advanced Devices International conference

    The 9th Korea-Japan Workshop 

     More details

    Event date: 2009.7

    Language:English   Presentation type:Oral presentation (invited, special)  

  2319. Advanced Plasma Diagnostics International conference

    The 9th Korea-Japan Workshop 

     More details

    Event date: 2009.7

    Language:English   Presentation type:Oral presentation (invited, special)  

  2320. Simultaneous Monitoring of Multi-Metal Atoms in Magnetron Sputtering Plasma for Synthesizing TCO Films International conference

    The 9th Korea-Japan Workshop 

     More details

    Event date: 2009.7

    Language:English   Presentation type:Oral presentation (invited, special)  

  2321. Plasma processes for flexible electronics International conference

    Summer International Graduate School 

     More details

    Event date: 2009.7

    Language:English   Presentation type:Oral presentation (invited, special)  

  2322. Analysis of dispersion of electrical parameters and characteristics of FinFET devices International conference

    8th Symposium Diagnostics & Yield Advanced Silicon Devices and Technologies for ULSI Era 

     More details

    Event date: 2009.6

    Language:English   Presentation type:Oral presentation (general)  

  2323. Surface modification on organic material and glass employing nonequilibrium atmospheric-pressure plasma

     More details

    Event date: 2009.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2324. Plasma process innovation for driving micro and nanotechnology

     More details

    Event date: 2009.6

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2325. Inactivation of Penicillium Digitatum using Non-equilibrium Atmospheric O2/Ar Plasma

     More details

    Event date: 2009.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2326. カーボンナノウォールの電界放出特性における窒素プラズマ処理効果

    下枝弘尚、大林友規、竹内和歌奈、竹田圭吾、平松美根男、関根誠、堀勝

    第22回プラズマ材料科学シンポジウム 

     More details

    Event date: 2009.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2327. Initial Growth Process of Carbon Nanowalls International conference

    New Diamond and Nano Carbons Conference 2009 

     More details

    Event date: 2009.6

    Language:English   Presentation type:Oral presentation (general)  

  2328. Semiconductor Behavior of Carbon Nanowalls Grown by Plasma-Enhanced Chemical Vapor Deposition International conference

    New Diamond and Nano Carbons Conference 2009 

     More details

    Event date: 2009.6

    Language:English   Presentation type:Oral presentation (general)  

  2329. A New Approach for Establishment of Plasma Nano-Science International conference

    Memorial Symposium for the Retirement of Professor Tachibana(ISPC-19 Pre-Symposium in Japan) 

     More details

    Event date: 2009.5

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2330. Behaviors of hydrogen atoms in SiH4/H2 plasma for solar cell devices

    Memorial Symposium for the Retirement of Professor Tachibana(ISPC-19 Pre-Symposium in Japan) 

     More details

    Event date: 2009.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2331. Control of plasma etching process based on real-time monitoring of substrate temperature International conference

    Memorial Symposium for the Retirement of Professor Tachibana(ISPC-19 Pre-Symposium in Japan) 

     More details

    Event date: 2009.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2332. C7H8+H2+Ar混合プラズマにおけるC、CH、C2発光強度のガス流量比依存性

    梅津 潤、井上和彦、野村卓矢、松崎秀文、古閑一憲、白谷正治、節原裕一、関根 誠、堀 勝

    2009年春季 第56回応用物理学関係連合講演会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2333. コンビナトリアルプラズマプロセス解析装置を用いたプラズマ・ポリマー相互作用の解析

    趙 研、竹中弘祐、節原裕一、白谷正治、関根 誠、堀 勝

    2009年春季 第56回応用物理学関係連合講演会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2334. コンビナトリアルプラズマプロセス解析装置の創製 -傾斜分布プラズマの特性-

    竹中弘祐、節原裕一、白谷正治、関根 誠、堀 勝

    2009年春季 第56回応用物理学関係連合講演会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2335. カーボンナノウォールの電気特性評価(I)

    下枝弘尚、竹内和歌奈、徳田 豊、平松美根男、加納浩之、関根 誠、堀 勝

    2009年春季 第56回応用物理学関係連合講演会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2336. カーボンナノウォールの電気化学評価に関する研究

    渡邊 均、平松美根男、堀 勝

    2009年春季 第56回応用物理学関係連合講演会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2337. 二周波励起容量結合型Ar / O2プラズマによるダメージフリーアッシングの開発

    宮脇雄大、竹田圭吾、関根 誠、堀 勝

    2009年春季 第56回応用物理学関係連合講演会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2338. 低コヒーレンス干渉計を用いたプラズマエッチング中の基板温度計測

    黒田裕樹、山本 洋、伊藤昌文、太田貴之、竹田圭吾、関根 誠、堀 勝

    2009年春季 第56回応用物理学関係連合講演会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2339. フロロカーボン系イオン照射によるフォトレジスト表面改質層の解析

    竹内拓也、関根誠、豊田浩孝、竹田圭吾、堀 勝、康松潤、沢田郁夫

    2009年春季 第56回応用物理学関係連合講演会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2340. 超臨界CO2/有機金属を用いたカーボンナノウォールへの触媒用白金微粒子担持(II)

    間瀬航太、町野琢磨、加納浩之、平松美根男、関根誠、堀 勝

    2009年春季 第56回応用物理学関係連合講演会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2341. 高密度ラジカルソースにおける窒素及び水素ラジカルの挙動

    陳 尚、加納浩之、田昭治、高島成剛、竹田圭吾、堀 勝

    2009年春季 第56回応用物理学関係連合講演会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2342. 単一橋架けカーボンナノウォールの作製制御

    三國裕之、竹内和歌奈、竹田圭吾、平松美根男、加納浩之、徳田豊、堀 勝

    2009年春季 第56回応用物理学関係連合講演会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2343. 大気圧非平衡プラズマを用いたアモルファスカーボン膜の作製(Ⅱ)

    松平雄人、乾裕俊、木野徳重、加納浩之、竹田 圭吾、関根 誠、堀 勝

    2009年春季 第56回応用物理学関係連合講演会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2344. 高圧領域でのVHF容量結合型プラズマ中におけるH原子の挙動II

    阿部祐介、川嶋 翔、竹田圭吾、関根 誠、堀 勝

    2009年春季 第56回応用物理学関係連合講演会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2345. ポーラスSiOCH low-k膜へのアッシングダメージ発生機構の検討(III)

    山本 洋、竹田圭吾、関根 誠、堀 勝、上夏井健、山本克美、林 久貴、酒井伊都子、大岩徳久

    2009年春季 第56回応用物理学関係連合講演会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2346. プラズマアグリカルチャー(II) -ミドリカビのプラズマ殺菌における酸素ガス添加効果

    井関紗千子、太田貴之、伊藤昌文、東島康裕、加納浩之、堀 勝

    2009年春季 第56回応用物理学関係連合講演会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2347. イオン・ラジカル独立制御によるカーボンナノウォールの作製(Ⅲ)

    近藤真悟、伊谷佳泰、山川晃司、田 昭治、平松美根男、関根 誠、堀 勝

    2009年春季 第56回応用物理学関係連合講演会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2348. 非平衡大気圧リモートプラズマによる有機材料表面の撥水化プロセス (Ⅱ)

    乾 裕俊、松平 雄人、黒田 俊之、屋良 卓也、上原 剛、竹田 圭吾、関根 誠、堀 勝

    2009年春季 第56回応用物理学関係連合講演会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2349. カーボンナノウォールの構造及び電子状態におけるフッ素不純物の影響

    竹内和歌奈、柏原雅好、三国裕之、池本夕佳、森脇太郎、加藤有香子、室隆桂之、木下豊彦、木村 滋、平松美根男、加納浩之、徳田 豊、関根 誠、堀 勝

    2009年春季 第56回応用物理学関係連合講演会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2350. 水素添加Ar/O2プラズマ内におけるSi酸化膜成長への活性種の影響

    竹田 圭吾、堀 勝

    2009年春季 第56回応用物理学関係連合講演会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2351. インジウム酸化亜鉛膜形成のためのRFマグネトロンスパッタリングプラズマの診断

    太田貴之、田子多直樹、伊藤昌文、東島康裕、加納浩之、田 昭治、堀 勝

    2009年春季 第56回応用物理学関係連合講演会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2352. 先進発光分光計測技術によるDLCプラズマプロセスの解析

    堀 勝

    第3回“環境調和型機能性表面”フォーラム 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2353. 新規カーボンナノ構造体カーボンナノウォールと種々の基板界面の構造解析

    竹内 和歌奈、堀 勝、木村 滋、坂田 修身、田尻 寛男、竹田 圭吾、高島 成剛

    重点ナノテクノロジー支援課題研究成果報告会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2354. Plasma-enhanced Chemical Vapor Deposition of Amorphous Carbon in Atmospheric Pressure International conference

    Y.Matsudaira,H.Inui,T.Kino,H.Kano,K.Takeda,M.Sekine,and M.Hori

    1st International Symposium on Advanced Plasma Science and its Applications 

     More details

    Event date: 2009.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2355. Development of High Density Nitrogen Radical Source and Behaviors of Atomic Radicals International conference

    S.Chen,H.Kano,S.Den,S.Takashima,K.Takeda,and M.Hori

    1st International Symposium on Advanced Plasma Science and tis Applications 

     More details

    Event date: 2009.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2356. Synthesis of Platinum Nanoparticles on Carbon Nanowalls by Employing Supercritical Carbon Dioxide International conference

    1st International Symposium on Advanced Plasma Science and its Applications (ISPlasma2009) 

     More details

    Event date: 2009.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2357. Fabrication of Bridge-shaped Carbon Nanowall on Trench Substrates using Electron Beam Excited Plasma Enhanced CVD International conference

    1st International Symposium on Advanced Plasma Science and its Applications (ISPlasma2009) 

     More details

    Event date: 2009.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2358. Fabrication of Carbon Nanostructure using Radical Injection Plasma Enhanced Chemical Vapor Deposition and its Electrochemical Evaluation International conference

    1st International Symposium on Advanced Plasma Science and its Applications (ISPlasma2009) 

     More details

    Event date: 2009.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2359. Aerosol Plasma (Plasma Processor for Aerosol Powder) International conference

    1st International Symposium on Advanced Plasma Science and its Applications (ISPlasma2009) 

     More details

    Event date: 2009.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2360. Diagnostics of Non-equilibrium Atmospheric Pressure Plasma for Penicillium Digitatum Disinfection International conference

    1st International Symposium on Advanced Plasma Science and its Applications (ISPlasma2009) 

     More details

    Event date: 2009.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2361. Diagnostics of RF Magnetron Sputtering plasma for Synthesizing Indium-Zinc-Oxide Film International conference

    1st International Symposium on Advanced Plasma Science and its Applications (ISPlasma2009) 

     More details

    Event date: 2009.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2362. Absolute Density of Hydrogen Atoms in the High Pressure VHF Capacitively Couples Plasma for Solar Cell Devices International conference

    1st International Symposium on Advanced Plasma Science and its Applications (ISPlasma2009) 

     More details

    Event date: 2009.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2363. Growth of Carbon Nanowalls of High Graphitization Employing PECVD International conference

    1st International Symposium on Advanced Plasma Science and its Applications(ISPlasma2009) 

     More details

    Event date: 2009.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2364. Development of High Density Nitrogen Radical Source and Behaviors of Atomic Radicals International conference

    1st International Symposium on Advanced Plasma Science and its Applications (ISPlasma2009) 

     More details

    Event date: 2009.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2365. High Accuracy Plasma Etching Process Employing Real Time Monitoring of Substrate Temperature International conference

    1st International Symposium on Advanced Plasma Science and its Applications (ISPlasma2009) 

     More details

    Event date: 2009.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2366. Production and Control of Low-Damage Large-Area Plasmas for Advanced Processing of Next-Generation Devices International conference

    1st International Symposium on Advance Plasma Science and its Application (ISPlasma2009) 

     More details

    Event date: 2009.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2367. Application of Advanced Plasma Technology for the Development of Autonomic MBE System International conference

    1st International Symposium on Advance Plasma Science and its Application (ISPlasma2009) 

     More details

    Event date: 2009.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2368. Advanced Plasma Fundamental Nanotechnology International conference

    1st International Symposium on Advance Plasma Science and its Application (ISPlasma2009) 

     More details

    Event date: 2009.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2369. Plasma-Enhanced Chemical Vapor Deposition of Amorphous Carbon International conference

    1st International Symposium on Advanced Plasma Science and its Applications 

     More details

    Event date: 2009.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2370. 先進プラズマによる複合材料イノベーション

    堀 勝

    名古屋大学大学院工学研究科附属複合材工学研究センター設立シンポジウム 

     More details

    Event date: 2009.2

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2371. 超臨界流を用いたカーボンナノ構造体への触媒用白金微粒子担持

    間瀬航太、町野琢磨、加納浩之、平松美根男、関根誠、堀勝

    プラズマ科学シンポジウム2009第26回プラズマプロセシング研究会 

     More details

    Event date: 2009.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学豊橋講堂   Country:Japan  

  2372. 大気圧非均衡プラズマを用いたアモルファスカーボン膜の作製

    松平雄人、乾裕俊、木野徳重、加納浩之、竹田圭吾、関根誠、堀勝

    プラズマ科学シンポジウム2009第26回プラズマプロセシング研究会 

     More details

    Event date: 2009.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学豊橋講堂   Country:Japan  

  2373. イオン・ラジカル制御CVD法によるカーボンナノウォールの作製

    近藤 真悟、伊谷 佳泰、山川 晃司、田 昭治、平松 美根男、関根 誠、堀 勝

    プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会 

     More details

    Event date: 2009.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2374. Spectroscopic characteristics of non-equilibrium atmospheric pressure plasma for penicillium digitatum disinfection

     More details

    Event date: 2009.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2375. Fabrication of carbon nanowall by using radical injection plasma enhanced Chemical Vapor Deposition and its electrochemical evaluation

     More details

    Event date: 2009.2

    Language:Japanese  

  2376. Development of high density radical source and behaviors of nitrogen radicals

     More details

    Event date: 2009.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2377. Controlling Etch Processes of Organic Low-k Films based on Measurement of Substrate Temperature and Radical Density

     More details

    Event date: 2009.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2378. Investigation of Photoresist Surface Modified by Fluorocarbon Etching Plasma

     More details

    Event date: 2009.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2379. Synthesis of Platinum Nanoparticles for Catalysts on Carbon Nano Materials by Using Supercritical Fluid

     More details

    Event date: 2009.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2380. Deposition of Amorphous Carbon Films by Plasma-Enhanced Chemical Vapor Deposition in Atmospheric-Pressure

     More details

    Event date: 2009.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2381. Fabrication of Bridge-shaped Carbon Nanowall by Using Patterned Template

     More details

    Event date: 2009.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2382. Surface Modification Processes on Organic material in Nonequilibrium Atmospheric-Pressure Pulsed Remote Plasma

     More details

    Event date: 2009.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2383. プラズマが拓くバラ色の未来を語る

    堀 勝、白谷 正治、滝川 浩史、一木 隆範、渡辺 隆行、大野 哲靖、安藤 晃

    プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会 

     More details

    Event date: 2009.2

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2384. Surface Analysis of Polymers Exposed to Low-Damage Plasma Sustained with Low-inductance-Antenna Modules

     More details

    Event date: 2009.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2385. Evaluation of fluorocarbon layer on porous SiOCH low-k films during plasma ashing process

     More details

    Event date: 2009.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2386. Development of Combinatorial Plasma-Process Analyzer for Advanced R&D of Plasma Nano Process

     More details

    Event date: 2009.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2387. Damages on low-k films due to VUV, UV radiation, radical and ion in plasma etching employing H2 / N2 gases

     More details

    Event date: 2009.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2388. Monitoring of absolute densities of metallic atoms using multi-micro hollow cathode light source in a sputtering process for a transparent conductive film

     More details

    Event date: 2009.2

    Language:Japanese  

  2389. Measurement of Absolute Density and Translational Temperature of Hydrogen Atoms in VHF Capacitively Coupled Plasma by Tunable Vacuum Ultraviolet Laser Absorption Spectroscopy

     More details

    Event date: 2009.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2390. Deposition profile of toluene plasma CVD carbon films in trenches

     More details

    Event date: 2009.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2391. 「電子ビーム励起プラズマを用いた単一橋架けカーボンナノウォールの作製」低次元カーボン系チャネルエンジニアリング

    三國 裕之、竹内 和歌奈、堀 勝

    科学研究費補助金特定領域研究「シリコンナのエレクトロニクスの新展開」―ポストスケーリングテクノロジー―第3回成果報告会 

     More details

    Event date: 2009.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2392. 「微量窒素添加ガスを用いて作製したカーボンナノウォールのドープ効果による電子状態変化」低次元カーボン系チャネルエンジニアリング

    柏原 雅好、竹内 和歌奈、堀 勝

    科学研究費補助金特定領域研究「シリコンナのエレクトロニクスの新展開」―ポストスケーリングテクノロジー―第3回成果報告会 

     More details

    Event date: 2009.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2393. 「カーボンナノウォールチャネルエンジニアリングに向けた添加ガスによる構造物性制御及び単一橋架けカーボンナノウォール作製制御」低次元カーボン系チャネルエンジニアリング

    堀 勝、竹田 圭吾、竹内 和歌奈、近藤 真悟、柏原 雅好、三國 裕之、下枝 弘尚

    科学研究費補助金特定領域研究「シリコンナのエレクトロニクスの新展開」―ポストスケーリングテクノロジー―第3回成果報告会 

     More details

    Event date: 2009.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2394. 低次元カーボン系チャネルエンジニアリング

    堀 勝、竹田 圭吾、竹内 和歌奈、近藤 真悟、柏原 雅好、三國 裕之、下枝 弘尚

    科学研究費補助金特定領域研究「シリコンナのエレクトロニクスの新展開」―ポストスケーリングテクノロジー第5回全体会議 

     More details

    Event date: 2009.1

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2395. Conbinatorial Plasma Etching Process based on Plasma Nano-Science International conference

    C.S.Moon,K.Takeda,M.Sekine,Y.Setsuhara,M.Shiratani,and M.Hori

    The 2nd International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2396. Damages on Low-k Films due to VUV, UV Radiation, Radical and Ion in Low-k Films Etching Employing H2 / N2 Plasma International conference

    The 2nd International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2397. Effects of Oxygen on Etching Damage of Low-k Film Etching Process Employing Novel Fluorocarbon Gas of C5F10O International conference

    The 2nd International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2398. Combinatorial Plasma Etching Process based on Plasma Nano-Science International conference

    The 2nd International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2399. Effects of H Radical Irradiation on Synthesis of Carbon Nanowalls

    The 2nd International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2400. Formation of Carbon Nanowall by using Radical Injection Plasma Enhanced Chemical Vapor Deposition and Its Electrochemical Evaluation International conference

    The 2nd International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2401. Precise Etching of Organic Low-k Film by Real-time Controlling Radical Density Ratio and Substrate Temperature International conference

    The 2nd International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2402. Fabrication of Single Carbon Nanowall Using Electron Beam Excited Plasma Enhanced CVD International conference

    The 2nd International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2403. Measurements of Absolute Density and Translational Temperature of Hydrogen Atoms in the High Prssure VHF Capasitively Coupled Plasma International conference

    The 2nd International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2404. Synthesis of Platinum Nanoparticles on Carbon Nanowalls by Using Supercritical Fluid International conference

    The 2nd International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2405. Fabrication of Amorphous Carbon Films Using Nonequilibrium Atmospheric Pressure Plasma with Ultrahigh Electron Density International conference

    The 2nd International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2406. Measurements of Electron Energy Distribution Function in Dual Frequency Capacitively Coupled Plasma Using Laser Thomson Scattering International conference

    The 2nd International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2407. Deposition Profile Control of Plasma Enhanced CVD Carbon Films in Submicron Wide Trenches International conference

    The 2nd International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2408. Synthesis of Carbon Nanowalls and Their Applications to Electrical Devices International conference

    The 8th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop with Plasma Application Monodzukuri(PLAM) 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2409. Low Energetic Ion bombardment on Polymer Surfacees for Flexible Electronics International conference

    The 8th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop with Plasma Application Monodzukuri(PLAM) 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2410. Measurement of Atoms in Sputtering System Employing Micro-plasma International conference

    The 8th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop with Plasma Application Monodzukuri(PLAM) 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2411. Low-k Film Etching Process Employing Novel Fluorocarbon Gas in Dual Frequency Plasma International conference

    The 8th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop with Plasma Application Monodzukuri(PLAM) 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2412. Sticking Coefficients of Atomic Radicals for Flexible Electronics International conference

    The 8th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop with Plasma Application Monodzukuri(PLAM) 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2413. Porous SiOCH Film Damages after Etching in ULSI International conference

    The 8th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop with Plasma Application Monodzukuri(PLAM) 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2414. Growth of Carbon Nanostructures Using Non-Equilibrium Atomospheric Pressure Plasma CVD International conference

    The 8th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop with Plasma Application Monodzukuri(PLAM) 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2415. Evaluating Damages on Organic Low-k Films due to VUV, UV Radiation, Radical and Ion in Dual Frequency Capacitively Coupled Plasma International conference

    The 8th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop with Plasma Application Monodzukuri(PLAM) 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2416. Strategy of Cluster Knowledge Program for Flexible Electronics International conference

    The 8th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop with Plasma Application Monodzukuri(PLAM) 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2417. Laser Thomson Scattering Approach for Electron Density and Temperature in Dual Frequency Plasma Processing International conference

    The 8th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop with Plasma Application Monodzukuri(PLAM) 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2418. A Real Time Controlling of Surface Temperature and Radicals in ULSIs International conference

    The 8th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop with Plasma Application Monodzukuri(PLAM) 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2419. Fabrication of Single Wall Carbon Nanowall Employing Electron Beam Excited Plasma International conference

    The 8th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop with Plasma Application Monodzukuri(PLAM) 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2420. Behaviors of H Atoms in VHF Plasma for Flexible Electronics International conference

    The 8th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop with Plasma Application Monodzukuri(PLAM) 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2421. Fluorocarbon Species Measured by LIF in Dual Frequency Plasma Processing International conference

    The 8th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop with Plasma Application Monodzukuri(PLAM) 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2422. Supercritical Fluid Process of Pt Nanoparticles Formation on Nano-Carbons Grown by PECVD International conference

    The 8th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop with Plasma Application Monodzukuri(PLAM) 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2423. Fundamental Etching Studies Employing Selective Ion Beam International conference

    The 8th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop with Plasma Application Monodzukuri(PLAM) 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2424. Surface Cleaning for Flexible Electronics Employing Atmospheric Pressure Plasma International conference

    The 8th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop with Plasma Application Monodzukuri(PLAM) 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2425. テーマ3への先進プラズマ技術の応用可能性

    堀 勝

    東海広域ナノテクものづくりクラスター創成事業テーマ3第1回プロジェクト連絡会 

     More details

    Event date: 2008.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2426. 「テーマ1」、「広域化プログラム」について東海広域ナノテクものづくりクラスター~世界を先導する環境調和型高度機能部材の創製~

    堀 勝

    テーマ1“先進プラズマナノ基盤技術の開発”、広域化プログラム“先進プラズマナノ科学研究拠点形成プログラム(国際連携)”第2回プロジェクト連絡会議 

     More details

    Event date: 2008.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2427. プラズマセンサーによる環境管理

    竹田 圭吾、堀 勝

    SMBC環境ビジネスフォーラム 

     More details

    Event date: 2008.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2428. Nano Carbon Based Transistor for Future Generation FET Devices International conference

    The IUMRS International Conference in Asia 2008 

     More details

    Event date: 2008.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2429. Absolute Density and Translational Temperature of Hydrogen Atoms in the High Pressure VHF Capasitively Coupled Plasma International conference

    The IUMRS International Conference in Asia 2008 

     More details

    Event date: 2008.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2430. Role of Nitrogen in Low-k Film Etching Process Employing C5F10O/N2/Ar Plasma International conference

    The IUMRS International Conference in Asia 2008 

     More details

    Event date: 2008.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2431. Development of Quantitative Measuring Method for Damaged Layer on Porous SiOCH Film during H2 / N2 Plasma Ashing International conference

    The IUMRS International Conference in Asia 2008 

     More details

    Event date: 2008.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2432. Fabrication of Bridge-shaped Carbon Nanowall Using Electron Beam Excited Plasma Enhanced CVD International conference

    The IUMRS International Conference in Asia 2008 

     More details

    Event date: 2008.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2433. Control of Etch Processes and their Diagnostics for Organic Low-k Material Etching International conference

    SEMI Technology Symposium 2008 

     More details

    Event date: 2008.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2434. Manufacturing Innovation by Advanced Plasma Nano Technology—Advanced “Monodzukuri" with Hori Type Ultra Micro-Hollow Atmospheric Pressure Plasma Device : HU-MAP (Ultra High Density Room Temperature Atmospheric Pressure Plasma Device)— International conference

    1st International Symposium of Plasma Center for Industrial Applications (PLACIA) & Plasma Application Monodzukuri (PLAM) on Manufacturing Innovation by Plasma Surface Modification 

     More details

    Event date: 2008.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2435. 明日の仕事に役立つプラズマエッチングの基礎と実例―半導体プロセスを中心として―

    堀 勝

    リアライズ理工センター主催セミナー 

     More details

    Event date: 2008.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2436. Development of Autonomous Nano-Etching Process System International conference

    30th International Symposium on Dry Process 

     More details

    Event date: 2008.11

    Language:English   Presentation type:Oral presentation (general)  

  2437. Plasma Nano-Processing on the Basis of Science International conference

    Plasma Science Seminar 

     More details

    Event date: 2008.11

    Language:English   Presentation type:Oral presentation (invited, special)  

  2438. Low-kエッチング技術の課題と展望

    堀 勝

    半導体界面制御技術第154委員会講習会 

     More details

    Event date: 2008.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2439. カーボン・ナノウォールのバイオナノテクノロジーへの応用

    堀 勝、平松 美根男

    第12回VBLシンポジウム「未来医療創成に向けたナノテクノロジー」 

     More details

    Event date: 2008.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2440. Control of Plasma Etching Process through Substrate Temperature Measured by Optical Fiber-type Low-coherence Interferometer International conference

    2008 International Microprocesses and Nanotechnology Conference 

     More details

    Event date: 2008.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2441. Impact of Combinatorial Plasma Process on the Development of Organic Low-k Dielectric Film Etching International conference

    AVS 55th International Symposium & Exhibition 

     More details

    Event date: 2008.10

    Language:English   Presentation type:Oral presentation (general)  

  2442. ドライエッチング技術の基礎

    堀 勝

    ナノテク製造中核人材の養成プログラム 

     More details

    Event date: 2008.10

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2443. Mechanism of Plasma Ashing Damages on Porous SiOCH Films International conference

    AVS 55th International Symposium & Exhibition 

     More details

    Event date: 2008.10

    Language:English   Presentation type:Oral presentation (general)  

  2444. Growth Mechanism of Carbon Nanowalls Synthesized by Irradiation of Independently Controlled Ar Ions and CFX/H Radicals International conference

    AVS 55th International Symposium & Exhibition 

     More details

    Event date: 2008.10

    Language:English   Presentation type:Oral presentation (general)  

  2445. Control of Structures and Electrical Properties of Carbon Nanowalls Using Plasma Enhanced CVD Employing N2/O2 Addition to C2F6/H2 Gases International conference

    AVS 55th International Symposium & Exhibition 

     More details

    Event date: 2008.10

    Language:English   Presentation type:Oral presentation (general)  

  2446. Plasma Damages on Organic Low-k Film due to VUV Radiation, UV Radiation, Radicals, Radicals with Radiation, and Ions in H2/N2 Plasma Etching Processes International conference

    AVS 55th International Symposium & Exhibition 

     More details

    Event date: 2008.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2447. Evaluation of Plasma Ashing Damages on Porous SiOCH Films by Measurement of H and N Radical Densities International conference

    61st Annual Gaseous Electronics Conference 

     More details

    Event date: 2008.10

    Language:English   Presentation type:Oral presentation (general)  

  2448. Diagnostics of Nonequilibrium Atmospheric Pressure Plasma with Ultra High Electron Density for Surface Cleaning Processes International conference

    61st Annual Gaseous Electronics Conference 

     More details

    Event date: 2008.10

    Language:English   Presentation type:Oral presentation (general)  

  2449. Initial Formation of Carbon Nanowalls Synthesized by Ar Ions and CFX/H Radicals International conference

    61st Annual Gaseous Electronics Conference 

     More details

    Event date: 2008.10

    Language:English   Presentation type:Oral presentation (general)  

  2450. Surface Loss Rate of H and N Radicals in H2/N2 Plasma Etching Process International conference

    61st Annual Gaseous Electronics Conference 

     More details

    Event date: 2008.10

    Language:English   Presentation type:Oral presentation (general)  

  2451. Effects of High Energy Ar Ions on Synthesis of Carbon Nanowalls International conference

    61st Annual Gaseous Electronics Conference 

     More details

    Event date: 2008.10

    Language:English   Presentation type:Oral presentation (general)  

  2452. Contoral of Plasma Etching Process through Substrate Tempreture Measures by Optical Fiber-type Low -coherence Interrometer International conference

    H.Kuroda,H.Yamamoto,M.Ito,T.Ohta,M.Sekine,and M.Hori

    2nd Japanese-German Student Workshop on Plasma Science and Technology 

     More details

    Event date: 2008.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Germany  

  2453. Damages on Organic Low-k Films due to VUV, UV Radiation, Radical and Ion in Dual Frequency Capacitively Coupled Pl International conference

    2nd Japanese-German Student Workshop on Plasma Science and Technology 

     More details

    Event date: 2008.10

    Language:English   Presentation type:Oral presentation (general)  

  2454. Behavior of Radicals in Dual Frequency Capacitively Coupled Etching Plasma International conference

    2nd Japanese-German Student Workshop on Plasma Science and Technology 

     More details

    Event date: 2008.10

    Language:English   Presentation type:Oral presentation (general)  

  2455. Development of Novel Nonequilibrium Atmospheric Pressure Plasma with Ultrahigh Electron Density (1016 cm-3) and Its Applications International conference

    2nd Japanese-German Student Workshop on Plasma Science and Technology 

     More details

    Event date: 2008.10

    Language:English   Presentation type:Oral presentation (general)  

  2456. Radical Control of Plasma Etching Process through Substrate Temperature Measured by Low-coherence Interferometer International conference

    2nd Japanese-German Student Workshop on Plasma Science and Technology 

     More details

    Event date: 2008.10

    Language:English   Presentation type:Oral presentation (general)  

  2457. 「テーマ1」、「広域化プログラム」全体説明東海広域ナノテクものづくりクラスター~世界を先導する環境調和型高度機能部材の創製~テーマ1“先進プラズマナノ基盤技術の開発”、広域化プログラム“先進プラズマナノ科学研究拠点形成プログラム

    堀 勝

    キックオフ発表会 

     More details

    Event date: 2008.10

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2458. Damages on Organic Low-k Films due to VUV, UV Radiation, Radical and Ion in Dual Frequency Capacitively Coupled Plasma International conference

    13. European Summer School "Low Temperature Plasma Physics: Basics and Applications" 

     More details

    Event date: 2008.10

    Language:English   Presentation type:Oral presentation (general)  

  2459. Controlling Etch Processes of Low-k Films based on Measurement of Substrate Temperature by Low-coherence Interferometer International conference

    13. European Summer School "Low Temperature Plasma Physics: Basics and Applications" 

     More details

    Event date: 2008.10

    Language:English   Presentation type:Oral presentation (general)  

  2460. Radical density distribution in Dual Frequency Capacitively Coupled Etching Plasma International conference

    13. European Summer School "Low Temperature Plasma Physics: Basics and Applications" 

     More details

    Event date: 2008.10

    Language:English   Presentation type:Oral presentation (general)  

  2461. Gas-Phase Diagnostics of Novel Nonequilibrium Atmospheric-Pressure Plasma with Ultrahigh Electron Density (1016 cm-3) and Glass Surface Cleaning International conference

    13. European Summer School "Low Temperature Plasma Physics: Basics and Applications" 

     More details

    Event date: 2008.10

    Language:English   Presentation type:Oral presentation (general)  

  2462. 超臨界CO2を用いたカーボンナノウォールへの新規白金ナノ粒子合成法に関する研究

    間瀬航太、町野琢磨、平松美根男、堀 勝

    第2回プラズマエレクトロニクス 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2463. 高圧領域でのVHF容量結合型プラズマにおけるH原子絶対密度、並進温度計測

    阿部 祐介、竹田 圭吾、関根 誠、堀 勝

    第2回プラズマエレクトロニクス インキュベーションホール 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2464. 発光性シリコンナノ微粒子の結合状態の解明に関する研究

    竹内 拓也、竹田 圭吾、知京 豊裕、関根 誠、堀 勝

    第2回プラズマエレクトロニクス インキュベーションホール 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2465. 超高密度(1016 cm-3)非平衡大気圧リモートプラズマの応用 -アモルファスカーボン膜の作製-

    松平 雄人、乾 裕俊、加納 浩之、関根 誠、堀 勝

    第2回プラズマエレクトロニクス インキュベーションホール 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2466. 高密度ラジカルソースにおける窒素ラジカルの挙動

    陳 尚、加納 浩之、田 昭治、竹田 圭吾、高島 成剛、堀 勝

    第2回プラズマエレクトロニクス インキュベーションホール 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2467. 単一橋架けカーボンナノウォールの作製

    三國 裕之、竹内 和歌奈、平松 美根男、加納 浩之、徳田 豊、堀 勝

    第2回プラズマエレクトロニクス インキュベーションホール 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2468. 低コヒーレンス干渉計を用いた基板温度計測に基づくラジカル制御プラズマエッチングプロセス

    黒田 裕樹、山本 洋、伊藤 昌文、太田 貴之、関根 誠、堀 勝

    第2回プラズマエレクトロニクス インキュベーションホール 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2469. Control of Structure and Electrical Properties of Carbon Nanowalls: Effect of N2/O2 Addition to Fluorocarbon Plasma CVD with H Radical Injection International conference

    2008 International Conference on Solid State Device and Materials 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2470. Diagnostics of Plasma Induced Damages on Low-k SiOCH Films International conference

    2008 International Conference on Solid State Device and Materials 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2471. Sterilization Mechanism of Penicillium Digitatum using Non-equilibrium Atomospheric Pressure Plasma International conference

    11th International Conference on Plasma Surface Engineering 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Oral presentation (general)  

  2472. Simultaneous Monitoring of Absolute Densities of Multi Metallic Atoms in Magnetron Sputtering Employing Micro Hollow Cathode Lamp International conference

    11th International Conference on Plasma Surface Engineering 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Oral presentation (general)  

  2473. On the Growth Mechanism of Carbon Nanowalls using Plasma Enhanced Chemical Vapor Deposition International conference

    11th International Conference on Plasma Surface Engineering 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Oral presentation (invited, special)  

  2474. Surface Reaction on Low-k Film in Etching Process Employing Alternative Fluorocarbon Gas International conference

    34th International Conference on Micro and Nano Engineering 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Oral presentation (general)  

  2475. Control of Structure of Carbon Nanowalls Synthesized by Fluorocarbon Plasma Enhanced Chemical Vapor Deposition International conference

    ICPP2008 Satellite Meeting on Plasma Physics and Advanced Applications in ASO 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2476. Development of Novel Nonequilibrium Atmospheric Pressure Plasma with Ultrahigh Electron Density and High Cleaning Performance on Glass Surface International conference

    International Congress on Plasma Physics 2008 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2477. Three-phase AC Excited Nonequilibrium Atmospheric Pressure Plasma and Surface Modification Process of Polymer Materials International conference

    International Congress on Plasma Physics 2008 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2478. Initial Growth Phase of Carbon Nanowalls Synthesized by Irradiation of Ions and Radicals International conference

    International Congress on Plasma Physics 2008 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2479. Nonequilibrium Atmospheric Pressure Plasma Excited by Three-Phase AC and Its Application to Surface Modification of Polymer Materials International conference

    International Interdisciplinary-Symposium on Gaseous and Liquid Plasmas 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2480. 先進プラズマナノ基盤技術の開発、先進プラズマナノ科学研究拠点形成プログラム

    堀 勝

    東海広域ナノテクものづくりクラスター ~世界を先導する環境調和型高度機能部材の創製~ 構想発表会 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2481. 高圧領域でのVHF容量結合型プラズマ中におけるH原子の挙動

    阿部 祐介、竹田 圭吾、関根 誠、堀 勝

    2008年秋季 第69回応用物理学会学術講演会 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2482. 非平衡大気圧リモートプラズマによる有機材料表面の撥水化プロセス

    乾 裕俊、松平 雄人、屋良 卓也、上原 剛、堀 勝

    2008年秋季 第69回応用物理学会学術講演会 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2483. マルチマイクロホローカソード光源を用いたIZO膜成膜スパッタプロセスにおける金属原子密度のモニタリング

    田子多 直樹、太田 貴之、伊藤 昌文、東島 康裕、加納 浩之、田 昭治、堀 勝

    2008年秋季 第69回応用物理学会学術講演会 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2484. 低コヒーレンス干渉計を用いた基板温度計測に基づくプラズマエッチングプロセスの制御

    黒田 裕樹、山本 洋、伊藤 昌文、太田 貴之、関根 誠、堀 勝

    2008年秋季 第69回応用物理学会学術講演会 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2485. イオン・ラジカル独立制御によるカーボンナノウォールの作製(II)

    近藤 真悟、山川 晃司、田 昭治、平松 美根男、関根 誠、堀 勝

    2008年秋季 第69回応用物理学会学術講演会 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2486. カーボンナノウォール/Si接合における電気特性評価

    竹内 和歌奈、徳田 豊、加納 浩之、平松 美根男、堀 勝

    2008年秋季 第69回応用物理学会学術講演会 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2487. 垂直成長グラフェンナノシートによる新機能デバイス

    堀 勝、平松 美根男

    2008年秋季 第69回応用物理学会学術講演会 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2488. プラズマアグリカルチャー ― 非平衡大気圧プラズマを用いたミドリカビの殺菌 ―

    井関 紗千子、山本 桂司、太田 貴之、伊藤 昌文、加納 浩之、東島 康裕、堀 勝

    2008年秋季 第69回応用物理学会学術講演会 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2489. 超高密度非平衡大気圧リモートプラズマを用いたアモルファスカーボン膜の作製

    松平 雄人、乾 裕俊、加納 浩之、堀 勝

    2008年秋季 第69回応用物理学会学術講演会 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2490. 高密度ラジカルソースにおける窒素ラジカルの挙動

    陳 尚、加納 浩之、田 昭治、高島 成剛、竹田 圭吾、堀 勝

    2008年秋季 第69回応用物理学会学術講演会 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2491. ラジカル注入型プラズマCVD法によるカーボンナノウォールの作製と電気化学評価

    渡邊 均、平松 美根男、堀 勝

    2008年秋季 第69回応用物理学会学術講演会 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2492. ポーラスSiOCH low-k膜へのアッシングダメージ発生機構の検討(II)

    山本 洋、竹田 圭吾、関根 誠、堀 勝

    2008年秋季 第69回応用物理学会学術講演会 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2493. 二周波励起容量結合型プラズマにおける真空紫外光、紫外光、ラジカル、イオンによるLow-k膜へのダメージ(VI)

    宮脇 雄大、斉藤 良太、内田 三郎、深沢 正永、大島 啓示、長畑 和典、辰巳 哲也、高島 成剛、竹田 圭吾、関根 誠、堀 勝

    2008年秋季 第69回応用物理学会学術講演会 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2494. 脱温暖化のための新規フルオロカーボンガスを用いた高速low-k膜エッチングプロセス(II)

    柴田 英美、岡本 秀一、関根 誠、堀 勝

    2008年秋季 第69回応用物理学会学術講演会 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2495. H2/N2プラズマ中における水素および窒素ラジカルの表面損失確率計測

    文 昶盛、竹田 圭吾、高島 成剛、林 俊雄、関根 誠、節原 裕一、白谷 正治、堀 勝

    2008年秋季 第69回応用物理学会学術講演会 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2496. プロセスプラズマにおける窒素ラジカルの表面損失確率

    高島 成剛、竹田 圭吾、堀 勝

    2008年秋季 第69回応用物理学会学術講演会 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2497. Optical Probe for Atomic Radical Monitoring and its Application to Advanced Plasma Nano-processing based on Plasma Science International conference

    1st International Conference on Microelectronics and Plasma Technology 

     More details

    Event date: 2008.8

    Language:English   Presentation type:Oral presentation (general)  

  2498. 大気圧プラズマを用いた農業分野における殺菌技術

    太田 貴之、井関 紗千子、伊藤 昌文、堀 勝

    応用物理学会プラズマエレクトロニクス分科会プラズマ新領域研究会~プラズマを用いた滅菌・殺菌 

     More details

    Event date: 2008.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2499. Characterization of Nonequilibrium Atmospheric Pressure Plasma with Ultrahigh Electron Density and its Application to Glass Surface Cleaning International conference

    3rd International School of Advanced Plasma Technology 

     More details

    Event date: 2008.7

    Language:English   Presentation type:Poster presentation  

  2500. Micromachining Process Employing Electron-Beam-Excited Plasma International conference

    3rd International School of Advanced Plasma Technology 

     More details

    Event date: 2008.7

    Language:English   Presentation type:Oral presentation (general)  

  2501. Shape Change of Carbon Nanowalls Grown using Inductively Coupled Plasma-Enhanced Chemical Vapor Deposition International conference

    7th Korea-Japan Workshop on Plasma Technology 

     More details

    Event date: 2008.7

    Language:English   Presentation type:Poster presentation  

  2502. Evaluating Damages on Porous SiOCH Film during H2/N2 Plasma Ashing Process International conference

    7th Korea-Japan Workshop on Plasma Technology 

     More details

    Event date: 2008.7

    Language:English   Presentation type:Oral presentation (general)  

  2503. Growth Mechanism of Carbon Nanowalls Synthesized by Irradiations of Ions and Radicals International conference

    7th Korea-Japan Workshop on Plasma Technology 

     More details

    Event date: 2008.7

    Language:English   Presentation type:Oral presentation (general)  

  2504. Control of Graphene Sheet Structure Using Oxygen Gas Addition to C2F6/H2 Plasma International conference

    7th Korea-Japan Workshop on Plasma Technology 

     More details

    Event date: 2008.7

    Language:English   Presentation type:Oral presentation (general)  

  2505. Contributions of UV light to Sterilization using Nonequilibrium Atmospheric Pressure Plasma International conference

    7th Korea-Japan Workshop on Plasma Technology 

     More details

    Event date: 2008.7

    Language:English   Presentation type:Oral presentation (general)  

  2506. Development of Combinatorial Plasma Etching Process System International conference

    7th Korea-Japan Workshop on Plasma Technology 

     More details

    Event date: 2008.7

    Language:English   Presentation type:Oral presentation (general)  

  2507. Characterization of AC Exited Nonequilibrium Atmospheric Pressure Plasma with Ultra High Electron Density for Glass Surface Cleaning International conference

    7th Korea-Japan Workshop on Plasma Technology 

     More details

    Event date: 2008.7

    Language:English   Presentation type:Oral presentation (general)  

  2508. Fabrication of Nanowall using Radical Injection Plasma Enhanced Chemical Vapor Deposition and its Electrochemical Evaluation International conference

    7th Korea-Japan Workshop on Plasma Technology 

     More details

    Event date: 2008.7

    Language:English   Presentation type:Oral presentation (general)  

  2509. Advanced Plasma Diagnostics in Nagoya University Plasma Nanotechnology Research Center International conference

    7th Korea-Japan Workshop on Plasma Technology 

     More details

    Event date: 2008.7

    Language:English   Presentation type:Oral presentation (invited, special)  

  2510. Low-k Film Etch Process and Surface Reaction Employing a Fluorocarbon Gas with Low GWP International conference

    7th Korea-Japan Workshop on Plasma Technology 

     More details

    Event date: 2008.7

    Language:English   Presentation type:Oral presentation (invited, special)  

  2511. Development of Combinatorial Plasma Apparatus for Autonomous Nano-processing Technology International conference

    17th World INTERFINISH Congress & Exhibition 

     More details

    Event date: 2008.6

    Language:English   Presentation type:Oral presentation (general)  

  2512. Quantitative Measuring of Damage on Porous SiOCH by H2/N2 Plasma Ashing Process International conference

    17th World INTERFINISH Congress & Exhibition 

     More details

    Event date: 2008.6

    Language:English   Presentation type:Oral presentation (general)  

  2513. 実用レベルプラズマ酸化プロセス装置:SPAにおけるラジカル計測と参加機構解明へのアプローチ

    堀 勝

    SPA酸化膜交流会 

     More details

    Event date: 2008.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2514. プラズマを用いた加工技術

    堀 勝

    放電学会シンポジウム 

     More details

    Event date: 2008.6

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2515. 先進プラズマを用いた革新的表面加工技術

    堀 勝

    産業技術連携推進会議製造プロセス部会第15回表面技術分科会特別講演 

     More details

    Event date: 2008.6

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2516. BEOL向けエッチング技術と装置、エッチングソースの最新技術動向、エッチングガスの最新動向

    堀 勝

    Electronic Journal第191回テクニカルセミナー「32nmを睨むエッチング技術★徹底解説 

     More details

    Event date: 2008.6

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2517. Carbon nanowall formation by controlled irradiation of radicals and ions International conference

    2nd International Conference on New Diamond and Nano Carbons 

     More details

    Event date: 2008.5

    Language:English   Presentation type:Poster presentation  

  2518. Structural Control of N-doped Carbon Nanowalls using O2 Effect International conference

    2nd International Conference on New Diamond and Nano Carbons 

     More details

    Event date: 2008.5

    Language:English   Presentation type:Poster presentation  

  2519. 非平衡大気圧プラズマを用いたミドリカビの殺菌メカニズムの検証

    井関 紗千子、 山本 桂司、 太田 貴之、伊藤 昌文、 加納 浩之、東島 康裕、堀 勝

    電気学会プラズマ研究会(プラズマ一般) 

     More details

    Event date: 2008.5

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2520. Low-k Film Etch Process and Surface Reaction Employing a Fluorocarbon Gas with Low GWP May 14-16, 2008 International conference

    International workshop on merging state-of-the-art plasma science into novel technologies 

     More details

    Event date: 2008.5

    Language:English   Presentation type:Oral presentation (invited, special)  

  2521. Effect of Oxygen Gas Addition to C2F6/H2 Plasma on the Structure of Carbon Nanowalls International conference

    4th International Nanotechnology Conference on Communication and Cooperation (Nanotech in Japan) 

     More details

    Event date: 2008.4

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2522. 酸素添加ガスによるカーボンナノウォールの構造制御II

    竹内 和歌奈、徳田 豊、加納 浩之、平松 美根男、堀 勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2523. イオン・ラジカル照射によるカーボンナノウォールの作製

    近藤 真悟、ステパノヴィッチ・オリヴェラ、山川 晃司、田 昭治、平松 美根男、堀 勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2524. ラジカル制御によるグラフェンの構造制御と電気特性

    堀 勝、平松 美根男

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2525. 誘導結合型プラズマCVD法を用いたカーボンナノウォールの形成

    大平 真、菱川 貴文、平松 美根男、竹内 和歌奈、堀 勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2526. 単一橋架けカーボンナノウォールの作製

    三国 裕之、竹内 和歌奈、平松 美根男、加納 浩之、徳田 豊、堀 勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2527. 電子ビーム励起プラズマCVD法を用いたカーボンナノウォールの形成 (II)

    渡辺 均、森 貴照、平松 美根男、山川 晃司、竹内 和歌奈、竹田 圭吾、堀 勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2528. マイクロ波励起非平衡大気圧プラズマCVD法によるカーボンナノ構造体の作製

    乾 裕俊、増田 隆行、山川 晃司、加納 浩之、平松 美根男、堀 勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2529. レーザートムソン散乱を用いた二周波容量結合エッチングプラズマにおける電子密度と電子エネルギー分布計測

    安藤 賢一、竹田 圭吾、河野 悟司、久保田 和宏、輿水 地塩、河野 明廣、関根 誠、堀 勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2530. 自律型ナノエッチング装置の創成(II)

    高橋 俊次、高島 成剛、河内 良太、田 昭治、片桐 俊郎、加納 浩之、鈴木 達也、伊藤 昌文、堀 勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2531. 自律型ナノエッチング装置の創成(I)

    高橋 俊次、高島 成剛、河内 良太、田 昭治、片桐 俊郎、加納 浩之、鈴木 達也、伊藤 昌文、堀 勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2532. デスクトップ型コンビナトリアルプラズマナノプロセス解析装置の創成(I)

    文 昶盛、竹田 圭吾、高島 成剛、関根 誠、節原 裕一、白谷 正治、堀 勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2533. 脱温暖化のための新規フルオロカーボンガスを用いた高速low-k膜エッチングプロセス(I)

    柴田 英美、岡本 秀一、関根 誠、堀  勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2534. カーボンナノウォールに対するメタルコンタクト特性

    柏原 雅好、竹内 和歌奈、徳田 豊、加納 浩之、平松 美根男、堀 勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2535. 高速窒素原子・分子を用いた有機材料表面の窒化及びダメージの評価

    原 安寛、竹田 圭吾、高島 成剛、山川 晃司、田 昭冶、加納 浩之、豊田 浩孝、関根 誠、堀 勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2536. ポーラスSiOCH low-k膜へのアッシングダメージ発生機構の検討

    山本 洋、竹田 圭吾、関根 誠、堀 勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2537. 三相交流励起高密度非平衡大気圧プラズマの生成と高分子材料の表面改質プロセス

    乾 裕俊、岩崎 正博、加納 浩之、鈴木 保彦、須藤 大輔、中田 和彦、平松 美根男、堀 勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2538. 自律型四次元大気圧プラズマ製造装置の創成と応用

    堀 勝、高島 成剛、加納 浩之、天野 和男、戸田 仁、馬嶋 隆、中田 和彦

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2539. 新規超高電子密度(~1016cm-3)非平衡大気圧リモートプラズマ中の気相診断及びガラス表面洗浄プロセス

    松平 雄人、岩崎 正博、乾 裕俊、吉田 直史、天野 和男、加納 浩之、堀 勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2540. 超広帯域スーパーコンティニューム光源を用いた近赤外吸収分光法による大気圧プラズマ診断

    竹田 圭吾、太田 貴之、高島 成剛、西澤 典彦、伊藤 昌文、堀 勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2541. 希ガス添加酸素プラズマを用いたSi酸化膜形成メカニズムの解明

    竹田 圭吾、高島 成剛、堀 勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2542. 二周波励起容量結合型プラズマにおける真空紫外光、紫外光、ラジカル、イオンによるLow-k膜へのダメージ

    高島 成剛、斉藤 良太、内田 三郎、深沢 正永、大島 啓示、長畑 和典、辰巳 哲也、堀 勝

    2008年(平成20年)春季 第55回応用物理学関係連合講演会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2543. ナノアセンブリングシステム開発

    堀 勝

    愛知・名古屋地域知的クラスター創成事業最終報告会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2544. プラズマナノ科学を基盤とした先端プラズマによるナノ・マイクロ加工

    高島 成剛、堀 勝

    文部科学省 先端研究施設共用イノベーション創出事業ナノテクノロジー・ネットワーク中部地区ナノテク総合支援:ナノ材料創製加工と先端機器分析 平成19年度成果報告会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2545. プラズマのバイオ応用への展開―プラズマアグリカルチャー―

    堀 勝、伊藤 昌文、西澤 典彦

    プラズマ材料科学第153委員会第85回研究会「バイオ・プラズマ応用」 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2546. プラズマCVD法で作製したカーボンナノウォールの高速成長及び表面積制御

    柏原 雅好、丸山 茂敏、山川 晃司、平松 美根男、堀 勝

    日本機械学会関東支部第14期総会講演会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2547. プラズマCVD法で作製したカーボンナノウォールの成長メカニズム

    近藤 真悟、山川 晃司、平松 美根男、堀 勝

    日本機械学会関東支部第14期総会講演会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2548. Fabrication of Carbon Nanotube Film with Self-Assembled Conical Tips Using Microwave Plasma-Enhanced CVD

    1st International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2008.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2549. Fabrication of carbon nanostructures using inductively coupled plasma-enhanced chemical vapor deposition

    1st International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2008.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2550. Investigation of early phase of carbon nanowall formation process in a newly developed three-plasma experiment

    1st International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2008.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2551. Fabrication of Carbon Nanostructures using Non-Equilibrium Atmospheric Pressure Plasma CVD

    1st International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2008.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2552. Evaluating damage generation mechanism on porous SiOCH by H2 plasma ashing process

    1st International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2008.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2553. Porous Low-k Film Etching Process and its Surface Reactions Employing an Alternative Fluorocarbon Gas

    1st International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2008.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2554. Surface loss probability of nitrogen atom in process plasmas

    1st International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2008.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2555. Development of new remote plasma processes for flexible device fabrication

    1st International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2008.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2556. Structural Control of Carbon Nanowalls Using Oxygen Gas Addition to C2F6/H2 Plasma International conference

    1st International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2008.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2557. Pattern transfer of carbon nanowall into SiO2 film International conference

    1st International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2008.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2558. Radical Density Measurements in VHF C2F6/H2 Plasma with Radical Injection CVD Used for CNWs Fabrication International conference

    1st International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2008.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2559. Growth of carbon nanowalls using electron beam excited plasma-enhanced CVD International conference

    1st International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2008.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2560. イオン・ラジカル独立照射によるカーボンナノウォールの作製に関する研究

    近藤 真悟、高島 成剛、堀 勝

    科学研究費補助金特定領域研究「シリコンナのエレクトロニクスの新展開」―ポストスケーリングテクノロジー―第二回成果報告会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2561. カーボンナノウォールデバイス応用に向けたグラファイト構造制御

    竹内 和歌奈、高島 成剛、堀 勝

    科学研究費補助金特定領域研究「シリコンナのエレクトロニクスの新展開」―ポストスケーリングテクノロジー―第二回成果報告会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2562. 揺らぎフリー加工技術への挑戦―最新動向と展望

    堀 勝

    科学研究費補助金特定領域研究「シリコンナのエレクトロニクスの新展開」―ポストスケーリングテクノロジー―第二回成果報告会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2563. Carbon Nano Material Processing on the Basis of Plasma Nano Science International conference

    BK21 International Symposium on Prospects for Physics and Chemistry in the Next Decade 

     More details

    Event date: 2008.2

    Language:English   Presentation type:Oral presentation (keynote)  

  2564. 真空紫外レーザシステムによる吸収分光用光源の特性評価

    竹田 圭吾、高島 成剛、堀 勝

    第25回プラズマプロセシング研究会(SPP-25) 

     More details

    Event date: 2008.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2565. マルチマイクロホローカソード光源の発光特性

    太田 貴之、橘 善洋、田子多 直樹、伊藤 昌文、高島 成剛、東島 康裕、加納 浩之、田 昭治、堀 勝

    第25回プラズマプロセシング研究会(SPP-25) 

     More details

    Event date: 2008.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2566. 自律型プラズマナノ製造装置の創成

    高橋 俊次、高島 成剛、河内 良太、田 昭治、片桐 俊郎、加納 浩之、伊藤 昌文、堀 勝

    第25回プラズマプロセシング研究会(SPP-25) 

     More details

    Event date: 2008.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2567. 非平衡大気圧プラズマを用いたミドリカビの殺菌特性

    井関 紗千子、山本 桂司、太田 貴之、伊藤 昌文、加納 浩之、東島 康裕、堀 勝

    第25回プラズマプロセシング研究会(SPP-25) 

     More details

    Event date: 2008.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2568. ラジカル注入プラズマCVD法で作製したカーボンナノウォール構造における酸素ガス添加の効果

    竹内 和歌奈、徳田 豊、平松 美根男、加納 浩之、堀 勝

    第25回プラズマプロセシング研究会(SPP-25) 

     More details

    Event date: 2008.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2569. プラズマCVD法により作製したCNWの初期成長における酸素添加効果

    近藤 真悟、ステパノヴィッチ・オリヴェラ、山川 晃司、平松 美根男、堀 勝

    第25回プラズマプロセシング研究会(SPP-25) 

     More details

    Event date: 2008.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2570. プラズマCVD法で作製したカーボンナノウォールの金属接触の評価

    柏原 雅好、竹内 和歌奈、徳田 豊、加納 浩之、平松 美根男、堀 勝

    第25回プラズマプロセシング研究会(SPP-25) 

     More details

    Event date: 2008.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2571. 非平衡大気圧プラズマCVD法を用いたカーボンナノ構造体の作製

    増田 隆行、木村 美幸、平松 美根男、山川 晃司、堀 勝

    第25回プラズマプロセシング研究会(SPP-25) 

     More details

    Event date: 2008.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2572. 誘導結合型プラズマCVD法を用いたカーボンナノ構造体の作製

    菱川 貴文、大平 真、平松 美根男、堀 勝

    第25回プラズマプロセシング研究会(SPP-25) 

     More details

    Event date: 2008.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2573. 吸収分光計測技術

    堀 勝

    第1回名古屋大学プラズマナノ工学スクール先端プラズマ計測の基礎と応用 

     More details

    Event date: 2008.1

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2574. Si酸化プロセスにおける希ガス添加酸素プラズマ内の活性種の影響

    竹田 圭吾、高島 成剛、堀 勝

    第13回ゲートスタック研究会‐材料・プロセス・評価の物理‐ 

     More details

    Event date: 2008.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2575. Consideration of High Quality Silicon Film Deposition on the Basis of Plasma Science International conference

    6th International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2008.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2576. Synthesis of Graphen Sheet by Radical Controlled Plasma International conference

    6th International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2008.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2577. High Rate Deposition of Nano Crystalline Si Particles at Room Temperature International conference

    6th International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2008.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2578. Low-Temperature SiN Film Formation on Si and OLEDs by VHF-CCP System International conference

    6th International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2008.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2579. カーボンナノウォールに対する熱処理による効果

    柏原 雅好、竹内 和歌奈、高島 成剛、堀 勝

    科学研究費補助金特定領域研究「シリコンナのエレクトロニクスの新展開」―ポストスケーリングテクノロジー―第三回全体会議 

     More details

    Event date: 2007.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2580. カーボンナノウォールの形状制御と電気特性

    竹内 和歌奈、高島 成剛、堀 勝

    科学研究費補助金特定領域研究「シリコンナのエレクトロニクスの新展開」―ポストスケーリングテクノロジー―第三回全体会議 

     More details

    Event date: 2007.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2581. 低次元カーボン系チャネルエンジニアリング

    堀 勝

    科学研究費補助金特定領域研究「シリコンナのエレクトロニクスの新展開」―ポストスケーリングテクノロジー―第三回全体会議 

     More details

    Event date: 2007.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2582. 大気圧プラズマを用いた半導体デバイスプロセス

    堀 勝

    プラズマ・核融合学会第20回専門講習会「大気圧プラズマプロセス技術の基礎と応用」 

     More details

    Event date: 2007.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2583. プラズマCVDによるカーボンナノウォールの配向成長

    堀 勝

    カーボンナノチューブミニシンポジウム 

     More details

    Event date: 2007.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2584. Plasma Nano-Processing for Fabrication of New Functional Graphene Sheet Nano-Devices International conference

    5th International Conference on Advanced Materials and Devices 

     More details

    Event date: 2007.12

    Language:English   Presentation type:Oral presentation (invited, special)  

  2585. 最先端プラズマ装置の概略とプラズマ応用センターへの展望

    堀 勝

    第2回プラズマが拓くものづくり研究会 

     More details

    Event date: 2007.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2586. 超臨界CVDを用いたカーボンナノウォールへの触媒用白金微粒子担持

    町野 琢磨、堀 勝、加納 浩之、平松 美根男

    第18回日本MRS学術シンポジウム 

     More details

    Event date: 2007.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2587. ラジカル注入型プラズマCVD法を用いて作成したO2添加CNWの初期成長過程

    近藤 真悟、竹内 和歌奈、ステパノヴィッチ・オリヴェラ、山川 晃司、平松 美根男、堀 勝

    第18回日本MRS学術シンポジウム 

     More details

    Event date: 2007.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2588. Surface Cleaning Process and Its Reaction Mechanism for Flexible Electronics Employing Atmospheric Pressure N2/O2 Plasma International conference

    2007 Trans-Pacific Workshop on Flexible Electronics 

     More details

    Event date: 2007.12

    Language:English   Presentation type:Oral presentation (invited, special)  

  2589. 自律型ナノ製造装置とプラズマ応用技術について

    高島 成剛、堀 勝

    クラスタージャパン2007 テクノフェアビジネス・シーズ発表会 

     More details

    Event date: 2007.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2590. 明日の仕事に役立つプラズマエッチングの基礎と実例-半導体プロセスを中心として-

    堀 勝

    リアライズ理工センター主催セミナー 

     More details

    Event date: 2007.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2591. プラズマエッチングの基礎から最先端研究まで

    堀 勝

    先端融合研究会 

     More details

    Event date: 2007.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2592. 「学会・大学・科学館・小・中学校の連携による最新科学技術教材の開発」成果報告

    堀 勝

    (独)科学技術振興機構「研究者情報発信活動推進モデル事業」成果報告会 

     More details

    Event date: 2007.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2593. 分光分析を用いたプラズマナノ科学に基づくプロセス開発

    高島 成剛、堀 勝

    ナノテク部会第21回研究会「若手研究者のためのナノテク材料基礎セミナー 

     More details

    Event date: 2007.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2594. Growth of Carbon Nanowalls using Inductively Coupled Plasma-Enhanced Chemical Vapor Deposition

    International Symposium on Dry Process (DPS 2007) 

     More details

    Event date: 2007.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2595. Evaluation of Plasma Damages on Organic Low-k Film due to VUV Light, UV Light, Radicals and Ions

    International Symposium on Dry Process (DPS 2007) 

     More details

    Event date: 2007.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2596. Development and Characteristics of Nitrogen Radical Beam

    International Symposium on Dry Process (DPS 2007) 

     More details

    Event date: 2007.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2597. Space Resolved Density Measurements in Reactive Plasmas using Optical Probe for Atomic Radical Monitoring

    International Symposium on Dry Process (DPS 2007) 

     More details

    Event date: 2007.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2598. High Performance Etching of Porous Low-k SiOCH Employing an Alternative Fluorocarbon Gas

    International Symposium on Dry Process (DPS 2007) 

     More details

    Event date: 2007.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2599. Control of Organic Low-k Etching in 100MHz Capacitively Coupled H2/N2 Plasma Employing a Radical Sensor

    International Symposium on Dry Process (DPS 2007) 

     More details

    Event date: 2007.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2600. Morphology Control of Carbon Nanowalls using Radical Injection Plasma Enhanced CVD

    5th International Symposium on Control of Semiconductor Interfaces 

     More details

    Event date: 2007.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2601. 先進プラズマ計測科学に基づいた大気圧プラズマ有機膜ナノエッチングプロセス

    堀 勝

    第23回九州・山口プラズマ研究会 

     More details

    Event date: 2007.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2602. リモートプラズマ試験機のラジカル絶対密度

    堀 勝

    第46回スガウェザリング学術講演会 

     More details

    Event date: 2007.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2603. Diagnostics of Oxygen Based Surface Wave Plasmas Diluted by Rare Gas for Low Temperature Silicon Oxidation Processes International conference

    6th Pacific Rim International Conference on Advanced Materials and Processing 

     More details

    Event date: 2007.11

    Language:English   Presentation type:Oral presentation (general)  

  2604. Carbon Nanowall Fabrication using Radical-Controlled Plasma Processing: toward the Application for New Functional Devices International conference

    6th Pacific Rim International Conference on Advanced Materials and Processing 

     More details

    Event date: 2007.11

    Language:English   Presentation type:Oral presentation (general)  

  2605. Environmental-Friendship Etching Process of Low-k SiOCH Films Employing and Alternative Fluorocarbon Gas

    20th International Microprocesses and Nanotechnolgy Conference 

     More details

    Event date: 2007.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2606. Etching Processes of Carbon Nanowalls using Dual-Frequency Parallel-Plate Capacitively Coupled Plasma

    20th International Microprocesses and Nanotechnolgy Conference 

     More details

    Event date: 2007.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2607. リモートプラズマ試験機のラジカル絶対密度

    堀 勝

    第45回スガウェザリング学術講演会 

     More details

    Event date: 2007.10

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2608. Development of Atomic Radical Monitoring Probe for Spatial Distribution Measurements and its Application to Reactive Plasma Processes International conference

    American Vacuum Society 54th International Symposium & Exhibition 

     More details

    Event date: 2007.10

    Language:English   Presentation type:Oral presentation (general)  

  2609. Room Temperature Deposition of Silicon Nanoparticles using Pulse-Modulated UHF Plasma

    International 21st Century COE Symposium on Atomistic Fabrication Technology 2007 

     More details

    Event date: 2007.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2610. Field Emission from Carbon Nanowalls with MgO Coating

    International 21st Century COE Symposium on Atomistic Fabrication Technology 2007 

     More details

    Event date: 2007.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2611. Autonomously Controlled Fabrication Processing in Low-Pressure and Atmospheric-Pressure Plasmas with Radical Diagnostics

    International 21st Century COE Symposium on Atomistic Fabrication Technology 2007 

     More details

    Event date: 2007.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2612. Evaluation of Plasma Damage due to VUV Light, UV Light, Radicals, Ions and Interaction of Light and Radicals on Low-k Films International conference

    American Vacuum Society 54th International Symposium & Exhibition 

     More details

    Event date: 2007.10

    Language:English   Presentation type:Oral presentation (general)  

  2613. SiOCH Damage in N2/H2 Plasma International conference

    American Vacuum Society 54th International Symposium & Exhibition 

     More details

    Event date: 2007.10

    Language:English   Presentation type:Oral presentation (general)  

  2614. Evaluation and Control of Electric Conduction of Carbon Nanowalls Fabricated by Plasma-Enhanced CVD International conference

    American Vacuum Society 54th International Symposium & Exhibition 

     More details

    Event date: 2007.10

    Language:English   Presentation type:Oral presentation (general)  

  2615. Study of Growth Process and Structures of Carbon Nanowalls Synthesized Using Radical Injected Plasma Enhanced CVD International conference

    American Vacuum Society 54th International Symposium & Exhibition 

     More details

    Event date: 2007.10

    Language:English   Presentation type:Oral presentation (general)  

  2616. Optical Probe for Space Resolved Measurement of Atom Densities in Reactive Plasmas International conference

    60th Annual Gaseous Electronics Conference(GEC2007) 

     More details

    Event date: 2007.10

    Language:English   Presentation type:Oral presentation (general)  

  2617. Measurement of Absolute Carbon Atom Density in Reactive Plasmas using Vacuum Ultraviolet Absorption Spectroscopy with Microdischarge Hollow Cathode Lamp International conference

    60th Annual Gaseous Electronics Conference(GEC2007) 

     More details

    Event date: 2007.10

    Language:English   Presentation type:Oral presentation (general)  

  2618. Control of Structures of Carbon Nanowalls in Plasma Enhanced CVD International conference

    60th Annual Gaseous Electronics Conference(GEC2007) 

     More details

    Event date: 2007.10

    Language:English   Presentation type:Oral presentation (general)  

  2619. Radical Density Measurement in VHF C2F6/H2 Plasma used for Carbon Nanowall Growth International conference

    60th Annual Gaseous Electronics Conference(GEC2007) 

     More details

    Event date: 2007.10

    Language:English   Presentation type:Poster presentation  

  2620. Behavior of Excited Oxygen Atoms in Rare gas mixture O2 Surface Wave Excited Plasma International conference

    60th Annual Gaseous Electronics Conference(GEC2007) 

     More details

    Event date: 2007.10

    Language:English   Presentation type:Poster presentation  

  2621. Measurement of Ground State Oxygen Radical [O(3P)] in Surface Cleaning Process Employing Nonequilibrium Atmospheric-Pressure Pulsed Remote Plasma International conference

    60th Annual Gaseous Electronics Conference(GEC2007) 

     More details

    Event date: 2007.10

    Language:English   Presentation type:Poster presentation  

  2622. Development of Light Source using Micro Hollow Cathode Plasma for Monitoring Absolute Densities of Metal Atoms in Magnetron Sputtering International conference

    60th Annual Gaseous Electronics Conference(GEC2007) 

     More details

    Event date: 2007.10

    Language:English   Presentation type:Poster presentation  

  2623. Fabrication of Vertically Standing Carbon Nanowalls by Electron Beam Excited Plasma-Enhanced CVD International conference

    60th Annual Gaseous Electronics Conference(GEC2007) 

     More details

    Event date: 2007.10

    Language:English   Presentation type:Oral presentation (general)  

  2624. Growth Process of Carbon Nanowalls Fabricated Using Radical Injection Plasma Enhanced Chemical Vapor Deposition International conference

    60th Annual Gaseous Electronics Conference(GEC2007) 

     More details

    Event date: 2007.10

    Language:English   Presentation type:Oral presentation (general)  

  2625. Synthesis of Carbon Nanowalls and Challenge for New Functional Devices International conference

    60th Annual Gaseous Electronics Conference(GEC2007) 

     More details

    Event date: 2007.10

    Language:English   Presentation type:Oral presentation (invited, special)  

  2626. 大気圧プラズマの表面処理への応用-洗浄・親水・撥水・切削・耐磨耗-

    高島 成剛、堀 勝

    第1回プラズマが拓くものづくり研究会 

     More details

    Event date: 2007.10

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2627. プラズマバイオニクスの創成と進展

    堀 勝

    分子研研究会「分子情報通信(Molecular Communication)のサイエンス基盤」 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2628. Summary and Discussion on Flat-Panel and Flexible Devices

    6th Asian-European International Conference on Plasma Surface Engineering (AEPSE2007) “Workshop on Flat-Panel and Flexible Devices" 

     More details

    Event date: 2007.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2629. Control of Electric Conduction of Carbon Nanowalls

    2007 International Conference on Solid State Devices and Materials (SSDM) 

     More details

    Event date: 2007.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2630. Generation Mechanism of Etching Damages on Low-k SiOCH Films and Development of Novel Damage Evaluation Technique

    2007 International Conference on Solid State Devices and Materials (SSDM) 

     More details

    Event date: 2007.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2631. Si Oxidation Mechanism in Ar/O2 Surface Wave Plasma

    2007 International Conference on Solid State Devices and Materials (SSDM) 

     More details

    Event date: 2007.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2632. Technology of Radical Monitoring and Its Application to Plasma Nano Processes International conference

    ALTECH 2007 ECS Satellite Symposium Analytical Techniques for Semiconductor Materials and Process Characterization V 

     More details

    Event date: 2007.9

    Language:English   Presentation type:Oral presentation (general)  

    , University Munich, Munich, Germany, September 13-14, 2007

  2633. プラズマ計測の基礎

    堀 勝

    第1回プラズマエレクトロニクスインキュベーションホール 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2634. 自律型四次元大気圧プラズマ製造装置に関する研究開発

    堀 勝

    研究交流クラブ第110回定例会「平成18年度完了地域新生コンソーシアム研究開発事業成果報告 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2635. Fabrication of Carbon Nanowall in VHF Fluorocarbon/Hydrogen Plasma with Plasma Enhanced CVD International conference

    18th European Conference on Diamond, Diamond-Like Materials, Carbon Nanotubes, and Nitrides 

     More details

    Event date: 2007.9

    Language:English   Presentation type:Oral presentation (general)  

  2636. Fabrication of Carbon Nanowalls using Electron Beam Excited Plasma International conference

    18th European Conference on Diamond, Diamond-Like Materials, Carbon Nanotubes, and Nitrides 

     More details

    Event date: 2007.9

    Language:English   Presentation type:Oral presentation (general)  

  2637. プラズマによる産業革新

    堀 勝

    テクノ・フェア名大2007 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2638. パルス変調 UHF SiH4/H2 プラズマを用いたナノシリコン微粒子の室温高速合成(Ⅵ)

    高橋 栄治、知京 豊裕、堀 勝

    2007年秋季第68回応用物理学関係連合講演会 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2639. ラジカルCVDによるカーボン膜成長中のin-situ分光エリプソメトリー解析

    近藤 真悟、Olivera Stephanovic、山川 晃司、田 昭治、平松 美根男、堀 勝

    2007年秋季第68回応用物理学関係連合講演会 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2640. Etching Profile Characteristics of ICP Pre-etched Micro Cavities on (111) Silicon Wafer

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2641. 代替フルオロカーボンガスを用いたlow-k膜エッチングプロセス(IV)

    柴田 英美、岡本 秀一、関根 誠、堀 勝

    2007年秋季第68回応用物理学関係連合講演会 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2642. H2 プラズマによるSiOCH低誘電率膜へのダメージ評価とダメージ発生機構の解明 (Ⅰ)

    山本 洋、高橋 俊次、竹田 圭吾、河内 良太、田 昭治、関根 誠 堀 勝

    2007年秋季第68回応用物理学関係連合講演会 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2643. マルチマイクロホローカソード光源の開発(II)

    太田 貴之、橘 善洋、伊藤 昌文、高島 成剛、東島 康裕、加納 浩之、田 昭治、堀 勝

    2007年秋季第68回応用物理学関係連合講演会 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2644. 高エネルギーpsパルスによる超広帯域高精度スーパーコンティニューム光の生成

    西澤 典彦、堀 勝

    2007年秋季第68回応用物理学関係連合講演会 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2645. 超臨界CVDを用いたカーボンナノ構造体へのナノPt粒子形成とデバイスへの応用

    堀 勝、平松 美根男

    2007年秋季第68回応用物理学関係連合講演会 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2646. 真空紫外レーザ吸収分光法による原子状ラジカル計測用光源の評価

    高島 成剛、竹田 圭吾、堀 勝

    2007年秋季第68回応用物理学関係連合講演会 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2647. フッ素原子絶対密度計測用コンパクト真空紫外吸収分光システムの開発

    佐々木 元、高島 成剛、竹田 圭吾、堀 勝

    2007年秋季第68回応用物理学関係連合講演会 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2648. 高速ラジカルプロセス(Ⅲ) -N2分子ビーム及びNラジカルビームの挙動-

    原 安寛、高島 成剛、山川 晃司、田 昭冶、加納 浩之、豊田 浩孝、関根 誠、堀 勝

    2007年秋季第68回応用物理学関係連合講演会 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2649. 二周波励起容量結合型プラズマエッチングプロセスにおける真空紫外光、紫外光、ラジカル、イオンによるLow-k膜へのダメージ(IV)

    内田 三郎、高島 成剛、斉藤 良太、深沢 正永、大島 啓示、長畑 和典、辰巳 哲也、堀 勝

    2007年秋季第68回応用物理学関係連合講演会 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2650. 新規ラジカルプロセスの構築(Ⅰ)

    河野 悟司、竹田 圭吾、山川 晃司、前田 智宏、堀 勝

    2007年秋季第68回応用物理学会学術講演会 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2651. 大気圧非平衡パルスプラズマを用いた大面積クリーニングプロセスにおける酸化種の影響

    岩崎 正博、松平 雄人、伊藤 昌文、宮本 栄司、屋良 卓也、上原 剛、堀 勝

    2007年秋季第68回応用物理学関係連合講演会 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2652. パルス変調Ar/O2表面波プラズマにおける酸素原子の振舞い

    竹田 圭吾、高島 成剛、壁 義郎、北川 淳一、中西 敏雄、伊藤 仁、堀 勝

    2007年秋季第68回応用物理学関係連合講演会 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2653. ラジカル注入プラズマCVD法によるカーボンナノウォールの形状制御(Ⅱ)

    丸山 茂敏、山川 晃司、加納 浩之、平松 美根男、堀 勝

    2007年秋季第68回応用物理学関係連合講演会 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2654. 酸素添加ガスによるカーボンナノウォールの構造制御

    竹内 和歌奈、徳田 豊、平松 美根男、加納 浩之、堀 勝

    2007年秋季第68回応用物理学関係連合講演会 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2655. プラズマ窒化処理を施したカーボンナノウォールからの電界放出

    大林 友視、 山川 晃司、加納 浩之、平松 美根男、堀 勝

    2007年秋季第68応用物理学関係連合講演会 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2656. 超臨界CO2/有機金属を用いたカーボンナノウォールへの触媒用白金微粒子担持

    町野 琢磨、山川 晃司、平松 美根男、堀 勝

    2007年秋季第68回応用物理学関係連合講演会 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2657. Fabrication of Single-Walled Carbon Nanotube Film with Self-Assembled Conical Tips using Microwave Plasma-Enhanced CVD

    6th Asian-European International Conference on Plasma Surface Engineering (AEPSE2007) 

     More details

    Event date: 2007.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2658. Pattern Transfer of Carbon Nanowall into SiO2 Film

    6th Asian-European International Conference on Plasma Surface Engineering (AEPSE2007) 

     More details

    Event date: 2007.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2659. Synthesis of Carbon Nanowalls using Electron Beam Excited Plasma Enhanced Chemical Vapor Deposition

    6th Asian-European International Conference on Plasma Surface Engineering (AEPSE2007) 

     More details

    Event date: 2007.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2660. Silicon Slice using Atmospheric Pressure Microplasma

    6th Asian-European International Conference on Plasma Surface Engineering (AEPSE2007) 

     More details

    Event date: 2007.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2661. Radical Density Measurements in VHF C2F6/H2 Plasma with Radical Injection CVD used for Carbon Nanowall Fabrication

    6th Asian-European International Conference on Plasma Surface Engineering (AEPSE2007) 

     More details

    Event date: 2007.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2662. プラズマ診断技術、大気圧プラズマ技術と産業応用

    堀 勝

    プラズマが拓く新しいモノ創り~新機能表面技術へのチャレンジ~ 

     More details

    Event date: 2007.8

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2663. 45/32nm時代のエッチング技術

    堀 勝

     More details

    Event date: 2007.8

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2664. 低次元カーボン系チャネルエンジニアリング

    堀 勝

    科学研究費補助金特定領域研究「シリコンナのエレクトロニクスの新展開」―ポストスケーリングテクノロジー―第2回全体会議 

     More details

    Event date: 2007.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2665. Room Temperature and High Rate Deposition of Silicon Nanoparticles using Pulse-Modulated UHF Plasma

    18th International Symposium on Plasma Chemistry 

     More details

    Event date: 2007.8

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2666. Etching Properties of Carbon Nanowalls Fabricated Using Radical Injection Plasma Enhanced CVD

    18th International Symposium on Plasma Chemistry 

     More details

    Event date: 2007.8

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2667. Radical Monitoring Probe for Measurements of H, N, O and C Atomic Radical Densities in Reactive Process Plasmas

    18th International Symposium on Plasma Chemistry 

     More details

    Event date: 2007.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2668. Effect of Behaviors of Activated Species on Si Oxidation in Ar Diluted O2 Surface Wave Plasma on Production Level

    18th International Symposium on Plasma Chemistry 

     More details

    Event date: 2007.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2669. Gas Phase Diagnostics in Nonequilibrium Atmospheric-Pressure Pulsed Remote Plasma for Glass Cleaning

    18th International Symposium on Plasma Chemistry 

     More details

    Event date: 2007.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2670. Measurement of Absolute Carbon Atom Density in Reactive Plasma Using Vacuum Ultraviolet Absorption Spectroscopy

    18th International Symposium on Plasma Chemistry 

     More details

    Event date: 2007.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2671. Plasma Diagnostics on Multi-Micro Hollow Light Source

    18th International Symposium on Plasma Chemistry 

     More details

    Event date: 2007.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2672. Silicon Dicing Process Using and Atmospheric-Pressure Microplasma

    18th International Symposium on Plasma Chemistry 

     More details

    Event date: 2007.8

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2673. Development and Characteristics of Fast Radical Source

    18th International Symposium on Plasma Chemistry 

     More details

    Event date: 2007.8

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2674. Formation of SiN Films on Si Substrates and OLEDs at Low Temperature Using VHF-CCP and Improvement of the Properties

    18th International Symposium on Plasma Chemistry 

     More details

    Event date: 2007.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2675. Porous Low-k SiOCH Etching Technology by High-Precision Radical Control

    18th International Symposium on Plasma Chemistry 

     More details

    Event date: 2007.8

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2676. Plasma Etching Damages on Low-k Films due to VUV Light, UV Light, Radicals and Ions

    18th International Symposium on Plasma Chemistry 

     More details

    Event date: 2007.8

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2677. High Speed Growth Process of Carbon Nanowalls Using Radical Injection Plasma CVD

    18th International Symposium on Plasma Chemistry 

     More details

    Event date: 2007.8

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2678. Porous Low-k Film Etching Process and Its Diagnostics Employing and Alternative Fluorocarbon Gas

    18th International Symposium on Plasma Chemistry 

     More details

    Event date: 2007.8

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2679. Growth of Carbon Nanowalls using Electron Beam Excited Plasma-Enhanced Chemical Vapor Deposition

    18th International Symposium on Plasma Chemistry 

     More details

    Event date: 2007.8

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2680. Carbon Nanowalls with Dispersed Nanometal Particles and Evaluation of the Field Emission Properties

    18th International Symposium on Plasma Chemistry 

     More details

    Event date: 2007.8

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2681. Radical Density Measurements in VHF Fluorocarbon/Hydrogen Plasma with Radical Injection used for Carbon Nanowall Fabrication

    18th International Symposium on Plasma Chemistry 

     More details

    Event date: 2007.8

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2682. The Structure and Electric Conduction of Carbon Nanowalls using Radical Injection Plasma Enhanced CVD

    18th International Symposium on Plasma Chemistry 

     More details

    Event date: 2007.8

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2683. Transcription of Carbon Nanowall Pattern into SiO2 Film

    18th International Symposium on Plasma Chemistry 

     More details

    Event date: 2007.8

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2684. The Improvement of Field Emissions from Carbon Nanowalls by Hydrogen Plasma Treatment

    18th International Symposium on Plasma Chemistry 

     More details

    Event date: 2007.8

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2685. Effect of Kinds of Substrates on the Growth of Carbon Nanowalls

    18th International Symposium on Plasma Chemistry 

     More details

    Event date: 2007.8

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2686. Sterilization of Penicillium Digitatum using Nonequilibrium Atmospheric Pressure Plasma

    18th International Symposium on Plasma Chemistry 

     More details

    Event date: 2007.8

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2687. ラジカル計測・制御による自律型ナノプロセスの開発

    高島成剛、堀 勝

    理研シンポジウム第4回スリービーム技術による表面改質と解析 

     More details

    Event date: 2007.7

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2688. Low-Temperature Formation of Microcrystalline Si Films and Their Application to TFTs for Flexible Displays

    14th International Workshop on Active-Matrix Flat Panel Displays and Devices-TFT Technologies and Related Materials- (AM-FPD '07) 

     More details

    Event date: 2007.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2689. Generation Processes of O (3Pj) and O (1D2) Atoms in Oxygen and Krypton Mixture Surface Wave Excited Plasma International conference

    28th International Conference on Phenomena in Ionized Gases 

     More details

    Event date: 2007.7

    Language:English   Presentation type:Oral presentation (general)  

  2690. Near Infrared Absorption Spectroscopy with Supercontinuum Light for Measurement of Species in Non-EquilibriumAtmospheric Pressure Plasma International conference

    2nd Workshop on Infrared Plasma Spectroscopy 

     More details

    Event date: 2007.7

    Language:English  

  2691. Industrial Application of Infrared Diode Laser Absorption Spectroscopy International conference

    1st International Summer School on Infrared Plasma Spectroscopy 

     More details

    Event date: 2007.7

    Language:English  

  2692. Development of Compact Radical Monitoring Probe for Smart Nano Plasma Processing International conference

    28th International Conference on Phenomena in Ionized Gases 

     More details

    Event date: 2007.7

    Language:English   Presentation type:Oral presentation (general)  

  2693. Etching of Organic Low Dielectric Constant Film in 100MHz Capacitively Coupled H2/N2 Gases Plasmas International conference

    28th International Conference on Phenomena in Ionized Gases 

     More details

    Event date: 2007.7

    Language:English   Presentation type:Oral presentation (general)  

  2694. Development of Multi metallic Emission Array using Micro Hollow Cathode Plasma International conference

    28th International Conference on Phenomena in Ionized Gases 

     More details

    Event date: 2007.7

    Language:English   Presentation type:Oral presentation (general)  

  2695. Development of New High-Density Radical Sources and its Application to Radical Nitridation of Ge Surfaces

     More details

    Event date: 2007.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2696. Effect of Doped Carbon Nanowalls by Nitrogen Addition

     More details

    Event date: 2007.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2697. Pressure and VHF-Power Dependence of Carbon Nanowalls Employing Radical Infection Plasma Enhanced CVD

     More details

    Event date: 2007.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2698. Ar/H2 Plasma Etching Effect of Carbon Nanowalls Using Radical Injection Plasma Enhanced CVD

     More details

    Event date: 2007.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2699. Supporting Dispersed Pt Nanoparticles on CNWs and the Field Emission Measurement

     More details

    Event date: 2007.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2700. Pattern Transfer of Carbon Nanowalls into SiO2 Film

     More details

    Event date: 2007.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2701. Fabrication of Aligned Carbon Nanotube Film with Self-Assembled Conical Tips Using Microwave Plasma-Enhanced CVD

     More details

    Event date: 2007.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2702. Measurements of Radical Density in VHF Fluorocarbon/Hydrogen Plasma with Radical Injection Used for Carbon Nanowall Fabrication

     More details

    Event date: 2007.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2703. Effect of O2 Addition on Sterilization of Penicillium Digitatum Using Non-Equilibrium Atmospheric Pressure

     More details

    Event date: 2007.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2704. Study of Silicon Dicing Process Using Atmospheric Pressure Microplasma

     More details

    Event date: 2007.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2705. Measurement of O(3P) Concentration in Nonequilibrium Atmospheric-Pressure Pulsed Remote O2/N2 Plasma

     More details

    Event date: 2007.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2706. Behaviors of H and N Radicals in 100MHz Capacitively Coupled Plasma Using H2/N2 Mixture Gases for the Organic Low Dielectric Constant Film Etching

     More details

    Event date: 2007.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2707. Development and Characteristics of Fast Radical Source H2/N2 Mixture Gases for the Organic Low Dielectric Constant Film Etching

     More details

    Event date: 2007.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2708. Development of Atomic Radical Monitoring Probe and Its Application to Spatial Distribution Measurements in Material Process Plasmas

     More details

    Event date: 2007.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2709. Measurement Technique of Absolute Carbon Atom Density Using Vacuum Ultraviolet Absorption Spectroscopy with Microdischarge Hollow Cathode Lamp

     More details

    Event date: 2007.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2710. Plasma Damages on Low-k Films due to VUV Light, UV Light, Radical and Ion in Etching Process

     More details

    Event date: 2007.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2711. Effect of Species in Gas-Phase on Plasma Oxidation Process with Oxygen Based Surface Wave Plasma Diluted by Rare Gas

     More details

    Event date: 2007.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2712. Formation of SiN Films on Si Substrates at Low Temperature using VHF-CCP and Improvement of the Properties

     More details

    Event date: 2007.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2713. Low Temperature Deposition of Silicon Nanoparticles using Pulse-Modulated UHF SiH4/H2 Plasma

     More details

    Event date: 2007.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2714. Etching Profile Characteristics of ICP Pre-Etched Micro Cavities on (111) Silicon Wafer

     More details

    Event date: 2007.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2715. The Effect of MgO Coating on Carbon Nanowalls for Field Emission

     More details

    Event date: 2007.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2716. Development of Light Source for Simultaneous Monitoring of Multi Elements using Micro Hollow Cathode Plasma

     More details

    Event date: 2007.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  2717. Micro and Nano-Fabrication Processing on the Basis of Plasma Science

    9th International Symposium on Sputtering and Plasma Processes (ISSP 2007) 

     More details

    Event date: 2007.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2718. Plasma Etching Technology for Low-k Porous SiOCH Films International conference

    211th ECS Meeting 

     More details

    Event date: 2007.5

    Language:English   Presentation type:Oral presentation (invited, special)  

  2719. Gas Phase Diagnostics in Nonequilibrium Atmospheric-Pressure Pulsed Remote Plasma for SiO2 Etching and Glass Cleaning for Surface International conference

    5th International Symposium on Advanced Plasma Processes and Diagnostics & 1st International Symposium on Flexible Electronics Technology 

     More details

    Event date: 2007.4

    Language:English   Presentation type:Poster presentation  

  2720. Improvement of Electrical Characteristic and Mechanical Flexibility of Organic TFT Using Multi-Functional Organic Interlayer International conference

    5th International Symposium on Advanced Plasma Processes and Diagnostics & 1st International Symposium on Flexible Electronics Technology 

     More details

    Event date: 2007.4

    Language:English   Presentation type:Oral presentation (general)  

  2721. Advanced Plasma Cleaning and Surface modification Technology on Flexible Materials International conference

    5th International Symposium on Advanced Plasma Processes and Diagnostics & 1st International Symposium on Flexible Electronics Technology 

     More details

    Event date: 2007.4

    Language:English   Presentation type:Oral presentation (invited, special)  

  2722. Growth and Properties of Carbon Nono Walls (CNW) Using Radical Injection PECVD International conference

    5th International Symposium on Advanced Plasma Processes and Diagnostics & 1st International Symposium on Flexible Electronics Technology 

     More details

    Event date: 2007.4

    Language:English   Presentation type:Oral presentation (general)  

  2723. Development and Characteristics of Fast N Radical Source International conference

    5th International Symposium on Advanced Plasma Processes and Diagnostics & 1st International Symposium on Flexible Electronics Technology 

     More details

    Event date: 2007.4

    Language:English   Presentation type:Oral presentation (general)  

  2724. Evaluation of Plasma Induced Damages on Low-k Films International conference

    5th International Symposium on Advanced Plasma Processes and Diagnostics & 1st International Symposium on Flexible Electronics Technology 

     More details

    Event date: 2007.4

    Language:English   Presentation type:Oral presentation (general)  

  2725. Measurement of Absolute O (1D2) Atom Density in O2 Surface Wave Plasma Diluted by Rare Gas with Vacuum Ultraviolet Laser Absorption Spectroscopy International conference

    5th International Symposium on Advanced Plasma Processes and Diagnostics & 1st International Symposium on Flexible Electronics Technology 

     More details

    Event date: 2007.4

    Language:English   Presentation type:Poster presentation  

  2726. VUV Micro-Hollow Cathode Optical Probes for Space Resolved Absorption Measurements of Radicals in Smart Nanomaterial Processing International conference

    7th Workshop on Frontiers in Low Temperature Plasma Diagnostics 

     More details

    Event date: 2007.4

    Language:English   Presentation type:Oral presentation (invited, special)  

  2727. SiO2へのカーボンナノウォールの形状転写

    野田章夫、平松美根男、堀 勝

    第54回応用物理学会関係連合講演会 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2728. カーボンナノウォール成長における添加ガス効果

    竹内和歌奈、浦雅登、徳田 豊、平松美根男、加納浩之、堀 勝

    第54回応用物理学会関係連合講演会 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2729. 100MHz容量結合型水素・窒素混合ガスプラズマエッチングプロセス(I)

    山本 洋、高島成剛、河内良太、高橋俊次、田 昭治、堀 勝

    第54回応用物理学会関係連合講演会 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2730. 大気圧マイクロプラズマを用いたシリコンダイシング技術

    乾 裕俊、出野琢也、藤原裕之、増田 淳、近藤道雄、平松美根男、堀 勝

    第54回応用物理学会関係連合講演会 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2731. 電界電子放出特性におけるカーボンナノウォールへの金属微粒子担持効果

    町野琢磨、中村匡利、山川晃司、平松美根男、堀 勝

    第54回応用物理学会関係連合講演会 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2732. コンパクトマルチマイクロホロー光源を用いたスパッタプロセスの解析

    橘 善洋、山下 瞬、太田貴之、伊藤昌文、高島成剛、東島康裕、加納浩之、田 昭治、堀 勝

    第54回応用物理学会関係連合講演会 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2733. ラジカル注入プラズマCVD法によるカーボンナノウォールの形状制御

    丸山茂敏、山川晃司、加納浩之、平松美根男、堀 勝

    第54回応用物理学会関係連合講演会 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2734. ラジカル注入プラズマCVD法を用いて作製したカーボンナノウォールのエッチング特性

    近藤真悟、山川晃司、平松美根男、堀 勝

    第54回応用物理学会関係連合講演会 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2735. 電子ビーム励起プラズマCVD法を用いたカーボンナノウォールの形成

    森 貴照、平松美根男、山川晃司、竹田圭吾、堀 勝

    第54回応用物理学会関係連合講演会 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2736. ラジカル注入プラズマCVD装置によるカーボンナノウォールの高速成長

    山川晃司、田 昭治、片桐俊郎、加納浩之、平松美根男、堀 勝

    第54回応用物理学会関係連合講演会 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2737. Low-k膜エッチングにおける光(VUV、UV)、イオン、ラジカルの影響と低ダメージプロセス

    堀 勝

    第54回応用物理学会関係連合講演会 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2738. 非平衡大気圧プラズマを用いたミドリカビ菌の殺菌処理法の開発

    井関紗千子、青松哲純、太田貴之、伊藤昌文、加納浩之、東島康裕、堀 勝

    第54回応用物理学会関係連合講演会 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2739. 高密度ラジカルソースの開発とラジカル窒化プロセスへの応用

    小田繁尚、近藤博基、原安寛、高島成剛、酒井朗、小川正毅、財満鎭明、堀 勝、田 昭治、加納浩之

    第54回応用物理学会関係連合講演会 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2740. 量産型表面波励起プラズマ装置における添加希ガス種によるSi酸化プロセスへの影響

    竹田圭吾、高島成剛、塩澤俊彦、壁 義郎、北川淳一、中西敏雄、堀 勝

    第54回応用物理学会関係連合講演会 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2741. 高速ラジカルプロセス(II) -高速ArおよびNラジカルの挙動-

    原 安寛、高島成剛、山川晃司、田 昭冶、加納浩之、豊田浩孝、菅井秀郎、堀 勝

    第54回応用物理学会関係連合講演会 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2742. 大気圧非平衡プラズマによる大面積クリーニングプロセスと気相診断(II)

    岩崎正博、伊藤昌文、屋良卓也、上原 剛、堀 勝

    第54回応用物理学会関係連合講演会 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2743. 真空紫外吸収分光法による炭素原子絶対密度算出法の確立

    佐々木元、高島成剛、堀 勝

    第54回応用物理学会関係連合講演会 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2744. VHFプラズマを用いたカーボンナノウォール作製時におけるラジカル密度計測

    加藤 慧、佐々木元、高島正剛、平松美根男、堀 勝

    第54回応用物理学会関係連合講演会 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2745. 二周波励起容量結合型プラズマエッチングプロセスにおける真空紫外光、紫外光、ラジカル、イオンによるLow-k膜へのダメージ(III)

    高島成剛、内田三郎、深沢正永、大島啓示、長畑和典、辰巳哲也、堀 勝

    第54回応用物理学会関係連合講演会 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2746. N-H系プラズマによるSiOCHダメージの抑制

    深沢正永、辰巳哲也、長畑和典、内田三郎、高島正剛、堀 勝、上出幸洋

    第54回応用物理学会関係連合講演会 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2747. 空間分布計測用原子状ラジカルモニタリングプローブの開発とアッシングプロセスへの応用

    高島成剛、高橋俊次、山川晃司、田 昭治、加納浩之、堀 勝

    第54回応用物理学会関係連合講演会 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2748. VHF容量結合型プラズマを用いたSiN膜の低温形成及び有機デバイス保護膜への応用

    李 明龍、松原丈晃、竹田圭吾、増本祐介、森 竜雄、多田重和、早川晴仁、堀 勝

    第54回応用物理学会関係連合講演会 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2749. カーボンナノウォールへのMgOコーティングとその電界電子放出特性

    大林友視、山川晃司、加納浩之、平松美根男、堀 勝

    第54回応用物理学会関係連合講演会 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2750. カーボンナノウォールの創成とFEDへの応用

    堀 勝

    日本化学会第87春季年会 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2751. 大気圧プラズマ源によるシリコン酸化膜・有機膜の超高速エッチング技術

    堀 勝

    技術情報協会主催セミナー「ドライエッチング技術の基礎と半導体デバイス製造への応用」 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2752. フレキシブルデバイス用プラズマプロセスとダメージ評価

    堀 勝

    大阪大学接合科学研究所特別講演・研究集会「ソフトマテリアル・フレキシブルデバイス技術を探る」 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2753. 低次元カーボン系チャネルエンジニアリング -窒素ガス添加によるカーボンナノウォールの電気伝導特性の制御-

    堀 勝

    特定領域研究シリコンナノエレクトロニクスの新展開―ポストスケーリングテクノロジー― 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2754. 低次元カーボン系チャネルエンジニアリング -カーボンナノウォール形成プラズマにおける原子状ラジカルの挙動-

    高島成剛、堀 勝

    特定領域研究シリコンナノエレクトロニクスの新展開―ポストスケーリングテクノロジー― 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2755. 明日の仕事に役に立つ大気圧プラズマ技術の基礎と実例

    堀 勝

    リアライズ理工センター主催セミナー 

     More details

    Event date: 2007.2

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2756. プラズマを用いた加工技術

    堀 勝

    プラズマ応用技術シンポジウム~プラズマで拓く未来~ 

     More details

    Event date: 2007.2

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2757. 微小キャビティを用いた吸収分光センサシステムの開発

    高雄 悟、河村和幸、太田貴之、伊藤昌文、東島康裕、加納浩之、西澤典彦、堀 勝

    EIS-Cluster 第2回 産学連携研究成果発表会 

     More details

    Event date: 2007.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2758. 近赤外域における光ファイバ型吸収分光センサの開発

    小西隆二郎、葉田督治、太田貴之、伊藤昌文、高島成剛、東島康裕、加納浩之、田 昭治、堀 勝

    EIS-Cluster 第2回 産学連携研究成果発表会 

     More details

    Event date: 2007.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2759. スパッタプロセス中の多元素同時モニタリング

    橘 善洋、山下 瞬、太田貴之、伊藤昌文、高島成剛、東島康裕、加納浩之、田 昭治、堀 勝

    EIS-Cluster 第2回 産学連携研究成果発表会 

     More details

    Event date: 2007.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2760. コンパクトマルチマイクロホロー光源を用いたスパッタプロセス中の多元素同時モニタリング

    橘 善洋、山下 瞬、太田貴之、伊藤昌文、高島成剛、東島康裕、加納浩之、田 昭治、堀 勝

    第24回プラズマプロセシング研究会(SPP-24) 

     More details

    Event date: 2007.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2761. N2/H2混合ガスプラズマを用いたLow-k膜エッチングにおけるダメージ評価

    内田三郎、高島成剛、深沢正永、大島啓示、長畑和典、辰巳哲也、堀 勝

    第24回プラズマプロセシング研究会(SPP-24) 

     More details

    Event date: 2007.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2762. カーボンナノウォールの創製と応用

    堀 勝、平松美根男

    第24回プラズマプロセシング研究会(SPP-24) 

     More details

    Event date: 2007.1

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2763. 高速原子源の開発と特性評価

    原安寛、高島成剛、山川晃司、田 昭治、加納浩之、菅井秀郎、堀 勝

    第24回プラズマプロセシング研究会(SPP-24) 

     More details

    Event date: 2007.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2764. 大気圧非平衡パルスプラズマを用いた大型液晶用ガラス基板クリーニングプロセスと気相診断

    岩崎正博、伊藤昌文、屋良卓也、上原 剛、堀 勝

    第24回プラズマプロセシング研究会(SPP-24) 

     More details

    Event date: 2007.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2765. マイクロ波プラズマCVD法を用いた自己組織化円錐形状を有するカーボンナノチューブ膜

    出口高啓、平松美根男、堀 勝

    第24回プラズマプロセシング研究会(SPP-24) 

     More details

    Event date: 2007.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2766. 非平衡大気圧プラズマCVD法を用いたカーボンナノ構造体の形成

    増田隆行、平松美根男、山川晃司、堀 勝

    第24回プラズマプロセシング研究会(SPP-24) 

     More details

    Event date: 2007.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2767. ラジカル注入型プラズマCVD法を用いたカーボンナノウォールにおける初期成長過程の解析

    近藤真悟、河合信次、山川晃司、加納浩之、平松美根男、堀 勝

    第24回プラズマプロセシング研究会(SPP-24) 

     More details

    Event date: 2007.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2768. 電子ビーム励起プラズマCVD法を用いたカーボンナノウォールの形成

    森 貴照、平松美根男、山川晃司、竹田圭吾、堀 勝

    第24回プラズマプロセシング研究会(SPP-24) 

     More details

    Event date: 2007.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2769. 様々な基板上でのカーボンナノウォールの作製

    丸山茂敏、山川晃司、加納浩之、平松美根男、堀 勝

    第24回プラズマプロセシング研究会(SPP-24) 

     More details

    Event date: 2007.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2770. カーボンナノウォール作製用ラジカル注入型フルオロカーボン/水素VHFプラズマ中のラジカル計測

    加藤 慧、佐々木元、高島成剛、山川晃司、平松美根男、堀 勝

    第24回プラズマプロセシング研究会(SPP-24) 

     More details

    Event date: 2007.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2771. カーボンナノウォールからの電解電子放出における水素プラズマ処理効果

    大林友視、山川晃司、加納浩之、平松美根男、堀 勝

    第24回プラズマプロセシング研究会(SPP-24) 

     More details

    Event date: 2007.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2772. 電解電子放出特性におけるカーボンナノウォールへの高分散白金微粒子担持効果

    町野琢磨、中村匡利、山川晃司、平松美根男、堀 勝

    第24回プラズマプロセシング研究会(SPP-24) 

     More details

    Event date: 2007.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2773. 真空紫外吸収分光法を用いた炭素原子絶対密度算出法の確立

    佐々木元、高島成剛、堀 勝

    第24回プラズマプロセシング研究会(SPP-24) 

     More details

    Event date: 2007.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2774. Si酸化プロセス用量産型希ガス添加酸素表面波プラズマの気相診断

    堀 勝、竹田圭吾、高島成剛、塩澤俊彦、壁 義郎、北川淳一、中西俊雄

    第24回プラズマプロセシング研究会(SPP-24) 

     More details

    Event date: 2007.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2775. パルス変調UHFプラズマを用いたシリコンナノ微粒子の室温堆積

    高橋栄治、伊藤 優、知京豊裕、堀 勝

    第24回プラズマプロセシング研究会(SPP-24) 

     More details

    Event date: 2007.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2776. VHF SiH4/NH3プラズマを用いた有機EL用パッシベーション膜の低温形成とHe添加によるバリア性の向上

    李 明龍、松原丈晃、今枝弘幸、竹田圭吾、増元祐介、森 竜雄、多田重和、堀 勝

    第24回プラズマプロセシング研究会(SPP-24) 

     More details

    Event date: 2007.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2777. コンパクトプローブの開発とH,N,O及びCの計測

    高橋俊次、高島成剛、賈 学英、山川晃司、田 昭治、加納浩之、堀 勝

    第24回プラズマプロセシング研究会(SPP-24) 

     More details

    Event date: 2007.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2778. The silicon mold fabrication of a kind of micro-optical resonator and coupler International conference

    SPIE Photonics West 2007 

     More details

    Event date: 2007.1

    Language:English   Presentation type:Oral presentation (general)  

  2779. 大学から見た知的クラスターとは

    堀 勝

    クラスター・フォーラム2007パネルディスカッション 

     More details

    Event date: 2007.1

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2780. 先端プラズマ計測技術が拓くナノプロセス

    堀 勝

    日立国際電気富山工場 

     More details

    Event date: 2007.1

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2781. Fabrication of Carbon Nanowalls by Radical Controlled Plasma Process International conference

    M. Hiramatsu and M. Hori

    International Conference on Experimental Condensed Matter Physics (Advanced Nano Materials 2007) 

     More details

    Event date: 2007.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2782. Aligned growth of single-walled carbon nanotube films by the control of catalyst preparation International conference

    International Conference on Experimental Condensed Matter Physics (Advanced Nano Materials 2007) 

     More details

    Event date: 2007.1

    Language:English   Presentation type:Oral presentation (general)  

  2783. 先端プラズマモニタリング技術とスマートナノプロセス

    堀 勝

    ソニーセミコンダクタ九州株式会社長崎テクノロジーセンター 

     More details

    Event date: 2006.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2784. 有機low-kエッチングの表面反応制御

    深沢正永、辰巳哲也、大島啓示、清信吉広、鸙野信介、長畑和典、篠原啓二、上出幸洋、内田三郎、高島成剛、堀 勝

    応用物理学会シリコンテクノロジー分科会第89回研究集会 

     More details

    Event date: 2006.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2785. Si低温酸化のための酸素―希ガス混合表面波プラズマ中のO(1D2)、O(3P)原子の定量計測

    堀 勝、竹田圭吾、高島成剛、塩澤俊彦、壁義郎、北川淳一、中西敏雄

    応用物理学会シリコンテクノロジー分科会第89回研究集会 

     More details

    Event date: 2006.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2786. 高性能有機EL・ナノデバイス用封止膜のプラズマダメージフリー形成

    竹田圭吾、松原丈晃、李 明龍、増元祐介、森 竜雄、堀 勝

    21世紀COEプログラム「プラズマが拓くナノ情報デバイス」最終成果報告シンポジウム 

     More details

    Event date: 2006.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2787. 大気圧マイクロプラズマを用いたSiスライスエッチング

    出野琢也、乾 裕俊、堀 勝

    21世紀COEプログラム「プラズマが拓くナノ情報デバイス」最終成果報告シンポジウム 

     More details

    Event date: 2006.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2788. プラズマによる高精度ナノ加工プロセス技術の開発

    堀 勝

    21世紀COEプログラム「プラズマが拓くナノ情報デバイス」最終成果報告シンポジウム 

     More details

    Event date: 2006.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2789. ラジカル注入プラズマCVD法を用いたカーボンナノウォールの作製と形状制御

    竹内和歌奈、山川晃司、加納浩之、平松美根男、堀 勝

    21世紀COEプログラム「プラズマが拓くナノ情報デバイス」最終成果報告シンポジウム 

     More details

    Event date: 2006.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2790. 次世代Low-k膜のエッチング基礎反応過程の解明

    内田三郎、高島成剛、堀 勝

    21世紀COEプログラム「プラズマが拓くナノ情報デバイス」最終成果報告シンポジウム 

     More details

    Event date: 2006.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2791. 高速原子ビーム源の開発と基本特性の研究

    原 安寛、堀 勝

    21世紀COEプログラム「プラズマが拓くナノ情報デバイス」最終成果報告シンポジウム 

     More details

    Event date: 2006.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2792. 先進プラズマプロセス技術の研究開発

    堀 勝

    テクノ・シンポジウム名大「プラズマナノ工学研究センター」設立シンポジウム 

     More details

    Event date: 2006.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2793. カーボンナノウォールへの高分散白金担持とそのフィールドエミッション特性変化

    町野琢磨、堀 勝、中村匡利、平松美根男、山川晃司

    第17回日本MRS学術シンポジウム 

     More details

    Event date: 2006.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2794. 新型中性ビーム源の開発

    原安寛、高島成剛、堀 勝、菅井秀朗、山川晃司、田 昭治、加納浩之

    第17回日本MRS学術シンポジウム 

     More details

    Event date: 2006.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2795. パルス変調UHFプラズマを用いたシリコンナノ微粒子の室温高速合成

    高橋栄治、伊藤 優、知京豊裕、堀 勝

    第17回日本MRS学術シンポジウム 

     More details

    Event date: 2006.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2796. VHF容量結合型を用いたSiN膜の低温形成および有機デバイスへの応用

    李明龍、松原丈晃、今枝浩之、竹田圭吾、増元祐介、森竜雄、多田重和、早川晴仁、堀 勝

    第17回日本MRS学術シンポジウム 

     More details

    Event date: 2006.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2797. 電子ビーム励起プラズマCVD法を用いたカーボンナノウォールの作製

    森貴照、平松美根男、山川晃司、竹田圭吾、堀 勝

    第17回日本MRS学術シンポジウム 

     More details

    Event date: 2006.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2798. 非平衡大気圧プラズマCVD法を用いたカーボンナノチューブとカーボンナノウォールの形成

    増田隆行、木村美幸、平松美根男、堀 勝

    第17回日本MRS学術シンポジウム 

     More details

    Event date: 2006.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2799. 種々の基板に対するカーボンナノウォールの生成と形状制御

    丸山茂敏、大林友視、近藤真悟、堀 勝、山川晃司、加納浩之、平松美根男

    第17回日本MRS学術シンポジウム 

     More details

    Event date: 2006.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2800. 代替フルオロカーボンガスを用いたポーラスlow-k膜エッチングプロセス

    林孝行、佐々木元、柴田英美、堀 勝、岡本秀一

    第17回日本MRS学術シンポジウム 

     More details

    Event date: 2006.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2801. 電界電子放出特性におけるカーボンナノウォールの高さと間隔の影響

    大林友視、堀 勝、山川晃司、加納浩之、平松美根男

    第17回日本MRS学術シンポジウム 

     More details

    Event date: 2006.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2802. 低誘電率膜の光、ラジカル、イオンによるプラズマダメージの究明

    内田三郎、高島成剛、深沢正永、大島啓示、安藤厚博、長畑和典、辰巳哲也、堀 勝

    第17回日本MRS学術シンポジウム 

     More details

    Event date: 2006.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2803. 半導体製造現場でのラジカル計測の可能性と限界

    堀 勝

    「先端プラズマプロセス」講演会 

     More details

    Event date: 2006.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2804. Fast Deposition of Si Nona-Cluster Using a Remote Plasma CVD International conference

    4th International Workshop on Advanced Plasma Processing and Diagnostics & Thin Film Technology for Electronic Materials 

     More details

    Event date: 2006.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2805. Measurement of Ground and Metastable State Oxygen Species for Si Oxidation Process International conference

    4th International Workshop on Advanced Plasma Processing and Diagnostics & Thin Film Technology for Electronic Materials 

     More details

    Event date: 2006.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2806. Simultaneous Measurement of Absolute Densities of Metal Atoms in Magnetron Sputtering Employing Micro-Hollow-Cathode-Light Array International conference

    4th International Workshop on Advanced Plasma Processing and Diagnostics & Thin Film Technology for Electronic Materials 

     More details

    Event date: 2006.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2807. Formation of Carbon Nano-wall Employing Radical Infected Plasma CVD International conference

    4th International Workshop on Advanced Plasma Processing and Diagnostics & Thin Film Technology for Electronic Materials 

     More details

    Event date: 2006.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2808. High Rate Deposition of Silicon Nanoparticles Using Pulse Modulated UHF SiH4/H2 Plasma International conference

    6th International Symposium on Dry Process (DPS2006) 

     More details

    Event date: 2006.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2809. Ubiquitous Monitoring Probe for Atomic Radicals in Process Plasmas International conference

    6th International Symposium on Dry Process (DPS2006) 

     More details

    Event date: 2006.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2810. Surface Reactions during Low-k Etching Using N-H Plasma International conference

    6th International Symposium on Dry Process (DPS2006) 

     More details

    Event date: 2006.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2811. Field Emission Properties of Carbon Nanowalls Decorated with Dispersed Platinum Nanoparticles International conference

    6th International Symposium on Dry Process (DPS2006) 

     More details

    Event date: 2006.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2812. O(1D2) Atoms Detection in O2 and Rare Gas Mixture Surface Wave Plasmas for 200mm Si Wafer Oxidation Process on Production Level International conference

    6th International Symposium on Dry Process (DPS2006) 

     More details

    Event date: 2006.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2813. Carbon Nanotube and Nanowall Formations Employing Non-equilibrium Atmospheric Pressure Plasma CVD International conference

    6th International Symposium on Dry Process (DPS2006) 

     More details

    Event date: 2006.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2814. Development of Measurement Technique of Absolute Carbon Atom Densities in Reactive Plasma Processes Using Vacuum Ultraviolet Absorption Spectroscopy International conference

    6th International Symposium on Dry Process (DPS2006) 

     More details

    Event date: 2006.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2815. Low Temperature Formation of SiN Films Using VHF Capacitively Coupled Plasma and Application to Passivation Films for Organic Devices International conference

    6th International Symposium on Dry Process (DPS2006) 

     More details

    Event date: 2006.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2816. 第2回Sゼミ

    堀 勝

     More details

    Event date: 2006.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2817. カーボンナノウォールの合成と応用

    堀 勝、平松美根男

    プラズマ核融合学会第23回年会学術シンポジウム 

     More details

    Event date: 2006.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2818. 大気圧プラズマを用いた殺菌に関する研究

    青松哲純、井関紗千子、太田貴之、伊藤昌文、加納浩之、堀 勝

    平成18年電気関係学会関西支部連合大会 

     More details

    Event date: 2006.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2819. 明日の仕事に役に立つプラズマエッチングの基礎と実例―半導体プロセスを中心としてー

    堀 勝

    リアライズ理工センター主催セミナー 

     More details

    Event date: 2006.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2820. プラズマCVDを用いたカーボンナノウォールの成長

    堀 勝

    第22回九州・山口プラズマ研究会 

     More details

    Event date: 2006.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2821. Systematically Evaluation of Etching Damage of Light, Radicals and Ions on Low-k Porous SiOCH Films International conference

    AVS 53rd International Symposium 

     More details

    Event date: 2006.11

    Language:English   Presentation type:Oral presentation (general)  

  2822. Development of Multi-Micro Cathode Lamp with Metallic-Element-Emission Array International conference

    AVS 53rd International Symposium 

     More details

    Event date: 2006.11

    Language:English   Presentation type:Oral presentation (general)  

  2823. 大学発「もの創り」によるエレクトロニクスの革新

    堀 勝

    愛知県立西尾高等学校 

     More details

    Event date: 2006.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2824. プラズマによるカーボンナノウォールの創成と応用

    堀 勝

    第33回アモルファスセミナー 

     More details

    Event date: 2006.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2825. パルス変調UHF SiH4/H2 プラズマを用いたナノ結晶シリコンの室温合成

    高橋栄治、伊藤 優、堀 勝、知京豊裕

    第33回アモルファスセミナー 

     More details

    Event date: 2006.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2826. Nanofabrication Using Carbon Nanowalls and Challenge for New Functional Devices International conference

    2006 International Microprocesses and Nanotechnology Conference (MNC 2006) 

     More details

    Event date: 2006.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2827. Initial Growth Process of Carbon Nanowalls Using Radical Injection Plasma Enhanced CVD International conference

    2006 International Microprocesses and Nanotechnology Conference (MNC 2006) 

     More details

    Event date: 2006.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2828. プラズマCVDによるカーボンナノ構造体の形

    平松美根男、堀 勝

    第7回カーボンナノチューブを利用した複合材の開発研究会 

     More details

    Event date: 2006.10

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2829. Diagnostics of Non-equilibrium Atmospheric-Pressure Pulsed Remote Plasma for SiO2 Etching International conference

    6th Korea-Japan Symposium on Plasma and Thin Film Technology, 

     More details

    Event date: 2006.10

    Language:English   Presentation type:Oral presentation (general)  

  2830. Development and Characteristics of Fast Radical Source International conference

    6th Korea-Japan Symposium on Plasma and Thin Film Technology 

     More details

    Event date: 2006.10

    Language:English   Presentation type:Oral presentation (general)  

  2831. Damages due to Light, Radicals and Ions on Low-k Porous SiOCH Films in Plasma Etching Processes International conference

    6th Korea-Japan Symposium on Plasma and Thin Film Technology, OA-20 

     More details

    Event date: 2006.10

    Language:English   Presentation type:Oral presentation (general)  

  2832. プラズマプロセスを用いた微細加工技術

    堀 勝

    光ナノサイエンス特別講演 

     More details

    Event date: 2006.10

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2833. プラズマCVDによるカーボンナノ構造体の合成

    平松美根男、堀 勝

    (社)表面技術協会第14回『ナノテク部会』研究会 

     More details

    Event date: 2006.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2834. ユビキタスラジカルモニター

    高島成剛、加納浩之、山田康裕、田 昭二、前田知宏、堀 勝

    第17回プラズマエレクトロニクス講習会 

     More details

    Event date: 2006.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2835. ナノテクノロジーを使った新しいモノづくり

    堀 勝

    平成18年度名古屋大学公開講座 

     More details

    Event date: 2006.10

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2836. プラズマ微細加工の最近の進展

    堀 勝

    日本学術振興会薄膜第131委員会第232回研究会 

     More details

    Event date: 2006.10

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2837. 大気圧プラズマ研究の動向

    堀 勝

    積水化学工業第10回共同研究合同検討会 

     More details

    Event date: 2006.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2838. Fabrication Carbon Nanowalls and Carbon Nanotubes Using Plasma Processing International conference

    Plasma Seminar 

     More details

    Event date: 2006.9

    Language:English   Presentation type:Oral presentation (invited, special)  

  2839. Electrical Characterization of Carbon Nanowalls International conference

    International Conference on Solid State Devices and Materials 

     More details

    Event date: 2006.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2840. Area-selective Growth of Dense, Aligned Single-walled Carbon Nanotube Film Using Microwave Plasma-enhanced Chemical Vapor Deposition International conference

    10th International Conference on Plasma Surface Engineering (PSE2006) 

     More details

    Event date: 2006.9

    Language:English   Presentation type:Oral presentation (general)  

  2841. Fabrication of Dense, Aligned Single-Walled Carbon Nanotube Film using Microwave Plasma-Enhanced Chemical Vapor Deposition International conference

    10th International Conference on Plasma Surface Engineering (PSE2006) 

     More details

    Event date: 2006.9

    Language:English   Presentation type:Oral presentation (general)  

  2842. Fabrication of Carbon Nanowalls Using Novel Plasma Processing International conference

    10th International Conference on Plasma Surface Engineering (PSE2006) 

     More details

    Event date: 2006.9

    Language:English   Presentation type:Oral presentation (invited, special)  

  2843. Area-selective Growth of Aligned Single-walled Carbon Nanotube Films Using Microwave Plasma-enhanced CVD International conference

    17th European Conference on Diamond 

     More details

    Event date: 2006.9

    Language:English   Presentation type:Oral presentation (general)  

  2844. VHF SiH4/NH3 プラズマを用いた窒化シリコン膜の低温形成

    松原丈晃、李 明龍、今枝弘幸、竹田圭吾、増元祐介、森 竜雄、多田重和、早川晴仁、堀 勝

    2006年秋季第67回応用物理学関係連合講演会 

     More details

    Event date: 2006.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2845. 大気圧非平衡プラズマによる大面積クリーニングプロセスと気相診断

    岩崎正博、伊藤昌文、屋良卓也、上原 剛、堀 勝

    2006年秋季第67回応用物理学関係連合講演会 

     More details

    Event date: 2006.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2846. 量産型Ar/O2表面波プラズマ装置を用いたSi酸化プロセスのレーザ気相診断

    竹田圭吾、高島成剛、堀 勝、塩澤俊彦、壁 義郎、北川淳一、中西敏雄

    2006年秋季第67回応用物理学関係連合講演会 

     More details

    Event date: 2006.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2847. ラジカル注入プラズマCVD法を用いたカーボンナノウォールの初期構造の解明

    近藤真悟、山川晃司、河合信次、田 昭治、加納浩之、平松美根男、堀 勝

    2006年秋季第67回応用物理学関係連合講演会 

     More details

    Event date: 2006.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2848. 種々の基板に対するカーボンナノウォールの形成とその形状制御

    丸山茂敏、大林友視、近藤真悟、山川晃司、河合信次、加納浩之、平松美根男、堀 勝

    2006年秋季第67回応用物理学関係連合講演会 

     More details

    Event date: 2006.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2849. カーボンナノウォールの表面構造評価

    河合信次、近藤真悟、加納浩之、平松美根男、堀 勝

    2006年秋季第67回応用物理学関係連合講演会 

     More details

    Event date: 2006.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2850. 光、ラジカル、イオンによるLow-k膜ダメージ(II)

    高島成剛、内田三郎、大島啓示、長畑和典、辰巳哲也、堀 勝

    2006年秋季第67回応用物理学関係連合講演会 

     More details

    Event date: 2006.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2851. 代替フルオロカーボンガスを用いたlow-k膜エッチングプロセス(Ⅲ)

    林 孝行、佐々木元、岡本秀一、堀 勝

    2006年秋季第67回応用物理学関係連合講演会 

     More details

    Event date: 2006.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2852. マイクロ波プラズマCVD法を用いた単層カーボンナノチューブ膜の作製

    出口高啓、平松美根男、堀 勝

    2006年秋季第67回応用物理学関係連合講演会 

     More details

    Event date: 2006.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2853. 高速ラジカルプロセス(Ⅰ) -高速ラジカル源の開発-

    原 安寛、高島成剛、山川晃司、田 昭冶、加納浩之、堀 勝、菅井秀郎

    2006年秋季第67回応用物理学関係連合講演会 

     More details

    Event date: 2006.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2854. uJ・psファイバレーザーを用いた超広帯域SC光の生成

    西澤典彦、堀 勝

    2006年秋季第67回応用物理学関係連合講演会 

     More details

    Event date: 2006.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2855. マイクロアーク光の発生(III)

    伊藤治彦、加納浩之、堀 勝

    2006年秋季第67回応用物理学関係連合講演会 

     More details

    Event date: 2006.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2856. パルス変調 UHF SiH4/H2 プラズマを用いたナノシリコン微粒子の室温高速合成(Ⅴ)

    伊藤 優、高橋栄治、知京豊裕、堀 勝

    2006年秋季第67回応用物理学関係連合講演会 

     More details

    Event date: 2006.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2857. カーボンナノウォールにおける電界電子放出特性(II)

    大林友視、山川晃司、加納浩之、平松美根男、堀 勝

    2006年秋季第67回応用物理学関係連合講演会 

     More details

    Event date: 2006.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2858. まとめーモニタリングが開くプラズマプロセス科学とナノ製造世界拠点を目指してー

    堀 勝

    2006年秋季第67回応用物理学関係連合講演会 

     More details

    Event date: 2006.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2859. カーボンナノウォール技術とグラフェンシートデバイスの創成

    堀 勝、平松美根男

    TEL Advanced Technology Forum 2006 

     More details

    Event date: 2006.8

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2860. Sゼミ「非バインダー系成膜技術」

    堀 勝

     More details

    Event date: 2006.7

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2861. Morphology Control in the Growth Process of Carbon Nanowalls Using Radical Injection Plasma Enhanced CVD International conference

    The 8th Asia-Pacific Conference on Plasma Science and Technology (APCPST) 

     More details

    Event date: 2006.7

    Language:English   Presentation type:Oral presentation (general)  

  2862. Development of Multi-Micro Hollow Cathode Lamp for Metallic Element-Quantitative Analysis International conference

    The 8th Asia-Pacific Conference on Plasma Science and Technology (APCPST) 

     More details

    Event date: 2006.7

    Language:English   Presentation type:Oral presentation (general)  

  2863. 最先端プラズマナノプロセス

    堀 勝

    「先端プラズマプロセス」講演会 

     More details

    Event date: 2006.6

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2864. Infrared Diode Laser Absorption Spectroscopy for Measurement of Fluorocarbon Radicals in 60MHz Capacitively Coupled Etching Plasma Process International conference

    1st International Workshop on Infrared Plasma Spectroscopy (IPS 2006) 

     More details

    Event date: 2006.6

    Language:English   Presentation type:Oral presentation (invited, special)  

  2865. Radical Controlled Plasma Nano Processes International conference

    Seminar on Plasma Diagnostics and Material Plasma Processicng 

     More details

    Event date: 2006.6

    Language:English   Presentation type:Oral presentation (invited, special)  

  2866. Carbon Nanowalls Formation by Radical-Controlled Plasma Process International conference

    International Conferences on Modern Materials & Technologies (CIMTEC 2006) 

     More details

    Event date: 2006.6

    Language:English   Presentation type:Oral presentation (general)  

  2867. ラジカル制御プラズマプロセス

    堀 勝

    第18回アルバックシンポジウム「ラジカル源とその応用」 

     More details

    Event date: 2006.6

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2868. プラズマ・教育

    堀 勝

    先端技術講演会 

     More details

    Event date: 2006.5

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2869. 高密度プラズマを用いた高速CVD技術

    堀 勝

    応用電子物性分科会研究例会「先端半導体デバイス製造技術を押し上げる最近の低温薄膜形成技術」 

     More details

    Event date: 2006.5

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2870. Measurement of 3D Distribution of CF2 Radical in Non-equilibrium Atmospheric Pressure Pulsed Micro-gap Plasma International conference

    3rd International Workshop on Microplasmas 

     More details

    Event date: 2006.5

    Language:English   Presentation type:Oral presentation (general)  

  2871. Development of Neutral Beam Source and Its Characteristic of Charge Exchange International conference

    Japanese-German Student Workshop on Plasma Science and Technology 

     More details

    Event date: 2006.5

    Language:English   Presentation type:Oral presentation (general)  

  2872. Diagnostics of Particles in O2/Kr Surface Wave Excited Plasma International conference

    Japanese-German Student Workshop on Plasma Science and Technology 

     More details

    Event date: 2006.5

    Language:English   Presentation type:Oral presentation (general)  

  2873. Development of Neutral Beam Source and Its Characteristic of Charge Exchange International conference

    2nd International COE Forum on Plasma Science and Technology 

     More details

    Event date: 2006.5

    Language:English   Presentation type:Oral presentation (general)  

  2874. Diagnostics of Particles in O2/Kr Surface Wave Excited Plasma International conference

    2nd International COE Forum on Plasma Science and Technology 

     More details

    Event date: 2006.5

    Language:English   Presentation type:Oral presentation (general)  

  2875. Synthesis of Carbon Nanowall Employing Radical Controlled Plasma Enhanced Chemical Vapor Deposition International conference

    2nd International COE Forum on Plasma Science and Technology 

     More details

    Event date: 2006.5

    Language:English   Presentation type:Oral presentation (general)  

  2876. プラズマが拓くナノテクノロジーと安心安全・健康長寿の世界

    堀 勝

    第3回科学知総合研究所(SKIL)フォーラム 

     More details

    Event date: 2006.4

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2877. Measurement of CF and CF2 Radicals in Non-equilibrium Atmospheric Pressure Pulsed C4F8/Ar Plasma International conference

    The 3rd International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2006.4

    Language:English   Presentation type:Oral presentation (general)  

  2878. GaN Etching Employing ICP Plasma and Evaluation of Electrical Damages International conference

    The 3rd International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2006.4

    Language:English   Presentation type:Oral presentation (general)  

  2879. Diagnostics and Control of Radicals in ULSI Plasma Processing International conference

    The 3rd International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2006.4

    Language:English   Presentation type:Oral presentation (invited, special)  

  2880. Formation of Carbon Nanostructures Employing Plasma Enhanced CVD International conference

    The 3rd International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2006.4

    Language:English   Presentation type:Oral presentation (invited, special)  

  2881. Compact Radical Monitoring System for Plasma Nano Processing International conference

    The 3rd International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2006.4

    Language:English   Presentation type:Oral presentation (general)  

  2882. Study of Initial Growth Stages in the Carbon Nanowall Formation Employing Ellipsometry International conference

    The 3rd International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2006.4

    Language:English   Presentation type:Oral presentation (general)  

  2883. Electrical Characteristics in Carbon Nanowall Devices International conference

    The 3rd International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2006.4

    Language:English   Presentation type:Oral presentation (general)  

  2884. Single-Walled Carbon Nanotube Formation with Ultrahigh Growth Rate International conference

    The 3rd International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2006.4

    Language:English   Presentation type:Oral presentation (general)  

  2885. Carbon Nanotube and Nanowall Formations Employing Nonequilibrium Atmospheric Pressure Plasma CVD International conference

    The 3rd International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2006.4

    Language:English   Presentation type:Oral presentation (general)  

  2886. Formation of Carbon Nanaowalls Employing Radical Infected Plasma CVD and Its Diagnostics International conference

    The 3rd International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2006.4

    Language:English   Presentation type:Oral presentation (general)  

  2887. Micro-nano Fabrications Employing Electron Beam Excited Plasma and their Diagnostics International conference

    The 3rd International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2006.4

    Language:English   Presentation type:Oral presentation (general)  

  2888. Radical Temperature Measurement Using Laser Absorption Spectroscopy in VHF SiH4 Plasma International conference

    The 3rd International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2006.4

    Language:English   Presentation type:Oral presentation (general)  

  2889. Laser Diagnostics of Ground and Excited States of Oxygen Atoms in 300 mmφWafer Surface Wave Plasma Processing International conference

    The 3rd International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2006.4

    Language:English   Presentation type:Oral presentation (general)  

  2890. Study of the Fundamental Etching Reactions of Organic Low-k Films International conference

    The 3rd International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2006.4

    Language:English   Presentation type:Oral presentation (general)  

  2891. カーボンナノウォールの合成とデバイス応用

    堀 勝

    大阪大学接合科学研究所共同研究集会「次世代ディスプレイ技術を探る(仮題)」 

     More details

    Event date: 2006.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2892. 大気圧フロン系ガスプラズマによるSiO2膜エッチングと気相診断(VII)

    岩崎正博、伊藤昌文、上原 剛、堀  勝

    第53回応用物理学関係連合講演会 

     More details

    Event date: 2006.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2893. スマートプラズマナノプロセス

    堀  勝

    第53回応用物理学関係連合講演会 

     More details

    Event date: 2006.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2894. 真空紫外レーザシステムを用いた新規マイクロホローカソード光源の評価

    竹田圭吾、久保田良規、高島成剛、堀  勝

    第53回応用物理学関係連合講演会 

     More details

    Event date: 2006.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2895. VHF容量結合型プラズマを用いたSiN膜低温形成による有機EL素子の長寿命化(II)

    松原丈晃、竹田圭吾、伊藤貴昭、森 竜雄、多田重和、早川晴仁、堀  勝

    第53回応用物理学関係連合講演会 

     More details

    Event date: 2006.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2896. ホローカソード型プラズマ源の開発

    原 安寛、海老原 勝、加納浩之、田 昭冶、堀  勝

    第53回応用物理学関係連合講演会 

     More details

    Event date: 2006.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2897. マルチマイクロホローカソード光源の開発

    種田諭志、太田貴之、伊藤昌文、高島成剛、加納浩之、田 昭治、堀  勝

    第53回応用物理学関係連合講演会 

     More details

    Event date: 2006.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2898. プラズマサイエンスブレークスルーによる究極のエッチング技術

    堀  勝

    第53回応用物理学関係連合講演会 

     More details

    Event date: 2006.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2899. N2/H2プラズマによる有機Low-k膜加工における形状制御

    清信吉広、大島啓示、長畑和典、辰巳哲也、上出幸洋、内田三郎、高島成剛、堀  勝

    第53回応用物理学関係連合講演会 

     More details

    Event date: 2006.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2900. ラジカル注入型イオンビームを用いた有機Low-k膜のエッチング基礎反応過程(III)

    内田三郎、高島成剛、大島啓示、長畑和典、安藤厚博、辰巳哲也、堀  勝

    第53回応用物理学関係連合講演会 

     More details

    Event date: 2006.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2901. ラジカル・イオン密度制御によるダメージフリー対Low-k(SiOCH)レジストアッシング

    堀  勝、杉浦幹在、竹田圭吾、田原 慈、久保田和宏

    第53回応用物理学関係連合講演会 

     More details

    Event date: 2006.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2902. 代替フルオロカーボンガスを用いたlow-k膜エッチングプロセス(II)

    林 孝行、永井幹雄、早川雪絵、岡本秀一、堀  勝

    第53回応用物理学関係連合講演会 

     More details

    Event date: 2006.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2903. カーボンナノウォールの電界電子放出特性の水素プラズマ処理効果

    大林友視、山川晃司、加納浩之、平松美根男、堀  勝

    第53回応用物理学関係連合講演会 

     More details

    Event date: 2006.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2904. UHF SiH4/H2 プラズマを用いた微結晶シリコン薄膜の形成とその気相診断

    今枝弘幸、堀 勝、知京豊裕

    第53回応用物理学関係連合講演会 

     More details

    Event date: 2006.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2905. パルス変調 UHF SiH4/H2プラズマを用いたナノシリコン微粒子の室温高速合成(IV)

    伊藤 優、高畑正史、知京豊裕、堀  勝

    第53回応用物理学関係連合講演会 

     More details

    Event date: 2006.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2906. 光、ラジカル、イオンによるLow-k膜ダメージ

    高島成剛、内田三郎、大島啓示、長畑和典、辰巳哲也、堀  勝

    第53回応用物理学関係連合講演会 

     More details

    Event date: 2006.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2907. Densities and Temperatures of Fluorocarbon Species in Nano/Micro Plasma Etching Processing International conference

    6th International Workshop on Fluorocarbon Plasmas 

     More details

    Event date: 2006.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2908. Growth of Carbon Nanowalls using Flurocarbon Plasma-Enhanced Chemical Vapor Deposition International conference

    6th International Workshop on Flurocarbon Plasmas 

     More details

    Event date: 2006.3

    Language:English   Presentation type:Oral presentation (general)  

  2909. 半導体プロセスにおけるエッチング技術

    堀 勝

    リアライズ理工センター/サイペック㈱主催セミナー 

     More details

    Event date: 2006.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2910. ラジカルモニター内蔵自律型ナノ製造装置の開発

    堀 勝

    「東海地域クラスターフォーラム」における成果発表会 

     More details

    Event date: 2006.2

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2911. Synthesis of Vertically Aligned Carbon Nanowalls using Plasma Enhanced Chemical Vapor Deposition with Radical Injection International conference

    4th International Symposium on Nanotechnology 

     More details

    Event date: 2006.2

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2912. Insight on Sticking of Radicals on Surfaces for Smart Plasma Nona-Processing International conference

    4th International Workshop on Basic Aspects of Nonequilibrium Plasmas Interacting with Surfaces;-Negative Ions, Their Function & Designability- & 4th EU-Japan Joint Symposium on Plasma Processes 

     More details

    Event date: 2006.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2913. Radical Controlled Plasma Nano-Processing International conference

    2nd Italy-Japan International Seminar on Advanced Plasma Processing 

     More details

    Event date: 2006.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2914. Diagnostics of Ground State and Excited O Atoms in O2 and Kr Mixture Plasma with Vacuum Ultraviolet Laser Absorption Spectroscopy International conference

    6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing 

     More details

    Event date: 2006.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2915. 超小型ラジカルモニタリング計測装置の開発と反応性プラズマ中の原子状ラジカル計測への応用

    高島 成剛、堀 勝

    表面技術協会「ナノテク部会」第11回研究会 

     More details

    Event date: 2006.1

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2916. Synthesis of Aligned Multi-Walled Carbon Nanotubes Using Microwave Excited Non-Equilibrium Atmospheric Pressure Plasma-Enhance CVD International conference

    6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing 

     More details

    Event date: 2006.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2917. Fabrication of Dense, Aligned Single-Walled Carbon Nanotube Film Using Microwave Plasma-Enhanced Chemical Vapor Deposition International conference

    6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing 

     More details

    Event date: 2006.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2918. Low Temperature Formation of SiN Film on Organic Light Emitting Diodes Using Very High Frequency-Capacitively Coupled Plasma International conference

    6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing 

     More details

    Event date: 2006.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2919. Measurement of Spatial Distribution of CF2 Radical in Non-Equilibrium Atmospheric Pressure-Pulsed Plasma by Laser-Induced Fluorescence Spectroscopy International conference

    6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing 

     More details

    Event date: 2006.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2920. カーボンナノウォールの形成・評価とデバイス応用

    堀 勝

    高度技術セミナー「機能性ナノ構造体の形成と評価 

     More details

    Event date: 2006.1

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2921. Low Temperature Growth of Carbon Nanowalls on Glass Substrate International conference

    6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing 

     More details

    Event date: 2006.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2922. Fundamental Study of Low-k Film Etching Employing Ion Beams with Radical Infection International conference

    6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing 

     More details

    Event date: 2006.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2923. Low-k Film Etching Process Employing a New Environmental-Friendship Fluorocarbon Gas International conference

    6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing 

     More details

    Event date: 2006.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2924. SiO2 Etching Using Compact Type Electron-Beam-Excited Plasma International conference

    6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing 

     More details

    Event date: 2006.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2925. The Growth of Carbon Nanowalls and Their Electric Properties International conference

    6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing 

     More details

    Event date: 2006.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2926. Highly Reliable Growth Process of Carbon Nanowalls Using Radical Injection Plasma CVD International conference

    6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing 

     More details

    Event date: 2006.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2927. Study on Surface Reaction Kinetics during Diamond Growth and Nucleation in Low-Pressure Plasmas International conference

    6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing 

     More details

    Event date: 2006.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2928. ラジカル制御プラズマナノプロセス

    堀 勝

    大阪大学接合科学研究所特別講演会 

     More details

    Event date: 2006.1

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2929. *

    堀 勝

    半導体MIRAIプロジェクト講演会 

     More details

    Event date: 2006.1

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2930. SiO2エッチングにおける大気圧非平衡パルスプラズマ中の気相計測

    岩崎正博、伊藤昌文、上原剛、堀勝

    「21世紀COEプログラムPlasma-Nanoシンポジウム」最先端もの創り研究と拠点形成---プラズマナノ工学研究センターの設立に向けて--- 

     More details

    Event date: 2006.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2931. 表面波励起酸素プラズマにおけるKr希釈効果に関する研究

    竹田 圭吾、 久保田 良規、 高島 成剛、 松見 豊、 堀 勝

    「21世紀COEプログラムPlasma-Nanoシンポジウム」最先端もの創り研究と拠点形成---プラズマナノ工学研究センターの設立に向けて--- 

     More details

    Event date: 2006.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2932. VHF容量結合型プラズマによる有機EL用保護膜の低温形成

    松原 丈晃、竹田 圭吾、伊藤 貴昭、森 竜雄、多田 重和、早川 晴仁、堀 勝

    「21世紀COEプログラムPlasma-Nanoシンポジウム」最先端もの創り研究と拠点形成---プラズマナノ工学研究センターの設立に向けて--- 

     More details

    Event date: 2006.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2933. 新規代替フルオロカーボンガスによるlow-k膜エッチングプロセス

    林 孝行、永井 幹雄、早川 雪絵、岡本 秀一、堀 勝

    「21世紀COEプログラムPlasma-Nanoシンポジウム」最先端もの創り研究と拠点形成---プラズマナノ工学研究センターの設立に向けて--- 

     More details

    Event date: 2006.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2934. ラジカル注入型イオンビームを用いた有機low-k膜エッチング特性の評価

    内田 三郎、高島 成剛、大島 啓示、安藤 厚博、長畑 和典、辰巳 哲也、堀 勝

    「21世紀COEプログラムPlasma-Nanoシンポジウム」最先端もの創り研究と拠点形成---プラズマナノ工学研究センターの設立に向けて--- 

     More details

    Event date: 2006.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2935. カーボンナノウォールの平面方向における電気的特性

    浦 雅登、中村 和歌奈、徳田 豊、平松 美根男、加納 浩之、堀 勝

    「21世紀COEプログラムPlasma-Nanoシンポジウム」最先端もの創り研究と拠点形成---プラズマナノ工学研究センターの設立に向けて--- 

     More details

    Event date: 2006.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2936. 小型大気圧非平衡プラズマ源の開発と表面改質への応用

    吉田 直史、加納浩 之、堀 勝

    「21世紀COEプログラムPlasma-Nanoシンポジウム」最先端もの創り研究と拠点形成---プラズマナノ工学研究センターの設立に向けて--- 

     More details

    Event date: 2006.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2937. 原子状ラジカルセンサー用光源のモニタリング手法の確立

    高島 成剛、加納 浩之、堀 勝

    「21世紀COEプログラムPlasma-Nanoシンポジウム」最先端もの創り研究と拠点形成---プラズマナノ工学研究センターの設立に向けて--- 

     More details

    Event date: 2006.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2938. パルス変調UHF SiH4/H2プラズマによるシリコンナノ粒子の室温合成

    伊藤 優、高畑 正史、知京 豊裕、堀 勝

    「21世紀COEプログラムPlasma-Nanoシンポジウム」最先端もの創り研究と拠点形成---プラズマナノ工学研究センターの設立に向けて--- 

     More details

    Event date: 2006.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2939. ナノアセンブリングシステムの開発(1) 超コンパクトラジカルモニタリング装置の開発

    堀 勝、財満鎮明、鈴木達也、高島成剛、加納浩之、高橋俊次、山川晃司、田 昭治、河内良太

    「21世紀COEプログラムPlasma-Nanoシンポジウム」最先端もの創り研究と拠点形成---プラズマナノ工学研究センターの設立に向けて--- 

     More details

    Event date: 2006.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2940. スマートナノプロセス

    堀 勝

    「21世紀COEプログラムPlasma-Nanoシンポジウム」最先端もの創り研究と拠点形成---プラズマナノ工学研究センターの設立に向けて--- 

     More details

    Event date: 2006.1

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2941. ナノアセンブリングシステムの開発(2)カーボンナノウォールプロセッシングの開発

    堀 勝、高島成剛、平松美根男、加納浩之、山川晃司、田 昭治

    「21世紀COEプログラムPlasma-Nanoシンポジウム」最先端もの創り研究と拠点形成---プラズマナノ工学研究センターの設立に向けて--- 

     More details

    Event date: 2006.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2942. ナノアセンブリングシステムの開発(3)広帯域瞬時多元素計測システムの開発:食品、土壌分析への展開

    堀 勝、西澤典彦、高島成剛、伊籐昌文、加納浩之

    「21世紀COEプログラムPlasma-Nanoシンポジウム」最先端もの創り研究と拠点形成---プラズマナノ工学研究センターの設立に向けて--- 

     More details

    Event date: 2006.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2943. ナノアセンブリングシステムの開発(4) 4次元大気圧プラズマ製造装置の開発

    堀 勝、高島成剛、加納浩之、吉田直史

    「21世紀COEプログラムPlasma-Nanoシンポジウム」最先端もの創り研究と拠点形成---プラズマナノ工学研究センターの設立に向けて--- 

     More details

    Event date: 2006.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2944. ナノアセンブリングシステムの開発(5)超高速カーボンナノチューブ成長プロセッシングの開発

    堀 勝、高島成剛、平松美根男1、加納浩之2、山川晃司3、田 昭治3

    「21世紀COEプログラムPlasma-Nanoシンポジウム」最先端もの創り研究と拠点形成---プラズマナノ工学研究センターの設立に向けて--- 

     More details

    Event date: 2006.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2945. ナノアセンブリングシステムの開発(6)3次元立体構造エッチングプロセスの開発

    堀 勝、高島成剛、伊籐昌文、加納浩之

    「21世紀COEプログラムPlasma-Nanoシンポジウム」最先端もの創り研究と拠点形成---プラズマナノ工学研究センターの設立に向けて--- 

     More details

    Event date: 2006.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2946. ナノアセンブリングシステムの開発(7)自律型ナノエッチングシステムの開発

    堀 勝、鈴木達也、高島成剛、加納浩之、高橋俊次、山川晃司、田 昭治、河内良太

    「21世紀COEプログラムPlasma-Nanoシンポジウム」最先端もの創り研究と拠点形成---プラズマナノ工学研究センターの設立に向けて--- 

     More details

    Event date: 2006.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2947. The Electric Characteristics of Carbon Nanowalls International conference

    M. Ura, W. Nakamura, Y. Tokuda, , M. Hiramatsu, H. Kano, and M. Hori

    International COE Workshop on Nano Processes and Devices, and Their Applications 

     More details

    Event date: 2005.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2948. Carbon Nano-Tube Formation Using a Novelplasma CVD International conference

    M. Hiramatsu and M. Hori

    2nd International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2005.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2949. Smart Plasma Nano-Processing with Advanced Diagnostics International conference

    M. Hori

    2nd International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2005.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2950. High Accurate Growth Process of Carbon Nanowalls Using Hydrogen Radical Injection Plasma CVD International conference

    M. Hori, K. Yamakawa, S. Den, H. Kano, T. Maeda, and M. Hiramatsu

    International Symposium on Frontier of Nano-Materials Based on Advanced Plasma Technologies 

     More details

    Event date: 2005.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  2951. 超高精度微細加工のためのプロセスプラズマの課題と展望

    堀 勝

    SEMIテクノロジーシンポジウム(STS)2005 

     More details

    Event date: 2005.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2952. Fabrication of Carbon Nanowalls Using Novel Plasma Processing International conference

    M. Hori and M. Hiramatsu

    International Conference on Advanced Materials & Devices 2005 (ICAMD 2005) 

     More details

    Event date: 2005.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  2953. Plasma Damages on Low-k Films in Etching and Photoresist Ashing International conference

    S. Takashima, S. Uchida, K. Ohshima, K. Nagahata, T. Tatsumi, and M. Hori

    27th International Symposium on Dry Process (DPS2005) 

     More details

    Event date: 2005.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  2954. Control of Radical and Ion Densities and Its Application to Damage-Free Resist Stripping over SiOCH in Oxygen-Based Surface Wave Plasmas International conference

    M. Hori, K. Takeda, Y. Kubota, M. Sugiura, Y. Matsumi, S. Tahara, and K. Kubota

    27th International Symposium on Dry Process (DPS2005) 

     More details

    Event date: 2005.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  2955. Rapid Growth of Dense, Aligned Single-Walled Carbon Nanotubes for Multi-Level Interconnections of Ultra-Large Scale Next-Generation Integrated Circuits International conference

    H. Nagao, M. Hiramatsu, H. Amano, and M. Hori

    27th International Symposium on Dry Process (DPS2005) 

     More details

    Event date: 2005.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  2956. Diagnostics of CF2 Radical and Molecules in Non-Equilibrium Atmospheric Pressure-Pulsed Plasma for SiO2 Etching International conference

    M. Iwasaki, M. Ito, T. Uehara, and M. Hori

    27th International Symposium on Dry Process (DPS2005) 

     More details

    Event date: 2005.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  2957. Control of Surface Reactions during Organic Low-k Dry Etching International conference

    S. Uchida, M. Hori, K. Oshima, A. Ando, K. Nagahata, and T. Tatsumi

    AVS 52nd International Symposium and Exhibition 

     More details

    Event date: 2005.10 - 2005.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  2958. Behaviors of Fluorocarbon Radical Temperature in Ar/N2/C4F8 Low-k Etching Plasma International conference

    M. Nagai and M. Hori

    AVS 52nd International Symposium and Exhibition 

     More details

    Event date: 2005.10 - 2005.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  2959. Ultra Fast Surface Modification Processes Employing Compact Non-Equilibrium Atmospheric Pressure Plasmas International conference

    N. Yoshida, H. Kano, S. Den, and M. Hori

    AVS 52nd International Symposium and Exhibition 

     More details

    Event date: 2005.10 - 2005.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  2960. Investigation of Pulse-Modulation Effect in Electron Beam Excited Plasma with Time Resolved Optical Emission Spectroscopy International conference

    K. Takeda, T. Ohta, M. Ito, and M. Hori

    58th Annual Gaseous Electronics Conference 

     More details

    Event date: 2005.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  2961. Development of a Compact Arc Discharge Light Source for Measurement of Radicals International conference

    H. Ito, S. Takashima, H. Kano, M. and Hori

    58th Annual Gaseous Electronics Conference 

     More details

    Event date: 2005.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  2962. Diagnostics of Oxygen Atoms in Surface Wave Plasma with Vacuum Ultraviolet Laser Absorption Spectroscopy International conference

    K. Takeda, Y. Kubota, A. Serdyuchenko, S. Takashima, and M. Hori

    58th Annual Gaseous Electronics Conference 

     More details

    Event date: 2005.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  2963. Fabrication of Carbon Nanostructures Using Plasma CVD International conference

    M. Hiramatsu and M. Hori

    Deutshlandjahr in Japan" Academic Symposium (Plasma Science and Technology Symposium),  

     More details

    Event date: 2005.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  2964. Decomposition and Polymerization of Perfluorinated Compounds in Microwave-Excited Atmospheric Pressure Plasma International conference

    S. Uchida and M. Hori

    Deutshlandjahr in Japan" Academic Symposium (Plasma Science and Technology Symposium),  

     More details

    Event date: 2005.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2965. Decomposition and Polymerization of Perfluorinated Compounds in Microwave-Excited Atmospheric Pressure Plasma International conference

    S. Uchida and M. Hori

    Room Temperature Formation of Silicon Nanoparticles Using Pulse-Modulated UHF SiH4/H2 Plasma 

     More details

    Event date: 2005.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2966. Behaviors of O atom and other species in Kr and O2 Mixture Plasma International conference

    M. Nagai and M. Hori

    Deutshlandjahr in Japan" Academic Symposium (Plasma Science and Technology Symposium),  

     More details

    Event date: 2005.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2967. Investigation of Surface Reactions during Organic Low Dielectric Film Dry Etching International conference

    M. Nagai and M. Hori

    Deutshlandjahr in Japan" Academic Symposium (Plasma Science and Technology Symposium),  

     More details

    Event date: 2005.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2968. High Speed SiO2 Etching and Measurements of Gas Exhausted Using Non-Equilibrium Atmospheric Pressure-pulsed Plasma International conference

    S. Uchida and M. Hori

    Deutshlandjahr in Japan" Academic Symposium (Plasma Science and Technology Symposium),  

     More details

    Event date: 2005.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2969. Fabrication of Aligned Carbon Nanotube Film with Self-Assembled Tip Array International conference

    H. Nagao, M. Hiramatsu, H. Amano and M. Hori

    16th European Conference on Diamond, Diamond-Like Materials 

     More details

    Event date: 2005.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:France  

  2970. Radical-Controlled Plasma Nano Processes International conference

    M. Hori

    5th Asian-European International Conference on Plasma Surface Engineering 

     More details

    Event date: 2005.9

    Language:English   Presentation type:Oral presentation (keynote)  

    Country:China  

  2971. Fabrication of Carbon Nanotubes Using Microwave-Excited Atmospheric Pressure Plasma CVD International conference

    M. Kimura, K. Yamakawa, Y. Ando, M. Hiramatsu and M. Hori

    16th European Conference on Diamond, Diamond-Like Materials 

     More details

    Event date: 2005.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:France  

  2972. Control of Surface Morphology in Carbon Nanowall Growth Using Rf Plasma-Enhanced Chemical Vapor Depositio International conference

    Enomoto, M. Nakamura, M. Hiramatsu, Y. Ando and M. Hori

    16th European Conference on Diamond, Diamond-Like Materials 

     More details

    Event date: 2005.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:France  

  2973. Carbon Nanowalls Grown Using Plasma-Enhanced Chemical Vapor Deposition International conference

    M. Hiramatsu and M. Hori

    International Conference on Surfaces, Coatings and Nanostructured Materials 

     More details

    Event date: 2005.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:China  

  2974. High-Rate Growth of Aligned Double-Walled Carbon Nanotube Using Microwave Plasma Enhanced Chemical Vapor Deposition Film International conference

    M. Hiramatsu and M. Hori

    International Conference on Surfaces, Coatings and Nanostructured Materials 

     More details

    Event date: 2005.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:China  

  2975. Room Temperature Synthesis of Silicon Nanoparticles Using Pulse-Modulated UHF SiH4/H2 Plasma International conference

    M. Takahata, M. Hori, and T. Chikyo

    International Symposium on EcoTopia Science 2005 (ISETS05) 

     More details

    Event date: 2005.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2976. Development of Nano-Process Control Technology Using Smart Sensors and Application to Automic Nano Production Equipment International conference

    M. Hori, S. Takahashi, S. Takashima, M. Hiramatsu, H. Kano, and S. Den

    International Symposium on EcoTopia Science 2005 (ISETS05) 

     More details

    Event date: 2005.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2977. Development of Green Gas Supply System for Zero-Emission Plasma Nano-Processing International conference

    S. Takahashi, S. Den, T. Katagiri, K. Yamakawa, H. Kano and M. Hori

    International Symposium on EcoTopia Science 2005 (ISETS05) 

     More details

    Event date: 2005.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2978. The Growth Mechanism of Nanowalls Growth in Tandem Type H Radical Injection Plasma Enhanced Chemical Vapor Deposition International conference

    M. Ebihara, S. Takashima, M. Hori, S. Den, H. Kano, T Maeda, and M. Hiramatsu

    International Symposium on EcoTopia Science 2005 (ISETS05) 

     More details

    Event date: 2005.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2979. Preparation Pt Particles on Carbon Nanowalls in Supercritical Carbon Dioxide International conference

    M. Nakamura, M. Hiramatsu, H. Amano, H. Kano, T. Machino, S. Takashima, and M. Hori

    International Symposium on EcoTopia Science 2005 (ISETS05) 

     More details

    Event date: 2005.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2980. Fabrication of Self-Assembled Carbon Nanowalls Using Plasma Enhanced Chemical Vapor Deposition with Hydrogen Radical Injection International conference

    M. Hori and M. Hiramatsu

    17th International Symposium on Plasma Chemistry 

     More details

    Event date: 2005.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Canada  

  2981. Behaviors of Fluorocarbon Radicals in 60 MHz Capacitively Coupled Plasma International conference

    M. Nagai, M. Hori, and T. Goto

    17th International Symposium on Plasma Chemistry 

     More details

    Event date: 2005.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Canada  

  2982. High-Rate Growth of Dense, Aligned Carbon Nanotube Film Using Microwave Plasma Enhanced Chemical Vapor Deposition International conference

    M. Hiramatsu and M. Hori

    17th International Symposium on Plasma Chemistry 

     More details

    Event date: 2005.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Canada  

  2983. Behaviors of Absolute Oxygen Atom Density in Surface Wave Plasma with Vacuum Ultraviolet Laser Absorption Spectroscopy International conference

    K. Takeda, Y. Kubota, Y. Matsumi, and M. Hori

    27th International Conference on Phenomena in Ionized Gases 

     More details

    Event date: 2005.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Netherlands  

  2984. Progress of Radical Measurements in Plasmas for Semiconductor Processing International conference

    M. Hori

    27th International Conference on Phenomena in Ionized Gases 

     More details

    Event date: 2005.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Netherlands  

  2985. High Speed SiO2 Etching and Measurements of Gas Phase Using Atmospheric Pressure-Pulsed CF4/Ar Plasma International conference

    M. Iwasaki, M. Ito, T. Uehara, and M. Hori

    27th International Conference on Phenomena in Ionized Gases 

     More details

    Event date: 2005.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Netherlands  

  2986. Synthesis of Carbon Nano-Structures Using Novel Plasma Processing International conference

    M. Hiramatsu and M. Hori

    Italy-Japan International Seminar on Advanced Plasma Processing 

     More details

    Event date: 2005.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Italy  

  2987. Fabrication of Carbon Nanowalls Using Plasma-Enhanced Chemical Vapor Deposition International conference

    M. Hori and M. Hiramatsu

    15th International Colloquium on Plasma Processes, 

     More details

    Event date: 2005.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:France  

  2988. Development of the Compact C2F4 Gas Supply Equipment and its Application to Dielectric Etching Processes International conference

    S. Takahashi, S. Den, T. Katagiri, K. Yamakawa, H. Kano, and M. Hori

    15th International Colloquium on Plasma Processes, 

     More details

    Event date: 2005.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:France  

  2989. Dry Etching of Low-k Dielectrics International conference

    M. Hori

    The ECS ULSI Process Integration IV Symposium 

     More details

    Event date: 2005.5

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Canada  

  2990. Fabrication of Aligned Carbon Nanotube Film with Self-Assembled Tip Arrays Using Microwave Plasma-Enhanced Chemical Vapor Deposition International conference

    H. Nagao, M. Taniguchi, M. Hiramatsu, H. Amano, and M. Hori

    The 10th International Conference on New Diamond Science and Technology 

     More details

    Event date: 2005.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  2991. Measurement of Absolute Density of O Atoms in Surface Wave Plasma Employing Vacuum Ultraviolet Laser System International conference

    K. Takeda and M. Hori

    Korea-Japan Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2005.4

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  2992. Simultaneous Monitoring of H, N, and O Atom Densities in the Reactive Plasma Employing Vacuum ultraviolet Absorption Spectroscopy International conference

    S. Takashima and M. Hori

    Korea-Japan Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2005.4

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  2993. Carbon Nano-Structure Formation with Radical Controlled Plasma Processing International conference

    M. Hori

    Korea-Japan Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2005.4

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  2994. Diagnostics of Species in Non-Equilibrium Atmospheric Pressure Plasma with Dielectric Discharge International conference

    M. Iwasaki and M. Hori

    Korea-Japan Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2005.4

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  2995. Basic Study of Etching Surface Reaction with an Ion Beam with Radical Injection International conference

    S. Uchida and M. Hori

    Korea-Japan Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2005.4

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  2996. Development of New Compact Non-Equilibrium Atmospheric Pressure Plasmas and Their Applications for the Surface Modification International conference

    K. Takeda and M. Hori

    Korea-Japan Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2005.4

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  2997. Ultrahigh Speed Processing Employing a Microwave Excited Non-Equilibrium Atmospheric Pressure Plasma International conference

    K. Yamakawa, S. Den, and M. Hori

    Korea-Japan Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2005.4

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  2998. In-Situ Monitoring of Density and Temperature of Species in Smart Nano-Plasma Processing International conference

    M. Hori

    Korea-Japan Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2005.4

    Language:English   Presentation type:Oral presentation (keynote)  

    Country:Korea, Republic of  

  2999. Radical Cleaning Technology for Chamber and its Radical Surface Reaction International conference

    H. Kano, M. Ebihara, and M. Hori

    Korea-Japan Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2005.4

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  3000. Environmental Benign Etching System Employing a New Gas Source International conference

    S. Den, S. Takahashi, K. Yamakawa, and M. Hori

    Korea-Japan Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2005.4

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  3001. Micro-Fabrication of Lens on the Top of Optical Fiber Employing Electron Beam Excited Plasma International conference

    K. Takeda, M. Hori, and M. Ito

    Korea-Japan Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2005.4

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  3002. 三次元自己組織化ナノ構造インテグレーション

    堀 勝

    シンポジウム:シリコンナノエレクトロニクスの新展開――ポストスケーリングテクノロジー―― 

     More details

    Event date: 2005.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  3003. Novel Nitrogen Radical Cleaning Technique for UHV Chamber Wall International conference

    M. Ebihara, S. Takashima, M. Hori, S. Den, and H. Kano

    2005 MRS Spring Meeting 

     More details

    Event date: 2005.3 - 2005.4

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3004. 新規エッチングガスを用いた半導体微細加工プロセス

    堀 勝

    日本化学会第85回春季年会2005 

     More details

    Event date: 2005.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  3005. ラジカル制御プラズマCVDによるカーボンナノウォールの形成

    堀 勝、平松美根男

    表面技術協会第111回講演大会 pp. 384-387 

     More details

    Event date: 2005.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  3006. 極薄型擬単結晶シリコン太陽電池の研究開発

    堀 勝

    第2回結晶シリコン先導研究技術分科会 

     More details

    Event date: 2005.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3007. プラズマCVD法によるカーボンナノウォールの形成

    平松美根男、堀 勝

    東北大学電気通信研究所共同プロジェクト研究会「学際的新領域プラズマの基礎と応用」(代表:畠山力三) 

     More details

    Event date: 2005.2

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  3008. 新規カーボンナノ構造体の形成とその応用

    堀 勝

    第3回マイクロ工システム研究部会&第14回果樹栽培育成状況リアルタイムモニタリングのためのフォトニクス研究部会 

     More details

    Event date: 2005.2

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  3009. Synthesis of Self-Assembled Carbon Nanowalls Using Plasma Enhanced Chemical Vapor Deposition with Radical Injection International conference

    M. Hori

    Fifth International Symposium on Biomimetic Materials Processing 

     More details

    Event date: 2005.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  3010. パルス変調型電子ビーム励起プラズマにおける絶縁体材料エッチングと発光分光計測

    竹田圭吾、留川 裕、太田貴之、伊藤昌文、堀 勝

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 633-634 

     More details

    Event date: 2005.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3011. VHF容量結合型プラズマを用いたSiOCHエッチングプロセスにおける選択比向上メカニズムの解析

    杉浦幹在、堀 勝、藤本 究

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 647-648 

     More details

    Event date: 2005.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3012. SiH4/N2ガスにおけるVHFCCP中のSi原子の挙動

    太田貴之、石田哲朗、伊藤昌文、川上 聡、堀 勝

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 79-80 

     More details

    Event date: 2005.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3013. UHF SiH4/H2プラズマによる高品質微結晶シリコン薄膜の形成とその気相診断

    堀 直樹、堀 勝、後藤俊夫、中川行人、知京豊裕

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 161-162 

     More details

    Event date: 2005.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3014. パルス変調UHF SiH4/H2プラズマを用いたシリコンナノ微粒子の高速堆積

    高畑正史、山本昌宏、堀 勝、知京豊裕

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 187-188 

     More details

    Event date: 2005.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3015. 低圧プラズマ中におけるダイヤモンド成長と核生成の表面反応過程

    堤井君元、内野喜一郎、堀 勝、後藤俊夫

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 189-190 

     More details

    Event date: 2005.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3016. マイクロ波プラズマCVD法を用いたカーボンナノフレークの作製

    谷口雅樹、長尾英俊、平松美根男、安藤義則、堀 勝

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 139-140 

     More details

    Event date: 2005.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3017. アーク放電を用いたラジカル計測用小型光源の開発

    伊藤治彦、山川晃司、加納浩之、堀 勝

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 267-268 

     More details

    Event date: 2005.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3018. 大気圧CF4/Arパルスプラズマを用いたO2/H2O添加SiO2エッチングとその気相診断

    岩崎正博、伊藤昌文、堀 勝、北畠裕也、上原 剛

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 301-302 

     More details

    Event date: 2005.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3019. ラジカルイオンビームを用いた有機Low-k膜のエッチング反応メカニズム

    内田三郎、堀 勝、安藤厚博、辰巳哲也

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 325-326 

     More details

    Event date: 2005.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3020. マイクロ波励起非平衡大気圧酸素プラズマを用いた有機膜の超高速(0.3mm/min)エッチング

    山川晃司、堀 勝、後藤俊夫、田 昭治、片桐俊郎、加納浩之

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 337-338 

     More details

    Event date: 2005.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3021. 大気圧プラズマを用いたカーボンナノ構造体の作製

    木村美幸、山川晃司、安藤義則、平松美根男、堀 勝

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 343-344 

     More details

    Event date: 2005.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3022. マイクロ波プラズマCVD法を用いた高密度カーボンナノチューブ膜の作製

    長尾英俊、谷口雅樹、平松美根男、天野 浩、堀 勝

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 367-368 

     More details

    Event date: 2005.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3023. 高周波プラズマCVD法を用いて作製したカーボンナノウォールの電子放出特性

    榎本 篤、中村匡利、志治健一、平松美根男、安藤義則、堀 勝

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 373-374 

     More details

    Event date: 2005.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3024. カーボンナノウォール作製におけるRFプラズマ中のラジカル測定

    志治健一、榎本 篤、中村匡利、平松美根男、天野 浩、堀 勝

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 375-376 

     More details

    Event date: 2005.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3025. RFプラズマCVD法による配向カーボンナノウォールの作製

    中村匡利、志治健一、榎本 篤、平松美根男、天野 浩、堀 勝

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 377-378 

     More details

    Event date: 2005.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3026. H,N.O原子絶対密度同時計測技術の開発

    高島成剛、海老原勝、加納浩之、堀 勝

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 547-248 

     More details

    Event date: 2005.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3027. 希ガス添加酸素プラズマにおける粒子の振舞い

    久保田良規、高島成剛、堀 勝

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 551-552 

     More details

    Event date: 2005.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3028. 高密度容量結合プラズマにおけるフルオロカーボンラジカルの温度計測

    永井幹雄、堀 勝、後藤俊夫

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 555-556 

     More details

    Event date: 2005.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3029. 超高真空チャンバ用新ラジカルクリーニング技術の開発

    海老原勝、高島成剛、堀 勝、田 昭治、加納浩之

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 579-580 

     More details

    Event date: 2005.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3030. VHF容量結合型プラズマを用いた有機基板上へのSiN膜の低温形成と有機EL特性評価

    石田哲朗、松原丈晃、堀 勝、後藤俊夫、森 竜雄、竹内秀夫、多田重和

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 601-602 

     More details

    Event date: 2005.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3031. 三元系高誘電率ゲート絶縁膜HfAlYOの形成

    田村永児、堀 勝、後藤俊夫、徳田 豊、知京豊裕

    プラズマ科学シンポジウム2005/第22回プラズマプロセシング研究会(PSS-2005/SPP-22) pp. 619-620 

     More details

    Event date: 2005.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3032. Synthesis of Carbon Nanowall with Radical Controlled Plasma Processes International conference

    M. Hori

    International Advanced Plasma Processing Seminar, Center for Advanced Plasma Surface  

     More details

    Event date: 2005.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  3033. New Etching of SiO2 with Ultrahigh Rate and Selectivity Using Microwave Excited Non-Equilibrium Atmospheric Pressure Plasma International conference

    2004 International Symposium on Dry Process 

     More details

    Event date: 2004.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  3034. プラズマCVDを用いた2次元カーボンナノ構造体の作製

    平松美根男、堀 勝

    第15回日本MRS学術シンポジウムH2-O10 

     More details

    Event date: 2004.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3035. コンパクト原子状ラジカル絶対密度計測装置によるH,N,O原子同時計測

    高島成剛、堀 勝、海老原勝、加納浩之

    第15回日本MRS学術シンポジウムH1-P01 

     More details

    Event date: 2004.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3036. 希ガス添加酸素プラズマにおける粒子の振る舞い

    久保田良規、高島成剛、堀 勝

    第15回日本MRS学術シンポジウムH1-P02 

     More details

    Event date: 2004.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3037. VHF容量結合型プラズマによるプラスチック基板上におけるSiN膜の低温形成

    石田哲朗、松原丈晃、堀 勝、後藤俊夫、竹内秀夫、多田重和

    第15回日本MRS学術シンポジウムH1-P07 

     More details

    Event date: 2004.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3038. SiH4ガスを用いたVHF容量結合プラズマ中のSi原子密度及び並進温度の測定

    太田貴之、伊藤昌文、石田哲朗、堀 勝、川上総

    第15回日本MRS学術シンポジウムH1-P08 

     More details

    Event date: 2004.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3039. UHF SiH4/H2プラズマによる微結晶シリコンのCeO2上における形成

    堀直樹、青山健太郎、堀 勝、後藤俊夫、中川行人、知京豊裕

    第15回日本MRS学術シンポジウムH1-P09 

     More details

    Event date: 2004.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3040. UHF SiH4/H2プラズマによるシリコンナノ微粒子の高速形成

    山本昌宏、高畑正史、堀 勝、後藤俊夫、知京豊裕

    第15回日本MRS学術シンポジウムH1-P10 

     More details

    Event date: 2004.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3041. ラジカル制御自律型ナノプロセスシステムに関する基礎研究

    堀 勝、田 昭治、加納浩之

    第15回日本MRS学術シンポジウムH1-P15 

     More details

    Event date: 2004.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3042. マイクロ波プラズマCVD法を用いた2層カーボンナノチューブの高速成長

    谷口雅樹、長尾英俊、平松美根男、安藤義則、堀 勝

    第15回日本MRS学術シンポジウムH2-O13 

     More details

    Event date: 2004.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3043. マイクロ波励起大気圧非平衡プラズマCVDによる配向カーボンナノチューブの形成

    山川晃司、堀 勝、後藤俊夫、木村美幸、平松美根男

    第15回日本MRS学術シンポジウムH2-P06 

     More details

    Event date: 2004.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3044. 水素ラジカル注入RFプラズマCVD法による配向カーボンナノウォールの作製

    志治健一、中村匡利、榎本 篤、平松美根男、天野 浩、堀 勝

    第15回日本MRS学術シンポジウムH2-P07 

     More details

    Event date: 2004.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3045. 電子ビーム励起プラズマを用いたカーボンナノ構造体の作製

    木村美幸、平松美根男、安藤義則、山川晃司、竹田圭吾、堀 勝

    第15回日本MRS学術シンポジウムH2-P10 

     More details

    Event date: 2004.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3046. プラズマCVDによる高誘電率HfAlYO薄膜の形成

    田村永児、堀 勝、後藤俊夫、徳田 豊、知京豊裕

    第15回日本MRS学術シンポジウムH2-P11 

     More details

    Event date: 2004.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3047. シンクロトン放射光を用いたフルオロカーボンポリマーフィルム微細加工の開発

    内田三郎、堀 勝、太田貴之、伊藤昌文

    第15回日本MRS学術シンポジウムH2-P18 

     More details

    Event date: 2004.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3048. VHF容量結合型プラズマを用いたSiOCHのナノ加工プロセス

    杉浦幹在、堀 勝、藤本 究

    第15回日本MRS学術シンポジウムH2-P20 

     More details

    Event date: 2004.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3049. コンパクトC2F4ガス供給装置の開発とCVDチャンバークリーニングへの応用

    高橋俊次、田 昭治、片桐俊郎、堀 勝、山川晃司、加納浩之

    第15回日本MRS学術シンポジウムH2-P21 

     More details

    Event date: 2004.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3050. パルス変調型電子ビーム励起プラズマを用いた微笑光学素子作製プロセス

    竹田圭吾、堀 勝、留河 裕、太田貴之、伊藤昌文

    第15回日本MRS学術シンポジウムH2-P22 

     More details

    Event date: 2004.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3051. マイクロ波励起大気圧プラズマのガス温度計測

    永井幹雄、堀 勝、後藤俊夫

    第15回日本MRS学術シンポジウムH2-P03 

     More details

    Event date: 2004.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3052. 極薄型擬単結晶シリコン太陽電池の研究開発

    堀 勝

    第1回結晶シリコン先導研究技術分科会 

     More details

    Event date: 2004.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  3053. 新規カーボンナノ構造体の形成と次世代電子デバイスへの応用

    堀 勝

    第338回名城大学理工談話会 

     More details

    Event date: 2004.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  3054. カーボンナノウォールの創成とラジカル制御プラズマCVD装置製品化

    堀 勝

    第40回CVD研究会 

     More details

    Event date: 2004.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  3055. 半導体プロセスにおけるエッチング技術

    堀 勝

    リアライズ理工センターセミナー 

     More details

    Event date: 2004.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  3056. SiO2 Etching and Diagnostics of Radicals in Atmospheric Pressure-Pulsed CF4/Ar Plasma with O2 Addition International conference

    2004 International Symposium on Dry Process 

     More details

    Event date: 2004.11 - 2004.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3057. UHF SiH4/H2プラズマを用いたシリコンナノ微粒子の合成

    高畑正史、山本昌宏、堀 勝、知京豊裕

    第31回アモルファス物質の物性と応用セミナー p. 177 

     More details

    Event date: 2004.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3058. UHF SiH4/H2プラズマによる高品質微結晶シリコンの低温形成とその機構解明

    堀直樹、堀 勝、後藤俊夫、知京豊裕、中川行人

    第31回アモルファス物質の物性と応用セミナー p. 178 

     More details

    Event date: 2004.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3059. マイクロ波励起非平衡大気圧プラズマプロセス技術

    堀 勝、高島成剛

    産学官テクノプラザ名古屋 

     More details

    Event date: 2004.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  3060. Synthesis of Vertically Aligned Carbon Nanowalls Using Capacitively Coupled Plasma Enhanced Chemical Vapor Deposition by Hydrogen Radical Injection International conference

    International Union of Materials Research Societies International Conference in Asia (IUMRS-ICA2004) 

     More details

    Event date: 2004.11

    Language:English   Presentation type:Oral presentation (invited, special)  

  3061. マイクロ波励起非平衡大気圧プラズマプロセス技術

    堀 勝、山川晃司

    産学官テクノプラザ金沢(石川県地場産業振興センター) 

     More details

    Event date: 2004.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  3062. Investigation of Fundamental Etching Reaction of Organic Low Dielectric Film Using Ion Beams with Radical Injection International conference

    AVS 51st International Symposium & Exhibition 

     More details

    Event date: 2004.11

    Language:English   Presentation type:Oral presentation (general)  

  3063. A Novel Etching Process Employing Pulse-Modulated Electron-Beam-Excited Plasma for Fabrication of Micro-Optical Devices International conference

    AVS 51st International Symposium & Exhibition 

     More details

    Event date: 2004.11

    Language:English   Presentation type:Oral presentation (general)  

  3064. Gas and Electrode Temperatures in Non-equilibrium Atmospheric Pressure Plasma with Microwave Excitation International conference

    AVS 51st International Symposium & Exhibition 

     More details

    Event date: 2004.11

    Language:English   Presentation type:Oral presentation (general)  

  3065. Precise and High-rate Etching of Polymer Film and Its Surface Modification Using High-Density Plasma International conference

    The 3rd International Symposium on Advanced Plasma Surface Technology 

     More details

    Event date: 2004.11

    Language:English   Presentation type:Oral presentation (invited, special)  

  3066. 反応性プラズマ中の気相・表面反応

    堀 勝

    第15回プラズマエレクトロニクス講習会「プラズマの基礎と応用最前線」――ULSIからフォトニック、MEMS、ナノチューブ、プラズマイオン注入、環境まで―― 

     More details

    Event date: 2004.10

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  3067. Fabrication of Two-Dimensional Carbon Nanostructures Using Plasma-Enhanced Chemical Vapor Deposition International conference

    The 6th International Conference on Nanotechnology in Carbon: from Synthesis to Applications of Nanostructured Carbon and Related Materials (Nanotec 2004) 

     More details

    Event date: 2004.10

    Language:English   Presentation type:Oral presentation (general)  

  3068. Evolution of Dielectric Etching toward Nano-Scale International conference

    The 2nd International School of Advanced Plasma Technology 

     More details

    Event date: 2004.9

    Language:English   Presentation type:Oral presentation (invited, special)  

  3069. 大気圧プラズマと産学連携について

    堀 勝

    技術開発討論会 

     More details

    Event date: 2004.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  3070. Effect of Kr Gas Dilution on O Atom Density in Surface Wave Excited Kr/O2 Plasma for Low-Temperature and Damage-Free Plasma Oxidation Processes International conference

    2004 International Conference on Solid State Devices and Materials 

     More details

    Event date: 2004.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3071. Fabrication of Dense Carbon Nanotube Film Using Microwave Plasma-Enhanced CVD International conference

    15th European Conference on Diamond 

     More details

    Event date: 2004.9

    Language:English   Presentation type:Oral presentation (general)  

  3072. Vertical Growth of Carbon Nanowalls Using rf Plasma-Enhanced Chemical Vapor Deposition International conference

    15th European Conference on Diamond 

     More details

    Event date: 2004.9

    Language:English   Presentation type:Oral presentation (general)  

  3073. 大気圧プラズマとそのMEMSプロセスへの応用

    堀 勝

    第2回マイクロ光システム研究部会&第13回果樹栽培育成状況リアルタイムモニタリングのためのフォトニクス研究部会 

     More details

    Event date: 2004.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  3074. 2周波RF60MHz/2MHz容量結合型プラズマを用いたlow-k膜エッチング

    杉浦幹在、堀 勝、藤本究

    2004年(平成16年)秋季第65回応用物理学関係連合講演会1p-ZH-13/I, Ⅱ 

     More details

    Event date: 2004.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3075. ラジカル注入型イオンビームを用いた有機系low-k膜エッチングプロセスの解析(III)

    内田三郎、堀 勝、後藤俊夫、安藤厚博、辰巳哲也、篠原啓二

    2004年(平成16年)秋季第65回応用物理学関係連合講演会1a-ZH-15/I, Ⅱ 

     More details

    Event date: 2004.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3076. 低圧窒素プラズマ源の開発

    海老原勝、竹田圭吾、高島成剛、堀 勝、田 昭治、加納浩之

    2004年(平成16年)秋季第65回応用物理学関係連合講演会1a-S-1/I 

     More details

    Event date: 2004.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3077. コンパクト原子状ラジカル絶対密度計測装置による複数原子計測

    高島成剛、海老原勝、堀 勝、加納浩之

    2004年(平成16年)秋季第65回応用物理学関係連合講演会1a-S-3/I 

     More details

    Event date: 2004.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3078. 高密度容量結合プラズマにおける中性ガス及びフルオロカーボンラジカルの温度

    永井幹雄、杉浦幹在、堀 勝、後藤俊夫

    2004年(平成16年)秋季第65回応用物理学関係連合講演会1a-S-7/I 

     More details

    Event date: 2004.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3079. 希ガス添加酸素プラズマにおける粒子の振る舞い

    久保田良規、山川晃司、堀 勝、後藤俊夫

    2004年(平成16年)秋季第65回応用物理学関係連合講演会1a-S-8/I 

     More details

    Event date: 2004.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3080. VHD-CCPによる有機基板上へのSiN膜の低温形成における励起周波数効果[II]

    石田哲朗、松原丈晃、堀 勝、後藤俊夫、多田重和

    2004年(平成16年)秋季第65回応用物理学関係連合講演会3a-N-2/I 

     More details

    Event date: 2004.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3081. マイクロアーク光の発生

    伊藤治彦、山川晃司、加納浩之、堀 勝

    2004年(平成16年)秋季第65回応用物理学関係連合講演会3a-S-15/I 

     More details

    Event date: 2004.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3082. SiH4/希釈ガスにおけるVHF容量結合プラズマ中のSi原子密度及び並進温度の挙動

    太田貴之、伊藤昌文、石田哲朗、堀 勝、川上 聡、後藤俊夫

    2004年(平成16年)秋季第65回応用物理学関係連合講演会1p-ZB-2/I, Ⅱ 

     More details

    Event date: 2004.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3083. UHF SiH4/H2プラズマを用いたナノシリコン微粒子の室温合成

    山本昌宏、高畑正史、堀 勝、後藤俊夫、知京豊裕

    2004年(平成16年)秋季第65回応用物理学関係連合講演会1p-ZB-5/I, Ⅱ 

     More details

    Event date: 2004.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3084. パルス変調 UHF SiH4/H2プラズマを用いた微結晶シリコン薄膜の低温形成

    堀 直樹、堀 勝、後藤俊夫、塚田勉、知京豊裕

    2004年(平成16年)秋季第65回応用物理学関係連合講演会1p-ZB-11/I, Ⅱ 

     More details

    Event date: 2004.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3085. 電子ビーム励起プラズマを用いたカーボンナノ構造体の作製

    木村美幸、山川晃司、竹田圭吾、平松美根男、堀 勝、安藤義則

    2004年(平成16年)秋季第65回応用物理学関係連合講演会2p-Q-16/I, Ⅱ, Ⅲ 

     More details

    Event date: 2004.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3086. RFプラズマCVD法による配向カーボンナノウォールの作製

    志治健一、平松美根男、榎本篤、中村匡利、天野 浩、堀 勝

    2004年(平成16年)秋季第65回応用物理学関係連合講演会2p-Q-21/I, Ⅱ, Ⅲ 

     More details

    Event date: 2004.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3087. レーザアブレーション法で作製した触媒微粒子からのCNT成長

    谷口雅樹、長尾英俊、平松美根男、安藤義則、堀 勝

    2004年(平成16年)秋季第65回応用物理学関係連合講演会3p-Q-1/I, Ⅱ, Ⅲ 

     More details

    Event date: 2004.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3088. パルス変調型電子ビーム励起プラズマを用いた光MEMS用SiO2エッチング

    竹田圭吾、留河 裕、太田貴之、伊藤昌文、堀 勝

    2004年(平成16年)秋季第65回応用物理学関係連合講演会1a-ZH-7/I, Ⅱ 

     More details

    Event date: 2004.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3089. マイクロ波励起非平衡大気圧プラズマを用いた超高速エッチングプロセス(Ⅴ)

    山川晃司、堀 勝、後藤俊夫、田 昭治、片桐俊郎、加納浩之

    2004年(平成16年)秋季第65回応用物理学関係連合講演会1a-ZH-8/I, Ⅱ 

     More details

    Event date: 2004.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3090. コンパクトC2F4ガス供給装置の開発とSiO2高速、高選択比エッチングへの応用

    堀 勝、山川晃司、高橋俊次、田 昭治、片桐俊郎、加納浩之

    2004年(平成16年)秋季第65回応用物理学関係連合講演会1a-ZH-9/I, Ⅱ 

     More details

    Event date: 2004.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3091. 大気圧フロン系ガスプラズマによるSiO2膜エッチングと気相診断(IV)

    岩崎正博、堀 勝、伊藤昌文、北畠裕也、上原剛

    2004年(平成16年)秋季第65回応用物理学関係連合講演会1a-ZH-11/I, Ⅱ 

     More details

    Event date: 2004.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3092. A Novel Temperature-Sensing System for Multi-Layered Substrate in Dry Etching Processes International conference

    IEEE/LEOS Optical Mems 2004 International Conference on Optical Mems and Their Applications 

     More details

    Event date: 2004.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3093. Micro-Machining Process for Optical Device Employing Pulse-Modulated Electron-Beam-Excited Plasma International conference

    IEEE/LEOS Optical Mems 2004 International Conference on Optical Mems and Their Applications 

     More details

    Event date: 2004.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3094. プラズマ技術について

    堀 勝

    技術開発討論会 

     More details

    Event date: 2004.8

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  3095. Measurement and Control of Gas Temperature in Non-equilibrium Atmospheric Pressure Plasma with Microwave Excitation International conference

    International Symposium on Microwave Science and Its Application to Related Fields 

     More details

    Event date: 2004.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3096. スマートプラズマプロセスの研究開発と産業応用

    堀 勝

    テクノ・シンポジウム名大――プラズマ技術の最新動向と産学連携への応用―― pp.29-36 名古屋大学 

     More details

    Event date: 2004.7

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  3097. High-Rate Growth of Uniform Carbon Nanotube Films Using the Template Method International conference

    International Symposium on Microwave Science and Its Application to Related Fields 

     More details

    Event date: 2004.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3098. Aligned Nanotube Formation Using Microwave Excited Non-equilibrium Atmospheric Pressure Plasma International conference

    International Symposium on Microwave Science and Its Application to Related Fields 

     More details

    Event date: 2004.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3099. Deposition of Multi-Layered Low-k SiOCH Film Employing Layer-by-Layer Plasma Process International conference

    Nagasaki Workshop on Next Generation Semiconductor and Processing 

     More details

    Event date: 2004.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  3100. Preparation of Dense CNT Film Using Microwave Plasma-Enhanced CVD International conference

    7th APCPST & 17th SPSM: 7th Asia Pacific Conference on Plasma Science and Technology & 17th Symposium on Plasma Science for Materials 

     More details

    Event date: 2004.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3101. Effect of Carbon Source Gas on the Growth of Carbon Nanowalls Using RF Plasma CVD with h Radical Injection International conference

    7th APCPST & 17th SPSM: 7th Asia Pacific Conference on Plasma Science and Technology & 17th Symposium on Plasma Science for Materials 

     More details

    Event date: 2004.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3102. Ultrahigh-Rate Etching Process in Microwave-Excited Non-Equilibrium Micro-Gap Atmospheric Pressure Plasma International conference

    7th APCPST & 17th SPSM: 7th Asia Pacific Conference on Plasma Science and Technology & 17th Symposium on Plasma Science for Materials 

     More details

    Event date: 2004.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3103. Environmentally Benign Etching System International conference

    7th APCPST & 17th SPSM: 7th Asia Pacific Conference on Plasma Science and Technology & 17th Symposium on Plasma Science for Materials 

     More details

    Event date: 2004.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3104. Fabrication of Aligned Carbon Nanotubes and Nanoflakes Using Microwave Plasma-Enhanced Chemical Vapor Deposition International conference

    16th International Vacuum Congress 

     More details

    Event date: 2004.6

    Language:English   Presentation type:Oral presentation (general)  

  3105. Fabrication of Carbon Nanowalls Using Rf Plasma-Enhanced Chemical Vapor Deposition International conference

    16th International Vacuum Congress 

     More details

    Event date: 2004.6

    Language:English   Presentation type:Oral presentation (general)  

  3106. ナノアセンブリングシステムの開発

    堀 勝

    ナノテクものづくり研究会 

     More details

    Event date: 2004.6

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  3107. モノ作りと半導体ナノ製造装置

    堀 勝

    半導体装置開発講演会 

     More details

    Event date: 2004.6

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  3108. ラジカル制御プラズマとナノテクノロジー

    堀 勝

    半導体技術講演会 

     More details

    Event date: 2004.6

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  3109. Smart Process for Organic Low k Dielectric Film Etching International conference

    FSISE 2004: The 2004 Joint Conference of The 7th International Conference on Advanced Surface Engineering (ASE 2004) and The 2nd International Conference on Surface and Interface Science and Engineering (SISE 2004) 

     More details

    Event date: 2004.5

    Language:English   Presentation type:Oral presentation (invited, special)  

  3110. High Speed Etching of SiO2 and Organic Films Using Non-Equilibrium Atmospheric Microwave Excited Plasma International conference

    FSISE 2004: The 2004 Joint Conference of The 7th International Conference on Advanced Surface Engineering (ASE 2004) and The 2nd International Conference on Surface and Interface Science and Engineering (SISE 2004) 

     More details

    Event date: 2004.5

    Language:English   Presentation type:Oral presentation (general)  

  3111. Development of Smart Nano-Process

    Workshop on Nitrogen Plasmas 

     More details

    Event date: 2004.4

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  3112. ナノアセンブリングシステムの開発

    堀 勝

    半導体製造装置検討委員会 

     More details

    Event date: 2004.4

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  3113. スマートナノプロセス

    堀 勝

    ULSI技術講演会 

     More details

    Event date: 2004.4

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  3114. Synthesis of Aligned Carbon Nanostructures by Non-Equilibrium microwave Excited Atmospheric Pressure Plasma CVD International conference

    Proceedings of International COE Forum on Plasma Science and Technology 

     More details

    Event date: 2004.4

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3115. Fabrication of Aligned, Dense Carbon Nanotube Films Using Microwave Plasma-Enhanced Chemical Vapor Deposition International conference

    Proceedings of International COE Forum on Plasma Science and Technology 

     More details

    Event date: 2004.4

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3116. Ultrahigh Speed Processing Employing Microwave Excited Non-Equilibrium Atmospheric Pressure Plasma International conference

    Proceedings of International COE Forum on Plasma Science and Technology 

     More details

    Event date: 2004.4

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  3117. Control of Gas Temperature in Non-Equilibrium Atmospheric Pressure Plasma International conference

    Proceedings of International COE Forum on Plasma Science and Technology 

     More details

    Event date: 2004.4

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3118. Characterization of SiO2 Etching and Diagnostics of Radicals in Atmospheric Pressure Pulsed Plasma International conference

    Proceedings of International COE Forum on Plasma Science and Technology 

     More details

    Event date: 2004.4

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3119. Diagnostics of Pulsed-Modulated Electron-Beam-Excited Plasma for Silicon-Oxide Etching International conference

    Proceedings of International COE Forum on Plasma Science and Technology 

     More details

    Event date: 2004.4

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3120. Effect of Kr Gas Dilution on O Atom Density in Surface Wave Kr/O2 Plasma International conference

    Proceedings of International COE Forum on Plasma Science and Technology 

     More details

    Event date: 2004.4

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3121. Smart Nanoprocess for Plasma Induced Nanofabrication International conference

    M. Hori, T. Goto, and M. Hiramatsu

    The 8th IUMRS International Conference on Advanced Materials 

     More details

    Event date: 2003.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  3122. Surface Assemblies of Gold Nanodots Using Retarding-Field Ion Beam Deposition International conference

    M. Hori and Haroon Ahmed

    The 8th IUMRS International Conference on Advanced Materials 

     More details

    Event date: 2003.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3123. Microcrystalline Silicon Thin Film Formation Using Pulse Modulated Microwave Plasma International conference

    K. Honma, Masahiro Yamamoto, M. Hori, and T. Goto

    2003 International Microprocess and Nanotechnology Conference 

     More details

    Event date: 2003.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3124. Effect of Kr Gas Dilution on O Atom Density in Inductively Coupled Kr/O2 Plasma International conference

    M. Hori, S. Ikuma, and T. Goto

    56th Annual Gaseous Electronics Conference 

     More details

    Event date: 2003.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3125. Fabrication of Spherical-Shaped Particles Using Atmospheric Micro-Gap Plasma with Microwave Excitation International conference

    Mikio Nagai, M. Hori, and T. Goto

    The 8th IUMRS International Conference on Advanced Materials 

     More details

    Event date: 2003.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3126. Precisely Controlling of Crystalline Orientation in Microcrystalline Silicon Thin Film by Pulse Modulated Microwave Plasma with SiF4 Addition International conference

    K. Honma, Masahiro Yamamoto, M. Hori, and T. Goto

    The 8th IUMRS International Conference on Advanced Materials 

     More details

    Event date: 2003.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3127. Vertical Growth of Carbon Nanowalls Using RF Plasma CVD Assisted by H Radical Injection International conference

    M. Hiramatsu, Kenichi Shiji, H. Amano, and M. Hori

    37th IUVSTA Workshop on Plasma Deposition of Advanced Materials 

     More details

    Event date: 2003.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Netherlands  

  3128. Fabrication of Aligned Carbon Nanotubes and Nanofibers Using Microwave Plasma-Enhanced CVD International conference

    M. Hiramatsu, Masaki Taniguchi , Yoshinori Ando, and M. Hori

    14th European Conference on Diamond, Diamond-like Materials, Carbon Nanotubes, Nitrides and Silicon Carbide 

     More details

    Event date: 2003.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Austria  

  3129. Gas Phase and Subsurface Reactions of Radicals for Smart Nanoprocessing International conference

    M. Hori

    The 4th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2003) 

     More details

    Event date: 2003.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  3130. Fabrication of Two-Dimensional Carbon Nanostructure Using Radio-Frequency Plasma-Enhanced Chemical Vapor Deposition International conference

    M. Hiramatsu, Kenichi Shiji, H. Amano, Yoshinori Ando, and M. Hori

    International Conference on Solid State Devices and Materials 

     More details

    Event date: 2003.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3131. Carbon Nanowalls Grown Using RF Fluorocarbon Plasma with H Radical injection International conference

    M. Hiramatsu, Kenichi Shiji, H. Amano, and M. Hori

    Nanotechnology in Carbon Related Materials 

     More details

    Event date: 2003.8

    Language:English   Presentation type:Oral presentation (general)  

  3132. Development of a New Etching System with PFC Zero-Emission Using Solid Source of PFC and Atmospheric plasma-Synthesis of PFC in Exhaust Gases International conference

    M. Hori

    EU-JPN Joint Symposium on Plasma Processing 

     More details

    Event date: 2003.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Sweden  

  3133. The Behavior of Si Atom in Capacitively Coupled VHF Plasma Employing SiF4 International conference

    T. Ohta, Tetsuro Ishida, M. Hori, T. Goto, M. Ito, Satoshi Kawami, and N. Ishii

    XXVI International Conference on Phenomena in Ionized Gases 

     More details

    Event date: 2003.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Germany  

  3134. Novel Abatement of PPFCs Using Microwave Excited Non-Equilibrium Atmospheric Pressure Plasma International conference

    Mikio Nagai, K. Yamakawa M. Ito, M. Hori, and T. Goto

    16th International Symposium on Plasma Chemistry 

     More details

    Event date: 2003.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Italy  

  3135. Synthesis of Carbon Nanostructure Using Microwave Excited Non-Equilibrium Atmospheric Pressure Plasma Enhanced Chemical Vapor Deposition International conference

    Akio Matsushita, Mikio Nagai, K. Yamakawa M. Hiramatsu, Akira Sakai, M. Hori, and T. Goto

    16th International Symposium on Plasma Chemistry 

     More details

    Event date: 2003.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Italy  

  3136. Diagnostics of Electron Beam Excited CF4/Ar Plasmas for Silicon Oxide Etching International conference

    M. Ito, Takayuki Kawabe, Tatsuo Shiina, Y. Okamura, H. Nagai, M. Hori, and T. Goto

    16th International Symposium on Plasma Chemistry 

     More details

    Event date: 2003.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Italy  

  3137. Ultrahigh Rate Etching of SiO2 Film Employing Microwave Excited Non-Equilibrium Atmospheric Pressure Plasma International conference

    K. Yamakawa, S. Den, T. Katagiri, and Tosho Goto

    16th International Symposium on Plasma Chemistry 

     More details

    Event date: 2003.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Italy  

  3138. Measurement of Absolute Densities of H, N and O Radicals Employing Vacuum Ultraviolet Absorption Spectroscopy with Micro-Plasma as a Light Source International conference

    Akio Matsushita, Mikio Nagai, K. Yamakawa M. Hiramatsu, Akira Sakai, M. Hori, and T. Goto

    The 3rd International Workshop on Basic Aspect of Non-Equilibrium Plasma Interacting with Surface (BANPIS-2003),  

     More details

    Event date: 2003.2

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3139. SiO2 Dry Etching Using Non-Equilibrium Atmospheric Pressure Micro-Plasma International conference

    K. Yamakawa, M. Hori, T. Goto, S. Den, and Toshiro Katagiri

    The 3rd International Workshop on Basic Aspect of Non-Equilibrium Plasma Interacting with Surface (BANPIS-2003) 

     More details

    Event date: 2003.2

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3140. Fabrication of Carbon Nanostructure Using Non-Equilibrium Microwave Excited Atmospheric Plasma International conference

    Akio Matsushita, Mikio Nagai, K. Yamakawa, M. Hori, and T. Goto

    International Symposium on Microwave Science and Its Application to Related Fields 

     More details

    Event date: 2002.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3141. Temporal Control of Radicals for High Crystallinity of Microcrystalline Silicon Films in UHF SiH4/H2 Pulse-Modulated Plasma CVD International conference

    Miho Matsutani, Naoki Hori, M. Hori, T. Goto, and T. Tsukada

    2002 International Microprocesses and Nanotechnology Conference 

     More details

    Event date: 2002.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3142. Laser Diagnostics of Radical Density and Temperature in High-Density Processing Plasma International conference

    M.Hori

    International Workshop on State-of Art Plasma Diagnostics 

     More details

    Event date: 2002.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  3143. High-Speed Etching Process Using Non-Equilibrium Microwave Excited Atmospheric Plasma International conference

    K. Yamakawa, M. Hori, T. Goto, S. Den, and Toshiro Katagiri

    International Symposium on Microwave Science and Its Application to Related Fields 

     More details

    Event date: 2002.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3144. Generation of Low Pressure Microwave Excited Plasma and Its Application to Microcrystalline Silicon Thin Film Formation International conference

    Daisuke Kikukawa, K. Honma, M. Hori, T. Goto, M. Ito, and S. Den

    International Symposium on Microwave Science and Its Application to Related Fields 

     More details

    Event date: 2002.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3145. Fabrication of Diamond Using Microwave Excited plasma and Measurement of Radicals International conference

    M. Hori and M. Hiramatsu

    International Symposium on Microwave Science and Its Application to Related Fields 

     More details

    Event date: 2002.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3146. Fabrication of Carbon Micro-ball Using Non-Equilibrium Microwave Excited Atmospheric Plasma International conference

    Mikio Nagai, K. Yamakawa, M. Hori, T. Goto, and M. Ito

    International Symposium on Microwave Science and Its Application to Related Fields 

     More details

    Event date: 2002.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3147. Detection of Oxygen Atom Density in Inductively Coupled Plasma by Vacuum Ultraviolet Absorption Spectroscopy International conference

    H. Nagai, M. Hiramatsu, M. Hori, and T. Goto

    4th International Symposium on Dry Process 

     More details

    Event date: 2002.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3148. Behaviors of Si, SiF, SiF2 Radicals and SiF4 Molecule Using RF 60 MHz Capacitively Coupled SiF4 Plasma International conference

    T. Ohta, Tetsuro Ishida, M. Hori, T. Goto, M. Ito, Satoshi Kawakami, and N. Ishii

    24th International Symposium on Dry Process 

     More details

    Event date: 2002.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3149. Etching Reaction Mechanism of Organic Low-k Dielectric Employing High-Density Plasma and Multi-Beams International conference

    M. Hori, H. Nagai, M. Hiramatsu, and T. Goto

    49th International Symposium American Vacuum Society 

     More details

    Event date: 2002.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3150. Silicon Oxide Highly Selective Etching Using Novel Solid Gas Sources International conference

    Mikio Nagai, M. Hori, and T. Goto

    49th International Symposium American Vacuum Society 

     More details

    Event date: 2002.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3151. Diagnostics of O Atoms in Inductively Coupled O2 Plasma Employing Vacuum Ultraviolet Absorption Spectroscopy International conference

    H. Nagai, M. Hori, T. Goto, M. Hiramatsu, and S. Takashima

    55th Annual Gaseous Electronics Conference 

     More details

    Event date: 2002.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3152. Kinetics of SiFx (X=0-2) and SiF4 Molecule in RF 60 MHz Parallel-Plate Capacitively Coupled Plasma Employing SiF4 International conference

    M. Hori, T. Ohta, K. Hara, T. Goto, M. Ito, Satoshi Kawakami, and N. Ishii

    55th Annual Gaseous Electronics Conference 

     More details

    Event date: 2002.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3153. Surface Nitriding of a Tool Steel by Electron Beam Excited Plasma International conference

    Hiroaki Shoyama, Yoshinori Dake, T. Mori, H. Nagai, M. Hori, and Tamio Hara

    24th International Symposium on Dry Process 

     More details

    Event date: 2002.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3154. Development of cw Non-Equilibrium Microwave-Excited Atmospheric Discharge System and Its Application to Carbon Nanostructure Fabrication International conference

    Mikio Nagai, Akio Matsushita, K. Yamakawa, M. Hiramatsu, M. Hori, and T. Goto

    24th International Symposium on Dry Process 

     More details

    Event date: 2002.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3155. Multi-Layered SiOCH Film with Low Dielectric Constant Fabricated Employing Layer-by-Layer Process of Plasma Enhanced Chemical Vapor Deposition and Oxidation International conference

    M. Hori, H. Nagai, M. Hiramatsu, and T. Goto

    24th International Symposium on Dry Process 

     More details

    Event date: 2002.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3156. Fabrication of Carbon Whisker, Pyramid and Steeple Using a Novel Plasma CVD and Their Application to Field Electron Emitter International conference

    M. Hori, M. Hiramatsu, and T. Goto

    The 2002 International Conference on Solid State Devices and Materials 

     More details

    Event date: 2002.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3157. Etching of Organic Low-k Dielectric, Their Gas Phase and Subsurface Reactions in Ultrahigh Frequency Plasma International conference

    H. Nagai, M. Hiramatsu, M. Hori, and T. Goto

    2002 International Conference on Solid State Devices and Materials 

     More details

    Event date: 2002.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3158. C2 Radical Density in Microwave CH4/H2 Plasma Used for Diamond Growth International conference

    M. Hiramatsu, K. Kato Kohei Ito, H. Nagai, M. Hori, and T. Goto

    oint International Plasma Symposium of 6th APCPST, 15th SPSM, OS 2002 and 11th KAPRA 

     More details

    Event date: 2002.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  3159. Correlation between Nanocrystalline Diamond Growth and C2 Radical Density in Microwave CH4/H2 International conference

    M. Hiramatsu, K. Kato, Kohei Ito, Chi H. Lau, John S. Foord, M. Hori, and T. Goto

    ESCAMPIG 16th and ICRP 6th Joint Conference 

     More details

    Event date: 2002.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:France  

  3160. Formation of Microcrystalline Silicon Thin Films in Low-Pressure Microwave Plasma Employing H2 Diluted SiH4 and SiF4 Gases International conference

    Daisuke Kikukawa, K. Honma, S. Den, M. Hori, and T. Goto

     More details

    Event date: 2002.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:France  

  3161. Fabrication of Vertically Aligned Carbon Nanotubulated Fibers by Microwave Plasma-Enhanced Chemical Vapor Deposition with Acetylene/Hydrogen International conference

    M. Hiramatsu, Kohei Ito, K. Kato, Chi H. Lau, John S. Foord, M. Hori, H. Nagai, and T. Goto

    ESCAMPIG 16th and ICRP 6th Joint Conference 

     More details

    Event date: 2002.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:France  

  3162. Monitoring of F, Si, SiFx (X=1-2) and SiF4 Molecule in 60MHz Parallel-Plate Capacitively Coupled Plasma Employing SiF4 International conference

    T. Ohta, K. Hara, M. Hori, and T. Goto

    ESCAMPIG 16th and ICRP 6th Joint Conference 

     More details

    Event date: 2002.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:France  

  3163. Gas Phase and Subsurface Reactions in Organic Low-k Films Etching and Insights into Etching Mechanism Using Multi-Beams International conference

    M. Hori, H. Nagai, M. Hiramatsu, and T. Goto

    ESCAMPIG 16th and ICRP 6th Joint Conference 

     More details

    Event date: 2002.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:France  

  3164. Detection of H, CH3 and Ionic Species in a Low-Pressure Inductively Coupled Plasma for Diamond Chemical-Vapor Deposition International conference

    K. Teii, Hikaru Funakoshi, S. Takashima, M. Hori, and T. Goto

    ESCAMPIG 16th and ICRP 6th Joint Conference 

     More details

    Event date: 2002.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:France  

  3165. Behavior of Oxygen Atoms in High-Density Inductively Coupled O2 Plasma International conference

    H. Nagai, M. Hiramatsu, M. Hori, and T. Goto

    oint International Plasma Symposium of 6th APCPST, 15th SPSM, OS 2002 and 11th KAPRA 

     More details

    Event date: 2002.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  3166. Silicon Oxide Selective Etching Process and Its Plasma Chemistry Using Environmentally Benign Fluorocarbon Gases International conference

    M. Hori and T. Goto

    4th International Workshop on Fluorocarbon Plasma, Col de Port France 

     More details

    Event date: 2002.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:France  

  3167. Diagnostics of Radicals and Formation of Microcrystalline Diamond in a Low-Pressure Inductively Coupled Plasma International conference

    M. Hori, K. Teii, and T. Goto (Invited)

    9th International Symposium on Advanced Materials (ISAM) 

     More details

    Event date: 2002.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  3168. Etching of Organic Low-k Film in ICP and UHF Plasma Employing N2/H2 and N2/NH3 Gases International conference

    H. Nagai, Yoritsugu Maeda, M. Hiramatsu, M. Hori, and T. Goto

    Proceedings of 1st International Symposium on Dry Process 

     More details

    Event date: 2001.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3169. Generation of Fluorocarbon Species Using Rapid Thermal Evaporation Technique for Semiconductor Device Process International conference

    K. Fujita, M. Hori, and T. Goto

    Proceedings of 2001 International Conference on Rapid Thermal Processing for Future Semiconductor Device 

     More details

    Event date: 2001.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3170. Silicon Oxide Selective Etching Process in UHF Plasma Employing Low Global Warming Potential Gases International conference

    Yoritsugu Maeda, H. Nagai, Toshiyuki Tanaka, M. Hori, and T. Goto

    Proceedings of 1st International Symposium on Dry Process 

     More details

    Event date: 2001.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3171. Development of Environmentally Benign Silicon Oxide Etching Process and novel Zero-Emission PFC Abatement System International conference

    K. Fujita, Mikio Nagai, Akira Suzuki, M. Ito, M. Hori, and T. Goto

    Proceedings of 1st International Symposium on Dry Process, 

     More details

    Event date: 2001.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3172. Synthesis of Microcrystalline Silicon Thin Films Using a Low-Pressure Microwave Plasma International conference

    Daisuke Kikukawa, K. Honma, M. Hori, and T. Goto

    Proceedings of 2001 International Microprocess and Nanotechnology Conference 

     More details

    Event date: 2001.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3173. Radical Reaction Mechanism of Organic Low-k Film Etching Employing N-H Plasmas International conference

    H. Nagai, Yoritsugu Maeda, M. Hiramatsu, M. Hori, and T. Goto

    Proceedings of Frontiers of Surface Engineering 2001 Conference and Exhibition 

     More details

    Event date: 2001.10 - 2001.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3174. Organic Low-k Film Etching in Inductively Coupled Plasma Employing N2/H2 and N2/NH3 Gases International conference

    H. Nagai, M. Hiramatsu, M. Hori, and T. Goto

    48th International Symposium American Vacuum Society 

     More details

    Event date: 2001.10 - 2001.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3175. Synthesis of Highly Oriented Microcrystalline Silicon Films in Pulse-Time-Modulated Ultrahigh-Frequency Silane Plasmas International conference

    M. Hori and T. Goto (Invited)

    54th Annual Gaseous Electronics Conference, 

     More details

    Event date: 2001.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  3176. Synthesis of Fluorinated SiNx Gate Dielectric Film Using ECR-PECVD Employing N2/H2/SiF4 Gases International conference

    Reiji Morioka, H. Ohta, M. Hori, and T. Goto

    Proceedings of 2001 International Conference on Solid State Device and Materials,  

     More details

    Event date: 2001.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3177. Microcrystalline Silicon Thin Film Formation Using a Low Pressure Microwave Plasma International conference

    Daisuke Kikukawa, K. Murata, M. Hori, and T. Goto

    Proceedings of XXV International Conference on Phenomena in Ionized Gases 

     More details

    Event date: 2001.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3178. Formation of SiNx:F Gate Dielectric Films Using ECR-PECVD Employing N2/SiF4/H2 Gases International conference

    Reiji Morioka, H. Ohta, M. Hori, and T. Goto

    Proceedings of XXV International Conference on Phenomena in Ionized Gases 

     More details

    Event date: 2001.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3179. Behavior of N, H Radicals and Etching of Organic Low-k Film Employing N2/H2 and N2/NH3 Plasmas International conference

    2. H. Nagai, S. Takashima, T. Tanaka, M. Hiramatsu, M. Hori, and T. Goto

    Proceedings of XXV International Conference on Phenomena in Ionized Gases 

     More details

    Event date: 2001.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3180. Microcrystalline Diamond Film Formation in Low-Pressure Inductively Coupled Plasma Using Di-t-alkyl Peroxide International conference

    H. Ito, Hikaru Funakoshi, K. Teii, M. Ito, M. Hori, T. Takeo, and T. Goto

    Proceedings of XXV International Conference on Phenomena in Ionized Gases 

     More details

    Event date: 2001.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3181. Measurement of CH3 Radicals in a Low-Pressure Microwave Plasma Using Infrared Diode Laser Absorption Spectroscopy International conference

    Takumi Shiomi, M. Hiramatsu, M. Nawata, K. Fujita, M. Hori, and T. Goto

    Proceedings of XXV International Conference on Phenomena in Ionized Gases,  

     More details

    Event date: 2001.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3182. Study of Reaction Layer on Silicon Nitride in Highly Selective Etching Process of Silicon Oxide over Silicon Nitride International conference

    M. Ito, S. Senda, M. Hori, and T. Goto

    Proceedings of XXV International Conference on Phenomena in Ionized Gases,  

     More details

    Event date: 2001.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3183. Initial Growth Process of Diamond Formation in Low-Pressure Inductively Coupled Plasma International conference

    M. Hori, K. Teii, Hikaru Funakoshi, T. Takeo, and T. Goto

    Proceedings of XXV International Conference on Phenomena in Ionized Gases 

     More details

    Event date: 2001.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3184. Effects of Fluorine for Ultrathin Fluorinated Silicon Nitride Gate Dielectric Films Formed by PECVD Employing NH3 and SiF4 International conference

    H. Ohta, M. Hori, and T. Goto

    Proceedings of XXV International Conference on Phenomena in Ionized Gases, 

     More details

    Event date: 2001.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3185. Behavior of Hydrogen Atoms in Inductively Coupled Methane and Hydrogen Plasma International conference

    S. Takashima, M. Hori, T. Goto, and K. Yoneda

    Proceedings of XXV International Conference on Phenomena in Ionized Gases 

     More details

    Event date: 2001.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3186. Absolute Hydrogen Atom Density Measurements in Inductively Coupled Methane and Hydrogen Plasmas International conference

    M. Hori, S. Takashima T. Goto, and K. Yoneda

    roceedings of XXV International Conference on Phenomena in Ionized Gase 

     More details

    Event date: 2001.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3187. Effects of Seed Layers on Properties of Microcrystalline Silicon Thin Films Formed by Remote Electron Cyclotron Resonance Silane Plasma International conference

    K. Murata, Daisuke Kikukawa, M. Ito, M. Hori, and T. Goto

    Proceedings of XXV International Conference on Phenomena in Ionized Gases 

     More details

    Event date: 2001.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3188. Control of Ion and Radical Fluxes in the Limitation of Low-Pressure Diamond Formation International conference

    Hikaru Funakoshi, K. Teii, S. Takashima, H. Ito, M. Hori, T. Takeo, and T. Goto

    Proceedings of XXV International Conference on Phenomena in Ionized Gases 

     More details

    Event date: 2001.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3189. Deposition of DLC Films Using Multi-Hole-Grid Electron-Beam Excited Plasma International conference

    S. Tada, S. Takashima, M. Ito, Manabu Hamagaki, M. Hori, and T. Goto

    Proceedings of XXV International Conference on Phenomena in Ionized Gases 

     More details

    Event date: 2001.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3190. Behavior of CFx(X=1-2) Radicals at Near Surface and Etching Characteristics of SiO2 and Si in ECR C4F8/Ar Plasma International conference

    S. Senda Takumi Shiomi, K. Fujita, M. Hori, and T. Goto

    Proceedings of XXV International Conference on Phenomena in Ionized Gases 

     More details

    Event date: 2001.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3191. Behavior of Carbon Atom in Low-k Film Formation Using Electron Cyclotron Resonance C4F6, C3F6 and C4F8 Plasmas International conference

    K. Hara, M. Nakamura, M. Ito, M. Hori, T. Goto, and N. Ishii

    Proceedings of XXV International Conference on Phenomena in Ionized Gases 

     More details

    Event date: 2001.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3192. Spatial Distribution Measurement of SiF4 and SiF2 Densities in Electron Cyclotron Resonance SiF4 Plasma International conference

    M. Nakamura, K. Hara, M. Ito, M. Hori, T. Goto, and N. Ishii

    Proceedings of XXV International Conference on Phenomena in Ionized Gases, 

     More details

    Event date: 2001.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3193. Kinetics of Hydrogen Atoms in JHF SiH4/H2 Plasma for High Microcrystalline silicon Thin Films Formation International conference

    Y. Mizutani, Emi Iwasaka, Miho Matsutani, K. Murata, S. Takashima, M. Hori, T. Goto, S. Samukawa, and T. Tsukada

    Proceedings of XXV International Conference on Phenomena in Ionized Gases, 

     More details

    Event date: 2001.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3194. Formation of Preferentially Oriented Microcrystalline Silicon Thin Films in Pulse Modulated UHF Plasma CVD International conference

    Emi Iwasaka, Y. Mizutani, Miho Matsutani, K. Murata, S. Takashima, M. Hori, and T. Goto

    Proceedings of XXV International Conference on Phenomena in Ionized Gases 

     More details

    Event date: 2001.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3195. Surface Reaction Probability of Precursors for Microcrystalline Silicon Film in UHF SiH4/H2 Plasma CVD International conference

    Miho Matsutani, Emi Iwasaka, Y. Mizutani, K. Murata, M. Hori, T. Goto, S. Samukawa, and T. Tsukada

    Proceedings of XXV International Conference on Phenomena in Ionized Gases 

     More details

    Event date: 2001.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3196. SiO2 Fine Contact Hole Etching Process by CxFy/Ar Plasma Employing Solid Material Evaporation Technique International conference

    K. Fujita, M. Hori, and T. Goto

    Proceedings of XXV International Conference on Phenomena in Ionized Gases 

     More details

    Event date: 2001.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3197. Measurement of O Atom Density Employing Vacuum Ultraviolet Absorption Spectroscopy with Microdischarge Hollow-Cathode Lamp International conference

    H. Nagai, S. Takashima, Toshiyuki Tanaka, M. Hiramatsu, M. Hori, and T. Goto

    Proceedings of XXV International Conference on Phenomena in Ionized Gases 

     More details

    Event date: 2001.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3198. Effect of Molecular Structure of Feed Gases on Oxide Etching Using UHF Fluorocarbon Plasma International conference

    Toshiyuki Tanaka, H. Nagai, M. Ito, M. Hori, and T. Goto

    Proceedings of XXV International Conference on Phenomena in Ionized Gases 

     More details

    Event date: 2001.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3199. Analysis of photon-number squeezed light generation using nonlinear polarization interferometer International conference

    J. Higuchi, N. Nishizawa, T. Goto, M. Mori, and K. Yamane

    CLEO/Pacific Rim 2001 

     More details

    Event date: 2001.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3200. Measurement Techniques of Radicals and Their Gas Phase and Surface Reactions in Plasma Processes International conference

    M. Hori and T. Goto (Invited)

    International Workshop on Basis for Low Temperature Plasma Applications: Charged and Neutral Particle Transport in Gas Phase an in Plasma/Surface Interface 

     More details

    Event date: 2001.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  3201. Highly-Quality Ultrathin Fluorinated Silicon Nitride Gate Dielectric Film Formed by PECVD International conference

    H. Ohta, M. Hori, and T. Goto

    2nd International Workshop on Development of Thin Films for Future ULSI'S and Nano-Scale Process Integration 

     More details

    Event date: 2000.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3202. Environmentally Benign Etching Process for a-Si, W and SiO2 Materials in ULSIs International conference

    M. Hori, K. Fujita, and T. Goto

    2nd International Workshop on Development of Thin Films for Future ULSI7S and Nano-Scale Process Integration 

     More details

    Event date: 2000.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3203. Formation of Polytetrafluoroethylene-Like Low-k Films Employing Environmentally Benign Plasma CVD Process International conference

    K. Fujita, M. Ito, M. Hori, and T. Goto

    2nd International Workshop on Development of Thin Films for Future ULSI7S and Nano-Scale Process Integration 

     More details

    Event date: 2000.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3204. Measurement of Spatial Distribution of Radicals and Formation of Low-k Films Employing ECR Plasma CVD International conference

    M. Nakamura, M. Hori, and T. Goto

    2nd International Workshop on Development of Thin Films for Future ULSI'S and Nano-Scale Process Integration 

     More details

    Event date: 2000.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3205. Depression of Pressure Limit of Diamond Growth in an Inductively Coupled Plasma International conference

    K. Teii, Hikaru Funakoshi, H. Ito, M. Hori, T. Takeo, and T. Goto

    The 2000 Fall Materials Research Society Meeting 

     More details

    Event date: 2000.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3206. Etching Mechanism of Silicon Oxide over Silicon Nitride in ECR C4F8 Plasma Employing In-situ FT-IR RAS and In-situ XPS International conference

    M. Hori, S. Senda, M. Ito, and T. Goto

    2nd International Workshop on Development of Thin Films for Future ULSI'S and Nano-Scale Process Integration 

     More details

    Event date: 2000.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3207. Dry Etching of Organic Low-k Films Employing High Density Plasma and Its Diagnostics of Radicals International conference

    M. Hori, S. Nagai, S. Takashima, and T. Goto

    2nd International Workshop on Development of Thin Films for Future ULSI'S and Nano-Scale Process Integration 

     More details

    Event date: 2000.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3208. Silicon Oxide Contact Hole Etching Process Employing Environmentally harmonized Technique International conference

    K. Fujita, M. Hori, T. Goto, and M. Ito

    47th International Symposium American Vacuum Society 

     More details

    Event date: 2000.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3209. Loss Kinetics of Carbon Atoms in Diamond Deposition Employing Low-Pressure Inductively Coupled Plasma International conference

    H. Ito, K. Teii, Hikaru Funakoshi, M. Hori, T. Goto, M. Ito, and T. Takeo

    53rd Annual Gaseous Electronics Conference 

     More details

    Event date: 2000.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3210. Correlation between Gas Phase and Substrate Surface on Fabrication of Low-k Film in ECR Plasma with C4F8 and Perfluorocarbon-Replacement Gases International conference

    M. Nakamura, K. Teii, S. Takashima, M. Hori, T. Goto, and N. Ishii

    53rd Annual Gaseous Electronics Conference, 

     More details

    Event date: 2000.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3211. Etching Mechanism of Silicon Nitride Film in Self-Aligned Contact Etching Process International conference

    M. Ito, S. Senda, K. Kamiya, M. Hori, and T. Goto

    47th International Symposium American Vacuum Society 

     More details

    Event date: 2000.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3212. Studies on Absolute H Atom Density in Material Plasma Processes Using Vacuum Ultraviolet Absorption Spectroscopy Employing Microplasma International conference

    S. Takashima M. Hori, T. Goto, and K. Yoneda

    53rd Annual Gaseous Electronics Conference 

     More details

    Event date: 2000.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3213. Highly-Quality Ultrathin Fluorinated Silicon Nitride Gate Dielectric Films Prepared by Plasma Enhanced Chemical Vapor Deposition Employing NH3 and SiF4 International conference

    H. Ohta, M. Hori, and T. Goto

    47th International Symposium American Vacuum Society 

     More details

    Event date: 2000.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3214. Nitrogen Atoms in a Compact Electron Beam Excited Plasma International conference

    S. Tada, S. Takashima M. Hori, M. Ito, S. Den, Y. Sakamoto, and T. Goto

    15th Europhysics Conference on Atomic and Molecular Physics of Ionized Gase 

     More details

    Event date: 2000.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Hungary  

  3215. Ultrathin Fluorinated Silicon Nitride Gate Dielectric Film Formed by Plasma Enhanced Chemical Vapor Deposition Employing NH3 and SiF4 International conference

    H. Ohta, A. Nagashima, M. Hori, and T. Goto

    The 2000 International Conference on Solid State Devices and Materials 

     More details

    Event date: 2000.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3216. Absolute Density Measurement of Hydrogen and Nitrogen Atoms in High Density Reactive Plasma Using Vacuum Ultraviolet Absorption Spectroscopy Employing a Microdischarge Hollow-Cathode Lamp International conference

    M. Hori, S. Takashima, S. Tada, A. Kono and T. Goto

    15th Europhysics Conference on Atomic and Molecular Physics of Ionized Gases 

     More details

    Event date: 2000.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Hungary  

  3217. Behaviors of CFx (x=1-3) Radicals and Polymeric Species in High-Density Fluorocarbon Plasmas International conference

    M. Hori, M. Nakamura, M. Ito, and T. Goto

    3rd International Workshop on Fluorocarbon Plasma 

     More details

    Event date: 2000.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:France  

  3218. Diagnostics of Neutral Species and Insight into the Surface Reaction in High-Density Fluorocarbon Plasma Process International conference

    103. M. Hori

    5th International Workshop on Advanced Plasma Tool & Process Engineering 

     More details

    Event date: 2000.2

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:United States  

  3219. Surface Reactions for Low Temperature Formation of Ultrathin Silicon Nitride Gate Dielectric Film Employing Plasma Enhanced Chemical Vapor Deposition International conference

    T. Goto, and M. Hori

    Proceedings of International Workshop on Basic Aspect of Non-Equilibrium Plasma Interacting with Surface (BANPIS) 

     More details

    Event date: 2000.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  3220. Environmentally Harmonized Silicon Oxide Selective Etching Process Employing Novel Radical Infection Technique International conference

    96. K. Fujita, S. Kobayashi, M. Hori, and T. Goto

    46th International Symposium American Vacuum Society 

     More details

    Event date: 1999.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3221. Ultra-Low-Temperature Formation of Silicon Nitride Gate Dielectric Film by Novel Plasma Technique International conference

    M. Hori, H. Ohta, A. Nagashima, M. Ito, and T. Goto

    46th International Symposium American Vacuum Society 

     More details

    Event date: 1999.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3222. Development of Vacuum Ultraviolet Absorption Spectroscopy Using High Pressure H2 Microdischarge Hollow Cathode Lamp for Measurement of H Atom Density in Plasma International conference

    S. Takashima, M. Hori, A. Kono, T. Goto M. Ito, and K. Yoneda

    52nd Gaseous Electronics Conference 

     More details

    Event date: 1999.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3223. Spatial Distribution Measurements of Absolute CFx (X=1-2) Radical Densities Using Single Path Infrared Diode Laser Absorption Spectroscopy and Laser-Induced Fluorescence Technique International conference

    M. Ito, M. Nakamura, M. Hori, T. Goto, and N. Ishii

    52nd Gaseous Electronics Conference 

     More details

    Event date: 1999.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3224. Diagnostics of Ultrahigh Frequency SiH4/H2 Plasmas for Synthesizing Polycrystalline Silicon Thin Film at Low Substrate Temperatures International conference

    M. Ito, S. Sumiya, Y. Mizutani, M. Hori, T. Goto, S. Samukawa, and T. Tsukada

    52nd Gaseous Electronics Conference 

     More details

    Event date: 1999.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3225. Measurement of Atomic Species in High-Density Reactive Plasmas Using Vacuum Ultraviolet Absorption Spectroscopy with High Pressure H2 Microdischarge Hollow Cathode Lamp International conference

    S. Takashima, M. Hori, A. Kono, T. Goto, M. Ito, and K. Yoneda

    52nd Gaseous Electronics Conference 

     More details

    Event date: 1999.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3226. Diamond Formation Using a Low-Pressure Inductively Coupled Plasma International conference

    H. Ito, K. Teii, M. Ito, M. Hori, T. Takeo, and T. Goto

    46th International Symposium American Vacuum Society 

     More details

    Event date: 1999.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3227. Spatial Distribution Measurement of Radicals in C4F8 and SiF4 Plasmas Using Infrared Diode Laser Absorption Spectroscopy and Laser-Induced Fluorescence International conference

    M. Ito, M. Nakamura, M. Hori, and T. Goto

    The 9th International Symposium on Laser-Aided Plasma Diagnostic 

     More details

    Event date: 1999.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3228. Control of Ion Bombardment and Species for Ultra Low Temperature Formation of Silicon Nitride Gate Dielectric Film Using Plasma Chemical Vapor Deposition International conference

    H. Ohta, A. Nagashima, M. Ito, M. Hori, and T. Goto

    The 1999 International Conference on Solid State Devices and Materials 

     More details

    Event date: 1999.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3229. Measurement of Spatial Distribution of Absolute CFx Radical Densities in a Plasma Reactor Using Infrared Diode Laser A sorption and Laser-Induced Fluorescence International conference

    94. M. Nakamura, H. Nakayama, M. Ito, M. Hori, A. Kono, T. Goto, and N. Ishii

    Pacific Rim Conference on Lasers and Electro-Optics 

     More details

    Event date: 1999.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  3230. Environmentally Harmonized Plasma Etching Process Using Laser Ablation of Various Solid Materials International conference

    K. Fujita, S. Kobayashi, M. Ito, M. Hori, and T. Goto

    Pacific Rim Conference on Lasers and Electro-Optics 

     More details

    Event date: 1999.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  3231. Control of Initial Layer Low Temperature and High Deposition Rate Polycrystalline Silicon Film Formation Process International conference

    K. Murata, M. Ito, M. Hori, and T. Goto

    1999 International Microprocess and Nanotechnology Conference 

     More details

    Event date: 1999.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3232. Loss Kinetics of Carbon Atoms in Low-Pressure High Density Plasma International conference

    H. Ito, T. Takeo, M. Hori, and T. Goto

    The 5th International Symposium on Sputtering & Plasma Process (ISSP'99) 

     More details

    Event date: 1999.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3233. Optical Diagnostics of Low-Pressure Inductively Coupled Plasma for Nanocrystalline Diamond Growth International conference

    K. Teii, H. Ito, M. Ishikawa, M. Ito, M. Hori, T. Takeo, T. Kato, and T. Goto

    XXIV International Conference on Phenomena in Ionized Gases 

     More details

    Event date: 1999.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Poland  

  3234. Spatial Distribution Measurement of Absolute CFx Radical Densities in Electron Cyclotron Resonance Fluorocarbon Plasma International conference

    H. Nakayama, M. Nakamura, M. Ito, M. Hori, T. Goto, A. Kono, and N. Ishii

    XXIV International Conference on Phenomena in Ionized Gases 

     More details

    Event date: 1999.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Poland  

  3235. Observation of Polymeric Species Produced in High-Density Fluorocarbon Plasma International conference

    K. Teii, M. Ito, M. Hori, T. Goto, and N. Ishii

    XXIV International Conference on Phenomena in Ionized Gase 

     More details

    Event date: 1999.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Poland  

  3236. Behaviors and Control of CFx (x=1-3) Radicals in Environmentally Harmonized ECR Plasma Employing a Novel Radical Source International conference

    K. Fujita, S. Kobayashi, M. Ito, M. Hori, and T. Goto

    XXIV International Conference on Phenomena in Ionized Gases 

     More details

    Event date: 1999.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Poland  

  3237. Characteristics of H2 Micro Hollow Cathode Plasma and Its Application for Vacuum Ultraviolet Absorption Spectroscopy International conference

    S. Takashima, S. Arai, M. Hori, T. Goto, A. Kono, M. Ito, and K. Yoneda

    International Symposium on Electron-Molecule Collisions and Swarms 

     More details

    Event date: 1999.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3238. In-Situ Observation of Surface Reaction on Si3N4 Films in ECR Selectively Etching of SiO2 over Si3N4 Process International conference

    M. Hori, K. Kamiya, T. Goto, and M. Ito

    The 1999 Joint International Meeting 

     More details

    Event date: 1999

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3239. Photo-Induced Etching of Metal Fluoride Film Using Synchrotron Radiation International conference

    H. Nagai, M. Hiramatsu, M. Nawata, J. Kamiiisaka, M. Hori, and T. Goto

    The 1999 Joint International Meetin 

     More details

    Event date: 1999

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3240. Control over Size and Density of Sub-5nm Gold Dots by Retarding-Field Single Ion Deposition (RSID) International conference

    M. Hori, T. Goto, R.G. Woodham, and H. Ahmed

    4th International Symposium on New Phenomena in Mesoscopic Structure (NPMS'98)  

     More details

    Event date: 1998.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3241. Novel Etching Process Keeping Harmony with Environment by Radical Control International conference

    M. Hori, K. Fujita, M. Ito, and T. Goto

    nternational Workshop on Development of Thin Film for Future ULSI's and Nano-Scale Process Integration 

     More details

    Event date: 1998.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3242. Silicon Nitride Ultra Thin Film by ECR Plasma and Its Application to Gate-Insulator International conference

    H. Ohta, A. Nagashima, M. Ito, M. Hori, and T. Goto

    51st Gaseous Electronics Conference 

     More details

    Event date: 1998.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3243. Effect of Ion Bombardment on the Initial Growth in Low Temperature Poly-Si Formation International conference

    K. Murata, K. Kamiya, R. Nozawa, M. Ito, M. Hori, and T. Goto

    51st Gaseous Electronics Conference 

     More details

    Event date: 1998.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3244. Spatial Distribution Measurement of Absolute CFx Radical Densities in Electron Cyclotron Resonance C4F8 Plasma International conference

    M. Nakamura, H. Nakayama, M. Ito, M. Hori, A. Kono, T. Goto, and N. Ishii

    51st Gaseous Electronics Conference 

     More details

    Event date: 1998.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3245. Silicon Oxide Selective Etching and Chamber Cleaning Process for Preventing Global Warming International conference

    K. Fujita, S. Kobayashi, M. Ito, M. Hori, and T. Goto

    51st Gaseous Electronics Conference 

     More details

    Event date: 1998.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3246. Behaviors of CFx (x=1-3) and Polymeric Species in Electron Cyclotron Resonance Fluorocarbon Plasma International conference

    1st Gaseous Electronics Conference, 

     More details

    Event date: 1998.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3247. Behavior of Atomic C Species in Inductively Coupled Plasma International conference

    H. Ito, M. Ishikawa, M. Ito, M. Hori, T. Takeo, and T. Kato

    51st Gaseous Electronics Conference 

     More details

    Event date: 1998.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3248. Development of Incoherent-Light Absorption Spectroscopic Technique for Measuring Atomic Species in Process Plasma International conference

    S. Takashima, S. Arai, M. Ito, M. Hori, T. Goto, A. Kono, and K. Yoneda

    51st Gaseous Electronics Conference 

     More details

    Event date: 1998.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3249. Novel Process of SiO2/Si Selective Etching Using New Gas System against Global Warming International conference

    M. Hori, K. Fujita, M. Ito, and T. Goto

    1998 International Microprocesses and Nanotechnology Conference 

     More details

    Event date: 1998.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  3250. Effect of Charged Species on the Initial Growth in Low Temperature Poly-Si Formation International conference

    K. Murata, R. Nozawa, M. Ito, M. Hori, and T. Goto

    The 4th Asia-Pacific Conference on Plasma Science for Materials 

     More details

    Event date: 1998.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Australia  

  3251. Spatial Distribution of CF and CF2 Radical Densities in a Large-Scale ECR Plasma by Using Infrared Diode Laser Absorption Spectroscopy International conference

    M. Hori, M. Nakamura, M. Ito, A. Kono, N. Ishii, and T. Goto

    2nd International Workshop on Fluorocarbon Plasma 

     More details

    Event date: 1998.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:France  

  3252. Behavior of Carbon Atom Density in Hydrocarbon and Fluorocarbon Plasma International conference

    H. Ito, M. Ito, M. Ishikawa, M. Hori, T. Goto, T. Takeo, and T. Kato

    The 1st Asia-Pacific International Symposium on the Basic and Application of Plasma Technology 

     More details

    Event date: 1997.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Taiwan, Province of China  

  3253. Measurement of Si Atom Density in Ultra High Frequency Discharge Silane Plasma International conference

    Y. Yamamoto, R. Yoshida, M. Ito, M. Hori, T. Goto, S. Samukawa, and T. Tsukada

    50th Annual Gaseous Electronics Conference 

     More details

    Event date: 1997.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3254. Diamond Film Formation Using in a Low Pressure Radio Frequency Inductively Coupled Plasma International conference

    H. Noda, H. Nagai, M. Hiramatsu, M. Nawata, M. Hori, and T. Goto

    50th Annual Gaseous Electronics Conference 

     More details

    Event date: 1997.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3255. Measurement of Absolute Densities of SiFx in Electron Cyclotron Resonance SiF4 Plasma International conference

    M. Nakamura, H. Arai, K. Miyata, M. Ito, M. Hori, and T. Goto

    50th Annual Gaseous Electronics Conference 

     More details

    Event date: 1997.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3256. Absolute Density Measurement of FCN in CHF3 ECR Plasma Etching of Si3N4 International conference

    K. Miyata, H. Arai, M. Ito M. Hori, and T. Goto

    50th Annual Gaseous Electronics Conference 

     More details

    Event date: 1997.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3257. Absolute Density Measurement of FCN in CHF3 ECR Plasma Etching of Si3N4 International conference

    K. Miyata, H. Arai, M. Ito M. Hori, and T. Goto

    50th Annual Gaseous Electronics Conference 

     More details

    Event date: 1997.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3258. Measurement of Einstein's A Coefficient of Transition Line at 296.7nm for Carbon Atom International conference

    H. Ito, M. Ito, M. Hori, A. Kono, T. Takeo, H. Hattori, and T. Goto

    50th Annual Gaseous Electronics Conference 

     More details

    Event date: 1997.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3259. Downflow Plasma-Enhanced Chemical Vapor Deposition Using Hexamethyl-Disiloxane for Preparation of Low Dielectric Constant Interlayer Dielectrics International conference

    K. Fujita, M. Hiramatsu, M. Nawata, M. Hori, and T. Goto

    50th Annual Gaseous Electronics Conference 

     More details

    Event date: 1997.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3260. Development of Novel CF2 Radical Source by Using Laser Ablation of PTFE International conference

    K. Fujita, M. Hiramatsu, M. Nawata, M. Hori, and T. Goto

    50th Annual Gaseous Electronics Conference 

     More details

    Event date: 1997.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3261. Surface Reaction of CF2 Radical with Ar Ion Bombardment in Fluorocarbon Film Formation for Highly Selective SiO2/Si Etching International conference

    M. Inayoshi, M. Ito, M. Hori, M. Hiramatsu, and T. Goto

    The 13th International Symposium on Plasma Chemistry, 

     More details

    Event date: 1997.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:China  

  3262. The Roles of Atomic Carbon for High Selective SiO2/Si Etching in Permanent Magnet ECR C4F8/CH4 Plasma International conference

    M. Hori, M. Ito, S. Den, P. O'Keeffe, Y. Hayashi, Y. Sakamoto, and T. Goto

    International 1997 Microprocesses and Nano-Technology Conference, 

     More details

    Event date: 1997.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3263. Measurement of Carbon Radical Density in ECR and ICP Plasma Processes International conference

    M. Ito, H. Ito, M. Ikeda, M. Hori, H. Hattori, and T. Goto

    he Electrochemical Society, INC., Spring Meeting271 

     More details

    Event date: 1997.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:Canada  

  3264. Low Temperature Polycrystalline Silicon Formation by Neutral Reactive Species in Electron Cyclotron Resonance SiH4/H2 Plasma Chemical Vapor Deposition International conference

    R. Nozawa, M. Ito, M. Hori, and T. Goto

    The 3rd International Conference on Reactive Plasmas and 14th Symposium on Plasma Processing 

     More details

    Event date: 1997.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3265. Generation Process of Fluorocarbon Radicals in ECR-CF4 and C4F8 Plasmas International conference

    K. Miyata, M. Ito, M. Hori, and T. Goto

    the 3rd International Conference on Reactive Plasmas and 14th Symposium on Plasma Processing 

     More details

    Event date: 1997.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3266. Surface Reaction of CF2 Radical in Silicon Oxide Selective Etching Process International conference

    M. Hori, M. Inayoshi, M. Ito, M. Hiramatsu, and T. Goto

    International Workshop on Basic Aspect of Nonequilibrium Plasmas Interacting with Surface 

     More details

    Event date: 1997.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  3267. Measurement of Carbon Radical Density in High Density Plasma Process International conference

    H. Ito, M. Ikeda, M. Ito, M. Hori, T. Takeo, H. Hattori, and T. Goto

    The 3rd International Conference on Reactive Plasmas and 14th Symposium on Plasma Processing 

     More details

    Event date: 1997.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3268. Study on Growth Processes of Particles in RF SiH4 Plasmas International conference

    H. Kawasaki, H. Ohkura, T. Fukuzawa, M. Shiratani, Y. Watanabe, Y. Yamamoto, S. Suganuma, M. Hori, and T. Goto

    The 3rd International Conference on Reactive Plasmas and 14th Symposium on Plasma Processing 

     More details

    Event date: 1997.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3269. Effects of Dilution Gases on Si Atom and SiHx+(x=0-3) Ions in ECR SiH4 Plasma International conference

    Y. Yamamoto, S. Suganuma, M. Ito, M. Hiramatsu, M. Hori, T. Goto

    Tthe 3rd International Conference on Reactive Plasmas and 14th Symposium on Plasma Processing 

     More details

    Event date: 1997.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3270. Development and Characteristics of a Microwave Radical Beam Source International conference

    S. Den, M. Ikeda, H. Muto, M. Ito, M. Hori, and T. Goto

    The 3rd International Conference on Reactive Plasmas and 14th Symposium on Plasma Processing 

     More details

    Event date: 1997.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3271. Behavior of CH3 Radical in Parallel-Plate RFCH3OH Plasma with Hand OH Radical Injection and Surface Characteristics of Synthesized Diamond Film International conference

    M. Ikeda, H. Ito, K. Murata, M. Hori, M. Hiramatsu, and T. Goto

    Tthe 3rd International Conference on Reactive Plasmas and 14th Symposium on Plasma Processing 

     More details

    Event date: 1997.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3272. Diagnostics of a Newly Developed 300mm Homogeneous Permanent Magnet ECR Plasma International conference

    S. Den, T. Kuno, M. Hori, T. Goto, Y. Hayashi, and Y. Sakamoto

    43rd American Vacuum Society Conference 

     More details

    Event date: 1996.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3273. CFx (x=1-3) Radical Densities during SiO2, Si3N4 and Si Etching Employing ECR-CHF3 Plasma International conference

    K. Miyata, T. Kuno, M. Hori, and T. Goto

    43rd American Vacuum Society Conference 

     More details

    Event date: 1996.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3274. Oxygen Radical Assisted Laser Evaporation of Polysiloxane for Preparation of Insulating Films with Low Dielectric Constant International conference

    49th Annual Gaseous Electronics Conference 

     More details

    Event date: 1996.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3275. In-Situ Surface Diagnostics of a-Si:H Film during ECR-H2 Plasma Annealing International conference

    M. Hori, R. Nozawa H. Takeda, M. Nakamura, M. Ito, and T. Goto

    49th Annual Gaseous Electronics Conference 

     More details

    Event date: 1996.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3276. The Roles of Plasma Species on Polycrystalline Silicon Film Formation by ECR SiH4/H2 Plasma International conference

    M. Ito, R. Nozawa, K. Murata, M. Hori, and T. Goto

    49th Annual Gaseous Electronics Conference 

     More details

    Event date: 1996.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3277. Dissociation Processes of Fluorocarbon in ECR Etching Plasmas International conference

    K. Miyata, H. Arai, M. Hori, and T. Goto

    49th Annual Gaseous Electronics Conference 

     More details

    Event date: 1996.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3278. Behaviors of Si Atoms and SiHx+(x=0-3) Ions in ECR SiH4 Plasma International conference

    Y. Yamamoto, S. Suganuma M. Ito, M. Hori, and T. Goto

    9th Annual Gaseous Electronics Conference 

     More details

    Event date: 1996.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3279. Carbon Radical Measurement in Inductively Coupled CO Plasma International conference

    M. Ikeda, M. Ito, M. Hori, T. Goto, and H. Ito

    49th Annual Gaseous Electronics Conference 

     More details

    Event date: 1996.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3280. Behaviors of CFx Radicals in ECR Fluorocarbon Plasmas and Control SiO2 Etching by Radical Injection International conference

    T. Goto and M. Hori

    49th Annual Gaseous Electronics Conference 

     More details

    Event date: 1996.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:United States  

  3281. The Effect of Radicals on the Crystallinity of Polycrystalline Silicon Films Formed by Using ECR SiH4/H2 Plasma International conference

    M. Hori, R. Nozawa, H. Takeda, M. Ito, and T. Goto

    43rd American Vacuum Society Conference 

     More details

    Event date: 1996.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3282. STM/STS Characteristics of Diamond Films Prepared by RF Plasma CVD with OH Radical Infection International conference

    M. Ito, K. Murata, M. Hori, and T. Goto

    43rd American Vacuum Society Conference 

     More details

    Event date: 1996.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3283. High-Aspect-Ratio Ablation of Polytetrafluoroethylene by Synchrotron Radiation Irradiation International conference

    the 9th International MicroProcess Conference 

     More details

    Event date: 1996.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3284. Diagnostics of Fluorocarbon Radicals in a Compact Permanent Magnet ECR Etching Plasma Proceedings of the 9th International MicroProcess Conference International conference

    S. Den, T. Kuno, K. Miyata, M. Ito, M. Hori, T. Goto, Y. Hayashi, and Y. Sakamoto

    The 9th International MicroProcess Conference 

     More details

    Event date: 1996.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3285. Ion Bombardment Effects on Polycrystalline Silicon Formation in Electron Cyclotron Resonance SiH4/H2 Plasma Enhanced Chemical Vapor Deposition International conference

    R. Nozawa, H. Takeda, M. Ito, M. Hori, and T. Goto

    The 3rd Asia-Pacific Conference on Plasma Science & Technology, 

     More details

    Event date: 1996.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3286. Radical Behavior in Fluorocarbon Plasma and Control of Silicon Oxide Etching by Infection of Radicals International conference

    T. Goto and M. Hori

    the 9th International MicroProcess Conference 

     More details

    Event date: 1996.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  3287. Effects of Ions on Surface Morphology and Structures of Polycrystalline Silicon Films Prepared by Electron Cyclotron Resonance Silane/Hydrogen Plasmas International conference

    M. Ito, R. Nozawa, H. Takeda, M. Hori, and T. Goto

    189th Meeting of the Electrochemical Society 

     More details

    Event date: 1996.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3288. Surface Reaction of CF2 Radical in Fluorocarbon Plasma Etching Process International conference

    M. Hori, M. Inayoshi, T. Goto, and M. Hiramatsu

    189th Meeting of the Electrochemical Society 

     More details

    Event date: 1996.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3289. CF and CF2 Radical Chemistry in the Gaseous Phase of a Compact Permanent Magnet ECR Plasma Source International conference

    . Den, T. Kuno, K. Miyata, M. Ito, M. Hori, T. Goto, Y. Hayashi, and Y. Sakamoto

    189th Meeting of the Electrochemical Society 

     More details

    Event date: 1996.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3290. Effects of OH, H, and CH3 Radicals on Diamond Film Formation Using a Parallel-Plate RF Plasma International conference

    M. Ikeda, E. Mizuno, K. Yamada, S. Kato, M. Hiramatsu, M. Nawata, M. Hori,T. Goto

    Symposium on Diamond Electronics Devices 

     More details

    Event date: 1996.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3291. Etching Control and Clarification of Important Radical for Etching by Employing Radical Injection Technique International conference

    M. Hori, T. Goto

    SEMI Technology Symposium 95 

     More details

    Event date: 1995.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3292. Effects of Carbon Source Gases on Diamond Thin Film Formation Using RF Plasma CVD Assisted by Microwave Plasma International conference

    K. Yamada, E. Mizuno, S. Kato, M. Hiramatsu, M. Nawata, M. Ikeda, M. Hori, T. Goto

    48th Annual Gaseous Electronics Conference 

     More details

    Event date: 1995.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3293. Diamond Film Formation by FR Plasma CVD with Radical Source Employing H2 and H2O Gases International conference

    E. Mizuno, K. Yamada, S. Kato, M. Hiramatsu, M. Nawata M. Ikeda, M. Hori,T. Goto

    48th Annual Gaseous Electronics Conference 

     More details

    Event date: 1995.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3294. Effects of H, OH and CH3 Radicals on Diamond Formation in a Capacitively Coupled RF Plasma International conference

    M. Ikeda, K. Aiso, M. Hori, T. Goto, K. Yamada, E. Mizuno, M. Hiramatsu, M. Nawata

    42nd American Vacuum Society Conference 

     More details

    Event date: 1995.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3295. Diagnostics of CFx (x:1-3) Radicals and F Atom in ECR Etching Plasmas Employing CF4, C2F6 and C4F8 International conference

    M. Hori, K. Miyata, K. Takahashi,T. Goto

    42nd American Vacuum Society Conference 

     More details

    Event date: 1995.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3296. Measurement of CH3 Radical Density in ECR and Parallel-Plate RF Plasmas International conference

    M. Ikeda, K. Aiso, M. Hori, T. Goto

    48th Annual Gaseous Electronics Conference 

     More details

    Event date: 1995.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3297. Measurement of Radical Densities Associated with C4F8 Plasma from a Large Area ECR Plasma Source International conference

    S. Den, T. Kuno, K. Takahashi, M. Ito, M. Hori, Y. Hayashi, Y. Sakamoto,T. Goto

    48th Annual Gaseous Electronics Conference 

     More details

    Event date: 1995.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3298. Influence of Wall Surface on CFx(X=1-3) Radicals and Film Deposition in CHF3/H2 ECR Etching Plasma International conference

    M. Hori, K. Takahashi, T. Goto

    48th Annual Gaseous Electronics Conference 

     More details

    Event date: 1995.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3299. Interaction of CF Radical with Wall Surfaces in ECR Etching Plasma Employing CHF3/H2 International conference

    K. Takahashi, M. Hori,T. Goto

    IUVSTA International Workshop on Plasma Source and Surface Interactions in Materials  

     More details

    Event date: 1995.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3300. Deposition of Polysiloxane Films Using CO2 Laser Evaporation Assisted by Remote O2 Plasma and Its Transformation to Silicon Oxide Films International conference

    S. Hattori, T. Fujii, T. Yokoi, M. Hiramatsu, M. Nawata, M. Hori,T. Goto

    the 12th International Symposium on Plasma Chemistry 

     More details

    Event date: 1995.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3301. Diamond Thin Film Formation Using RF CH3OH Plasma Assisted by Hand OH Radicals International conference

    M. Ikeda, M. Hori, T. Goto, K. Yamada, E. Mizuno, M. Hiramatsu,M. Nawata

    the 3rd International Symposium on Sputtering and Plasma Processes 

     More details

    Event date: 1995.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3302. Diamond Film Formation Using Plasma CVD Assisted by Water Vapor Enhanced Hydrogen Radical Source International conference

    M. Hiramatsu, K. Yamada, E. Mizuno, M. Nawata, M. Ikeda, M. Hori, T. Goto

    22nd IEEE International Conference on Plasma Science 

     More details

    Event date: 1995.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3303. Gas Phase Reactions of Fluorocarbon Radicals in CHF3/H2 and CHF3/O2 ECR Downstream Plasmas International conference

    K. Takahashi, K. Miyata, M. Hori, S. Kishimoto,T. Goto

    47th Annual Gaseous Electronics Conference 

     More details

    Event date: 1994.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3304. Si and SiH3 Radicals in On-Off Modulated ECR SiH4 Plasma International conference

    M. Hori, Y. Yamamoto, M. Hiramatsu, T. Goto

    47th Annual Gaseous Electronics Conference 

     More details

    Event date: 1994.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3305. Formation of Fluorocarbon Thin Films Using Plasma CVD Assisted by Selective Radical Source International conference

    M. Inayoshi, K. Yamada, M. Hiramatsu, M. Nawata, M. Ikeda, M. Hori, T. Goto

    47th Annual Gaseous Electronics Conference 

     More details

    Event date: 1994.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3306. Effect of H2 and Ar Dilutions on Si and SiH3 Radical Densities in Electron Cyclotron Resonance Silane Plasma, International conference

    Y. Yamamoto, M. Hori, M. Hiramatsu, and T. Goto

    the 2nd Asia-Pacific Conference on Plasma Science & Technology 

     More details

    Event date: 1994.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  3307. Fluorocarbon Radicals and Polymerization in On-Off Modulated CHF3 Electron Cyclotron Resonance Plasma International conference

    K. Takahashi, M. Hori, S. Kishimoto,T. Goto

    the 2nd International Conference on Reactive Plasmas and 11th Symposium on Plasma Processing 

     More details

    Event date: 1994.2

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3308. Measurement of Absolute Densities of Si, SiH and SiH3 in SiH4/H2 Electron Cyclotron Resonance Plasma International conference

    Y. Yamamoto, M. Hori, H. Nomura, T. Tanaka, M. Hiramatsu, and T. Goto

    the 2nd International Conference on Reactive Plasmas and 11th Symposium on Plasma Processing  

     More details

    Event date: 1994.2

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3309. CF, CF2 and CF3 Radical Densities in On-Off Modulated ECR CHF3 Plasma International conference

    M. Hori, K. Takahashi, T. Goto

    46th Gaseous Electronics Conference 

     More details

    Event date: 1993.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Canada  

  3310. Residue Free etching of Al-Si-Cu-Alloy Employing Magnetron RIE International conference

    K. Hattori, M. Hori, M. Aoyama

    183th Meeting of the Electrochemical Society 

     More details

    Event date: 1993.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3311. Radiation Damage in SiN and SiC Mask Membranes International conference

    M. Itoh, M. Hori, H. Komano, I. Mori

    35th International Symposium on Electron, Ion and Photon Beams 

     More details

    Event date: 1991.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3312. Fabrication of an Ultra-Low Stress Tungsten Absorber for X-Ray Masks International conference

    M. Itoh, M. Hori, H. Komano, I. Mori

    the 2nd International MicroProcess Conference 

     More details

    Event date: 1989.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3313. Radiation Damage in Silicon Nitride X-Ray Mask Membranes International conference

    M. Hori, I. Mori, S. Nadahara, Y. Kikuchi, H. Komano, and K. Tanaka

    the 1st International MicroProcess Conference 

     More details

    Event date: 1988.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3314. Plasma Polymerized X-Ray Resists Sensitized by High Z Atoms International conference

    S. Hattori, M. Hori, H. Yamada, S. Morita, and T. Yoneda

    the International Conference on Plasma Science and Technology 

     More details

    Event date: 1986.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:China  

  3315. Reconsideration of Fundamental Process and Molecular Design Principle for High Sensitivity Dry Developable X-Ray Resist International conference

    S. Hattori, S. Morita, M. Hori, and H. Yamada

    S. Hattori, S. Morita, M. Hori, and H. Yamada 

     More details

    Event date: 1986.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3316. Reverse Development of Negative Photoresist International conference

    K. Mori, H. Katsuragi, N. Ishikawa, M. Miyazaki, M. Hori, and S. Hattori

    XII International Conference on Photochemistry 

     More details

    Event date: 1985.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  3317. Au Containing Plasma Polymerized Styrene and Its Etching Characteristics International conference

    T. Yoneda, M. Hori, S. Morita, and S. Hattori

    the 7th International Symposium on Plasma Chemistry 

     More details

    Event date: 1985.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Netherlands  

  3318. Synchrotron Radiation Vacuum Lithography Using a Plasma Polymerized Resist International conference

    M. Hori, S. A. Gangal, H. Yamada, T. Yoneda, S. Morita, and S. Hattori

    the 7th International Symposium on Plasma Chemistry 

     More details

    Event date: 1985.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Netherlands  

  3319. The Polymerization Mechanism of Plasma Polymerized Methyl Methacrylate International conference

    S. A. Gangal, M. Hori, T. Yoneda, S. Morita, S. Hattori

    the 7th International Symposium on Plasma Chemistry 

     More details

    Event date: 1985.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Netherlands  

  3320. Effect of Carrier Gases on Plasma Polymerization Mechanism of Methyl Methacrylate International conference

    M. Hori, S. Hattori, and S. Morita

    Gordon Conference on Plasma Chemistry 

     More details

    Event date: 1984.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  3321. Plasma Polymerized Methyl Methacrylate as an X-Ray and Electron Beam Resist International conference

    M. Hori, J. Tamano, T. Miwa, S. Hattori, S. Morita, and T. Yoneda

    1st SPSJ International Polymer Conference 

     More details

    Event date: 1984.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

▼display all

KAKENHI (Grants-in-Aid for Scientific Research) 28

  1. プラズマ誘起生体活性物質による超バイオ機能の展開

    2019.4 - 2024.3

    科学研究費補助金  特別推進研究

    堀 勝

      More details

    Authorship:Principal investigator 

  2. プラズマ医療科学創成の総括とその破壊的イノベーションへの展開

    2017.4 - 2018.3

    科学研究費補助金  新学術領域研究

  3. Construction of carbon nanowall sheet edge electronics and differentiation induction control of single cell

    2015.4 - 2018.3

    Grant-in-Aid for Scientific Research 

      More details

    Authorship:Principal investigator 

  4. Measurement of electron energy distribution function in liquid plasma with laser Thomson scattering

    2013.4 - 2014.3

    Grant-in-Aid for Scientific Research 

      More details

    Authorship:Principal investigator 

  5. Plasma medical innovation

    2012.6 - 2017.3

    Grant-in-Aid for Scientific Research 

      More details

    Authorship:Coinvestigator(s) 

  6. Plasma Medical Science Innovation

    2012.6 - 2017.3

    Grant-in-Aid for Scientific Research 

      More details

    Authorship:Principal investigator 

  7. Diagnostic analyses of dynamical interaction of plasma and surface in plasma medicine

    2012.6 - 2017.3

    Grant-in-Aid for Scientific Research 

      More details

    Authorship:Principal investigator 

  8. Study on initial growth mechanism of vertically-grown nanographene observed by in-situ TEM

    2012.4 - 2015.3

    Grant-in-Aid for Scientific Research 

      More details

    Authorship:Coinvestigator(s) 

  9. Clarification of growth mechanism of carbon nanotubes by arc plasma evaporation

    2010.4 - 2013.3

    Grant-in-Aid for Scientific Research 

      More details

    Authorship:Coinvestigator(s) 

  10. カーボンナノウォールの超高速・超精密形成と次世代燃料電池デバイスへの応用

    2008.4

    科学研究費補助金  基盤研究(A)・20246014

      More details

    Authorship:Principal investigator 

  11. Technology Evolution for Silicon Nano-Electronics

    2006.4 - 2011.3

    Grant-in-Aid for Scientific Research 

      More details

    Authorship:Coinvestigator(s) 

  12. 真空紫外レーザシステムによる大気圧非平衡プラズマ内の原子状ラジカルに関する研究

    2006.4 - 2007.3

    科学研究費補助金  萌芽研究、課題番号:18656214

    堀勝

      More details

    Authorship:Principal investigator 

  13. 低次元カーボン系チャネルエンジニアリング

    2006.4

    科学研究費補助金  特定領域

    堀 勝

      More details

    Authorship:Principal investigator 

  14. カーボンナノウォールを基盤とする次世代高性能ディスプレイの開発

    2005.4 - 2008.3

    科学研究費補助金  基盤研究(A),課題番号:17206006

    堀 勝

      More details

    Authorship:Principal investigator 

  15. 真空紫外レーザシステムを用いたプラズマプロセス中の酸素ラジカルに関する研究

    2005.4 - 2006.3

    科学研究費補助金  萌芽研究,課題番号:17656235

    堀 勝

      More details

    Authorship:Principal investigator 

  16. シリコンナノエレクトロニクスの新展開-ポストスケーリングテクノロジー

    2005.4 - 2006.3

    科学研究費補助金  基盤研究(C)

      More details

    Authorship:Coinvestigator(s) 

  17. 波長可変フェムト秒ファイバーレーザーを用いたプラズマ励起表面反応の動的計測法の構築

    2003.4 - 2005.3

    科学研究費補助金  基盤研究(A)(2)、課題番号:15206012

      More details

    Authorship:Coinvestigator(s) 

  18. 配向性カーボンナノ構造体の大面積・低温形成と反応ダイナミックス

    2002.4 - 2005.3

    科学研究費補助金  基盤研究(B),課題番号:14350019

    堀 勝

      More details

    Authorship:Principal investigator 

  19. ナノ臨海場制御による超高速・異方性選択エッチングに関する研究

    2001.4 - 2004.3

    科学研究費補助金  特定領域研究(A)(2)

      More details

    Authorship:Principal investigator 

  20. 波長可変フェムト秒ファイバーレーザーを用いた近接場顕微分光測定による基板表面観察

    2001.4 - 2004.3

    科学研究費補助金  萌芽研究

      More details

    Authorship:Principal investigator 

  21. ナノ臨界場制御による超高速・異方性選択エッチングに関する研究

    2001.4 - 2002.3

    科学研究費補助金  特定領域研究(A)

    堀 勝

      More details

    Authorship:Principal investigator 

  22. 近接場光を用いたナノ構造機能制御に関する研究

    2000.4 - 2004.3

    科学研究費補助金  特定領域研究(B)(2)

      More details

    Authorship:Coinvestigator(s) 

  23. 電子温度制御プラズマによるラジカルの単色化に関する研究

    1999.4 - 2002.3

    科学研究費補助金  基盤研究(A)(2)

      More details

    Authorship:Coinvestigator(s) 

  24. UHF帯プラズマを用いた次世代大口径機能性薄膜プロセスの開発

    1997.4 - 2000.3

    科学研究費補助金  基盤研究(A)(2)

      More details

    Authorship:Coinvestigator(s) 

  25. ラジカル制御を用いた表面反応過程および薄膜形成に関する研究

    1996.4 - 1999.3

    科学研究費補助金  基盤研究(A)(2)

      More details

    Authorship:Coinvestigator(s) 

  26. ラジカル注入法を用いたプラズマエッチングとラジカル反応機構に関する研究

    1995.4 - 1997.3

    科学研究費補助金  一般研究(C)

      More details

    Authorship:Principal investigator 

  27. プロセスプラズマ内の負イオンのカイネティックスに関する研究

    1994.4 - 1995.3

    科学研究費補助金  一般研究(B)

      More details

    Authorship:Coinvestigator(s) 

  28. ECRエッチングプラズマ中のラジカル反応機構および制御に関する研究

    1994.4 - 1995.3

    科学研究費補助金  奨励研究(A)

      More details

    Authorship:Principal investigator 

▼display all

 

Teaching Experience (On-campus) 4

  1. First Year Seminar A

    2011

  2. Electronics and Materials Science

    2011

  3. Foundations of Electromagnetics I

    2011

  4. 誘電体工学

    2011

Teaching Experience (Off-campus) 11

  1.  

    2010.4 - 2011.3 Kyushu University)

  2.  

    2010.4 - 2011.3 University of Tsukuba)

  3.  

    2010.4 - 2011.3 Kyushu University)

  4.  

    2009.4 - 2010.3 The University of Tokyo)

  5.  

    2008.4 - 2009.3 Kyushu University)

  6.  

    2006.4 - 2007.3 Kyushu University)

  7.  

    2006.4 - 2007.3 University of Tsukuba)

  8.  

    2005.4 - 2006.3 University of Tsukuba)

  9. 電磁気学演習

    2004.4 - 2005.3 Meijo University)

  10. 電磁気学演習

    2003.4 - 2004.3 Meijo University)

  11. 電磁気学演習

    2002.4 - 2003.3 Meijo University)

▼display all

 

Social Contribution 3

  1. 名古屋大学 のぞいてみよう!プラズマと生物と医療の不思議な世界

    Role(s):Appearance

    2016.8

  2. 第七回 幸田プレステージレクチャーズ 「低温プラズマ科学とその応用~幸田町でみられるオーロラ実演付~」

    Role(s):Appearance

    2015.7

     More details

    幸田町民会館つばきホール 
    先端プラズマ技術の持つ可能性についての講演

  3. 明日の仕事に役立つプラズマエッチングの基礎と実例

    Role(s):Appearance

    2011.5

     More details

    主催:リアライズ理工センター
    会場:池坊お茶の水学院

Media Coverage 136

  1. 上記プラズマで金属炭化物のドライエッチングに成功ー名古屋大、日立などの共同研究グループー Newspaper, magazine

    科学新聞  pp. 3  2023.1

  2. 紫綬褒章 Newspaper, magazine

    日本経済新聞  pp.30  2022.11

  3. 秋の褒章717人29団体に Newspaper, magazine

    朝日新聞  pp.27  2022.11

  4. 秋の褒章 大沢在昌さんら717人  東海4県から89人.3団体 Newspaper, magazine

    中部経済新聞  pp . 7  2022.11

  5. 秋の褒章717人29団体 紫綬褒章 作家・大沢在昌さんら Newspaper, magazine

    岐阜新聞  pp.3  2022.11

  6. 秋の褒章37人2団体 Newspaper, magazine

    読売新聞  pp. 23  2022.11

  7. 秋の褒章に717人 Newspaper, magazine

    毎日新聞  PP.22  2022.11

  8. 秋の褒章 喜びの声 Newspaper, magazine

    日刊工業新聞  pp.25  2022.11

  9. プラズマ研究に没頭 Newspaper, magazine

    中日新聞  pp.23  2022.11

  10. 科学の確立に挑む Newspaper, magazine

    日刊工業新聞  pp.6  2021.12

     More details

    Author:Other 

  11. 次代の主役かプラズマ医療 Newspaper, magazine

    ロハス・メディカル  pp. 2-17  http://lohasmedical.jp/e-backnumber/158/#target/page_no=1  2021.6

     More details

    Author:Other 

  12. Three stage mode transitions and internal bullet propagation Internet

    Advances in Engineering  https://advanceseng.com/coaxial-dielectric-barrier-helium-discharges-three-stage-mode-transitions-internal-bullet-propagation/  2021.6

     More details

    Author:Other 

  13. プラズマで医療の常識を変える(前編) 医工連携の実践者 44  Internet

    医薬経済ONLINE  pp.16-18  2021.5

     More details

    Author:Other 

  14. プラズマで医療の常識を変える(前編) 医工連携の実践者 43  Internet

    医薬経済ONLINE  pp.22-24  2021.5

     More details

    Author:Other 

  15. 堀 勝 低温プラズマ科学研究センター長がDPS 2020 Nishizawa Awardの受賞者に決定

    名古屋大学HP  2021.1

  16. 堀 勝 低温プラズマ科学研究センター長が第2回AAPPS-DPP プラズマイノベーション賞の受賞者に決定

    名古屋大学HP  2020.9

  17. チャンドラセカール賞に韓国のパク氏、プラズマイノベーション賞に名大の堀氏

    マイナビニュース  2020.9

  18. チャンドラセカール賞に韓国のパク氏、プラズマイノベーション賞に名大の堀氏

    Yahooニュース  2020.9

  19. チャンドラセカール賞に韓国のパク氏、プラズマイノベーション賞に名大の堀氏

    2020.9

  20. プラズマ物理・応用分野 2賞の受賞者決定 アジア・太平洋物理学会連合の分科会

    日刊工業新聞  2020.9

  21. プラズマによる革新的ながん治療へープラズマ照射によるがんの選択殺傷ー

    NUTopics  2020.2

  22. 堀 勝 低温プラズマ科学研究センター長が2019年K-TRie賞を受賞!

    NU Topics 名大トピックス  2019.11

  23. 低温プラズマ科学研究センターの設立

    PRESSe 名古屋大学工学研究科情報誌  2019.11

  24. 低温プラズマ科学研究センター設立記念式典・講演会を開催

    NU Topics 名大トピックス  2019.9

  25. 低温プラズマ研究センター設立式典

    中日新聞 日刊  2019.8

  26. 低温プラズマ科学研究センター 名大 設立記念式典・講演会を挙行

    文教速報  2019.8

  27. プラズマ研究 拠点設立

    日本経済新聞  2019.7

  28. 名古屋大学に世界最高峰の低温プラズマ科学研究拠点 「低温プラズマ科学研究センター」を創立!

    MEIDAism -工学への道2020-  2019.7

  29. 低温プラズマについて( プラスチック素材の加工技術や、イネやイチゴの栽培技術、それにチョウザメの養殖技術、がん治療に関する低温プラズマ技術の研究成果)

    CBCテレビ チャント  2019.5

  30. 名大、プラズマ研究拠点を開設

    日経産業新聞  2019.4

  31. IT風土記 愛知発 IT支える最先端技術がキャビアを産む 低温プラズマでチョウザメ養殖

    産経ビジネス  2018.11

  32. IT風土記 愛知発 IT支える最先端技術がキャビアを産む!?

    NEC wisdom  2018.11

  33. プラズマ応用へ共同事業体

    中日新聞  2018.7

  34. 「抗酸化イチゴ」が誕生するかも!? 低温プラズマを農業に応用

    工学の道2019  2018.7

  35. 名古屋大学や九州大学など、プラズマ使った生命科学の研究組織を発足

    名古屋テレビ  2018.7

  36. プラズマが牽引する医療革命・農業革命・水産革命

    EAJ NEWS No.173(P4) 第3回EAJ中部レクチャー 名古屋大学減災ホール  2017.10

  37. 「抗酸化イチゴ」開発 低温プラズマで栽培実験に成功

    日本農業新聞   2017.8

  38. ものづくりの集積地で、世界のプラズマ研究をリードする

    名古屋大学プロフィール2017  2017.6

  39. イチゴのアントシアニン低温プラズマ技術で増加

    科学新聞(平成29年6月30日4面)  2017.6

  40. プラズマ照射で抗酸化成分増加 名大 イチゴで実験

    日本経済新聞WEB版(平成29年6月15日)  2017.6

  41. 抗酸化力↑イチゴ実る プラズマ技術で世界初 名大と幸田町

    中日新聞朝刊29面(平成29年6月17日)  2017.6

  42. 幸田町・名大 イチゴ実験成果 プラズマで健康成分増 促成、収穫増効果も

    読売新聞25面(平成29年6月17日)  2017.6

  43. 幸田 「プラズマイチゴ」の実証実験 年内出荷目指す 名大が結果報告 抗酸化物質が増

    東海愛知新聞日刊 1面(平成29年6月18日)  2017.6

  44. プラズマで健康イチゴ がん予防効果 抗酸化成分増え

    毎日新聞夕刊 6面(平成29年6月24日)  2017.6

  45. 情報フラッシュ 抗酸化成分蓄積

    日刊工業新聞 24面(平成29年6月29日)  2017.6

  46. 世界は未知で満ちている 「プラズマが変える未来」

    BS JAPAN 科学ミチル  2017.4

  47. 最先端低温プラズマ技術で未来社会を変える

    名古屋大学 大学案内2018  2017

  48. 演算子∇(ナブラ)とプラズマと未来へのアクション

    応用物理学会 プラズマエレクトロニクス分科会会報 2016 12月 発行  2016.12

  49. NHK『おはよう東海』 プラズマ医療科学国際イノベーションセンターの取り組み

    NHK『おはよう東海』 平成28年12月6日  2016.12

  50. 高付加価値の農作物

    東海愛知新聞 12月18日 朝刊  2016.12

  51. 低温プラズマ実験始まる 豊根町と幸田町チョウザメ養殖の新技術

    中日新聞朝刊(平成28年9月10日)   2016.9

  52. シュウ酸合成安価・簡単に ~低温プラズマ活用 シュウ酸カルシウム結晶構造にも変化~ 

    日刊工業新聞(平成28年8月25日)   2016.8

  53. 名古屋大学「プラズマ科学プラットフォーム」の開所 グローバル共同利用施設の誕生

    公益財団法人 応用物理学会 プラズマエレクトロニクス分科会  2016.6

  54. 漫画・はやのん理系漫画制作室 キラリ研究開発   第194 回・モノづくりと未来のエネルギー 名古屋大学プラズマ化学プラットフォーム(3)

    日刊工業新聞(平成28年6月20日)   2016.6

  55. 漫画・はやのん理系漫画制作室 キラリ研究開発   第193 回・モノづくりと未来のエネルギー 名古屋大学プラズマ化学プラットフォーム(2)

    日刊工業新聞(平成28年6月6日)   2016.6

  56. 漫画・はやのん理系漫画制作室 キラリ研究開発   第192回・モノづくりと未来のエネルギー 名古屋大学プラズマ化学プラットフォーム(1)

    日刊工業新聞(平成28年5月9日)   2016.5

  57. プラズマ科学の研究拠点稼動

    日刊工業新聞(平成28年4月21日)   2016.4

  58. 中京テレビ『キャッチ』 豊根村

    中京テレビ『キャッチ』(平成28年4月27日)  2016.4

  59. Study of plasma properties for the low-temperature deposition of highly conductive Aluminum doped ZnO film using ICP assisted DC magnetron sputtering

    Plasma Processes and Polymers  2016.3

  60. 愛知)プラズマ技術で「キャビア」特産化狙う 豊根村

    朝日新聞デジタル(WEB)  2015.10

  61. 広報 こうた 2015 9月 No.891  P.11 (9月1日出版)

    2015.9

  62. SiCxHy-based hydrophobic thin films with good chemical and mechanical properties synthesized by PECVD at various substrate temperatures

    Current Applied Physics 15  2015.9

  63. 低温プラズマ科学とその応用

    2015.8

  64. Improving the Gas Barrier and Mechanical Properties of a-SiOx Films Synthesized at Low Temperature By Using High Energy and Hydrogen Flow Rate Control

    Journal of Korean Physical Society 66  2015.8

  65. 窒素添加結晶化法を用いたZnO 膜作製におけるN2/Ar プラズマ中のN 原子絶対密度計測

    2015.7

  66. Utility of dual frequency hybrid source on plasma and radical generation in SiH4/H2 PECVD process

    Japanese Journal of Applied Physics 54  2015.7

  67. Experimental evidence of warm electron populations in magnetron sputtering plasmas

    Journal of Applied Physics 117  2015.6

  68. Effectiveness of hydrogen dilution for designing amorphous to crystalline Si thin film in ICP assisted magnetron sputtering

    Japanese Journal of Applied Physics 54  2015.5

  69. Langmuir probe and optical emission spectroscopy studies in magnetron sputtering plasmas for Al-doped ZnO film deposition

    Journal of Applied Physics 117  2015.4

  70. プラズマ表面改質の基礎から最前線 実演付

    化学会館(東京・御茶の水)  2015.3

  71. プラズマ医療の可能性探る

    2015.3

  72. Plasma diagnostic approach for high rate nanocrystalline Si synthesis in RF/UHF hybrid plasmas using PECVD process

    Plasma Processes and Polymers  2015.2

  73. 目難病にプラズマ効果

    中日新聞(夕刊)  2015.1

  74. Nitrogen radical and plasma diagnostics in dual frequency hybrid plasmas to investigate N2/SiH4 PECVD process

    Plasma Processes and Polymers  2015.1

  75. Effectiveness of Plasma Diagnostic in UHF and RF Hybrid Plasmas for Synthesis of Silicon Nitride Film at Low Temperature

    Journal of Applied Physics 116  2014.4

  76. Study on Effects of Hydrogen Flow Rates on the Properties of ZnO Thin Film Deposited by Facing Targets Sputtering System

    Japanese Journal of Applied Physical  2013.11

  77. Study on change of electrical properties of ZnO thin films deposited in low temperature facing targets magnetron sputtering (FTS) system with H2 and O2 flow rate changes

    Journal of Physics: Conference Series  2013.11

  78. プラズマ医療科学国際イノベーションセンター 癌治療に工学×医学

    週刊エコノミスト 臨時増刊10/16号  2013.10

  79. プラズマの医療応用研究 名大が拠点

    日刊工業新聞  2013.8

  80. 国内初 プラズマ医療の研究拠点設立

    毎日新聞  2013.8

  81. 名大にプラズマ医療拠点 がん治療・創薬に応用期待

    日本経済新聞  2013.8

  82. 名大、がん新治療法開発へ

    朝日新聞  2013.8

  83. 医療研究センター設立

    中日新聞  2013.8

  84. 名大、プラズマの医療応用研究拠点を設置

    日刊工業新聞  2013.8

  85. 名大にプラズマ医療拠点 がん治療、創薬へ応用期待

    共同通信社  2013.8

  86. 深訪・先端研究/プラズマ―作用メカニズム解析

    日刊工業新聞  2013.7

  87. 名大など、窒化物半導体の結晶成長を5倍高速化―HDRS新開発

    日刊工業新聞  2013.5

  88. 名大など、独自開発のプラズマ源を用いた新たながん治療法を開発(Yahooニュース)

    Yahooニュース  2013.3

  89. プラズマ照射培養液 効いた 名大病院など がん細胞死滅を実証

    中日新聞P.32  2013.3

  90. 名大、シリコン基板エッチング-プラズマ使わず加工

    日刊工業新聞 2013年3月21日付(紙面および電子版)  2013.3

  91. プラズマ照射の培養液、がんを選別・殺傷-名大が発見

    日刊工業新聞 2013年3月13日付(紙面および電子版)  2013.3

  92. 名大、凸凹発生機構 解明 表面層の組織変化が影響

    日刊工業新聞 2013年3月7日付(紙面および電子版)  2013.3

  93. Scale-up approach for industrial plasma enhanced chemical vapor deposition processes and SiOx thin film technology

    Thin Solid Films  2013.3

  94. 名大とゼオン、LSIの高精密化技術を開発-エッチングガスに水素添加

    日刊工業新聞 2013年2月26日付(紙面および電子版)  2013.2

  95. 名大が発見、水素原子密度 成膜装置の壁面 高温ほど低下

    日刊工業新聞 2013年1月18日付(紙面および電子版)  2013.1

  96. Improving the Gas Barrier Properties of a-SiOxCyNz Film at Low Temperature using High Energy and Suitable Nitrogen Flow Rate

    Current Applied Physics  2013.1

  97. 名大、カーボンナノグラフェンの常温高速合成技術を開発

    日刊工業新聞 2012年12月18日付(紙面および電子版)  2012.12

  98. 窒化ガリ薄膜エッチング 名大 プラズマで欠陥修復

    日刊工業新聞 2012年12月7日付(紙面および電子版)  2012.12

  99. 常温で高速合成 名大 アルコール液とプラズマ放電活用

    日刊工業新聞 2012年12月18日付(紙面および電子版)  2012.12

  100. 窒化ガリ薄膜エッチング 名大 プラズマで欠陥修復

    日刊工業新聞、日刊工業新聞電子版  2012.12

  101. 世界最高レベルの低温プラズマ科学 「モノづくり」から「医療」まで貢献

    2012.5

  102. 次世代有機フレキシブルデバイスに向けたソフト材料の超高精度・超高アスペクト比

    トピックス-ナノネット13拠点から, ナノテクジャパンNanotech Japan  2011.7

  103. 最先端プラズマナノ科学技術が拓く未来

    スタジオチャンネル  2011.3

  104. 自律型プラズマナノプロセス制御システムの開発~超小型トラジカル診断モニターを

    トピックス-ナノネット13拠点から, ナノテクジャパンNanotech Japan  2010.12

  105. 新規グラフェン材料であるカーボンナノウォールを用いた次世代デバイスの創製~

    トピックス-ナノネット13拠点から, ナノテクジャパンNanotech Japan  2010.5

  106. 化学反応制御し微細加工,片桐エンジが名大と装置

    日刊工業新聞  2007.5

  107. スガウェザリング技術振興財団による研究助成決定

    日刊工業新聞  2007.4

  108. 育て「第2の青色LED」・産業界との連携を強め新たなビジネスシーズ発掘

    朝日新聞  2006.12

  109. ラジカルモニター・NUエコのワンポート型・世界初の小型 丸文が販売開始

    電波新聞  2006.8

  110. ラジカル測定装置・名大発VBと連携・丸文が月内投入

    日刊工業新聞  2006.8

  111. 松田大臣が名大を視察・研究員らを激励

    中日新聞  2006.8

  112. 和大、名大ベンチャー設立・小型の金属計測装置販売へ

    読売新聞  2006.1

  113. プラズマ光で計測・名大がVB、事業化へ

    日本経済新聞  2006.1

  114. 家庭でも食品の汚染検出・小型計測器開発ベンチャー設立

    中日新聞  2006.1

  115. 光ナノ計測技術でベンチャー設立へ・名大の堀教授ら

    中部経済新聞  2006.1

  116. 有害物質計測装置を製販

    日刊工業新聞  2006.1

  117. 微量物質の計測・プラズマ光で装置小型に

    日経産業新聞  2006.1

  118. 名古屋大と和歌山大・共同ベンチャー設立

    朝日新聞  2006.1

  119. NU-SKKU Joint Institute for Plasma-Nano Materials

    2006

  120. 自律制御装置を研究

    日刊工業新聞  2005.8

  121. 名大が大気圧プラズマ装置出展

    日刊工業新聞  2005.7

  122. 半導体ベンチャー2005

    半導体産業新聞  2005.1

  123. ラジカル密度保証

    日刊工業新聞  2004.12

  124. ナノ構造、自由自在に

    日刊工業新聞  2004.9

  125. カーボンナノウォールを形成

    電波新聞  2004.9

  126. 炭素分子の新構造体

    日経産業新聞  2004.8

  127. 大学初ベンチャーの挑戦17・プラズマ計測技術活用

    日刊工業新聞  2004.2

  128. マイクロ波利用しプラズマ発生・シリコン酸化膜エッチングプロセスで新技術

    日刊工業新聞  2004.1

  129. 酸化シリコンの加工・名大が高速化技術開発

    朝日新聞  2003.12

  130. 大気中でプラズマ加工

    読売新聞  2003.12

  131. 大気中で加工、速さも10倍超

    中日新聞  2003.12

  132. 三好にベンチャー設立・名大の技術実用化

    朝日新聞  2003.6

  133. 知的クラスター創成事業・ナノテク先進県へ第1号

    読売新聞  2003.6

  134. 知的クラスター創成事業・愛知・名古屋で初VB

    日刊工業新聞  2003.6

  135. 半導体製造制度高く・ベンチャー企業設立

    中日新聞  2003.6

  136. 自律型ナノ製造装置に道・3種の活性粒子瞬時計測

    日刊工業新聞  2002.12

▼display all

Academic Activities 1

  1. SDGsの実現に向け、歴史あるINTERFINISH2020の開催 International contribution

    Role(s):Planning, management, etc.

    一般社団法人 表面技術協会  2021.9

     More details

    Type:Academic society, research group, etc.