Updated on 2024/03/08

写真a

 
HSIAO Shih nan
 
Organization
Center for Low-temperature Plasma Sciences (cLPS) Designated professor
Title
Designated professor
Contact information
メールアドレス
External link

Degree 1

  1. 博士(工学) ( 2011.7   逢甲大學 ) 

Research History 4

  1. Globe Union Industrial Cooperation   Researcher

    2015.9 - 2018.9

  2. 清華大学   研究員

    2014.8 - 2015.8

  3. National Synchrotron Radiation Research Center   Researcher

    2011.3 - 2014.7

  4. Argonne National Laboratory   Visiting Scholar

    2010.1 - 2011.1

      More details

    Country:United States

Education 1

  1. Feng Chia University   Department Materials Science and engineering

    2006.8 - 2011.3

      More details

    Country: Taiwan, Province of China

Committee Memberships 1

  1. Elservier Journal: Materials Chemistry and Physics   Editor  

    2024.2   

 

Papers 22

  1. Surface surgery on TiNb<inf>2</inf>O<inf>7</inf> electrode via N<inf>2</inf>/Air atmospheric pressure plasma jet for high-rate lithium-ion battery anode Reviewed International coauthorship

    Wu C.Y., Hsiao S.N., Kuo R.T., Chen Y.C., Lin T.Y., Hori M., Duh J.G.

    Applied Surface Science   Vol. 655   2024.5

     More details

    Language:English   Publisher:Applied Surface Science  

    TiNb2O7 (TNO) is regarded as a potential anode material for its high capacity compared to Li4Ti5O12 and high safety due to its adequate Fermi level in lithium-ion batteries. This work represents a promising method of modifying the electrode, which includes polyvinylidene fluoride (PVDF), conductive carbon, and TiNb2O7, using an atmospheric pressure plasma jet (APPj) treatment. Our results reveal that atmospheric pressure plasma jet improves the electrochemical performance by N-doped decoration on conductive carbon, electrolyte wettability enhancement on polyvinylidene fluoride binder, and defects manufacturing in TiNb2O7 anode materials. Good wettability of surface free energy to 44.6 mJ/m2 in the APPj-treated (APP-10) sample contributes to improved cycling performance. Both N-doped carbon and modified TiNb2O7 promote the high-rate capability. The C-rate performance of APP-10 improves by over 200 % at 10C compared to the non-treated sample (APP-0). APP-10 sample also shows 81.9 % retention after 300 cycles at 1C. The formation of Li3N or LiNxOy after cycling due to the nitro-group in the electrode with atmospheric pressure plasma jet offers good protection and high ionic-conductivity interface. This work demonstrates a potential solution to achieve a roll-to-roll modification without any complex synthesis processes, which is a promising technology for the affordable manufacturing of lithium-ion battery.

    DOI: 10.1016/j.apsusc.2024.159585

    Scopus

  2. Surface sulfurization of amorphous carbon films in the chemistry of oxygen plasma added with SO<inf>2</inf> or OCS for high-aspect-ratio etching

    Ishikawa K., Nguyen T.T.N., Aoki Y., Sato H., Kawakami J., Tsuno S., Hsiao S.N., Hori M.

    Applied Surface Science   Vol. 645   2024.2

     More details

    Publisher:Applied Surface Science  

    Etching of oxygen-based plasmas with sulfur dioxide (SO2) or carbonyl sulfide (OCS) can form high-aspect-ratio (HAR) features of amorphous carbon films as carbon hard masks (CHM). The etched profiles showing shapes such as bowing or tapering are essentially dependent on the partial pressures of SO2 or OCS in the O2 plasma. The surface treated after the OCS-added plasma exhibited strong sulfurization by S2 and CS species in S 2p of the X-ray photoelectron spectroscopy (XPS). The gas-phase interactions in the sulfur-oxygen-carbon system generated atoms and molecules, such as O, O+, and O2+, which etched at the bottom and, conversely, SO, CO, CS, CS2, and S2, which inhibited isotropic etching at the sidewalls of the HAR features. The chemical reactions of the CS sulfurizing precursors in the gas phase were monitored by comparing their optical emission intensities at a wavelength of 257 nm with those of SO2 at approximately 320 nm. The optimization of the HAR profiles of the CHM can be controlled by sidewall sulfurization of the CHM to obtain desirable profile shapes for the HAR features.

    DOI: 10.1016/j.apsusc.2023.158876

    Scopus

  3. Etching Mechanism Based on Hydrogen Fluoride Interactions with Hydrogenated SiN Films Using HF/H<sub>2</sub> and CF<sub>4</sub>/H<sub>2</sub> Plasmas

    Hsiao, SN; Britun, N; Nguyen, TTN; Sekine, M; Hori, M

    ACS APPLIED ELECTRONIC MATERIALS   Vol. 5 ( 12 ) page: 6797 - 6804   2023.12

     More details

    Publisher:ACS Applied Electronic Materials  

    The etch characteristics of SiN films using CF4/H2 and HF/H2 plasmas were investigated in a dual-frequency capacitively coupled plasma reactor with increasing an H2 percentage from 5 to 34%. The etch rate decreased by 35% in CF4/H2 and 10% in HF/H2. F density, measured by optical emission actinometry, decreased by approximately 70% in both plasmas, but it alone could not explain the etch rate reduction. Surface analysis revealed the formation of (NH4)2SiF6, an ammonia fluorosilicate (AFS) phase, when H2 was added to both plasmas. A model is proposed where anhydrous HF gas directly reacts with a hydrogenated SiN surface to form the AFS phase. In the HF/H2 plasma, the decrease in etch rate was small, but the F density decreased significantly. In the CF4/H2 plasma, HF etchants released from the fluorocarbon layer can still react with the hydrogenated SiN surface, even with a deficiency of F radicals. The observations suggest that the formation of AFS does not necessarily inhibit etching and can assist in SiN etching with a sufficiently high voltage bias. These results highlight the significant role of HF formation and its reactions with the hydrogenated SiN surface in SiN etching using hydrogen and fluorine-containing plasmas.

    DOI: 10.1021/acsaelm.3c01258

    Web of Science

    Scopus

  4. High-Power Impulse Magnetron Sputter-Deposited Chromium-Based Coatings for Corrosion Protection Invited Reviewed International coauthorship

    Liu Y.C., Hsiao S.N., Chen Y.H., Hsieh P.Y., He J.L.

    Coatings   Vol. 13 ( 12 )   2023.12

     More details

    Authorship:Corresponding author   Publisher:Coatings  

    The use of high-power impulse magnetron sputtering (HIPIMS) to deposit chromium-based thin films on brass substrates for the purpose of corrosion-protective coating was investigated. By varying the process parameters (pulse frequency, pulse width and N2 flow rate) and structure design, including single-layer and multilayer structures, the obtained results revealed that the Cr-N films deposited through the use of HIPIMS exhibited higher film density and corrosion resistance compared to traditional direct-current magnetron sputtering. Based on the results of a field test using copper-accelerated acetic acid solution, the Cr-N film with a multilayered structure can further extend the time to corrosion onset. This is because the bottom layer in the multilayer structure can block structural defects in the layer above it, effectively reducing the penetration of corrosive agents into the substrate. The high bias voltage, coupled with increased temperature during deposition, led to a dezincification effect, resulting in the reduced adhesion of the film to the substrate and decreased overall corrosion resistance.

    DOI: 10.3390/coatings13122101

    Scopus

  5. Bias-supply timing tailored to the aspect ratio dependence of silicon trench etching in Ar plasma with alternately injected C<inf>4</inf>F<inf>8</inf> and SF<inf>6</inf>

    Yoshie T., Ishikawa K., Nguyen T.T.N., Hsiao S.N., Tsutsumi T., Sekine M., Hori M.

    Applied Surface Science   Vol. 638   2023.11

     More details

    Publisher:Applied Surface Science  

    In semiconductor device fabrication, the feature profiles of a high-aspect-ratio (HAR) Si trench is needed to be controlled considering aspect-ratio-dependent etching (ARDE). This is achieved by a cyclic process in which Ar plasma is sustained while C4F8 and SF6 are alternately injected and a short-period supply of a substrate bias is provided. Presently, the transient behaviors of gaseous and surface reactions are dynamically revealed by measuring the plasma parameters using a surface wave probe and optical emission spectroscopy. When the etched surface is fluorinated during the cycle, an ARDE-free Si-trench feature profile can be fabricated by controlling the bias-supply timing.

    DOI: 10.1016/j.apsusc.2023.157981

    Scopus

  6. An approach to reduce surface charging with cryogenic plasma etching using hydrogen-fluoride contained gases Invited Reviewed

    Hsiao, SN; Sekine, M; Ishikawa, K; Iijima, Y; Ohya, Y; Hori, M

    APPLIED PHYSICS LETTERS   Vol. 123 ( 21 )   2023.11

     More details

    Authorship:Corresponding author   Publisher:Applied Physics Letters  

    The surface conductivity influences the etched pattern profiles in the plasma process. In the dielectric film etching, it is vital to reduce the charging build-up, which bends the trajectory of incoming ions for highly anisotropic etching. A significant increase in surface electric conductivity of SiO2 films was observed when exposed to down-flow plasmas containing hydrogen fluoride (HF) at cryogenic temperature (−60 °C). This phenomenon can be attributed to two factors: (i) the absorption of HF and/or its compounds and (ii) the presence of H2O, which is likely originating from the etching by-product of SiO2 and/or within the reactor. Comparing the surface electric resistance of the samples treated with HF plasmas to that of CF4/H2 and C4F8/H2 plasmas, we found that HF plasma treatment enables to be approximately three and six orders of magnitude lower. By using in situ x-ray photoemission spectroscopy, it was revealed that the presence of HF and/or its compounds and H2O were absorbed on the sample surface at −60 °C. These results strongly suggest that the cryogenic plasma etching with HF-contained gases can be used to alleviate the charge build-up issues.

    DOI: 10.1063/5.0173553

    Web of Science

    Scopus

  7. Comparison of distributions of etching rate and calculated plasma parameters in dual-frequency capacitively coupled plasma

    Takagi, S; Ishii, K; Hsiao, SN; Sekine, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 62 ( SN )   2023.11

     More details

    Publisher:Japanese Journal of Applied Physics  

    We measured the etching rates of SiO2 and Si in dual-frequency excited CF4 plasma and compared the etching rates with the radical species distribution calculated by plasma simulation. The etching rates of SiO2 and Si at the wafer edge became higher than those at the wafer center, and the etching rate of Si distributed uniformly without bias voltage. In the simulation, the calculation model was calibrated on the basis of the measured (electron density). Assuming that CF3+ contributes to SiO2 and Si etching, and F contributes to Si etching without bias voltage, the radical fluxes flowing into the wafer were calculated by plasma simulation. The fluxes of CF3+ and CF2 became higher at the edge, and the F flux distribution was uniform without the bias voltage. It was shown that the distributions of the etching rate in the experiment and radical flux that contributes to etching in the simulation were in agreement.

    DOI: 10.35848/1347-4065/acec56

    Web of Science

    Scopus

  8. In Situ Monitoring of Etching Characteristic and Surface Reactions in Atomic Layer Etching of SiN Using Cyclic CF(4)/H(2) and H(2) Plasmas.

    Hsiao SN, Sekine M, Hori M

    ACS applied materials & interfaces     2023.7

     More details

    Language:English  

    DOI: 10.1021/acsami.3c04705

    PubMed

  9. Diagnostics of a nanosecond atmospheric plasma jet. Ionization waves, plasma density and electric field dynamics

    Britun Nikolay, Christy Peter Raj Dennis, Gamaleev Vladislav, Hsiao Shih-Nan, Hori Masaru

    JOURNAL OF APPLIED PHYSICS   Vol. 133 ( 18 )   2023.5

     More details

    Publisher:Journal of Applied Physics  

    Atmospheric repetitive He discharge with 10 ns current peak width and 3 × 10 11 V/s voltage front rise working in jet geometry is studied. This part deals with the ionization waves, electron density, and electric field dynamics. The electron density (n e ) is measured by Stark broadening of the H Balmer β (H β ) and He emission lines, the electric field is analyzed using Stark polarization spectroscopy, and the ionization waves are studied by fast imaging. We found that the ionization fronts propagate in the quartz tube with a velocity of about 5 × 10 5 m/s; this velocity slowly decreases along the tube but may jump in the open air at some conditions. In the space between electrodes, n e increases rapidly at the beginning, reaching about 7 × 10 15 cm − 3 , which corresponds to electron avalanche defining the discharge current peak. In the tube, the electrons are concentrated in the ionization wavefronts having low density ( < 10 14 cm − 3 ). Before the avalanche, a macroscopic (electrode-induced) electric field dominates between the electrodes peaking at about 8 kV/cm as deduced from H β peak splitting, whereas during the avalanche, H β reveals a double-Lorentzian polarization-insensitive profile imposed by two electron populations. In the low-density electron group, n e does not exceed 10 14 cm − 3 , whereas the high-density group is responsible for the observed electron density peak formation. After a rapid decay of the electrode-induced field, the microscopic electric field (induced by space-charge) dominates, peaking at about 25 kV/cm after the electron density peak. Certain electric field anisotropy is also detected in the quartz tube, confirming the wavefront propagation.

    DOI: 10.1063/5.0138931

    Web of Science

    Scopus

  10. Manipulation of etch selectivity of silicon nitride over silicon dioxide to a-carbon by controlling substate temperature with a CF<inf>4</inf>/H<inf>2</inf> plasma

    Hsiao S.N., Britun N., Nguyen T.T.N., Tsutsumi T., Ishikawa K., Sekine M., Hori M.

    Vacuum   Vol. 210   2023.4

     More details

    Publisher:Vacuum  

    The effects of substrate temperature (Ts) on the etch rate (ER) of the PECVD-prepared SiN, SiO2 and amorphous carbon (a-C) films, and their selectivity were investigated with a CF4/H2 plasma. The ERs for the SiN at all Ts were higher than that for the SiO2 films. As Ts was decreased from 50 to −20 °C, the ER for the SiN decreased. Contrarily, the ER of the SiO2 films increased. The etching selectivity of SiN over SiO2 reached to near unity when the Ts was −20 °C. At the same time, the ER of for the a-C films was found to be around 0.1 nm/s and irrespective of Ts. The fluorocarbon (FC) thickness was greater for the SiO2 films than that of the SiN. The lower ER for the SiO2 was therefore attributed to the thicker FC layer and resultant etching mechanism. As the Ts was decreased, the FC thickness on the SiO2 films decreased, which led to the ER decrease. The decrease of ER for the SiN etching at the low temperature was likely due to the higher stability of the surface N–H modification layer, compared with that processed at 20 °C, which was confirmed by the in situ FTIR.

    DOI: 10.1016/j.vacuum.2023.111863

    Scopus

  11. Estimations of secondary electron emission coefficients of Si, SiO2, and polyimide electrodes in dual-frequency capacitively coupled discharge

    Takagi Shigeyuki, Nakaegawa Tatsuhiro, Hsiao Shih-Nan, Sekine Makoto

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 62 ( SA )   2023.1

     More details

    Publisher:Japanese Journal of Applied Physics  

    In a dual-frequency capacitively coupled Ar plasma, the secondary electron emission (SEE) coefficients were estimated using a 2D fluid model and experiments. The electron density was measured in the plasma chamber with the upper and lower electrodes of Si. The electron density was calculated by changing the SEE coefficient. The SEE coefficient of the Si electrode was estimated to be 0.06 so that the electron density of the 2D fluid model was close to that of the experimental result. Next, the electron density was measured in the chamber of the lower electrode covered with SiO2 and polyimide, and the SEE coefficients were estimated by comparing experiments and the 2D fluid model. The SEE coefficients of the SiO2 and the polyimide were estimated to be 0.24 and 0.22, respectively. Moreover, The SEE coefficients of SiO2 were almost the same in the range between 300 and 500 W of the lower electrode power.

    DOI: 10.35848/1347-4065/ac80ea

    Web of Science

    Scopus

  12. Optimization of RF Frequencies in Dual-frequency Capacitively Coupled Plasma Apparatus Using Genetic Algorithm (GA) and Plasma Simulation

    Takagi S., Nakaegawa T., Hsiao S.N., Sekine M.

    IEEE Transactions on Semiconductor Manufacturing     2023

     More details

    Publisher:IEEE Transactions on Semiconductor Manufacturing  

    As a method to optimize the power frequency of dual-frequency plasma, we propose an optimization method that combines genetic algorithm and plasma simulation. A two-dimensional plasma simulation model of Ar plasma was constructed with a fluid model. Combining this simulation model with a genetic algorithm, 300 cases of plasma conditions with high plasma density and small variation in electron density were calculated. As a result, the optimum conditions were 175 to 210 MHz for the high-frequency generator and 1.0 to 2.5 MHz for the low-frequency generator. Furthermore, two types of single objective functions that combine the electron density and the variation rate were proposed. The single objective functions with emphasis on electron density and with emphasis on variation rate were introduced, and each objective function was optimized.

    DOI: 10.1109/TSM.2023.3282566

    Scopus

  13. Low-temperature reduction of SnO2 by floating wire-assisted medium-pressure H-2/Ar plasma

    Thi-Thuy-Nga Nguyen, Sasaki Minoru, Hsiao Shih-Nan, Tsutsumi Takayoshi, Ishikawa Kenji, Hori Masaru

    PLASMA PROCESSES AND POLYMERS     2022.2

     More details

    Language:Japanese   Publisher:Plasma Processes and Polymers  

    Reduction of SnO2 to form spherical Sn particles and Sn etching are obtained by floating wire (FW)-assisted medium-pressure H2/Ar plasma. High-density H2/Ar plasma (1014 cm−3) with a larger treatment area at medium pressure (10 kPa) produces a two-times higher removal rate of SnO2 (0.111 mg/min) than that at atmospheric pressure with the same treatment area of 300 mm2. SnO2 film is removed from the glass surface by a two-step process involving (1) reduction of SnO2 by FW-H2/Ar plasma to form spherical Sn particles and (2) removal of low-contact Sn particles by water-based cleaning. High surface smoothness (roughness of 0.488 nm) and high optical transmittance (>92%) of treated samples indicate no damage compared to that of pristine quartz glass.

    DOI: 10.1002/ppap.202100209

    Web of Science

    Scopus

  14. Plasma Diagnostics and Characteristics of Hydrofluorocarbon Films in Capacitively Coupled CF<inf>4</inf>/H<inf>2</inf>Plasmas

    Hsiao S.N., Imai Y., Britrun N., Tsutsumi T., Ishikawa K., Sekine M., Hori M.

    IEEE International Symposium on Semiconductor Manufacturing Conference Proceedings   Vol. 2022-December   2022

     More details

    Publisher:IEEE International Symposium on Semiconductor Manufacturing Conference Proceedings  

    Plasma diagnostics including electron density, temperature, neutral atomic densities of the CH4H2 plasmas were performed in a capacitively-coupled reactor using surface-wave probe, Langmuir probe and vacuum ultraviolet absorption spectroscopy. The plasma density increased monotonically with varying H2 content from 30 to 90 %. The electron temperature first decreased with H2 up to 50 % and then increased at higher H2 concentration. The HF concentration reached a maximum value at a H2 of approximately 50 %, which is probably due to balance between H and F radicals from the plasma. Increasing the H2 content resulted in a higher H concentration and a less cross-linked structure of the amorphous hydrofluorocarbon films, analyzed by using in situ Fourier transformation infrared spectroscopy.

    DOI: 10.1109/ISSM55802.2022.10027112

    Scopus

  15. Optimization of RF Frequencies in Dual-frequency Capacitively Coupled Plasma Apparatus Using Genetic Algorithm (GA) and Plasma Simulation

    Takagi S., Nakaegawa T., Hsiao S.N., Sekine M.

    IEEE International Symposium on Semiconductor Manufacturing Conference Proceedings   Vol. 2022-December   2022

     More details

    Publisher:IEEE International Symposium on Semiconductor Manufacturing Conference Proceedings  

    As a method to optimize the power frequency of dual-frequency plasma, we propose an optimization method that combines genetic algorithm and plasma simulation. A two-dimensional plasma simulation model of Ar plasma was constructed with a fluid model. Combining this simulation model with a genetic algorithm, plasma conditions with high plasma density and small variations in electron density were calculated. As a result, the optimum conditions were 175 to 210 MHz for the high-frequency generator and 0.5 to 4.0 MHz for the low-frequency generator.

    DOI: 10.1109/ISSM55802.2022.10026920

    Scopus

  16. On the Etching Mechanism of Highly Hydrogenated SiN Films by CF4/D-2 Plasma: Comparison with CF4/H-2

    Hsiao Shih-Nan, Nguyen Thi-Thuy-Nga, Tsutsumi Takayoshi, Ishikawa Kenji, Sekine Makoto, Hori Masaru

    COATINGS   Vol. 11 ( 12 )   2021.12

     More details

    Language:Japanese   Publisher:Coatings  

    With the increasing interest in dry etching of silicon nitride, utilization of hydrogencontained fluorocarbon plasma has become one of the most important processes in manufacturing advanced semiconductor devices. The correlation between hydrogen-contained molecules from the plasmas and hydrogen atoms inside the SiN plays a crucial role in etching behavior. In this work, the influences of plasmas (CF4/D2 and CF4/H2 ) and substrate temperature (Ts, from −20 to 50◦ C) on etch rates (ERs) of the PECVD SiN films were investigated. The etch rate performed by CF4/D2 plasma was higher than one obtained by CF4/H2 plasma at substrate temperature of 20◦ C and higher. The optical emission spectra showed that the intensities of the fluorocarbon (FC), F, and Balmer emissions were stronger in the CF4/D2 plasma in comparison with CF4/H2 . From X-ray photoelectron spectra, a thinner FC layer with a lower F/C ratio was found in the surface of the sample etched by the CF4/H2 plasma. The plasma density, gas phase concentration and FC thickness were not responsible for the higher etch rate in the CF4/D2 plasma. The abstraction of H inside the SiN films by deuterium and, in turn, hydrogen dissociation from Si or N molecules, supported by the results of in situ monitoring of surface structure using attenuated total reflectance-Fourier transform infrared spectroscopy, resulted in the enhanced ER in the CF4/D2 plasma case. The findings imply that the hydrogen dissociation plays an important role in the etching of PECVD-prepared SiN films when the hydrogen concentration of SiN is higher. For the films etched with the CF4/H2 at −20◦ C, the increase in ER was attributed to a thinner FC layer and surface reactions. On the contrary, in the CF4/D2 case the dependence of ER on substrate temperature was the consequence of the factors which include the FC layer thickness (diffusion length) and the atomic mobility of the etchants (thermal activation reaction).

    DOI: 10.3390/coatings11121535

    Web of Science

    Scopus

  17. Effects of hydrogen content in films on the etching of LPCVD and PECVD SiN films using CF4/H-2 plasma at different substrate temperatures

    Hsiao Shih-Nan, Britun Nikolay, Thi-Thuy-Nga Nguyen, Tsutsumi Takayoshi, Ishikawa Kenji, Sekine Makoto, Hori Masaru

    PLASMA PROCESSES AND POLYMERS     2021.8

     More details

    Language:Japanese   Publisher:Plasma Processes and Polymers  

    The dependences of etching characteristics on substrate temperature (Ts, from –20 to 50°C) of the plasma-enhanced chemical vapor deposition (PECVD) SiN films (PE-SiN) and low-pressure chemical vapor deposition (LPCVD) SiN films (LP-SiN) with CF4/H2 plasma were investigated. The Fourier-transform infrared spectroscopy shows that both film types were N–H bond-rich films, but in different hydrogen contents (PE-SiN 22.7 at% and LP-SiN 3.8 at%) from the Rutherford backscattering spectroscopy analyses. A higher hydrogen content led to a thinner fluorocarbon thickness because of the reaction between hydrogen outflux and C and N to form an HCN byproduct. The etch rates (ER) for the PE-SiN were higher than that of the LP-SiN at all Ts, due to the different FC thickness and etching mechanisms proposed. The formation of the N−Hx layer on PE-SiN at low temperature caused the decrease in ER. For the LP-SiN, the weak dependences of Ts on surface structure and ER were observed.

    DOI: 10.1002/ppap.202100078

    Web of Science

    Scopus

  18. Thickness-dependent L10 ordering behavior in polycrystalline Fe?Pd nanoparticle films on glass substrates

    Hsiao S. N., Chen C. C., Liu S. H., Chen S. K.

    VACUUM   Vol. 187   2021.5

     More details

  19. Influences of substrate temperatures on etch rates of PECVD-SiN thin films with a CF4/H-2 plasma

    Hsiao Shih-Nan, Nakane Kazuya, Tsutsumi Takayoshi, Ishikawa Kenji, Sekine Makoto, Hori Masaru

    APPLIED SURFACE SCIENCE   Vol. 542   2021.3

     More details

    Publisher:Applied Surface Science  

    DOI: 10.1016/j.apsusc.2020.148550

    Web of Science

    Scopus

  20. Selective etching of SiN against SiO2 and poly-Si films in hydrofluoroethane chemistry with a mixture of CH2FCHF2, O-2, and Ar

    Hsiao Shih-Nan, Ishikawa Kenji, Hayashi Toshio, Ni Jiwei, Tsutsumi Takayoshi, Sekine Makoto, Hori Masaru

    APPLIED SURFACE SCIENCE   Vol. 541   2021.3

     More details

    Publisher:Applied Surface Science  

    DOI: 10.1016/j.apsusc.2020.148439

    Web of Science

    Scopus

  21. Influence of pressure on (001)-preferred orientation and in-plane residual stress in rapidly annealed FePt thin films

    Hsiao S. N., Chou C. L., Liu S. H., Chen S. K.

    APPLIED SURFACE SCIENCE   Vol. 509   2020.4

     More details

    Publisher:Applied Surface Science  

    DOI: 10.1016/j.apsusc.2020.145304

    Web of Science

    Scopus

  22. Etching characteristics of PECVD-prepared SiN films with CF4/D-2 and CF4/H-2 plasmas at different temperatures

    Hsiao Shih-Nan, Thi-Thuy-Nga Nguyen, Tsutsumi Takayoshi, Ishikawa Kenji, Sekine Makoto, Hori Masaru

    2020 INTERNATIONAL SYMPOSIUM ON SEMICONDUCTOR MANUFACTURING (ISSM)     2020

     More details

▼display all