2024/02/09 更新

写真a

ミヤモト サトル
宮本 聡
MIYAMOTO Satoru
所属
大学院工学研究科 物質プロセス工学専攻 物質創成工学 特任准教授
職名
特任准教授
連絡先
メールアドレス

学位 1

  1. 博士(工学) ( 2010年3月   慶應義塾大学 ) 

研究キーワード 6

  1. 半導体同位体工学

  2. 量子材料・デバイス

  3. 低次元ナノ物性

  4. 結晶成長・界面接合

  5. 磁気共鳴・量子操作・量子計測

  6. 最先端半導体・太陽電池

研究分野 6

  1. ナノテク・材料 / 結晶工学

  2. ナノテク・材料 / 応用物性

  3. ナノテク・材料 / ナノ構造物理

  4. ナノテク・材料 / 薄膜、表面界面物性

  5. 自然科学一般 / 半導体、光物性、原子物理

  6. ものづくり技術(機械・電気電子・化学工学) / 電気電子材料工学

▼全件表示

現在の研究課題とSDGs 3

  1. 大規模シリコン量子計算機の実現に向けた高品質同位体基板に関する研究

  2. 最先端CMOSのための新規デバイス・材料・プロセスに関する研究

  3. 次世代太陽電池のためのシリコン多元混晶薄膜材料に関する研究

経歴 9

  1. 名古屋大学   大学院工学研究科 物質プロセス工学専攻 物質創成工学   特任准教授

    2023年4月 - 現在

  2. 名古屋大学   大学院工学研究科 物質プロセス工学専攻 物質創成工学   特任講師

    2019年4月 - 2023年3月

      詳細を見る

    国名:日本国

  3. 慶應義塾大学   理工学研究科 基礎理工学専攻   特任助教

    2016年8月 - 2019年3月

      詳細を見る

    国名:日本国

  4. 慶應義塾大学   理工学研究科 基礎理工学専攻   研究員

    2016年4月 - 2016年7月

      詳細を見る

    国名:日本国

  5. 慶應義塾大学   理工学研究科 基礎理工学専攻   特任助教

    2015年5月 - 2016年3月

      詳細を見る

    国名:日本国

  6. 東北大学   理学研究科 物理学専攻   客員研究員

    2015年4月 - 2016年3月

      詳細を見る

    国名:日本国

  7. 東北大学   理学研究科 物理学専攻   特任助教

    2013年4月 - 2015年3月

      詳細を見る

    国名:日本国

  8. Paul-Drude研究所(ドイツ)   客員研究員

    2012年1月 - 2012年3月

      詳細を見る

    国名:日本国

  9. 独立行政法人 科学技術振興機構   ERATO平山核スピンエレクトロニクスPJ   研究員

    2010年4月 - 2013年3月

      詳細を見る

    国名:日本国

▼全件表示

学歴 3

  1. 慶應義塾大学大学院   理工学研究科   基礎理工学専攻

    2007年4月 - 2010年3月

      詳細を見る

    国名: 日本国

  2. 慶應義塾大学大学院   理工学研究科   基礎理工学専攻

    2005年4月 - 2007年3月

      詳細を見る

    国名: 日本国

  3. 慶應義塾大学   理工学部   物理情報工学科

    2001年4月 - 2005年3月

      詳細を見る

    国名: 日本国

所属学協会 4

  1. 応用物理学会

  2. 日本物理学会

  3. 日本顕微鏡学会

  4. 米国MRS

 

論文 22

  1. Thermoelectric properties of Mg2Si thin films prepared by thermal evaporation of Mg and face-to-face annealing 査読有り

    Y. Kurokawa, K. Sato, K. Shibata, S. Kato, S. Miyamoto, K. Gotoh, T. Itoh, and N. Usami

    Mater. Sci. Semicond. Process.   163 巻   頁: 107552   2023年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.mssp.2023.107552

  2. Fabrication of light trapping structures specialized for near-infrared light by nanoimprinting for the application to thin crystalline silicon solar cells 査読有り

    Y. Kimata, K. Gotoh, S. Miyamoto, S. Kato, Y. Kurokawa, and N. Usami

    Discover Nano   18 巻   頁: 72   2023年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1186/s11671-023-03840-6

  3. Bayesian optimization of hydrogen plasma treatment in silicon quantum dot multilayer and application to solar cells 査読有り

    F. Kumagai, K. Gotoh, S. Miyamoto, S. Kato, K. Kutsukake, N. Usami, and Y. Kurokawa

    Nanoscale Research Letters   18 巻   頁: 43   2023年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1186/s11671-023-03821-9

  4. Preparation and thermoelectric characterization of boron-doped Si nanocrystals/silicon oxide multilayers 査読有り

    K. Shibata, S. Kato, M. Kurosawa, K. Gotoh, S. Miyamoto, N. Usami, and Y. Kurokawa

    Japanese Journal of Applied Physics   62 巻   頁: SC1074   2023年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/acb779

  5. Epitaxial growth of SiGe films by annealing Al-Ge alloyed pastes on Si substrate 査読有り

    K. Fukuda, S. Miyamoto, M. Nakahara, S. Suzuki, M. Dhamrin, K. Maeda, K. Fujiwara, Y. Uraoka, and N. Usami

    Scientific Reports   12 巻   頁: 14770   2022年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1038/s41598-022-19122-7

  6. Fabrication of BaSi2 homojunction diodes on Nb-doped TiO2 coated glass substrates by aluminum-induced crystallization and two-step evaporation method 査読有り

    Y. Kurokawa, T. Yoshino, K. Gotoh, S. Miyamoto, and N. Usami

    Japanese Journal of Applied Physics   61 巻   頁: SC1029   2022年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/ac4077

  7. Fabrication of Silicon Nanowire Metal-Oxide-Semiconductor Capacitors with Al2O3/TiO2/Al2O3 Stacked Dielectric Films for the Application to Energy Storage Devices 査読有り

    R. Nezasa, K. Gotoh, S. Kato, S. Miyamoto, N. Usami, and Y. Kurokawa

    Energies   14 巻   頁: 4538   2021年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/en14154538

  8. Fabrication of group Ⅳ semiconductor alloys on Si substrate applying Al paste with Screen-Printing 査読有り

    M. Nakahara, M. Matsubara, S. Suzuki, M. Dhamrin, S. Miyamoto, M. F. Hainey Jr., and N. Usami

    Japanese Journal of Applied Physics   59 巻   頁: SGGF07/1-SGGF07/4   2020年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/ab6e0b

  9. Oxidation-enhanced Si self-diffusion in isotopically modulated silicon nanopillars 査読有り

    R. Kiga, S. Hayashi, S. Miyamoto, Y. Shimizu, Y. Nagai, T. Endoh, and K. M. Itoh

    Journal of Applied Physics   127 巻   頁: 045704/1-045704/6   2020年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.5134105

  10. Fabrication of Ge MOS with low interface trap density by ALD of Al2O3 on epitaxially grown Ge 査読有り

    R. Matsuoka, E. Shigesawa, S. Miyamoto, K. Sawano, and K. M. Itoh

    Semiconductor Science and Technology   34 巻   頁: 014004/1-014004/5   2018年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6641/aaf19b

  11. Silicon Isotope Technology for Quantum Computing 招待有り 査読有り

    S. Miyamoto and K. M. Itoh

    2018 IEEE International Electron Devices Meeting (IEDM) - Technical Digest   2018-December 巻   頁: pp.6.4.1 - 6.4.4.   2018年12月

     詳細を見る

    記述言語:英語  

    DOI: 10.1109/IEDM.2018.8614609

  12. Fabry-Pérot interference in a triple-gated quantum point contact 査読有り

    S. Maeda, S. Miyamoto, M. H. Fauzi, K. Nagase, K. Sato and Y. Hirayama

    Applied Physics Letters   109 巻   頁: 143509/1-143509/4   2016年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4964404

  13. NMR Tracing of Hyperfine-Mediated Nuclear Spin Diffusion in Fractional Quantum Hall Domain Phases

    S. Miyamoto, T. Hatano, S. Watanabe, and Y. Hirayama

    arXiv     頁: 1605.06926   2016年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.48550/arXiv.1605.06926

  14. Localized NMR Mediated by Electrical-Field-Induced Domain Wall Oscillation in Quantum-Hall-Ferromagnet Nanowire 査読有り

    S. Miyamoto, T. Miura, S. Watanabe, K. Nagase, and Y. Hirayama

    Nano Letters   16 巻   頁: 1596-1601   2016年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1021/acs.nanolett.5b04209

  15. Room-Temperature Observation of Size Effects in Photoluminescence of Si0.8Ge0.2/Si Nanocolumns Prepared by Neutral Beam Etching 査読有り

    R. Hirano, S. Miyamoto, M. Yonemoto, S. Samukawa, K. Sawano, Y. Shiraki, and K. M. Itoh

    Applied Physics Express   5 巻   頁: 082004/1-082004/3   2012年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/APEX.5.082004

  16. Excitonic Aharonov-Bohm effect in isotopically pure 70Ge/Si self-assembled type-II quantum dots 査読有り

    S. Miyamoto, O. Moutanabbir, T. Ishikawa, M. Eto, E. E. Haller, K. Sawano, Y. Shiraki, and K. M. Itoh

    Physical Review B   82 巻   頁: 073306/1-073306/4   2010年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1103/PhysRevB.82.073306

  17. Resonant escape over an oscillating barrier in a single-electron ratchet transfer 査読有り

    S. Miyamoto, K. Nishiguchi, Y. Ono, K. M. Itoh, and A. Fujiwara

    Physical Review B   82 巻   頁: 033303/1-033303/4   2010年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1103/PhysRevB.82.033303

  18. Transport of Deposited Atoms throughout Strain-Mediated Self-Assembly 査読有り

    O. Moutanabbir, S. Miyamoto, E. E. Haller, and K. M. Itoh

    Physical Review Letters   105 巻   頁: 026101/1-026101/4   2010年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1103/PhysRevLett.105.026101

  19. Spatial correlation of self-assembled isotopically pure Ge/Si(001) nanoislands 査読有り

    S. Miyamoto, O. Moutanabbir, E. E. Haller, and K. M. Itoh

    Physical Review B   79 巻   頁: 165415/1-165415/6   2009年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1103/PhysRevB.79.165415

  20. Escape dynamics of a few electrons in a single-electron ratchet using silicon nanowire metal-oxide-semiconductor field-effect transistor 査読有り

    S. Miyamoto, K. Nishiguchi, Y. Ono, K. M. Itoh, and A. Fujiwara

    Applied Physics Letters   93 巻   頁: 222103/1-222103/3   2008年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.3028649

  21. Tuning the luminescence emission of {105}-faceted Ge QDs superlattice using proton implantation and thermal annealing 査読有り

    O. Moutanabbir, S. Miyamoto, A. Sagara, H. Oshikawa, and K. M. Itoh

    Thin Solid Films   517 巻   頁: 391-394   2008年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.tsf.2008.08.105

  22. Isotopically controlled self-assembled Ge/Si nanostructures 査読有り

    O. Moutanabbir, S. Miyamoto, A. Fujimoto, and K. M. Itoh

    Journal of Crystal Growth   301-302 巻   頁: 324-329   2007年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2006.11.178

▼全件表示

講演・口頭発表等 93

  1. Thermoelectric properties of P-doped and B-doped polycrystalline silicon thin films 国際会議

    K. Shibata, S. Kato, M. Kurosawa, K. Gotoh, S. Miyamoto, T. Itoh, N. Usami, and Y. Kurokawa

    2023 MRS Fall Meeting & Exhibit 

     詳細を見る

    開催年月日: 2023年11月 - 2023年12月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Boston   国名:アメリカ合衆国  

  2. Improvement of open-circuit voltage and fill factor of silicon quantum dots solar cells by Bayesian optimization process 国際会議

    Y. Kurokawa, F. Kumagai, K. Gotoh, S. Miyamoto, S. Kato, K. Kutsukake, and N. Usami

    34th International Photovoltaic Science and Engineering Conference (PVSEC-34) 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Guandong   国名:中華人民共和国  

  3. 印刷と焼成によるSiGe薄膜の熱平衡形成プロセスと太陽電池基板応用

    伊藤 耕平, 宮本 聡, 鈴木 招太, 南山 偉明, ダムリン マルワン, 宇佐美 徳隆

    第33回 材料フォーラムTOKAI 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋工業大学   国名:日本国  

  4. Defect engineering for interface control of Si-qubit integrated layers 国際会議

    S. Miyamoto, M. Kano, Y. Kurokawa, and N. Usami

    Silicon Quantum Electronics Workshop 2023 

     詳細を見る

    開催年月日: 2023年10月 - 2023年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Kyoto   国名:日本国  

  5. Design investigations of devices and circuits for reflectometry-based defect assessment in Si quantum platforms 国際会議

    K. Masuda, N. Usami, and S. Miyamoto

    Silicon Quantum Electronics Workshop 2023 

     詳細を見る

    開催年月日: 2023年10月 - 2023年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Kyoto   国名:日本国  

  6. Atom-probe tomographic study of localized hydrogen functionalities in silicon-based thin-film heterostructures 国際会議

    S. Miyamoto, K. Inoue, Y. Shimizu, M. Kano, N. Usami, T. Yokoi, and Y. Nagai

    GIMRT Joint International Symposium on Radiation Effects in Materials and Actinide Science (GIMRT-REMAS2023) 

     詳細を見る

    開催年月日: 2023年9月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Tokyo   国名:日本国  

  7. Pドープ/Bドープ poly-Si薄膜の熱電特性評価

    柴田 啓介, 加藤 慎也, 黒澤 昌志, 後藤 和泰, 宮本 聡, 伊藤 孝至, 宇佐美 徳隆, 黒川 康良

    2023年秋季 第84回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2023年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:熊本城ホール(ハイブリッド開催)   国名:日本国  

  8. SiGe epitaxial growth via pulsed laser annealing of Al-Ge pastes on Si 国際会議

    T. Sato, S. Miyamoto, L. Xuan, S. Suzuki, M. Dhamrin, and N. Usami

    International Conference on Crystal Growth and Epitaxy (ICCGE20) 

     詳細を見る

    開催年月日: 2023年7月 - 2023年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Naples   国名:イタリア共和国  

  9. Implementation of Nanoimprinted Light Trapping Structure Into Si Heterojunction Solar Cells 国際会議

    Y. Kurokawa, Y. Kimata, Y. Iseki, K. Gotoh, S. Miyamoto, R. Ozaki, K. Nakamura, Y. Ohshita, and N. Usami

    13th International Conference on Silicon Photovoltaics 2023 (Silicon PV2023) 

     詳細を見る

    開催年月日: 2023年4月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Delft   国名:オランダ王国  

  10. ベイズ最適化を援用したシリコン量子ドット積層構造の高品質化と太陽電池応用

    熊谷 風雅,後藤 和泰,加藤 慎也,宮本 聡,沓掛 健太朗,宇佐美 徳隆,黒川 康良

    2023年春季 第70回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学(ハイブリッド開催)   国名:日本国  

  11. コロイダルリソグラフィ法とナノインプリント法による近赤外光に特化した光閉じ込め構造の作製

    木股 佑斗,後藤 和泰,宮本 聡,黒川 康良,宇佐美 徳隆

    2023年春季 第70回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学(ハイブリッド開催)   国名:日本国  

  12. SiGe薄膜における歪み緩和と結晶傾斜への水素局在効果

    加納 光樹,宮本 聡,黒川 康良,宇佐美 徳隆

    2023年春季 第70回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学(ハイブリッド開催)   国名:日本国  

  13. Application of hydrogenated silicon nanocrystal/silicon oxide compound layer to crystalline silicon solar cells 国際会議

    M. Matsumi, K. Gotoh, S. Miyamoto, Y. Kurokawa and N. Usami

    33rd International Photovoltaic Science and Engineering Conference (PVSEC-33) 

     詳細を見る

    開催年月日: 2022年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya (Hybrid)   国名:日本国  

  14. Rapid growth of SiGe thin-films by pulsed laser annealing of Al-Ge alloyed pastes on silicon 国際会議

    T. Sato, S. Miyamoto, S. Suzuki, M. Dhamrin, N. Usami

    33rd International Photovoltaic Science and Engineering Conference (PVSEC-33) 

     詳細を見る

    開催年月日: 2022年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya (Hybrid)   国名:日本国  

  15. Host-crystal orientation effects on SiGe epitaxial films grown by annealing Al-Ge alloyed pastes 国際会議

    K. Fukuda, S. Miyamoto, S. Suzuki, M. Nakahara, M. Dhamrin, K. Maeda, K. Fujiwara, N. Usami

    33rd International Photovoltaic Science and Engineering Conference (PVSEC-33) 

     詳細を見る

    開催年月日: 2022年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya (Hybrid)   国名:日本国  

  16. Verification of Sn position in Sn-doped Si,Ge thin film solar cell materials by X-ray fluorescence holography 国際会議

    S. Hoshi, T. Kanno, M. Takano, W. Keiko, Y. Kobayashi, Y. Tomimatu, K. Kimura, N. Happo, S. Suzuki, M. Dhamrin, K. Fukuda, S. Miyamoto, N. Usami, K. Hayashi, K. Ohoyama

    33rd International Photovoltaic Science and Engineering Conference (PVSEC-33) 

     詳細を見る

    開催年月日: 2022年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya (Hybrid)   国名:日本国  

  17. Photoconductivity measurement of silicon quantum dot multilayers for the Bayesian optimization 国際会議

    F. Kumagai, K. Gotoh, S. Miyamoto, S. Kato, N. Matsuo, S. Yamada, T. Itoh, N. Usami, Y. Kurokawa

    33rd International Photovoltaic Science and Engineering Conference (PVSEC-33) 

     詳細を見る

    開催年月日: 2022年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya (Hybrid)   国名:日本国  

  18. Atom-probe tomographic study of localized hydrogen functionalities in silicon-based thin-film heterostructures 招待有り 国際会議

    S. Miyamoto

    GIMRT Joint International Symposium on Radiation Effects in Materials and Actinide Science (GIMRT-REMAS2022) 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:Sendai   国名:日本国  

  19. Preparation and thermoelectric characterization of boron-doped silicon nanocrystals/silicon oxide multilayers 国際会議

    K. Shibata, S. Kato, M. Kurosawa, K. Gotoh, S. Miyamoto, N. Usami, and Y. Kurokawa

    2022 International Conference on Solid State Devices and Materials (SSDM2022) 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Makuhari (Hybrid)   国名:日本国  

  20. Hydrogen defect clustering in strained SiGe host matrixes 国際会議

    M. Kano, S. Miyamoto, Y. Kurokawa, and N. Usami

    The 22nd International Vacuum Congress (IVC-22) 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Sapporo (Hybrid)   国名:日本国  

  21. Post-annealing effects on the hydrogenated amorphous silicon/crystalline silicon heterointerfaces 国際会議

    K. Inoue, K. Gotoh, K. Kutsukake, N. Sawamoto, T. Nishihara, S. Miyamoto, Y. Kurokawa, A. Ogura, and N. Usami

    The 22nd International Vacuum Congress (IVC-22) 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Sapporo (Hybrid)   国名:日本国  

  22. Hydrogen depth profiles of hydrogenated amorphous silicon double layers on crystalline silicon 国際会議

    K. Gotoh, K. Inoue, N. Sawamoto, T. Nishihara, M. Wilde, S. Miyamoto, Y. Kurokawa, A. Ogura, K. Fukutani, and N. Usami

    The 22nd International Vacuum Congress (IVC-22) 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Sapporo (Hybrid)   国名:日本国  

  23. 多接合太陽電池の普及を加速する最新技術II~印刷と焼成による擬似基板材料の非真空高速成長~ 招待有り

    宮本 聡

    日本学術振興会第R032 委員会第 8 回研究会「太陽電池結晶素材の今」 

     詳細を見る

    開催年月日: 2022年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋大学(ハイブリッド開催)   国名:日本国  

  24. Functionalization of Localized Hydrogens at Isotopically Engineered Silicon Hetero-Interface 国際会議

    S. Miyamoto and N. Usami

    2nd International Symposium “Hydrogenomics” 

     詳細を見る

    開催年月日: 2022年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  25. Al-Ge合金ペーストによるSi(111)基板上へのSiGe混晶薄膜の成長

    福田 啓介,宮本 聡,鈴木 紹太,中原 正博,ダムリン マルワン,前田 健作,藤原 航三,宇佐美 徳隆

    2022年春季 第69回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学(ハイブリッド開催)   国名:日本国  

  26. ゲート制御Si-MOSデバイスにおける非平衡ダイナミクスと電荷雑音評価

    佐藤 克哉,宮本 聡,宇佐美 徳隆

    2022年春季 第69回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学(ハイブリッド開催)   国名:日本国  

  27. Fabrication of all-solid-state semiconductor battery using TiOx:Nb for energy storage 国際会議

    K. Watanabe, K. Gotoh, S. Miyamoto, M. Motoyama, N. Usami, and Y. Kurokawa

    31st International Photovoltaic Science and Engineering Conference (PVSEC-31) 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Online   国名:オーストラリア連邦  

  28. Design and fabrication of nanoimprinted optical confinement structure specialized for near-infrared light 国際会議

    Y. Kimata, K. Gotoh, S. Miyamoto, Y. Kurokawa, and N. Usami

    31st International Photovoltaic Science and Engineering Conference (PVSEC-31) 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Online   国名:オーストラリア連邦  

  29. Bayesian optimization of hydrogen plasma treatment for reducing defects in silicon quantum dot multilayers 国際会議

    F. Kumagai, S. Miyagawa, K. Gotoh, S. Miyamoto, K. Kutsukake, S. Kato, N. Usami, and Y. Kurokawa

    31st International Photovoltaic Science and Engineering Conference (PVSEC-31) 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Online   国名:オーストラリア連邦  

  30. Microwave photoconductivity decay under gate-bias application to detect charge traps in Si-MOS devices 国際会議

    K. Sato, S. Miyamoto, and N. Usami

    2021 International Workshop on Dielectric Thin Films for Future Electron Devices - Science and Technology - (IWDTF 2021) 

     詳細を見る

    開催年月日: 2021年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Online   国名:日本国  

  31. TiOx:Nbを用いた全固体型半導体電池の作製と評価

    渡邊 健太、後藤 和泰、宮本 聡、本山 宗主、宇佐美 徳隆、黒川 康良

    第31回 材料フォーラムTOKAI 

     詳細を見る

    開催年月日: 2021年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  32. Al-Ge合金ペーストを用いた多接合型太陽電池のためのSiGe混晶層形成

    福田 啓介, 宮本 聡, 中原 正博, 鈴木 紹太, ダムリン マルワン, 宇佐美 徳隆

    第18回次世代の太陽光発電システムシンポジウム 

     詳細を見る

    開催年月日: 2021年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  33. Isotope Engineering and Nanoscale Imaging for Scaling Silicon Quantum Technology 国際会議

    S. Miyamoto, K. M. Itoh, and N. Usami

    The 22nd International Union of Materials Research Societies International Conference in Asia (IUMRS-ICA 2021) 

     詳細を見る

    開催年月日: 2021年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Jeju Island (Hybrid)   国名:大韓民国  

  34. Atom-probe tomographic study of localized hydrogen functionalities in silicon-based thin-film heterostructures 国際会議

    S. Miyamoto, Y. Shimizu, M. Kano, K. Inoue, N. Usami, and Y. Nagai

    GIMRT Joint International Symposium on Radiation Effects in Materials and Actinide Science (GIMRT-REMAS2021) 

     詳細を見る

    開催年月日: 2021年9月 - 2021年10月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Online   国名:日本国  

  35. 合金ペーストにより形成されるSiGe混晶層のその場観察と構造評価

    福田 啓介,宮本 聡,中原 正博,鈴木 紹太,ダムリン マルワン,前田 健作,藤原 航三,宇佐美 徳隆

    2021年秋季 第82回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  36. 真空蒸着法により作製したMg₂Si薄膜の高品質化に向けたface-to-faceアニール効果

    佐藤 海誓,宮本 聡,後藤 和泰, 黒川 康良, 伊藤 孝至, 宇佐美 徳隆

    2021年秋季 第82回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  37. High Ge-Content SiGe Films Epitaxially Grown by Annealing Al-Ge Alloyed Pastes on Si Substrate 国際会議

    K. Fukuda, S. Miyamoto, M. Nakahara, S. Suzuki, M. Dhamrin, K. Maeda, K. Fujiwara, and N. Usami

    2021 International Conference on Solid State Devices and Materials (SSDM2021) 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Online   国名:日本国  

  38. Face-to-faceアニールを用いて作製したMg₂Si薄膜のラマン散乱解析

    佐藤 海誓,宮本 聡,後藤 和泰, 黒川 康良, 伊藤 孝至, 宇佐美 徳隆

    第19回シリサイド系半導体・夏の学校 

     詳細を見る

    開催年月日: 2021年8月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  39. アドバンスドCMOSのための同位体援用アトムプローブ解析とTEMトラッキング観察 招待有り

    宮本 聡

    日本顕微鏡学会 第77回学術講演会 

     詳細を見る

    開催年月日: 2021年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  40. Isotopically Engineered Silicon Testbeds for Advanced CMOS and Quantum Information 招待有り 国際会議

    S. Miyamoto, N. Usami, and K. M. Itoh

    239th ECS Meeting 

     詳細を見る

    開催年月日: 2021年5月 - 2021年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Online   国名:アメリカ合衆国  

  41. 同位体制御 28Si/SiGe量子計算基板における微小結晶傾斜角イメージング

    竹内 公一,宮本 聡,伊藤 公平,宇佐美 徳隆

    2021年春季 第68回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  42. Signature of crytallographic tilting in isotopically enriched Si-28/SiGe 国際会議

    S. Miyamoto, K. Takeuchi, K. M. Itoh, and N. Usami

    The 8th Asian Conference on Crystal Growth and Crystal Technology 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  43. Atom probe tomography observation of diffusion behaviors in isotopically controlled silicon nanostructures 国際会議

     詳細を見る

    開催年月日: 2020年9月 - 2020年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  44. シリコン同位体薄膜における局在水素の可視化と機能化への展開 招待有り

    宮本 聡

    新学術領域研究「ハイドロジェノミクス」第6回若手育成スクール 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  45. Direct imaging of crystallographic tilting for valley-controlled Si/SiGe qubits 国際会議

    K. Takeuchi, S. Miyamoto, K. M. Itoh, and N. Usami

    2020 International Conference on Solid State Devices and Materials (SSDM2020) 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  46. 印刷と焼成で形成したSiGe混晶薄膜層の顕微ラマン分析

    福田 啓介,宮本 聡,中原 正博,沓掛 健太朗,ダムリン マルワン,宇佐美 徳隆

    2020年秋季 第81回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  47. Isotopically Engineered Silicon Testbeds for Advanced CMOS and Quantum Information 招待有り 国際会議

    S. Miyamoto, N. Usami, and K. M. Itoh

    237th ECS Meeting 

     詳細を見る

    開催年月日: 2020年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:カナダ  

  48. 印刷と焼成によるシリコン系混晶半導体のエピタキシャル成長のその場観察

    福田 啓介,中原 正博,深見 昌吾,宮本 聡,ダムリン マルワン,前田 健作,藤原 航三,宇佐美 徳隆

    2020年春季 第67回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  49. シリコン同位体ヘテロ・ナノピラー構造における自己拡散挙動の3次元アトムプローブ検証

    宮本 聡, 林 彩弥佳, 木我 亮太郎, 清水 康雄, 海老澤 直樹, 井上 耕治, 遠藤 哲郎, 永井 康介, 伊藤 公平

    平成31年度大洗研究会 

     詳細を見る

    開催年月日: 2019年10月

    記述言語:日本語   会議種別:シンポジウム・ワークショップ パネル(指名)  

    国名:日本国  

  50. シリコンナノピラー熱酸化のTEMトラッキング評価

    和光 拓人, 仮屋崎 弘昭, 黒田 周, 宮本 聡, 藤森 洋行, 遠藤 哲郎, 伊藤 公平

    2019年秋季 第80回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  51. Fabrication of Group Ⅳ Semiconductor Alloys on Si substrate by Screen-Printing 国際会議

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  52. Optical Investigation of Interstitial H2 Nuclear-Spin States in Isotopically Enriched Silicon

    Satoru Miyamoto, Noritaka Usami

    4th Young Researchers' Association for Scientific Research on Innovative Areas "Hydrogenomics" 

     詳細を見る

    開催年月日: 2019年8月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  53. シリコン同位体ナノピラー構造中における酸化増速自己拡散のアトムプローブ観測

    木我 亮太郎, 林 彩弥佳, 宮本 聡, 清水 康雄, 永井 康介, 遠藤 哲郎, 伊藤 公平

    2019年春季 第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  54. 固体量子情報デバイスの実現に向けたシリコン同位体技術 招待有り

    宮本 聡, 宇佐美 徳隆, 伊藤 公平

    シリコン材料・デバイス研究会(SDM) 

     詳細を見る

    開催年月日: 2019年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  55. Silicon Isotope Technology for Quantum Computing 招待有り 国際会議

    S. Miyamoto and K. M. Itoh

    64th IEEE International Electron Devices Meeting (IEDM 2018) 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  56. Oxidation-enhanced Si Self-diffusion in Isotopically Modulated Nanopillars 国際会議

    R. Kiga, S. Hayashi, S. Miyamoto, Y. Shimizu, T. Endoh, Y. Nagai, and K. M. Itoh

    2018 Materials Research Society (MRS) Fall Meeting & Exhibit 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  57. シリコン同位体ヘテロ・ナノピラー構造における自己拡散挙動の3次元アトムプローブ検証

    宮本 聡, 木我 亮太郎, 林 彩弥佳, 清水 康雄, 井上 耕治, 遠藤 哲郎, 永井 康介, 伊藤 公平

    平成30年度大洗研究会 

     詳細を見る

    開催年月日: 2018年10月

    記述言語:日本語   会議種別:シンポジウム・ワークショップ パネル(指名)  

    国名:日本国  

  58. Silicon Isotope Engineering for Quantum Information Processing 招待有り 国際会議

    S. Miyamoto and K. M. Itoh

    International Workshop Quantum Information and Correlation in Quantum Dots 

     詳細を見る

    開催年月日: 2018年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  59. Silicon Isotope Engineering for Quantum Computing 招待有り 国際会議

    S. Miyamoto and K. M. Itoh

    40th Progress In Electromagnetics Research Symposium (PIERS 2018) 

     詳細を見る

    開催年月日: 2018年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  60. Atom Probe Tomography Investigation of Silicon Self-diffusion in Isotopically Modulated Nanopillars 国際会議

    R. Kiga, S. Hayashi, S. Miyamoto, Y. Shimizu, T. Endoh, Y. Nagai, and K. M. Itoh

    The Scientific International Symposium on SIMS and Related Techniques Based on Ion-Solid Interactions (SISS-20) 

     詳細を見る

    開催年月日: 2018年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  61. Fabrication of Ge MOS with low interface trap density by ALD of Al2O3 on epitaxially grown Ge 国際会議

    R. Matsuoka, E. Shigesawa, S. Miyamoto, K. Sawano, and K. M. Itoh

    Joint Conference of 9th International SiGe Technology and Device Meeting (ISTDM-9) and 11th International Conference on Silicon Epitaxy and Heterostructures (ICSI-11) 

     詳細を見る

    開催年月日: 2018年5月

    記述言語:英語   会議種別:ポスター発表  

    国名:ドイツ連邦共和国  

  62. Isotopically Engineered Si-28/SiGe Heterostructures for Quantum Computing 国際会議

    S. Miyamoto, Y. Hoshi, N. Usami, and K. M. Itoh

    2017 Materials Research Society (MRS) Fall Meeting & Exhibit 

     詳細を見る

    開催年月日: 2017年11月 - 2017年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  63. Isotopically enriched Si-28/SiGe heterostructures with nearly atomic-scale roughness 国際会議

    S. Miyamoto, Y. Hoshi, N. Usami, and K. M. Itoh

    International Workshop on Silicon Quantum Electronics 2017 

     詳細を見る

    開催年月日: 2017年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  64. Low-Defect-Density Al2O3 Insulating Layer for Gate-Controlled Si/SiGe Quantum Dots 国際会議

    R. Matsuoka, S. Miyamoto, K. Sawano, and K. M Itoh

    29th International Conference on Defects in Semiconductors (ICDS2017) 

     詳細を見る

    開催年月日: 2017年7月 - 2017年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  65. Growth and Characterization of Isotopically Enriched Si-28/SiGe Heterostructures 国際会議

    S. Miyamoto, Y. Hoshi, N. Usami, and K. M. Itoh

    The 10th International Conference on Silicon Epitaxy and heterostructures (ICSI-10) 

     詳細を見る

    開催年月日: 2017年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:グレートブリテン・北アイルランド連合王国(英国)  

  66. Hyperfine-Mediated Nuclear Spin Diffusion in Fractional-Quantum-Hall Domain Phases 国際会議

    S. Miyamoto, S. Watanabe, T. Hatano, M. H. Fauzi, and Y. Hirayama

    21st International Conference on Electronic Properties of Two-Dimensional Systems (EP2DS-21) 

     詳細を見る

    開催年月日: 2015年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  67. 分数量子ホールドメインにおける電流誘起核スピン分布

    宮本 聡, 羽田野 剛司, M. H. Fauzi, 渡辺 信嗣, 平山 祥郎

    第70回日本物理学会年次大会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  68. Impacts of Disorder and Gate Geometry on Quantum Point Contact Transport 国際会議

    S. Maeda, S. Miyamoto, M. F. Sahdan, K. Nagase, K. Sato, and Y. Hirayama

    International Symposium on Quantum System and Nuclear Spin Related Phenomena (QSNS) 

     詳細を見る

    開催年月日: 2015年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  69. Nanoscale Control of Nuclear Spins Mediated by Electrically Driven Fractional-Quantum-Hall Domain Wall Motions 国際会議

    S. Miyamoto, S. Watanabe, T. Hatano, M. H. Fauzi, K. Nagase, and Y. Hirayama

    International Symposium on Quantum System and Nuclear Spin Related Phenomena (QSNS) 

     詳細を見る

    開催年月日: 2015年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  70. Disordered one-dimensional transport defined by a triple-gate structure 国際会議

    S. Maeda, S. Miyamoto, K. Nagase, K. Sato, and Y. Hirayama

    41th International Symposium on Compound Semiconductors (ISCS 2014) 

     詳細を見る

    開催年月日: 2014年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  71. トリプルゲート構造を用いた乱雑さを含む一次元系における伝導特性

    前田 舜太, 宮本 聡, 長瀬 勝美, 佐藤 健, 平山 祥郎

    第69回日本物理学会年次大会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  72. Localized Nuclear Spin Resonance Mediated by Electrical-Field-Induced Domain Oscillation 国際会議

    S. Miyamoto, T. Miura, S. Watanabe, K. Nagase, and Y. Hirayama

    31th International Conference on the Physics of Semiconductors (ICPS 2012) 

     詳細を見る

    開催年月日: 2012年7月 - 2012年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:スイス連邦  

  73. 量子細線構造を用いたミクロスコピックNER

    三浦 智宣, 宮本 聡, 渡辺 信嗣, 長瀬 勝美, 平山 祥郎

    第67回日本物理学会年次大会 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  74. Observation of quantum size effects in photoluminescence of Si0.8Ge0.2/Si nanocolumns prepared by neutral beam etching 国際会議

    R. Hirano, S. Miyamoto, M. Yonemoto, S. Samukawa, K. Sawano, Y. Shiraki, and K. M. Itoh

    30th International Conference on the Physics of Semiconductors (ICPS 2010) 

     詳細を見る

    開催年月日: 2010年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  75. Room-temperature observation of quantum size effects in photoluminescence of Si0.8Ge0.2/Si nanocolumns prepared by neutral beam etching 国際会議

    R. Hirano, S. Miyamoto, M. Yonemoto, S. Samukawa, K. Sawano, Y. Shiraki, and K. M. Itoh

    International Symposium on Quantum Nanophotonics and Nanoelectronics 2009 (ISQNN 2009) 

     詳細を見る

    開催年月日: 2009年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  76. Single-electron stochastic resonance using Si nano-wire transistors 国際会議

    K. Nishiguchi, S. Miyamoto, and A. Fujiwara

    22nd International Microprocesses and Nanotechnology Conference (MNC 2009) 

     詳細を見る

    開催年月日: 2009年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  77. シリコン単電子ラチェット転送における振動ポテンシャル障壁を越える共鳴活性化現象

    宮本 聡, 西口 克彦, 小野 行徳, 伊藤 公平, 藤原 聡

    2009年秋季 第70回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  78. Single-electron activation over an oscillating barrier in silicon nanowire MOSFETs 国際会議

    S. Miyamoto, K. Nishiguchi, Y. Ono, K. M. Itoh, and A. Fujiwara

    18th International Conference on Electronic Properties of Two-Dimensional Systems (EP2DS-18) 

     詳細を見る

    開催年月日: 2009年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  79. Nucleation and mass transport in strain-driven islanding studied by combination of Voronoi tessellation and Ge enriched isotope 国際会議

    S. Miyamoto, O. Moutanabbir, E. E. Haller, and K. M. Itoh

    6th International Conference on Silicon Epitaxy and Heterostructures (ICSI-6) 

     詳細を見る

    開催年月日: 2009年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  80. シリコン単電子ラチェット転送における少数電子脱出ダイナミクス

    宮本 聡, 西口 克彦, 小野 行徳, 伊藤 公平, 藤原 聡

    先端融合COE「ナノ量子情報エレクトロニクス連携拠点」公開シンポジウム 

     詳細を見る

    開催年月日: 2009年4月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  81. Escape dynamics of electrons in a single-electron ratchet using silicon nanowire MOSFETs 国際会議

    S. Miyamoto, K. Nishiguchi, Y. Ono, K. M. Itoh, and A. Fujiwara

    2nd IEEE Nanotechnology Materials and Device Conference (NMDC 2008) 

     詳細を見る

    開催年月日: 2008年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  82. シリコン細線MOSFETにおける単電子捕獲のダイナミクス

    藤原 聡, 宮本 聡, 西口 克彦, 小野 行徳, N. M. Zimmerman

    2008年秋季 第69回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  83. Dynamics of single-electron capture in Si nanowire MOSFETs 国際会議

    A. Fujiwara, S. Miyamoto, K. Nishiguchi, Y. Ono, and N. M. Zimmerman

    2008 IEEE Silicon Nanoelectronics Workshop (SNW) 

     詳細を見る

    開催年月日: 2008年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  84. シリコン細線MOSFETを用いた単電子ラチェットにおける電子ダイナミクス

    宮本 聡, 西口 克彦, 小野 行徳, 藤原 聡, 伊藤 公平

    2008年春季 第55回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  85. 無損傷中性粒子ビームエッチングを用いたSiGe量子ナノディスク積層構造の作製2

    米元 雅浩, 宮本 聡, 平野 梨伊, 澤野 憲太郎, 伊藤 公平, 白木 靖寛, 寒川 誠二

    2007年秋季 第68回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  86. Artificial manipulation of the isotopic composition of Ge-Si epitaxial nanostructures 国際会議

    O. Moutanabbir, S. Miyamoto, and K. M. Itoh

    5th International Conference on Silicon Epitaxy and Heterostructures (ICSI-5) 

     詳細を見る

    開催年月日: 2007年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  87. Anomalous x-ray scattering 3D mapping of strain and composition of Ge/Si shrinking islands during the initial stage of Si overgrowth 国際会議

    O. Moutanabbir, T. Kawamura, S. Miyamoto, S. Kimura, M. Mizumaki, and K. M. Itoh

    5th International Conference on Silicon Epitaxy and Heterostructures (ICSI-5) 

     詳細を見る

    開催年月日: 2007年5月

    記述言語:英語   会議種別:ポスター発表  

    国名:フランス共和国  

  88. Tuning the luminescence emission of {105}-faceted Ge QDs superlattice using proton implantation and thermal annealing 国際会議

    O. Moutanabbir, A. Sagara, S. Miyamoto, H. Oshikawa, and K. M. Itoh

    5th International Conference on Silicon Epitaxy and Heterostructures (ICSI-5) 

     詳細を見る

    開催年月日: 2007年5月

    記述言語:英語   会議種別:ポスター発表  

    国名:フランス共和国  

  89. 無損傷中性粒子ビーム加工を用いたSiGe量子ナノディスク積層構造の作製

    齋藤 卓, 米元 雅浩, 相良 暁彦, 宮本 聡, 澤野 憲太郎, 伊藤 公平, 白木 靖寛, 寒川 誠二

    2007年春季 第54回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2007年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  90. 同位体制御Ge/Si(001)ナノ構造における原子組成の定量評価

    宮本 聡, Oussama Moutanabbir, 伊藤 公平

    2007年春季 第54回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2007年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  91. Subtleties in the epitaxial growth of Ge/Si nanstructures revealed by Raman scattering in combination with stable isotopes tracing 国際会議

    O. Moutanabbir, S. Miyamoto, and K. M. Itoh

    2nd International WorkShop on New Group IV Semiconductor Nanoelectronics 

     詳細を見る

    開催年月日: 2006年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  92. Isotopically controlled self-assembled Ge/Si nanostructures 国際会議

    O. Moutanabbir, S. Miyamoto, A. Fujimoto, and K. M. Itoh

    14th International Conference on Molecular Beam Epitaxy (MBE-XIV) 

     詳細を見る

    開催年月日: 2006年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  93. Pure germanium isotopes for investigation interdiffusion in uncapped self-assembled Ge/Si nanostructures 国際会議

    O. Moutanabbir, S. Miyamoto, Y. Tabuchi, and K. M. Itoh

    2006 Material Research Society (MRS) Spring Meeting 

     詳細を見る

    開催年月日: 2006年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

▼全件表示

共同研究・競争的資金等の研究課題 4

  1. 拡張性のあるシリコン量子コンピュータ技術の開発

    研究課題番号:JPMJMS226B-3  2022年10月 - 現在

    ムーンショット型研究開発事業 

    樽茶 清悟, 中島 峻, 藤田 高史, 宮本 聡, 山本 倫久, 高田 真太郎

      詳細を見る

    担当区分:研究分担者  資金種別:競争的資金

    配分額:828620000円 ( 直接経費:637400000円 、 間接経費:191220000円 )

  2. オンシリコン多接合型太陽電池の研究開発

    研究課題番号:I-A1  2023年5月 - 現在

    エネルギー・環境新技術先導研究プログラム 

      詳細を見る

    担当区分:連携研究者  資金種別:競争的資金

  3. 大規模量子計算に向けたシリコン同位体薄膜の革新的エピタキシー法の開発

    2021年4月 - 2022年3月

    公益財団法人 池谷科学技術振興財団  単年度研究助成 

    宮本 聡

      詳細を見る

    担当区分:研究代表者 

    配分額:1500000円

  4. シリコン量子AIの実現に向けた大規模量子計算の基盤技術開発

    2019年8月 - 2020年3月

    牧誠記念研究助成  単年度研究助成 

    宮本 聡

      詳細を見る

    担当区分:研究代表者 

    配分額:1000000円

科研費 1

  1. 水素局在機能を用いたシリコン同位体薄膜の量子計算グレード化

    研究課題/研究課題番号:21H01808  2021年4月 - 2024年3月

    日本学術振興会  科学研究費助成事業 基盤研究(B) 

    宮本 聡

      詳細を見る

    担当区分:研究代表者 

    配分額:16770000円 ( 直接経費:12900000円 、 間接経費:3870000円 )

 

担当経験のある科目 (本学) 4

  1. マテリアル工学概論

    2021

  2. 機能材料プロセス

    2020

  3. マテリアル工学概論

    2020

  4. マテリアル工学概論

    2019

 

社会貢献活動 3

  1. 次世代環境発電デバイスから大規模量子コンピュータに渡る最先端の半導体材料研究

    役割:情報提供

    テクノ・フェア名大2023  2023年10月

  2. 未来社会を導く最先端の半導体材料研究

    役割:講師

    JST・COI-NEXT変環拠点  高校生見学会  2023年8月

     詳細を見る

    対象: 高校生

  3. 今最も熱い最先端半導体材料を創って構造機能を探究しよう

    役割:講師

    JST・次世代人材育成事業  グローバルサイエンスキャンパス(GSC)プログラム 高校生選抜夏季研究体験  2023年7月 - 2023年8月

     詳細を見る

    対象: 高校生

    種別:研究指導