Updated on 2024/02/09

写真a

 
MIYAMOTO Satoru
 
Organization
Graduate School of Engineering Materials Process Engineering 2 Designated associate professor
Title
Designated associate professor
Contact information
メールアドレス

Degree 1

  1. Doctor (Engineering) ( 2010.3   Keio University ) 

Research Interests 6

  1. 半導体同位体工学

  2. 量子材料・デバイス

  3. 低次元ナノ物性

  4. 結晶成長・界面接合

  5. 磁気共鳴・量子操作・量子計測

  6. 最先端半導体・太陽電池

Research Areas 6

  1. Nanotechnology/Materials / Crystal engineering

  2. Nanotechnology/Materials / Applied physical properties

  3. Nanotechnology/Materials / Nanostructural physics

  4. Nanotechnology/Materials / Thin film/surface and interfacial physical properties

  5. Natural Science / Semiconductors, optical properties of condensed matter and atomic physics

  6. Manufacturing Technology (Mechanical Engineering, Electrical and Electronic Engineering, Chemical Engineering) / Electric and electronic materials

▼display all

Current Research Project and SDGs 3

  1. 大規模シリコン量子計算機の実現に向けた高品質同位体基板に関する研究

  2. 最先端CMOSのための新規デバイス・材料・プロセスに関する研究

  3. 次世代太陽電池のためのシリコン多元混晶薄膜材料に関する研究

Research History 9

  1. Nagoya University   Graduate School of Engineering   Designated associate professor

    2023.4

  2. Nagoya University   Graduate School of Engineering   Designated lecturer

    2019.4 - 2023.3

      More details

    Country:Japan

  3. Keio University   Department of Applied Physics and Physico-Informatics   Designated assistant professor

    2016.8 - 2019.3

      More details

    Country:Japan

  4. Keio University   Department of Applied Physics and Physico-Informatics   Researcher

    2016.4 - 2016.7

      More details

    Country:Japan

  5. Keio University   Department of Applied Physics and Physico-Informatics   Designated assistant professor

    2015.5 - 2016.3

      More details

    Country:Japan

  6. Tohoku University   Department of Physics   Visiting Researcher

    2015.4 - 2016.3

      More details

    Country:Japan

  7. Tohoku University   Department of Physics   Designated assistant professor

    2013.4 - 2015.3

      More details

    Country:Japan

  8. Paul-Drude-Institut (Germany)   Visiting Researcher

    2012.1 - 2012.3

      More details

    Country:Japan

  9. Japan Science and Technology Agency (JST)   ERATO Hirayama Nuclear Spin Electronics Project   Researcher

    2010.4 - 2013.3

      More details

    Country:Japan

▼display all

Education 3

  1. Keio University   School of Fundamental Science and Technology

    2007.4 - 2010.3

      More details

    Country: Japan

  2. Keio University   School of Fundamental Science and Technology

    2005.4 - 2007.3

      More details

    Country: Japan

  3. Keio University   Department of Applied Physics and Physico-Informatics

    2001.4 - 2005.3

      More details

    Country: Japan

Professional Memberships 4

  1. The Japan Society of Applied Physics

  2. The Physical Society of Japan

  3. The Japanese Society of Microscopy

  4. 米国MRS

 

Papers 22

  1. Thermoelectric properties of Mg2Si thin films prepared by thermal evaporation of Mg and face-to-face annealing Reviewed

    Y. Kurokawa, K. Sato, K. Shibata, S. Kato, S. Miyamoto, K. Gotoh, T. Itoh, and N. Usami

    Mater. Sci. Semicond. Process.   Vol. 163   page: 107552   2023.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.mssp.2023.107552

  2. Fabrication of light trapping structures specialized for near-infrared light by nanoimprinting for the application to thin crystalline silicon solar cells Reviewed

    Y. Kimata, K. Gotoh, S. Miyamoto, S. Kato, Y. Kurokawa, and N. Usami

    Discover Nano   Vol. 18   page: 72   2023.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1186/s11671-023-03840-6

  3. Bayesian optimization of hydrogen plasma treatment in silicon quantum dot multilayer and application to solar cells Reviewed

    F. Kumagai, K. Gotoh, S. Miyamoto, S. Kato, K. Kutsukake, N. Usami, and Y. Kurokawa

    Nanoscale Research Letters   Vol. 18   page: 43   2023.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1186/s11671-023-03821-9

  4. Preparation and thermoelectric characterization of boron-doped Si nanocrystals/silicon oxide multilayers Reviewed

    K. Shibata, S. Kato, M. Kurosawa, K. Gotoh, S. Miyamoto, N. Usami, and Y. Kurokawa

    Japanese Journal of Applied Physics   Vol. 62   page: SC1074   2023.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/acb779

  5. Epitaxial growth of SiGe films by annealing Al-Ge alloyed pastes on Si substrate Reviewed

    K. Fukuda, S. Miyamoto, M. Nakahara, S. Suzuki, M. Dhamrin, K. Maeda, K. Fujiwara, Y. Uraoka, and N. Usami

    Scientific Reports   Vol. 12   page: 14770   2022.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1038/s41598-022-19122-7

  6. Fabrication of BaSi2 homojunction diodes on Nb-doped TiO2 coated glass substrates by aluminum-induced crystallization and two-step evaporation method Reviewed

    Y. Kurokawa, T. Yoshino, K. Gotoh, S. Miyamoto, and N. Usami

    Japanese Journal of Applied Physics   Vol. 61   page: SC1029   2022.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ac4077

  7. Fabrication of Silicon Nanowire Metal-Oxide-Semiconductor Capacitors with Al2O3/TiO2/Al2O3 Stacked Dielectric Films for the Application to Energy Storage Devices Reviewed

    R. Nezasa, K. Gotoh, S. Kato, S. Miyamoto, N. Usami, and Y. Kurokawa

    Energies   Vol. 14   page: 4538   2021.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3390/en14154538

  8. Fabrication of group Ⅳ semiconductor alloys on Si substrate applying Al paste with Screen-Printing Reviewed

    M. Nakahara, M. Matsubara, S. Suzuki, M. Dhamrin, S. Miyamoto, M. F. Hainey Jr., and N. Usami

    Japanese Journal of Applied Physics   Vol. 59   page: SGGF07/1-SGGF07/4   2020.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ab6e0b

  9. Oxidation-enhanced Si self-diffusion in isotopically modulated silicon nanopillars Reviewed

    R. Kiga, S. Hayashi, S. Miyamoto, Y. Shimizu, Y. Nagai, T. Endoh, and K. M. Itoh

    Journal of Applied Physics   Vol. 127   page: 045704/1-045704/6   2020.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.5134105

  10. Fabrication of Ge MOS with low interface trap density by ALD of Al2O3 on epitaxially grown Ge Reviewed

    R. Matsuoka, E. Shigesawa, S. Miyamoto, K. Sawano, and K. M. Itoh

    Semiconductor Science and Technology   Vol. 34   page: 014004/1-014004/5   2018.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6641/aaf19b

  11. Silicon Isotope Technology for Quantum Computing Invited Reviewed

    S. Miyamoto and K. M. Itoh

    2018 IEEE International Electron Devices Meeting (IEDM) - Technical Digest   Vol. 2018-December   page: pp.6.4.1 - 6.4.4.   2018.12

     More details

    Language:English  

    DOI: 10.1109/IEDM.2018.8614609

  12. Fabry-Pérot interference in a triple-gated quantum point contact Reviewed

    S. Maeda, S. Miyamoto, M. H. Fauzi, K. Nagase, K. Sato and Y. Hirayama

    Applied Physics Letters   Vol. 109   page: 143509/1-143509/4   2016.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4964404

  13. NMR Tracing of Hyperfine-Mediated Nuclear Spin Diffusion in Fractional Quantum Hall Domain Phases

    S. Miyamoto, T. Hatano, S. Watanabe, and Y. Hirayama

    arXiv     page: 1605.06926   2016.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.48550/arXiv.1605.06926

  14. Localized NMR Mediated by Electrical-Field-Induced Domain Wall Oscillation in Quantum-Hall-Ferromagnet Nanowire Reviewed

    S. Miyamoto, T. Miura, S. Watanabe, K. Nagase, and Y. Hirayama

    Nano Letters   Vol. 16   page: 1596-1601   2016.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1021/acs.nanolett.5b04209

  15. Room-Temperature Observation of Size Effects in Photoluminescence of Si0.8Ge0.2/Si Nanocolumns Prepared by Neutral Beam Etching Reviewed

    R. Hirano, S. Miyamoto, M. Yonemoto, S. Samukawa, K. Sawano, Y. Shiraki, and K. M. Itoh

    Applied Physics Express   Vol. 5   page: 082004/1-082004/3   2012.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/APEX.5.082004

  16. Excitonic Aharonov-Bohm effect in isotopically pure 70Ge/Si self-assembled type-II quantum dots Reviewed

    S. Miyamoto, O. Moutanabbir, T. Ishikawa, M. Eto, E. E. Haller, K. Sawano, Y. Shiraki, and K. M. Itoh

    Physical Review B   Vol. 82   page: 073306/1-073306/4   2010.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1103/PhysRevB.82.073306

  17. Resonant escape over an oscillating barrier in a single-electron ratchet transfer Reviewed

    S. Miyamoto, K. Nishiguchi, Y. Ono, K. M. Itoh, and A. Fujiwara

    Physical Review B   Vol. 82   page: 033303/1-033303/4   2010.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1103/PhysRevB.82.033303

  18. Transport of Deposited Atoms throughout Strain-Mediated Self-Assembly Reviewed

    O. Moutanabbir, S. Miyamoto, E. E. Haller, and K. M. Itoh

    Physical Review Letters   Vol. 105   page: 026101/1-026101/4   2010.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1103/PhysRevLett.105.026101

  19. Spatial correlation of self-assembled isotopically pure Ge/Si(001) nanoislands Reviewed

    S. Miyamoto, O. Moutanabbir, E. E. Haller, and K. M. Itoh

    Physical Review B   Vol. 79   page: 165415/1-165415/6   2009.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1103/PhysRevB.79.165415

  20. Escape dynamics of a few electrons in a single-electron ratchet using silicon nanowire metal-oxide-semiconductor field-effect transistor Reviewed

    S. Miyamoto, K. Nishiguchi, Y. Ono, K. M. Itoh, and A. Fujiwara

    Applied Physics Letters   Vol. 93   page: 222103/1-222103/3   2008.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.3028649

  21. Tuning the luminescence emission of {105}-faceted Ge QDs superlattice using proton implantation and thermal annealing Reviewed

    O. Moutanabbir, S. Miyamoto, A. Sagara, H. Oshikawa, and K. M. Itoh

    Thin Solid Films   Vol. 517   page: 391-394   2008.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2008.08.105

  22. Isotopically controlled self-assembled Ge/Si nanostructures Reviewed

    O. Moutanabbir, S. Miyamoto, A. Fujimoto, and K. M. Itoh

    Journal of Crystal Growth   Vol. 301-302   page: 324-329   2007.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.jcrysgro.2006.11.178

▼display all

Presentations 93

  1. Thermoelectric properties of P-doped and B-doped polycrystalline silicon thin films International conference

    K. Shibata, S. Kato, M. Kurosawa, K. Gotoh, S. Miyamoto, T. Itoh, N. Usami, and Y. Kurokawa

    2023 MRS Fall Meeting & Exhibit 

     More details

    Event date: 2023.11 - 2023.12

    Language:English   Presentation type:Poster presentation  

    Venue:Boston   Country:United States  

  2. Improvement of open-circuit voltage and fill factor of silicon quantum dots solar cells by Bayesian optimization process International conference

    Y. Kurokawa, F. Kumagai, K. Gotoh, S. Miyamoto, S. Kato, K. Kutsukake, and N. Usami

    34th International Photovoltaic Science and Engineering Conference (PVSEC-34) 

     More details

    Event date: 2023.11

    Language:English   Presentation type:Poster presentation  

    Venue:Guandong   Country:China  

  3. 印刷と焼成によるSiGe薄膜の熱平衡形成プロセスと太陽電池基板応用

    伊藤 耕平, 宮本 聡, 鈴木 招太, 南山 偉明, ダムリン マルワン, 宇佐美 徳隆

    第33回 材料フォーラムTOKAI 

     More details

    Event date: 2023.11

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋工業大学   Country:Japan  

  4. Defect engineering for interface control of Si-qubit integrated layers International conference

    S. Miyamoto, M. Kano, Y. Kurokawa, and N. Usami

    Silicon Quantum Electronics Workshop 2023 

     More details

    Event date: 2023.10 - 2023.11

    Language:English   Presentation type:Poster presentation  

    Venue:Kyoto   Country:Japan  

  5. Design investigations of devices and circuits for reflectometry-based defect assessment in Si quantum platforms International conference

    K. Masuda, N. Usami, and S. Miyamoto

    Silicon Quantum Electronics Workshop 2023 

     More details

    Event date: 2023.10 - 2023.11

    Language:English   Presentation type:Poster presentation  

    Venue:Kyoto   Country:Japan  

  6. Atom-probe tomographic study of localized hydrogen functionalities in silicon-based thin-film heterostructures International conference

    S. Miyamoto, K. Inoue, Y. Shimizu, M. Kano, N. Usami, T. Yokoi, and Y. Nagai

    GIMRT Joint International Symposium on Radiation Effects in Materials and Actinide Science (GIMRT-REMAS2023) 

     More details

    Event date: 2023.9

    Language:English   Presentation type:Poster presentation  

    Venue:Tokyo   Country:Japan  

  7. Pドープ/Bドープ poly-Si薄膜の熱電特性評価

    柴田 啓介, 加藤 慎也, 黒澤 昌志, 後藤 和泰, 宮本 聡, 伊藤 孝至, 宇佐美 徳隆, 黒川 康良

    2023年秋季 第84回応用物理学会秋季学術講演会 

     More details

    Event date: 2023.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:熊本城ホール(ハイブリッド開催)   Country:Japan  

  8. SiGe epitaxial growth via pulsed laser annealing of Al-Ge pastes on Si International conference

    T. Sato, S. Miyamoto, L. Xuan, S. Suzuki, M. Dhamrin, and N. Usami

    International Conference on Crystal Growth and Epitaxy (ICCGE20) 

     More details

    Event date: 2023.7 - 2023.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Naples   Country:Italy  

  9. Implementation of Nanoimprinted Light Trapping Structure Into Si Heterojunction Solar Cells International conference

    Y. Kurokawa, Y. Kimata, Y. Iseki, K. Gotoh, S. Miyamoto, R. Ozaki, K. Nakamura, Y. Ohshita, and N. Usami

    13th International Conference on Silicon Photovoltaics 2023 (Silicon PV2023) 

     More details

    Event date: 2023.4

    Language:English   Presentation type:Poster presentation  

    Venue:Delft   Country:Netherlands  

  10. ベイズ最適化を援用したシリコン量子ドット積層構造の高品質化と太陽電池応用

    熊谷 風雅,後藤 和泰,加藤 慎也,宮本 聡,沓掛 健太朗,宇佐美 徳隆,黒川 康良

    2023年春季 第70回応用物理学会学術講演会 

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:上智大学(ハイブリッド開催)   Country:Japan  

  11. コロイダルリソグラフィ法とナノインプリント法による近赤外光に特化した光閉じ込め構造の作製

    木股 佑斗,後藤 和泰,宮本 聡,黒川 康良,宇佐美 徳隆

    2023年春季 第70回応用物理学会学術講演会 

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:上智大学(ハイブリッド開催)   Country:Japan  

  12. SiGe薄膜における歪み緩和と結晶傾斜への水素局在効果

    加納 光樹,宮本 聡,黒川 康良,宇佐美 徳隆

    2023年春季 第70回応用物理学会学術講演会 

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:上智大学(ハイブリッド開催)   Country:Japan  

  13. Application of hydrogenated silicon nanocrystal/silicon oxide compound layer to crystalline silicon solar cells International conference

    M. Matsumi, K. Gotoh, S. Miyamoto, Y. Kurokawa and N. Usami

    33rd International Photovoltaic Science and Engineering Conference (PVSEC-33) 

     More details

    Event date: 2022.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya (Hybrid)   Country:Japan  

  14. Rapid growth of SiGe thin-films by pulsed laser annealing of Al-Ge alloyed pastes on silicon International conference

    T. Sato, S. Miyamoto, S. Suzuki, M. Dhamrin, N. Usami

    33rd International Photovoltaic Science and Engineering Conference (PVSEC-33) 

     More details

    Event date: 2022.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya (Hybrid)   Country:Japan  

  15. Host-crystal orientation effects on SiGe epitaxial films grown by annealing Al-Ge alloyed pastes International conference

    K. Fukuda, S. Miyamoto, S. Suzuki, M. Nakahara, M. Dhamrin, K. Maeda, K. Fujiwara, N. Usami

    33rd International Photovoltaic Science and Engineering Conference (PVSEC-33) 

     More details

    Event date: 2022.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya (Hybrid)   Country:Japan  

  16. Verification of Sn position in Sn-doped Si,Ge thin film solar cell materials by X-ray fluorescence holography International conference

    S. Hoshi, T. Kanno, M. Takano, W. Keiko, Y. Kobayashi, Y. Tomimatu, K. Kimura, N. Happo, S. Suzuki, M. Dhamrin, K. Fukuda, S. Miyamoto, N. Usami, K. Hayashi, K. Ohoyama

    33rd International Photovoltaic Science and Engineering Conference (PVSEC-33) 

     More details

    Event date: 2022.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya (Hybrid)   Country:Japan  

  17. Photoconductivity measurement of silicon quantum dot multilayers for the Bayesian optimization International conference

    F. Kumagai, K. Gotoh, S. Miyamoto, S. Kato, N. Matsuo, S. Yamada, T. Itoh, N. Usami, Y. Kurokawa

    33rd International Photovoltaic Science and Engineering Conference (PVSEC-33) 

     More details

    Event date: 2022.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya (Hybrid)   Country:Japan  

  18. Atom-probe tomographic study of localized hydrogen functionalities in silicon-based thin-film heterostructures Invited International conference

    S. Miyamoto

    GIMRT Joint International Symposium on Radiation Effects in Materials and Actinide Science (GIMRT-REMAS2022) 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:Sendai   Country:Japan  

  19. Preparation and thermoelectric characterization of boron-doped silicon nanocrystals/silicon oxide multilayers International conference

    K. Shibata, S. Kato, M. Kurosawa, K. Gotoh, S. Miyamoto, N. Usami, and Y. Kurokawa

    2022 International Conference on Solid State Devices and Materials (SSDM2022) 

     More details

    Event date: 2022.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Makuhari (Hybrid)   Country:Japan  

  20. Hydrogen defect clustering in strained SiGe host matrixes International conference

    M. Kano, S. Miyamoto, Y. Kurokawa, and N. Usami

    The 22nd International Vacuum Congress (IVC-22) 

     More details

    Event date: 2022.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sapporo (Hybrid)   Country:Japan  

  21. Post-annealing effects on the hydrogenated amorphous silicon/crystalline silicon heterointerfaces International conference

    K. Inoue, K. Gotoh, K. Kutsukake, N. Sawamoto, T. Nishihara, S. Miyamoto, Y. Kurokawa, A. Ogura, and N. Usami

    The 22nd International Vacuum Congress (IVC-22) 

     More details

    Event date: 2022.9

    Language:English   Presentation type:Poster presentation  

    Venue:Sapporo (Hybrid)   Country:Japan  

  22. Hydrogen depth profiles of hydrogenated amorphous silicon double layers on crystalline silicon International conference

    K. Gotoh, K. Inoue, N. Sawamoto, T. Nishihara, M. Wilde, S. Miyamoto, Y. Kurokawa, A. Ogura, K. Fukutani, and N. Usami

    The 22nd International Vacuum Congress (IVC-22) 

     More details

    Event date: 2022.9

    Language:English   Presentation type:Poster presentation  

    Venue:Sapporo (Hybrid)   Country:Japan  

  23. 多接合太陽電池の普及を加速する最新技術II~印刷と焼成による擬似基板材料の非真空高速成長~ Invited

    宮本 聡

    日本学術振興会第R032 委員会第 8 回研究会「太陽電池結晶素材の今」 

     More details

    Event date: 2022.7

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:名古屋大学(ハイブリッド開催)   Country:Japan  

  24. Functionalization of Localized Hydrogens at Isotopically Engineered Silicon Hetero-Interface International conference

    S. Miyamoto and N. Usami

    2nd International Symposium “Hydrogenomics” 

     More details

    Event date: 2022.5

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  25. Al-Ge合金ペーストによるSi(111)基板上へのSiGe混晶薄膜の成長

    福田 啓介,宮本 聡,鈴木 紹太,中原 正博,ダムリン マルワン,前田 健作,藤原 航三,宇佐美 徳隆

    2022年春季 第69回応用物理学会学術講演会 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学(ハイブリッド開催)   Country:Japan  

  26. ゲート制御Si-MOSデバイスにおける非平衡ダイナミクスと電荷雑音評価

    佐藤 克哉,宮本 聡,宇佐美 徳隆

    2022年春季 第69回応用物理学会学術講演会 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学(ハイブリッド開催)   Country:Japan  

  27. Fabrication of all-solid-state semiconductor battery using TiOx:Nb for energy storage International conference

    K. Watanabe, K. Gotoh, S. Miyamoto, M. Motoyama, N. Usami, and Y. Kurokawa

    31st International Photovoltaic Science and Engineering Conference (PVSEC-31) 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Online   Country:Australia  

  28. Design and fabrication of nanoimprinted optical confinement structure specialized for near-infrared light International conference

    Y. Kimata, K. Gotoh, S. Miyamoto, Y. Kurokawa, and N. Usami

    31st International Photovoltaic Science and Engineering Conference (PVSEC-31) 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Poster presentation  

    Venue:Online   Country:Australia  

  29. Bayesian optimization of hydrogen plasma treatment for reducing defects in silicon quantum dot multilayers International conference

    F. Kumagai, S. Miyagawa, K. Gotoh, S. Miyamoto, K. Kutsukake, S. Kato, N. Usami, and Y. Kurokawa

    31st International Photovoltaic Science and Engineering Conference (PVSEC-31) 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Online   Country:Australia  

  30. Microwave photoconductivity decay under gate-bias application to detect charge traps in Si-MOS devices International conference

    K. Sato, S. Miyamoto, and N. Usami

    2021 International Workshop on Dielectric Thin Films for Future Electron Devices - Science and Technology - (IWDTF 2021) 

     More details

    Event date: 2021.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Online   Country:Japan  

  31. TiOx:Nbを用いた全固体型半導体電池の作製と評価

    渡邊 健太、後藤 和泰、宮本 聡、本山 宗主、宇佐美 徳隆、黒川 康良

    第31回 材料フォーラムTOKAI 

     More details

    Event date: 2021.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  32. Al-Ge合金ペーストを用いた多接合型太陽電池のためのSiGe混晶層形成

    福田 啓介, 宮本 聡, 中原 正博, 鈴木 紹太, ダムリン マルワン, 宇佐美 徳隆

    第18回次世代の太陽光発電システムシンポジウム 

     More details

    Event date: 2021.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  33. Isotope Engineering and Nanoscale Imaging for Scaling Silicon Quantum Technology International conference

    S. Miyamoto, K. M. Itoh, and N. Usami

    The 22nd International Union of Materials Research Societies International Conference in Asia (IUMRS-ICA 2021) 

     More details

    Event date: 2021.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Jeju Island (Hybrid)   Country:Korea, Republic of  

  34. Atom-probe tomographic study of localized hydrogen functionalities in silicon-based thin-film heterostructures International conference

    S. Miyamoto, Y. Shimizu, M. Kano, K. Inoue, N. Usami, and Y. Nagai

    GIMRT Joint International Symposium on Radiation Effects in Materials and Actinide Science (GIMRT-REMAS2021) 

     More details

    Event date: 2021.9 - 2021.10

    Language:English   Presentation type:Poster presentation  

    Venue:Online   Country:Japan  

  35. 合金ペーストにより形成されるSiGe混晶層のその場観察と構造評価

    福田 啓介,宮本 聡,中原 正博,鈴木 紹太,ダムリン マルワン,前田 健作,藤原 航三,宇佐美 徳隆

    2021年秋季 第82回応用物理学会学術講演会 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  36. 真空蒸着法により作製したMg₂Si薄膜の高品質化に向けたface-to-faceアニール効果

    佐藤 海誓,宮本 聡,後藤 和泰, 黒川 康良, 伊藤 孝至, 宇佐美 徳隆

    2021年秋季 第82回応用物理学会学術講演会 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  37. High Ge-Content SiGe Films Epitaxially Grown by Annealing Al-Ge Alloyed Pastes on Si Substrate International conference

    K. Fukuda, S. Miyamoto, M. Nakahara, S. Suzuki, M. Dhamrin, K. Maeda, K. Fujiwara, and N. Usami

    2021 International Conference on Solid State Devices and Materials (SSDM2021) 

     More details

    Event date: 2021.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Online   Country:Japan  

  38. Face-to-faceアニールを用いて作製したMg₂Si薄膜のラマン散乱解析

    佐藤 海誓,宮本 聡,後藤 和泰, 黒川 康良, 伊藤 孝至, 宇佐美 徳隆

    第19回シリサイド系半導体・夏の学校 

     More details

    Event date: 2021.8

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  39. アドバンスドCMOSのための同位体援用アトムプローブ解析とTEMトラッキング観察 Invited

    宮本 聡

    日本顕微鏡学会 第77回学術講演会 

     More details

    Event date: 2021.6

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  40. Isotopically Engineered Silicon Testbeds for Advanced CMOS and Quantum Information Invited International conference

    S. Miyamoto, N. Usami, and K. M. Itoh

    239th ECS Meeting 

     More details

    Event date: 2021.5 - 2021.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Online   Country:United States  

  41. 同位体制御 28Si/SiGe量子計算基板における微小結晶傾斜角イメージング

    竹内 公一,宮本 聡,伊藤 公平,宇佐美 徳隆

    2021年春季 第68回応用物理学会学術講演会 

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  42. Signature of crytallographic tilting in isotopically enriched Si-28/SiGe International conference

    S. Miyamoto, K. Takeuchi, K. M. Itoh, and N. Usami

    The 8th Asian Conference on Crystal Growth and Crystal Technology 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  43. Atom probe tomography observation of diffusion behaviors in isotopically controlled silicon nanostructures International conference

     More details

    Event date: 2020.9 - 2020.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  44. シリコン同位体薄膜における局在水素の可視化と機能化への展開 Invited

    宮本 聡

    新学術領域研究「ハイドロジェノミクス」第6回若手育成スクール 

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  45. Direct imaging of crystallographic tilting for valley-controlled Si/SiGe qubits International conference

    K. Takeuchi, S. Miyamoto, K. M. Itoh, and N. Usami

    2020 International Conference on Solid State Devices and Materials (SSDM2020) 

     More details

    Event date: 2020.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  46. 印刷と焼成で形成したSiGe混晶薄膜層の顕微ラマン分析

    福田 啓介,宮本 聡,中原 正博,沓掛 健太朗,ダムリン マルワン,宇佐美 徳隆

    2020年秋季 第81回応用物理学会学術講演会 

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  47. Isotopically Engineered Silicon Testbeds for Advanced CMOS and Quantum Information Invited International conference

    S. Miyamoto, N. Usami, and K. M. Itoh

    237th ECS Meeting 

     More details

    Event date: 2020.5

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Canada  

  48. 印刷と焼成によるシリコン系混晶半導体のエピタキシャル成長のその場観察

    福田 啓介,中原 正博,深見 昌吾,宮本 聡,ダムリン マルワン,前田 健作,藤原 航三,宇佐美 徳隆

    2020年春季 第67回応用物理学会学術講演会 

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  49. シリコン同位体ヘテロ・ナノピラー構造における自己拡散挙動の3次元アトムプローブ検証

    宮本 聡, 林 彩弥佳, 木我 亮太郎, 清水 康雄, 海老澤 直樹, 井上 耕治, 遠藤 哲郎, 永井 康介, 伊藤 公平

    平成31年度大洗研究会 

     More details

    Event date: 2019.10

    Language:Japanese   Presentation type:Symposium, workshop panel (nominated)  

    Country:Japan  

  50. シリコンナノピラー熱酸化のTEMトラッキング評価

    和光 拓人, 仮屋崎 弘昭, 黒田 周, 宮本 聡, 藤森 洋行, 遠藤 哲郎, 伊藤 公平

    2019年秋季 第80回応用物理学会秋季学術講演会 

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  51. Fabrication of Group Ⅳ Semiconductor Alloys on Si substrate by Screen-Printing International conference

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  52. Optical Investigation of Interstitial H2 Nuclear-Spin States in Isotopically Enriched Silicon

    Satoru Miyamoto, Noritaka Usami

    4th Young Researchers' Association for Scientific Research on Innovative Areas "Hydrogenomics" 

     More details

    Event date: 2019.8

    Language:English   Presentation type:Symposium, workshop panel (public)  

    Country:Japan  

  53. シリコン同位体ナノピラー構造中における酸化増速自己拡散のアトムプローブ観測

    木我 亮太郎, 林 彩弥佳, 宮本 聡, 清水 康雄, 永井 康介, 遠藤 哲郎, 伊藤 公平

    2019年春季 第66回応用物理学会春季学術講演会 

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  54. 固体量子情報デバイスの実現に向けたシリコン同位体技術 Invited

    宮本 聡, 宇佐美 徳隆, 伊藤 公平

    シリコン材料・デバイス研究会(SDM) 

     More details

    Event date: 2019.1

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  55. Silicon Isotope Technology for Quantum Computing Invited International conference

    S. Miyamoto and K. M. Itoh

    64th IEEE International Electron Devices Meeting (IEDM 2018) 

     More details

    Event date: 2018.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:United States  

  56. Oxidation-enhanced Si Self-diffusion in Isotopically Modulated Nanopillars International conference

    R. Kiga, S. Hayashi, S. Miyamoto, Y. Shimizu, T. Endoh, Y. Nagai, and K. M. Itoh

    2018 Materials Research Society (MRS) Fall Meeting & Exhibit 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  57. シリコン同位体ヘテロ・ナノピラー構造における自己拡散挙動の3次元アトムプローブ検証

    宮本 聡, 木我 亮太郎, 林 彩弥佳, 清水 康雄, 井上 耕治, 遠藤 哲郎, 永井 康介, 伊藤 公平

    平成30年度大洗研究会 

     More details

    Event date: 2018.10

    Language:Japanese   Presentation type:Symposium, workshop panel (nominated)  

    Country:Japan  

  58. Silicon Isotope Engineering for Quantum Information Processing Invited International conference

    S. Miyamoto and K. M. Itoh

    International Workshop Quantum Information and Correlation in Quantum Dots 

     More details

    Event date: 2018.8

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  59. Silicon Isotope Engineering for Quantum Computing Invited International conference

    S. Miyamoto and K. M. Itoh

    40th Progress In Electromagnetics Research Symposium (PIERS 2018) 

     More details

    Event date: 2018.8

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  60. Atom Probe Tomography Investigation of Silicon Self-diffusion in Isotopically Modulated Nanopillars International conference

    R. Kiga, S. Hayashi, S. Miyamoto, Y. Shimizu, T. Endoh, Y. Nagai, and K. M. Itoh

    The Scientific International Symposium on SIMS and Related Techniques Based on Ion-Solid Interactions (SISS-20) 

     More details

    Event date: 2018.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  61. Fabrication of Ge MOS with low interface trap density by ALD of Al2O3 on epitaxially grown Ge International conference

    R. Matsuoka, E. Shigesawa, S. Miyamoto, K. Sawano, and K. M. Itoh

    Joint Conference of 9th International SiGe Technology and Device Meeting (ISTDM-9) and 11th International Conference on Silicon Epitaxy and Heterostructures (ICSI-11) 

     More details

    Event date: 2018.5

    Language:English   Presentation type:Poster presentation  

    Country:Germany  

  62. Isotopically Engineered Si-28/SiGe Heterostructures for Quantum Computing International conference

    S. Miyamoto, Y. Hoshi, N. Usami, and K. M. Itoh

    2017 Materials Research Society (MRS) Fall Meeting & Exhibit 

     More details

    Event date: 2017.11 - 2017.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  63. Isotopically enriched Si-28/SiGe heterostructures with nearly atomic-scale roughness International conference

    S. Miyamoto, Y. Hoshi, N. Usami, and K. M. Itoh

    International Workshop on Silicon Quantum Electronics 2017 

     More details

    Event date: 2017.8

    Language:English   Presentation type:Poster presentation  

    Country:United States  

  64. Low-Defect-Density Al2O3 Insulating Layer for Gate-Controlled Si/SiGe Quantum Dots International conference

    R. Matsuoka, S. Miyamoto, K. Sawano, and K. M Itoh

    29th International Conference on Defects in Semiconductors (ICDS2017) 

     More details

    Event date: 2017.7 - 2017.8

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  65. Growth and Characterization of Isotopically Enriched Si-28/SiGe Heterostructures International conference

    S. Miyamoto, Y. Hoshi, N. Usami, and K. M. Itoh

    The 10th International Conference on Silicon Epitaxy and heterostructures (ICSI-10) 

     More details

    Event date: 2017.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:United Kingdom  

  66. Hyperfine-Mediated Nuclear Spin Diffusion in Fractional-Quantum-Hall Domain Phases International conference

    S. Miyamoto, S. Watanabe, T. Hatano, M. H. Fauzi, and Y. Hirayama

    21st International Conference on Electronic Properties of Two-Dimensional Systems (EP2DS-21) 

     More details

    Event date: 2015.7

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  67. 分数量子ホールドメインにおける電流誘起核スピン分布

    宮本 聡, 羽田野 剛司, M. H. Fauzi, 渡辺 信嗣, 平山 祥郎

    第70回日本物理学会年次大会 

     More details

    Event date: 2015.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  68. Impacts of Disorder and Gate Geometry on Quantum Point Contact Transport International conference

    S. Maeda, S. Miyamoto, M. F. Sahdan, K. Nagase, K. Sato, and Y. Hirayama

    International Symposium on Quantum System and Nuclear Spin Related Phenomena (QSNS) 

     More details

    Event date: 2015.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  69. Nanoscale Control of Nuclear Spins Mediated by Electrically Driven Fractional-Quantum-Hall Domain Wall Motions International conference

    S. Miyamoto, S. Watanabe, T. Hatano, M. H. Fauzi, K. Nagase, and Y. Hirayama

    International Symposium on Quantum System and Nuclear Spin Related Phenomena (QSNS) 

     More details

    Event date: 2015.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  70. Disordered one-dimensional transport defined by a triple-gate structure International conference

    S. Maeda, S. Miyamoto, K. Nagase, K. Sato, and Y. Hirayama

    41th International Symposium on Compound Semiconductors (ISCS 2014) 

     More details

    Event date: 2014.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:France  

  71. トリプルゲート構造を用いた乱雑さを含む一次元系における伝導特性

    前田 舜太, 宮本 聡, 長瀬 勝美, 佐藤 健, 平山 祥郎

    第69回日本物理学会年次大会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  72. Localized Nuclear Spin Resonance Mediated by Electrical-Field-Induced Domain Oscillation International conference

    S. Miyamoto, T. Miura, S. Watanabe, K. Nagase, and Y. Hirayama

    31th International Conference on the Physics of Semiconductors (ICPS 2012) 

     More details

    Event date: 2012.7 - 2012.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Switzerland  

  73. 量子細線構造を用いたミクロスコピックNER

    三浦 智宣, 宮本 聡, 渡辺 信嗣, 長瀬 勝美, 平山 祥郎

    第67回日本物理学会年次大会 

     More details

    Event date: 2012.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  74. Observation of quantum size effects in photoluminescence of Si0.8Ge0.2/Si nanocolumns prepared by neutral beam etching International conference

    R. Hirano, S. Miyamoto, M. Yonemoto, S. Samukawa, K. Sawano, Y. Shiraki, and K. M. Itoh

    30th International Conference on the Physics of Semiconductors (ICPS 2010) 

     More details

    Event date: 2010.7

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  75. Room-temperature observation of quantum size effects in photoluminescence of Si0.8Ge0.2/Si nanocolumns prepared by neutral beam etching International conference

    R. Hirano, S. Miyamoto, M. Yonemoto, S. Samukawa, K. Sawano, Y. Shiraki, and K. M. Itoh

    International Symposium on Quantum Nanophotonics and Nanoelectronics 2009 (ISQNN 2009) 

     More details

    Event date: 2009.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  76. Single-electron stochastic resonance using Si nano-wire transistors International conference

    K. Nishiguchi, S. Miyamoto, and A. Fujiwara

    22nd International Microprocesses and Nanotechnology Conference (MNC 2009) 

     More details

    Event date: 2009.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  77. シリコン単電子ラチェット転送における振動ポテンシャル障壁を越える共鳴活性化現象

    宮本 聡, 西口 克彦, 小野 行徳, 伊藤 公平, 藤原 聡

    2009年秋季 第70回応用物理学会学術講演会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  78. Single-electron activation over an oscillating barrier in silicon nanowire MOSFETs International conference

    S. Miyamoto, K. Nishiguchi, Y. Ono, K. M. Itoh, and A. Fujiwara

    18th International Conference on Electronic Properties of Two-Dimensional Systems (EP2DS-18) 

     More details

    Event date: 2009.7

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  79. Nucleation and mass transport in strain-driven islanding studied by combination of Voronoi tessellation and Ge enriched isotope International conference

    S. Miyamoto, O. Moutanabbir, E. E. Haller, and K. M. Itoh

    6th International Conference on Silicon Epitaxy and Heterostructures (ICSI-6) 

     More details

    Event date: 2009.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  80. シリコン単電子ラチェット転送における少数電子脱出ダイナミクス

    宮本 聡, 西口 克彦, 小野 行徳, 伊藤 公平, 藤原 聡

    先端融合COE「ナノ量子情報エレクトロニクス連携拠点」公開シンポジウム 

     More details

    Event date: 2009.4

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  81. Escape dynamics of electrons in a single-electron ratchet using silicon nanowire MOSFETs International conference

    S. Miyamoto, K. Nishiguchi, Y. Ono, K. M. Itoh, and A. Fujiwara

    2nd IEEE Nanotechnology Materials and Device Conference (NMDC 2008) 

     More details

    Event date: 2008.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  82. シリコン細線MOSFETにおける単電子捕獲のダイナミクス

    藤原 聡, 宮本 聡, 西口 克彦, 小野 行徳, N. M. Zimmerman

    2008年秋季 第69回応用物理学会学術講演会 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  83. Dynamics of single-electron capture in Si nanowire MOSFETs International conference

    A. Fujiwara, S. Miyamoto, K. Nishiguchi, Y. Ono, and N. M. Zimmerman

    2008 IEEE Silicon Nanoelectronics Workshop (SNW) 

     More details

    Event date: 2008.6

    Language:English   Presentation type:Poster presentation  

    Country:United States  

  84. シリコン細線MOSFETを用いた単電子ラチェットにおける電子ダイナミクス

    宮本 聡, 西口 克彦, 小野 行徳, 藤原 聡, 伊藤 公平

    2008年春季 第55回応用物理学関係連合講演会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  85. 無損傷中性粒子ビームエッチングを用いたSiGe量子ナノディスク積層構造の作製2

    米元 雅浩, 宮本 聡, 平野 梨伊, 澤野 憲太郎, 伊藤 公平, 白木 靖寛, 寒川 誠二

    2007年秋季 第68回応用物理学関係連合講演会 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  86. Artificial manipulation of the isotopic composition of Ge-Si epitaxial nanostructures International conference

    O. Moutanabbir, S. Miyamoto, and K. M. Itoh

    5th International Conference on Silicon Epitaxy and Heterostructures (ICSI-5) 

     More details

    Event date: 2007.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:France  

  87. Anomalous x-ray scattering 3D mapping of strain and composition of Ge/Si shrinking islands during the initial stage of Si overgrowth International conference

    O. Moutanabbir, T. Kawamura, S. Miyamoto, S. Kimura, M. Mizumaki, and K. M. Itoh

    5th International Conference on Silicon Epitaxy and Heterostructures (ICSI-5) 

     More details

    Event date: 2007.5

    Language:English   Presentation type:Poster presentation  

    Country:France  

  88. Tuning the luminescence emission of {105}-faceted Ge QDs superlattice using proton implantation and thermal annealing International conference

    O. Moutanabbir, A. Sagara, S. Miyamoto, H. Oshikawa, and K. M. Itoh

    5th International Conference on Silicon Epitaxy and Heterostructures (ICSI-5) 

     More details

    Event date: 2007.5

    Language:English   Presentation type:Poster presentation  

    Country:France  

  89. 無損傷中性粒子ビーム加工を用いたSiGe量子ナノディスク積層構造の作製

    齋藤 卓, 米元 雅浩, 相良 暁彦, 宮本 聡, 澤野 憲太郎, 伊藤 公平, 白木 靖寛, 寒川 誠二

    2007年春季 第54回応用物理学関係連合講演会 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  90. 同位体制御Ge/Si(001)ナノ構造における原子組成の定量評価

    宮本 聡, Oussama Moutanabbir, 伊藤 公平

    2007年春季 第54回応用物理学関係連合講演会 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  91. Subtleties in the epitaxial growth of Ge/Si nanstructures revealed by Raman scattering in combination with stable isotopes tracing International conference

    O. Moutanabbir, S. Miyamoto, and K. M. Itoh

    2nd International WorkShop on New Group IV Semiconductor Nanoelectronics 

     More details

    Event date: 2006.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  92. Isotopically controlled self-assembled Ge/Si nanostructures International conference

    O. Moutanabbir, S. Miyamoto, A. Fujimoto, and K. M. Itoh

    14th International Conference on Molecular Beam Epitaxy (MBE-XIV) 

     More details

    Event date: 2006.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  93. Pure germanium isotopes for investigation interdiffusion in uncapped self-assembled Ge/Si nanostructures International conference

    O. Moutanabbir, S. Miyamoto, Y. Tabuchi, and K. M. Itoh

    2006 Material Research Society (MRS) Spring Meeting 

     More details

    Event date: 2006.4

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

▼display all

Research Project for Joint Research, Competitive Funding, etc. 4

  1. Development of scalable silicon quantum computer technology

    Grant number:JPMJMS226B-3  2022.10

    Moonshot Research and Development Program 

    Seigo, Tarucha; Nakajima, Takashi; Fujita, Takafumi; Miyamoto, Satoru; Michihisa, Yamamoto; Shintaro Takada

      More details

    Authorship:Coinvestigator(s)  Grant type:Competitive

    Grant amount:\828620000 ( Direct Cost: \637400000 、 Indirect Cost:\191220000 )

  2. オンシリコン多接合型太陽電池の研究開発

    Grant number:I-A1  2023.5

    エネルギー・環境新技術先導研究プログラム 

      More details

    Authorship:Collaborating Investigator(s) (not designated on Grant-in-Aid)  Grant type:Competitive

  3. 大規模量子計算に向けたシリコン同位体薄膜の革新的エピタキシー法の開発

    2021.4 - 2022.3

    公益財団法人 池谷科学技術振興財団  単年度研究助成 

    宮本 聡

      More details

    Authorship:Principal investigator 

    Grant amount:\1500000

  4. シリコン量子AIの実現に向けた大規模量子計算の基盤技術開発

    2019.8 - 2020.3

    牧誠記念研究助成  単年度研究助成 

    宮本 聡

      More details

    Authorship:Principal investigator 

    Grant amount:\1000000

KAKENHI (Grants-in-Aid for Scientific Research) 1

  1. 水素局在機能を用いたシリコン同位体薄膜の量子計算グレード化

    Grant number:21H01808  2021.4 - 2024.3

    日本学術振興会  科学研究費助成事業 基盤研究(B) 

    宮本 聡

      More details

    Authorship:Principal investigator 

    Grant amount:\16770000 ( Direct Cost: \12900000 、 Indirect Cost:\3870000 )

 

Teaching Experience (On-campus) 4

  1. マテリアル工学概論

    2021

  2. 機能材料プロセス

    2020

  3. マテリアル工学概論

    2020

  4. マテリアル工学概論

    2019

 

Social Contribution 3

  1. 次世代環境発電デバイスから大規模量子コンピュータに渡る最先端の半導体材料研究

    Role(s):Informant

    テクノ・フェア名大2023  2023.10

  2. 未来社会を導く最先端の半導体材料研究

    Role(s):Lecturer

    JST・COI-NEXT変環拠点  高校生見学会  2023.8

     More details

    Audience: High school students

  3. 今最も熱い最先端半導体材料を創って構造機能を探究しよう

    Role(s):Lecturer

    JST・次世代人材育成事業  グローバルサイエンスキャンパス(GSC)プログラム 高校生選抜夏季研究体験  2023.7 - 2023.8

     More details

    Audience: High school students

    Type:Research consultation