Updated on 2024/03/29

写真a

 
SHIBAYAMA Shigehisa
 
Organization
Graduate School of Engineering Materials Physics 1 Assistant Professor
Graduate School
Graduate School of Engineering
Undergraduate School
School of Engineering Physical Science and Engineering
Title
Assistant Professor

Degree 2

  1. Doctor, Engineering ( 2015.3   Nagoya University ) 

  2. 修士(工学) ( 2012.3   名古屋大学 ) 

Research Interests 6

  1. Physics of surface and interface

  2. 半導体工学

  3. Ⅳ族半導体

  4. Ferroelectric thin film

  5. Hetero interface

  6. Interface reaction

Research Areas 3

  1. Nanotechnology/Materials / Thin film/surface and interfacial physical properties

  2. Nanotechnology/Materials / Crystal engineering

  3. Manufacturing Technology (Mechanical Engineering, Electrical and Electronic Engineering, Chemical Engineering) / Electric and electronic materials

Current Research Project and SDGs 4

  1. Ge系Ⅳ族半導体のヘテロ接合界面を用いた新規機能性・デバイス開拓

  2. 新奇IV族ナノシートの創出および応用

  3. 物理的固溶限を超越した超々高Sn組成のSn系IV族混晶の創出

  4. 金属/IV族混晶半導体接合の超低抵抗化技術の構築

Research History 4

  1. Nagoya University   Research Group of Nano-Structured Device Engineering, Department of Materials Physics   Assistant Professor

    2018.6

      More details

    Country:Japan

  2. The University of Tokyo   Department of Materials Engineering   Researcher

    2018.4 - 2018.5

      More details

    Country:Japan

  3. The University of Tokyo   Department of Materials Engineering   Researcher

    2015.4 - 2018.3

      More details

    Country:Japan

  4. Nagoya University   Department of Crystalline Materials Science   Researcher

    2013.4 - 2015.3

      More details

    Country:Japan

Education 3

  1. Nagoya University   Graduate School of Engineering   Department of Crystalline Materials Science, Doctor course

    2012.4 - 2015.3

      More details

    Country: Japan

  2. Nagoya University   Graduate School of Engineering   Department of Crystalline Materials Science, Master course

    2010.4 - 2012.3

      More details

    Country: Japan

  3. Nagoya University   School of Engineering   Physical Science and Engineering

    2006.4 - 2010.3

      More details

    Country: Japan

Professional Memberships 2

  1. The Japan Society of Applied Physics

  2. IEEE Electron Device Society

Committee Memberships 2

  1.   2019 International Conference on Solid State Devices and Materials Steering Committee  

    2019   

  2. Nagoya University Student Chapter, JSAP   Chairman  

    2013.4 - 2014.3   

Awards 4

  1. IWDTF Young Award

    2019.11   Ferroelectric Phase Evolution of Undoped ZrO#D2#DR Thin Film by Wet O#D2#DR Annealing Process

    Shigehisa Shibayama

     More details

    Award type:Award from international society, conference, symposium, etc.  Country:Japan

  2. Outstanding Presentation Award

    2019.11   Saturation of Activated Sb Atom in Heavily Sb-Doped Ge Epitaxial Thin Films

    Jihee Jeon, Shigehisa Shibayama, Shigeaki Zaima, and Osamu Nakatsuka

     More details

    Award type:Award from international society, conference, symposium, etc.  Country:Japan

  3. 応用物理学会支部学術講演会発表奨励賞

    2014.2   応用物理学会東海支部   Al2O3/Ge構造の後熱酸化によるAlGeO形成にともなう界面特性の改善

    柴山茂久

     More details

    Award type:Award from Japanese society, conference, symposium, etc.  Country:Japan

  4. 第11回日本表面科学会中部支部研究会 講演奨励賞

    2011.12   日本表面科学会中部支部   Al2O3/Geゲートスタック構造に対する酸素熱処理の化学結合状態および界面特性に与える効果

    柴山茂久

     More details

    Award type:Award from Japanese society, conference, symposium, etc.  Country:Japan

 

Papers 50

  1. Ge1−xSnx layers with x~0.25 on InP(001) substrate grown by low-temperature molecular beam epitaxy reaching 70 °C and in-situ Sb doping Reviewed

    Shigehisa Shibayama, Komei Takagi, Mitsuo Sakashita, Masashi Kurosawa, and Osamu Nakatsuka

    Materials Science in Semiconductor Processing   Vol. 176   page: 108302-1 - 108302-8   2024.6

     More details

    Authorship:Lead author, Corresponding author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1016/j.mssp.2024.108302

  2. Layer transfer of epitaxially grown Ge-lattice-matched Si27.8Ge64.2Sn8 films Reviewed

    Tatsuro Maeda, Hiroyuki Ishii, Wen Hsin Chang, Shiyu Zhang, Shigehisa Shibayama, Masashi Kurosawa, and Osamu Nakatsuka

    Materials Science in Semiconductor Processing     page: 108304-1 - 108304-7   2024.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1016/j.mssp.2024.1083024

  3. Impact of oxide/4H-SiC interface state density on field-effect mobility of counter-doped n-channel 4H-SiC MOSFETs Reviewed

    Takuma Doi, Shigehisa Shibayama, Mitsuo Sakashita, Noriyuki Taoka, Mitsuaki Shimizu, and Osamu Nakatsuka

    Japanese Journal of Applied Physics   Vol. 61   page: 021007-1 - 021007-7   2022.2

     More details

    Authorship:Corresponding author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ac4555

  4. Formation of ultra-thin Ge1-xSnx/Ge1-x-ySixSny quantum heterostructures and their electrical properties for realizing resonant tunneling diode Reviewed International coauthorship International journal

    Galih Ramadana Suwito, Masahiro Fukuda, Edi Suprayoga, Masahiro Ohtsuka, Eddwi Hesky Hasdeo, Ahmad Ridwan Tresna Nugraha, Mitsuo Sakashita, Shigehisa Shibayama, Osamu Nakatsuka

    Applied Physics Letters   Vol. 117   page: 232104-1 - 232104-5   2020.12

     More details

    Authorship:Corresponding author   Language:English   Publishing type:Research paper (scientific journal)   Publisher:AIP Publishing  

    DOI: 10.1063/5.0024905

  5. Thermodynamic control of ferroelectric-phase formation in HfxZr1-xO2 and ZrO2 Reviewed International journal

    Shigehisa Shibayama, Tomonori Nishimura, Shinji Migita, Akira Toriumi

    Journal of Applied Physics   Vol. 124   page: 184101-1 - 184101-7   2018.11

     More details

    Authorship:Lead author, Corresponding author   Language:English   Publishing type:Research paper (scientific journal)   Publisher:AIP Publishing  

    DOI: 10.1063/1.5028181

  6. Lattice-matched growth of a high-Sn-content (x~0.1) Si1-xSnx layers on Si1-yGey buffers using molecular beam epitaxy Reviewed

    Kazuaki Fujimoto, Masashi Kurosawa, Shigehisa Shibayama, Mitsuo Sakashita, and Osamu Nakatsuka

    Applied Physics Express     2023.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1882-0786/acc3da

  7. Self-organized Ge1-xSnx quantum dots formed on insulators and their room temperature photoluminescence Invited Reviewed

    Kaoru Hashimoto, Shigehisa Shibayama, Koji Asaka, Mitsuo Sakashita, Masashi Kurosawa, and Osamu Nakatsuka

    Japanese Journal of Applied Physics   Vol. 62   page: 075506-1 - 075506-8   2023.7

     More details

    Authorship:Corresponding author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.35848/1347-4065/ace5f9

  8. Heteroepitaxial growth of CaGe2 films on high-resistivity Si(111) substrates and its application for germanane synthesizing Reviewed

    Kazuya Okada, Shigehisa Shibayama, Mitsuo Sakashita, Osamu Nakatsuka, and Masashi Kurosawa

    Materials Science in Semiconductor Processing   Vol. 161   page: 107462   2023.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.mssp.2023.107462

  9. Layer transfer of ultrathin Ge crystals segregated on Al/Ge(111) structure Reviewed

    Keigo Matsushita, Akio Ohta, Shigehisa Shibayama, Tomoharu Tokunaga, Noriyuki Taoka, Katsunori Makihara, and Seiichi Miyazaki

    Japanese Journal of Applied Physics   Vol. 62   page: SG1007-1 - SG1007-8   2023.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/acb65c

  10. Crystalline and optoelectronic properties of Ge1-xSnx/high-Si-content-SiyGe1-x-ySnx double-quantum wells grown with low-temperature molecular beam epitaxy Reviewed

    S. Zhang, S. Shibayama, and O. Nakatsuka

    Semiconductor Science and Technology   Vol. 38   page: 015018-1 - 015018-10   2022.12

     More details

    Authorship:Corresponding author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6641/aca7d9

  11. Visualization of local strain in 4H-SiC trench metal-oxide-semiconductor field-effect transistor using synchrotron nanobeam X-ray diffraction Reviewed

    Wakana Takeuchi, Eiji Kagoshima, Kazushi Sumitani, Yasuhiko Imai, Shigehisa Shibayama, Mitsuo Sakashita, Shigeru Kimura, Hidemoto Tomita, Tsuyoshi Nishiwaki, and Hirokazu Fujiwara

    Japanese Journal of Applied Physics   Vol. 61   page: SC1072-1 - SC1072-10   2022.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.35848/1347-4065/ac4c6d

  12. Interface structures and electrical properties of micro-fabricated epitaxial Hf-digermanide/n-Ge(001) contacts Reviewed

    Kentaro Kasahara, Kazuki Senga, Mitsuo Sakashita, Shigehisa Shibayama, and Osamu Nakatsuka

    IEEE Journal of the Electron Devices Society   Vol. 10   page: 744 - 750   2021.12

     More details

    Authorship:Corresponding author   Language:English  

    DOI: 10.1109/JEDS.2021.3139728

  13. Low-temperature formation of Mg/n-type 4H-SiC ohmic contacts with atomically flat interface by lowering of Schottky barrier height Reviewed

    Takuma Doi, Shigehisa Shibayama, Mitsuo Sakashita, Kazutoshi Kojima, Mitsuaki Shimizu, and Osamu Nakatsuka

    Applied Physics Express   Vol. 15   page: 015501-1 - 015501-4   2021.12

     More details

    Authorship:Corresponding author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.35848/1882-0786/ac407f

  14. Photoluminescence properties of heavily Sb doped Ge1-xSnx and heterostructure design favorable for n+-Ge1-xSnx active layer Reviewed

    Shiyu Zhang, Masahiro Fukuda, Jihee Jeon, Mitsuo Sakashita, Shigehisa Shibayama, and Osamu Nakatsuka

    Japanese Journal of Applied Physics   Vol. 61   page: SA1004-1 - SA1004-8   2021.12

     More details

    Authorship:Corresponding author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.35848/1347-4065/ac25da

  15. Lowering of the Schottky barrier height of metal/n-type 4H-SiC contacts using low-work-function metals with thin insulator insertion Reviewed

    Takuma Doi, Shigehisa Shibayama, Mitsuo Sakashita, Mitsuaki Shimizu, and Osamu Nakatsuka

    Japanese Journal of Applied Physics   Vol. 60   page: 075503-1 - 075503-6   2021.6

     More details

    Authorship:Corresponding author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.35848/1347-4065/ac0ab2

  16. Improved interface uniformity of epitaxial HfGe2/Ge(001) contact by microfabrication and its electron conduction property

    Kentaro Kasahara, Kazuki Senga, Mitsuo Sakashita, Shigehisa Shibayama, and Osamu Nakatsuka

    The 20th International Workshop on Junction Technology 2021 (IWJT2021)     2021.6

     More details

    Authorship:Corresponding author   Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.23919/IWJT52818.2021.9609446

  17. Impact of Wet Annealing on Ferroelectric Phase Formation and Phase Transition of HfO2-ZrO2 System Reviewed

    Shigehisa Shibayama, Jotaro Nagano, Koji Asaka, Mitsuo Sakashita, and Osamu Nakatsuka

    ACS Applied Electronic Materials   Vol. 3   page: 2203 - 2211   2021.4

     More details

    Authorship:Lead author, Corresponding author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.1021/acsaelm.1c00171

  18. Heteroepitaxy and Strain Engineering of Germanium-Silicon-Tin Ternary Alloy Semiconductor Thin Films for Energy Band Design Invited Reviewed International journal

    Osamu Nakatsuka, Shigehisa Shibayama, Masashi Kurosawa, Mitsuo Sakashita

    ECS Transactions   Vol. 98 ( 5 ) page: 149 - 156   2020.9

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IOP Publishing  

    DOI: 10.1149/09805.0149ecst

  19. Impact of byproducts formed on a 4H-SiC surface on interface state density of Al2O3/4H-SiC(0001) gate stacks Reviewed International journal

    Takuma Doi, Shigehisa Shibayama, Wakana Takeuchi, Mitsuo Sakashita, Noriyuki Taoka, Mitsuaki Shimizu, Osamu Nakatsuka

    Applied Physics Letters   Vol. 116   page: 222104-1 - 222104-5   2020.6

     More details

    Authorship:Corresponding author   Language:English   Publishing type:Research paper (scientific journal)   Publisher:AIP Publishing  

    DOI: 10.1063/1.5143574

  20. Saturation of electrically activated Sb concentration in heavily Sb-doped n+-Ge1-xSnx epitaxial layers Reviewed International journal

    Jihee Jeon, Shigehisa Shibayama, Osamu Nakatsuka

    Japanese Journal of Applied Physics   Vol. 59   page: SLLF02-1 - SLLF02-6   2020.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IOP Publishing  

    DOI: 10.35848/1347-4065/ab867d

  21. Ferroelectric phase formation for undoped ZrO2 thin films by wet O2 annealing Reviewed International journal

    Shigehisa Shibayama, Jotaro Nagano, Mitsuo Sakashita, Osamu Nakatsuka

    Japanese Journal of Applied Physics   Vol. 59   page: SMMA04-1 - SMMA04-5   2020.4

     More details

    Authorship:Lead author, Corresponding author   Language:English   Publishing type:Research paper (scientific journal)   Publisher:IOP Publishing  

    DOI: 10.35848/1347-4065/ab80de

  22. Fermi-level pinning at metal/4H-SiC contact induced by SiCxOy interlayer Reviewed International journal

    Kentaro Hashimoto, Takuma Doi, Shigehisa Shibayama, Osamu Nakatsuka

    Japanese Journal of Applied Physics   Vol. 59   page: SGGD16-1 - SGGD16-6   2020.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IOP Publishing  

    DOI: 10.35848/1347-4065/ab6e06

  23. Development of Germanium-Tin-Related Semiconductor Heterostructures for Energy Band Design in Electronic and Optoelectronic Applications Invited Reviewed International journal

    Osamu Nakatsuka, Masahiro Fukuda, Mitsuo Sakashita, Masashi Kurosawa, Shigehisa Shibayama, Shigeaki Zaima

    ECS Transactions   Vol. 92 ( 4 ) page: 41 - 46   2019.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IOP Publishing  

    DOI: 10.1149/09204.0041ecst

  24. Further reduction of Schottky barrier height of Hf-germanide/n-Ge(001) contacts by forming epitaxial HfGe2 Reviewed

    Kazuki Senga, Shigehisa Shibayama, Mitsuo Sakashita, Shigeaki Zaima, Osamu Nakatsuka

    2019 19th International Workshop on Junction Technology (IWJT)     page: 1 - 2   2019.6

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    DOI: 10.23919/IWJT.2019.8802901

  25. Erratum: "Effect of carbon in Si oxide interlayers of the Al2O3/4H-SiC structure on interfacial reaction by oxygen radical treatment" International journal

    Takuma Doi, Wakana Takeuchi, Shigehisa Shibayama, Mitsuo Sakashita, Noriyuki Taoka, Osamu Nakatsuka, Shigeaki Zaima

    Japanese Journal of Applied Physics   Vol. 58   page: SB9401   2019.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IOP Publishing  

    DOI: 10.7567/1347-4065/ab0f24

  26. Effect of carbon in Si oxide interlayers of the Al2O3/4H-SiC structure on interfacial reaction by oxygen radical treatment Reviewed International journal

    Takuma Doi, Wakana Takeuchi, Shigehisa Shibayama, Mitsuo Sakashita, Noriyuki Taoka, Osamu Nakatsuka, Shigeaki Zaima

    Japanese Journal of Applied Physics   Vol. 58   page: SBBD05-1 - SBBD05-5   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IOP Publishing  

    DOI: 10.7567/1347-4065/aafb54

  27. Nucleation-driven ferroelectric phase formation in ZrO2 thin films - What is different in ZrO2 from HfO2? Reviewed

    Shigehisa Shibayama, Tomonori Nishimura, Shinji Migita, Akira Toriumi

    2018 IEEE 2nd Electron Devices Technology and Manufacturing Conference (EDTM)     page: 116 - 118   2018.3

     More details

    Authorship:Lead author, Corresponding author   Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    DOI: 10.1109/EDTM.2018.8421483

  28. Evolution of ferroelectric HfO2 in ultrathin region down to 3 nm Reviewed International journal

    Xuan Tian, Shigehisa Shibayama, Tomonori Nishimura, Takeaki Yajima, Shinji Migita, Akira Toriumi

    Applied Physics Letters   Vol. 112   page: 102902-1 - 102902-5   2018.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:AIP Publishing  

    DOI: 10.1063/1.5017094

  29. Sub-nm EOT ferroelectric HfO2 on p+Ge with highly reliable field cycling properties Reviewed

    Xuan Tian, Lun Xu, Shigehisa Shibayama, Tomonori Nishimura, Takeaki Yajima, Shinji Migita, Akira Toriumi

    2017 IEEE International Electron Devices Meeting (IEDM)     page: 37.1.1 - 37.1.4   2017.12

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    DOI: 10.1109/IEDM.2017.8268508

  30. Kinetic pathway of the ferroelectric phase formation in doped HfO2 films Reviewed International journal

    Lun Xu, Tomonori Nishimura, Shigehisa Shibayama, Takeaki Yajima, Shinji Migita, Akira Toriumi

    Journal of Applied Physics   Vol. 122   page: 124104-1 - 124104-7   2017.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:AIP Publishing  

    DOI: 10.1063/1.5003918

  31. General relationship for cation and anion doping effects on ferroelectric HfO2 formation Reviewed

    Lun Xu, Shigehisa Shibayama, Kazutaka Izukashi, Tomonori Nishimura, Takeaki Yajima, Shinji Migita, Akira Toriumi

    2016 IEEE International Electron Devices Meeting (IEDM)     page: 25.2.1 - 25.2.4   2016.12

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    DOI: 10.1109/IEDM.2016.7838477

  32. Density functional study for crystalline structures and electronic properties of Si1-xSnx binary alloys Reviewed International journal

    Yuki Nagae, Masashi Kurosawa, Shigehisa Shibayama, Masaaki Araidai, Mitsuo Sakashita, Osamu Nakatsuka, Kenji Shiraishi, Shigeaki Zaima

    Japanese Journal of Applied Physics   Vol. 55   page: 08PE04-1 - 08PE04-4   2016.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IOP Publishing  

    DOI: 10.7567/JJAP.55.08PE04

  33. Effect of GeO2 deposition temperature in atomic layer deposition on elecrical properties of Ge gate stack Reviewed International journal

    Masayuki Kanematsu, Shigehisa Shibayama, Mitsuo Sakashita, Wakana Takeuchi, Osamu Nakatsuka, Shigeaki Zaima

    Japanese Journal of Applied Physics   Vol. 55   page: 08PC05-1 - 08PC05-5   2016.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IOP Publishing  

    DOI: 10.7567/JJAP.55.08PC05

  34. Study of wake-up and fatigue properties in doped and undoped ferroelectric HfO2 in conjunction with piezo-response force microscopy analysis Reviewed

    Shigehisa Shibayama, Lun Xu, Shinji Migita, Akira Toriumi

    2016 IEEE Symposium on VLSI Technology     page: 1 - 2   2016.6

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    DOI: 10.1109/VLSIT.2016.7573415

  35. Ferroelectricity of nondoped thin HfO2 films in TiN/HfO2/TiN stacks Reviewed International journal

    Tomonori Nishimura, Lun Xu, Shigehisa Shibayama, Takeaki Yajima, Shinji Migita, Akira Toriumi

    Japanese Journal of Applied Physics   Vol. 55   page: 08PB01-1 - 08PB01-4   2016.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IOP Publishing  

    DOI: 10.7567/JJAP.55.08PB01

  36. Ferroelectric phase stabilization of HfO2 by nitrogen doping Reviewed International journal

    Lun Xu, Tomonori Nishimura, Shigehisa Shibayama, Takeaki Yajima, Shinji Migita, Akira Toriumi

    Applied Physics Express   Vol. 9   page: 091501-1 - 091501-4   2016.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IOP Publishing  

    DOI: 10.7567/APEX.9.091501

  37. Growth of ultrahigh-Sn-content Ge1-xSnx epitaxial layer and its impact on controlling Schottky barrier height of metal/Ge contact Reviewed International journal

    Akihiro Suzuki, Osamu Nakatsuka, Shigehisa Shibayama, Mitsuo Sakashita, Wakana Takeuchi, Masashi Kurosawa, Shigeaki Zaima

    Japanese Journal of Applied Physics   Vol. 55   page: 04EB12-1 - 04EB12-6   2016.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IOP Publishing  

    DOI: 10.7567/JJAP.55.04EB12

  38. Experimental observation of type-I energy band alignment in lattice-matched Ge1-x-ySixSny/Ge heterostructures Reviewed International journal

    Takashi Yamaha, Shigehisa Shibayama, Takanori Asano, Kimihiko Kato, Mitsuo Sakashita, Wakana Takeuchi, Osamu Nakatsuka, Shigeaki Zaima

    Applied Physics Letters   Vol. 108   page: 061909-1 - 061909-5   2016.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:AIP Publishing  

    DOI: 10.1063/1.4941991

  39. Reduction of Schottky barrier height at metal/n-Ge interface by introducing an ultra-high Sn content Ge1-xSnx interlayer Reviewed International journal

    Akihiro Suzuki, Osamu Nakatsuka, Shigehisa Shibayama, Mitsuo Sakashita, Wakana Takeuchi, Masashi Kurosawa, Shigeaki Zaima

    Applied Physics Letters   Vol. 107   page: 212103-1 - 212103-5   2015.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:AIP Publishing  

    DOI: 10.1063/1.4936275

  40. Formation of chemically stable GeO2 on the Ge surface with pulsed metal-organic chemical vapor deposition Reviewed International journal

    Shigehisa Shibayama, Teppei Yoshida, Kimihiko Kato, Mitsuo Sakashita, Wakana Takeuchi, Noriyuki Taoka, Osamu Nakatsuka, Shigeaki Zaima

    Applied Physics Letters   Vol. 106   page: 062107-1 - 062107-4   2015.2

     More details

    Authorship:Lead author, Corresponding author   Language:English   Publishing type:Research paper (scientific journal)   Publisher:AIP Publishing  

    DOI: 10.1063/1.4908066

  41. Importance of Ge surface oxidation with high oxidation rate in obtaining low interface state density at oxide/Ge interfaces Reviewed International journal

    Shigehisa Shibayama, Kimihiko Kato, Mitsuo Sakashita, Wakana Takeuchi, Noriyuki Taoka, Osamu Nakatsuka, Shigeaki Zaima

    Japanese Journal of Applied Physics   Vol. 53   page: 08LD02-1 - 08LD02-6   2014.6

     More details

    Authorship:Lead author, Corresponding author   Language:English   Publishing type:Research paper (scientific journal)   Publisher:IOP Publishing  

    DOI: 10.7567/JJAP.53.08LD02

  42. Interface properties of Al2O3/Ge structures with thin Ge oxide interfacial layer formed by pulsed metal organic chemical vapor deposition Reviewed International journal

    Teppei Yoshida, Kimihiko Kato, Shigehisa Shibayama, Mitsuo Sakashita, Noriyuki Taoka, Wakana Takeuchi, Osamu Nakatsuka, Shigeaki Zaima

    Japanese Journal of Applied Physics   Vol. 53   page: 08LD03-1 - 08LD03-6   2014.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IOP Publishing  

    DOI: 10.7567/JJAP.53.08LD03

  43. Impacts of AlGeO formation by post thermal oxidation of Al2O3/Ge structure on interfacial properties Reviewed International journal

    Shigehisa Shibayama, Kimihiko Kato, Mitsuo Sakashita, Wakana Takeuchi, Noriyuki Taoka, Osamu Nakatsuka, Shigeaki Zaima

    Thin Solid Films   Vol. 557   page: 282 - 287   2014.4

     More details

    Authorship:Lead author, Corresponding author   Language:English   Publishing type:Research paper (scientific journal)   Publisher:ELSEVIER  

    DOI: 10.1016/j.tsf.2013.10.084

  44. Stabilized formation of tetragonal ZrO2 thin film with high permittivity Reviewed International journal

    Kimihiko Kato, Takatoshi Saito, Shigehisa Shibayama, Mitsuo Sakashita, Wakana Takeuchi, Noriyuki Taoka, Osamu Nakatsuka, Shigeaki Zaima

    Thin Solid Films   Vol. 557   page: 192 - 196   2014.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:ELSEVIER  

    DOI: 10.1016/j.tsf.2014.01.031

  45. Reduction of Interface State Density Due to Post Oxidation with Formation of AlGeO Layer at Al2O3/Ge Interface Reviewed International journal

    Shigehisa Shibayama, Kimihiko Kato, Mitsuo Sakashita, Wakana Takeuchi, Noriyuki Taoka, Osamu Nakatsuka, Shigeaki Zaima

    ECS Transactions   Vol. 58 ( 9 ) page: 301 - 308   2013.8

     More details

    Authorship:Lead author, Corresponding author   Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IOP Publishing  

    DOI: 10.1149/05809.0301ecst

  46. Understanding of interface structures and reaction mechanisms induced by Ge or GeO diffusion in Al2O3/Ge Structure Reviewed International journal

    Shigehisa Shibayama, Kimihiko Kato, Mitsuo Sakashita, Wakana Takeuchi, Noriyuki Taoka, Osamu Nakatsuka, Shigeaki Zaima

    Applied Physics Letters   Vol. 103   page: 082114-1 - 082114-4   2013.8

     More details

    Authorship:Lead author, Corresponding author   Language:English   Publishing type:Research paper (scientific journal)   Publisher:AIP Publishing  

    DOI: 10.1063/1.4819127

  47. Interfacial Reaction Mechanisms in Al2O3/Ge Structure by Oxygen Radical Process Reviewed International journal

    Kimihiko Kato, Shigehisa Shibayama, Mitsuo Sakashita, Wakana Takeuchi, Noriyuki Taoka, Osamu Nakatsuka, Shigeaki Zaima

    Japanese Journal of Applied Physics   Vol. 52   page: 04AC08-1 - 04AC08-7   2013.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IOP Publishing  

    DOI: 10.7567/JJAP.52.04AC08

  48. Effects of Light Exposure during Plasma Processing on Electrical Properties of GeO2/Ge Structures Reviewed International journal

    Kusumandari, Wakana Takeuchi, Kimihiko Kato, Shigehisa Shibayama, Mitsuo Sakashita, Noriyuki Taoka, Osamu Nakatsuka, Shigeaki Zaima

    Japanese Journal of Applied Physics   Vol. 52   page: 01AC04-1 - 01AJ01-6   2013.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IOP Publishing  

    DOI: 10.7567/JJAP.52.01AC04

  49. Improvement of Al2O3/Ge interfacial properties by O2-annealing Reviewed International journal

    Shigehisa Shibayama, Kimihiko Kato, Mitsuo Sakashita, Wakana Takeuchi, Osamu Nakatsuka, Shigeaki Zaima

    Thin Solid Films   Vol. 520 ( 8 ) page: 3397 - 3401   2012.2

     More details

    Authorship:Lead author, Corresponding author   Language:English   Publishing type:Research paper (scientific journal)   Publisher:ELSEVIER  

    DOI: 10.1016/j.tsf.2011.10.103

  50. Characterization of Damage of Al2O3/Ge Gate Stack Structure Induced with Light Radiation during Plasma Nitridation Reviewed International journal

    Kusumandari, Wakana Takeuchi, Kimihiko Kato, Shigehisa Shibayama, Mitsuo Sakashita, Osamu Nakatsuka, Shigeaki Zaima

    Japanese Journal of Applied Physics   Vol. 51   page: 01AJ01-1 - 01AJ01-5   2012.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IOP Publishing  

    DOI: 10.1143/JJAP.51.01AJ01

▼display all

Books 1

  1. Ferroelectricity in Doped Hafniunm Oxide: Materials, Properties and Devices

    Akira Toriumi, Lun Xu, Shigehisa Shibayama, and Shinji Migita( Role: Joint author)

    Elsevier  2019.3  ( ISBN:978-0-08-102430-0

     More details

    Total pages:570   Language:English Book type:Scholarly book

MISC 35

  1. GeSn/GeSiSn二重障壁構造における負性微分抵抗の発現

    石本 修斗、坂下 満男、黒澤 昌志、中塚 理、柴山 茂久

    特別研究会「電子デバイス界面テクノロジー研究会-材料・プロセス・デバイス特性の物理-」(第29回)     2024.2

     More details

    Authorship:Corresponding author   Language:Japanese   Publishing type:Research paper, summary (national, other academic conference)  

  2. In-situ SbドーピングによるInP上n型Ge0.75Sn0.25エピタキシャル膜の形成

    柴山 茂久、高木 孝明、坂下 満男、黒澤 昌志、中塚 理

    特別研究会「電子デバイス界面テクノロジー研究会-材料・プロセス・デバイス特性の物理-」(第29回)     2024.2

     More details

    Authorship:Lead author, Corresponding author   Language:Japanese   Publishing type:Research paper, summary (national, other academic conference)  

  3. Al/GeSn(111)構造上への熱処理による極薄・高Sn組成GeSn表面偏析

    柴山 茂久、松本 泰河、大田 晃生、横川 凌、坂下 満男、黒澤 昌志、中塚 理

    特別研究会「電子デバイス界面テクノロジー研究会-材料・プロセス・デバイス特性の物理-」(第29回)     2024.2

     More details

    Authorship:Lead author, Corresponding author   Language:Japanese   Publishing type:Research paper, summary (national, other academic conference)  

  4. Formation of Ultra-Thin GeSiSn/GeSn/GeSiSn Double-Barrier Structures and Their Electrical Properties

        page: 187 - 190   2022.1

     More details

    Authorship:Lead author, Corresponding author   Language:Japanese   Publishing type:Research paper, summary (national, other academic conference)  

  5. Realization of Superior Al2O3/4H-SiC Interface with Suppressing Substrate Oxidation by Ultrathin Metal Layer Oxidation at Room Temperature

    Takuma Doi, Shigehisa Shibayama, Wakana Takeuchi, Mitsuo Sakashita, Noriyuki Taoka,f Mitsuaki Shimizu, Osamu Nakatsuka

        page: 129 - 132   2020.1

     More details

    Language:Japanese   Publishing type:Research paper, summary (national, other academic conference)  

  6. Characterization of Energy Band Structure and Optoelectronic Property of Ge1-x-ySixSny/Ge1-xSnx/Ge1-x-ySixSny Double Heterostructure with High Si Content

    Masahiro Fukuda, Mitsuo Sakashita, Shigehisa Shibayama, Masashi Kurosawa, Osamu Nakatsuka, Shigeaki Zaima

        page: 71 - 74   2019.1

     More details

    Language:Japanese   Publishing type:Research paper, summary (national, other academic conference)  

  7. 多層量子ドット構造実現に向けた絶縁体上へのGe1-xSnxナノドットの自己形成

    橋本 薫、柴山 茂久、安坂 幸師、中塚 理

    信学技報   Vol. 122 ( 84 ) page: 5 - 8   2022.6

     More details

    Authorship:Corresponding author   Language:Japanese   Publishing type:Research paper, summary (national, other academic conference)  

  8. 酸化膜/4H-SiC界面特性に基づくカウンタードープMOSFETの優位性 Invited

    柴山 茂久、土井 拓馬、坂下 満男、田岡 紀之、清水 三聡、中塚 理

    信学技報   Vol. 122 ( 84 ) page: 1 - 4   2022.6

     More details

    Authorship:Lead author, Corresponding author   Language:Japanese   Publishing type:Research paper, summary (national, other academic conference)  

  9. Impact of MIGS on Schottky Barrier Height of Low Work Function Metal/4H-SiC Interface

    Takuma Doi, Shigehisa Shibayama, Mitsuo Sakashita, Mitsuaki Shimizu, Osamu Nakatsuka

        page: 75 - 78   2021.1

     More details

    Language:Japanese   Publishing type:Research paper, summary (national, other academic conference)  

  10. Effect of wet annealing on ferroelectric phase apperance for HfO2-ZrO2-solid solution system

    Shigehisa Shibayama, Jotaro Nagano, Mitsuo Sakashita, Osamu Nakatsuka

        page: 15 - 18   2021.1

     More details

    Authorship:Lead author, Corresponding author   Language:Japanese   Publishing type:Research paper, summary (national, other academic conference)  

  11. Formation of Ferroelectric Undoped ZrO2 Thin Film Using Low Temperature Wet Annealing

    Shigehisa Shibayama, Jotaro Nagano, Mitsuo Sakashita, Osamu Nakatsuka

        page: 5 - 8   2020.1

     More details

    Authorship:Lead author, Corresponding author   Language:Japanese   Publishing type:Research paper, summary (national, other academic conference)  

  12. Examination of Controlling Schottky Barrier Height of Metal/4H-SiC Contact Using Low Temperature Process

    Shigehisa Shibayama, Kentaro Hashimoto, Takuma Doi, Osamu Nakatsuka

        page: 133 - 136   2020.1

     More details

    Authorship:Lead author, Corresponding author   Language:Japanese   Publishing type:Research paper, summary (national, other academic conference)  

  13. Mechanism of strain relaxation enhancement by ion implantation method for group-IV semiconductor alloy thin film

    Hidetaka Sofue, Masahiro Fukuda, Shigehisa Shibayama, Shigeaki Zaima, Osamu Nakatsuka

      Vol. 119 ( 96 ) page: 17 - 20   2019.6

     More details

    Language:Japanese   Publishing type:Research paper, summary (national, other academic conference)  

  14. Ultra-low resistance contact for n-type Ge1-xSnx with in-situ Sb heavily doping and nickel stanogermanide formation

    Jihee Jeon, Akihiro Suzuki, Shigehisa Shibayama, Shigeaki Zaima, Osamu Nakatsuka

      Vol. 119 ( 96 ) page: 5 - 9   2019.6

     More details

    Language:Japanese   Publishing type:Research paper, summary (national, other academic conference)  

  15. Decarbonization of SiCxOy interlayer at Al2O3/SiC interface by post oxygen radical treatment

    Takuma Doi, Wakana Takeuchi, Mitsuo Sakashita, Shigehisa Shibayama, Noriyuki Taoka, Osamu Nakatsuka, Shigeaki Zaima

        page: 213 - 215   2019.1

     More details

    Language:Japanese   Publishing type:Research paper, summary (national, other academic conference)  

  16. Engineering Schottky Barrier Height by Formation of Epitaxial HfGe2/Ge Interface

    Kazuki Senga, Osamu Nakatsuka, Mitsuo Sakashita, Shigehisa Shibayama, Shigeaki Zaima

        page: 101 - 104   2019.1

     More details

    Language:Japanese   Publishing type:Research paper, summary (national, other academic conference)  

  17. Sub-nm EOT Ferroelectric HfO2 on p+Ge with Highly Reliable Field Cycling Properties Invited

    Xuan Tian, Lun Xu, Shigehisa Shibayama, Tomonori Nishimura, Takeaki Yajima, Shinji Migita, Akira Toriumi

        2018.1

     More details

    Language:English   Publishing type:Research paper, summary (national, other academic conference)  

  18. General relationship for cation and anion doping effects on ferroelectric HfO2 formation Invited

    Lun Xu, Shigehisa Shibayama, Kazutaka Izukashi, Tomonori Nishimura, Takeaki Yajima, Shinji Migita, Akira Toriumi

        2017.1

     More details

    Language:English   Publishing type:Research paper, summary (national, other academic conference)  

  19. Observation of ferroelectric domain for Y-doped HfO2 film by piezo-response force microscopy

    Shigehisa Shibayama, Lun Xu, Xuan Tian, Shinji Migita, Akira Toriumi

        page: 17 - 20   2017.1

     More details

    Authorship:Lead author, Corresponding author   Language:Japanese   Publishing type:Research paper, summary (national, other academic conference)  

  20. Study of aging property in ferroelectric HfO2 in conjunction with piezo-response force microscopy (PFM) Invited

    Shigehisa Shibayama, Lun Xu, Shinji Migita, Akira Toriumi

        2016.8

     More details

    Authorship:Lead author, Corresponding author   Language:Japanese   Publishing type:Research paper, summary (national, other academic conference)  

  21. Formation of GeO2/Ge Interface Prepared by Using Atomic Layer Deposition and Influence of Deposition Temperature on Defects at the Interface

    Masayuki Kanematsu, Shigehisa Shibayama, Mitsuo Sakashita, Wakana Takeuchi, Osamu Nakatsuka, Shigeaki Zaima

        page: 5 - 8   2016.1

     More details

    Language:Japanese   Publishing type:Research paper, summary (national, other academic conference)  

  22. Theoretical and Experimental Analysis of Energy Band Structures of Si1-xSnx Semiconductor

    Yuki Nagae, Shigehisa Shibayama, Masashi Kurosawa, Masaaki Araidai, Osamu Nakatsuka, Kenji Shiraishi, Shigeaki Zaima

        page: 17 - 20   2016.1

     More details

    Language:Japanese   Publishing type:Research paper, summary (national, other academic conference)  

  23. Control of electrical conduction property at metal/Ge interface by introducing ultra-high Sn content SnxGe1-x/Ge interlayer

    Akihiro Suzuki, Shigehisa Shibayama, Mitsuo Sakashita, Wakana Takeuchi, Osamu Nakatsuka, Shigeaki Zaima

      Vol. 115 ( 108 ) page: 57 - 61   2015.6

     More details

    Language:Japanese   Publishing type:Research paper, summary (national, other academic conference)  

  24. Effect of annealing on defects in Ge1-xSnx epitaxial layers

    Takanori Asano, Shigehisa Shibayama, Wakana Takeuchi, Mitsuo Sakashita, Osamu Nakatsuka, Shigeaki Zaima

      Vol. 115 ( 108 ) page: 63 - 68   2015.6

     More details

    Language:Japanese   Publishing type:Research paper, summary (national, other academic conference)  

  25. Improvement on chemical stasbility of GeO2 thin film by formation of tetragonal-phase

    Shigehisa Shibayama, Teppei Yoshida, Kimihiko Kato, Mitsuo Sakashita, Noriyuki Taoka, Wakana Takeuchi, Osamu Nakatsuka, Shigeaki Zaima

        page: 185 - 188   2015.1

     More details

    Authorship:Lead author, Corresponding author   Language:Japanese   Publishing type:Research paper, summary (national, other academic conference)  

  26. Alleviation of Fermi Level Pinning and Reduction of Schottky Barrier Height at Sn/Ge Contact

    Akihiro Suzuki, Yunsheng Deng, Shigehisa Shibayama, Masashi Kurosawa, Mitsuo Sakashita, Wakana Takeuchi, Osamu Nakatsuka, Shigeaki Zaima

        page: 59 - 62   2015.1

     More details

    Language:Japanese   Publishing type:Research paper, summary (national, other academic conference)  

  27. Oxidation Condition of Ge Surface for Realizing Ge MOS Interface Structure with Low Interface State Density

    Shigehisa Shibayama, Kimihiko Kato, Mitsuo Sakashita, Wakana Takeuchi, Noriyuki Taoka, Osamu Nakatsuka, Shigeaki Zaima

        page: 13 - 16   2014.1

     More details

    Authorship:Lead author, Corresponding author   Language:Japanese   Publishing type:Research paper, summary (national, other academic conference)  

  28. Characterization of Electrical and Structural Properties of Al2O3/GeOx/Ge Structure Using Ultra Thin GeO2 Formed by MOCVD Method

    Teppei Yoshida, Kimihiko Kato, Shigehisa Shibayama, Mitsuo Sakashita, Noriyuki Taoka, Wakana Takeuchi, Osamu Nakatsuka, Shigeaki Zaima

        page: 131 - 134   2014.1

     More details

    Language:Japanese   Publishing type:Research paper, summary (national, other academic conference)  

  29. Formation of Ultra Thin GeO2 Film by Tetraethoxy-Germanium

    Teppei Yoshida, Kimihiko Kato, Shigehisa Shibayama, Mitsuo Sakashita, Noriyuki Taoka, Wakana Takeuchi, Osamu Nakatsuka, Shigeaki Zaima

      Vol. 113 ( 87 ) page: 7 - 11   2013.6

     More details

    Language:Japanese   Publishing type:Research paper, summary (national, other academic conference)  

  30. Clarification of oxidation mechanisms in Al2O3/Ge structure and impact of interface reactions on interface properties

    Shigehisa Shibayama, Kimihiko Kato, Mitsuo Sakashita, Wakana Takeuchi, Noriyuki Taoka, Osamu Nakatsuka, Shigeaki Zaima

      Vol. 113 ( 87 ) page: 13 - 18   2013.6

     More details

    Authorship:Lead author, Corresponding author   Language:Japanese   Publishing type:Research paper, summary (national, other academic conference)  

  31. Clarification of Thermal Oxidation Mechanism of Al2O3/Ge Structure

    Shigehisa Shibayama, Kimihiko Kato, Mitsuo Sakashita, Wakana Takeuchi, Noriyuki Taoka, Osamu Nakatsuka, Shigeaki Zaima

        page: 39 - 42   2013.1

     More details

    Authorship:Lead author, Corresponding author   Language:Japanese   Publishing type:Research paper, summary (national, other academic conference)  

  32. Clarification of Interfacial Reaction Mechanism in O2 Annealing or O radical Process for Al2O3/Ge Structure

    Shigehisa Shibayama, Kimihiko Kato, Mitsuo Sakashita, Wakana Takeuchi, Noriyuki Taoka, Osamu Nakatsuka, Shigeaki Zaima

      Vol. 112 ( 92 ) page: 27 - 32   2012.6

     More details

    Authorship:Lead author, Corresponding author   Language:Japanese   Publishing type:Research paper, summary (national, other academic conference)  

  33. Effect of O2 Annealing on Electrical Properties and Chemical Bonding States of Al2O3/Ge Structure

    Shigehisa Shibayama, Kimihiko Kato, Mitsuo Sakashita, Wakana Takeuchi, Osamu Nakatsuka, Shigeaki Zaima

        page: 129 - 132   2012.1

     More details

    Authorship:Lead author, Corresponding author   Language:Japanese   Publishing type:Research paper, summary (national, other academic conference)  

  34. Effect of O2 Annealing for Al2O3/Ge Structure on Interfacial Properties

    Shigehisa Shibayama, Kimihiko Kato, Mitsuo Sakashita, Wakana Takeuchi, Osamu Nakatsuka, Shigeaki Zaima

      Vol. 111 ( 114 ) page: 51 - 56   2011.6

     More details

    Authorship:Lead author, Corresponding author   Language:Japanese   Publishing type:Research paper, summary (national, other academic conference)  

  35. Evaluation of Light Induced Damages in Plasma Process on Electrical Properties of Al2O3/Ge Gate Stack Structure

    Kusuman Dari, Wakana Takeuchi, Kimihiko Kato, Shigehisa Shibayama, Mitsuo Sakashita, Osamu Nakatsuka, Shigeaki Zaima

      Vol. 111 ( 114 ) page: 41 - 46   2011.6

     More details

    Language:English   Publishing type:Research paper, summary (national, other academic conference)  

▼display all

Presentations 235

  1. In-situ Sbドーピングによるn型Ge0.75Sn0.25エピタキシャル層の形成

    柴山 茂久、高木 孝明、坂下 満男、黒澤 昌志、中塚 理

    2024年第71回 応用物理学会 春季学術講演会  2024.3.23 

     More details

    Event date: 2024.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京都市大学 世田谷キャンパス   Country:Japan  

  2. GeSiSn/GeSn二重障壁構造で観測した負性微分抵抗と動作特性解析

    柴山 茂久、石本 修斗、坂下 満男、黒澤 昌志、中塚 理

    2024年第71回 応用物理学会 春季学術講演会  2024.3.24 

     More details

    Event date: 2024.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:東京都市大学 世田谷キャンパス   Country:Japan  

  3. Al/GeSn(111)構造上への熱処理による極薄・高Sn組成GeSn表面偏析

    柴山 茂久、松本 泰河、大田 晃生、横川 凌、坂下 満男、黒澤 昌志、中塚 理

    特別研究会「電子デバイス界面テクノロジー研究会―材料・プロセス・デバイス特性の物理―」(第29回)  2024.2.1 

     More details

    Event date: 2024.2

    Language:Japanese   Presentation type:Poster presentation  

    Venue:東レ総合研修センター(三島)   Country:Japan  

  4. Experimental observation of negative differential resistance in GeSn/GeSiSn double barrier structure toward resonant tunneling diode applications International conference

    Shuto Ishimoto, Mitsuo Sakashita, Masashi Kurosawa, Osamu Nakatsuka, and Shigehisa Shibayama

    2023 International Conference on Solid State Devices and Materials (SSDM2023)  2023.9.7 

     More details

    Event date: 2023.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Congress Center (Nagoya)   Country:Japan  

  5. Challenge and new opportunity of Ge1-x-ySixSny/Ge1-xSnx heterostructures for optoelectronic and electronic applications Invited International conference

    Shigehisa Shibayama, Shiyu Zhang, Mitsuo Sakashita, and Osamu Nakatsuka

    13th International Workshop on New Group IV Semiconductor Nanoelectronics  2023.1.23 

     More details

    Event date: 2023.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Sendai   Country:Japan  

  6. Al/Ge(111)構造上に偏析した極薄Ge結晶相の転写

    松下 圭吾、大田 晃生、柴山 茂久、田岡 紀之、牧原 克典、宮崎 誠一

    2022年第83回 応用物理学会 秋季学術講演会  2022.9.21 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東北大学(川内北キャンパス)& Online   Country:Japan  

  7. GaAs基板に形成したSbドープGe1−x−ySixSny薄膜の低温熱電物性

    椙村 樹、中田 壮哉、柴山 茂久、坂下 満男、中塚 理、片瀬 貴義、黒澤 昌志

    2024年第71回 応用物理学会 春季学術講演会  2024.3.23 

     More details

    Event date: 2024.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京都市大学 世田谷キャンパス   Country:Japan  

  8. 真空中加熱によるGe(111)基板上GeH薄膜の層間距離変化

    松本 一歩、洗平 昌晃、柴山 茂久、坂下 満男、中塚 理、黒澤 昌志

    2024年第71回 応用物理学会 春季学術講演会  2024.3.23 

     More details

    Event date: 2024.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京都市大学 世田谷キャンパス   Country:Japan  

  9. 高抵抗Si(111)基板上へのCaSi2薄膜形成と低温熱電物性評価

    加藤 高、柴山 茂久、坂下 満男、中塚 理、片瀬 貴義、黒澤 昌志

    2024年第71回 応用物理学会 春季学術講演会  2024.3.23 

     More details

    Event date: 2024.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京都市大学 世田谷キャンパス   Country:Japan  

  10. In-situ SbドーピングによるInP上n型Ge0.75Sn0.25エピタキシャル膜の形成

    柴山 茂久、高木 孝明、坂下 満男、黒澤 昌志、中塚 理

    特別研究会「電子デバイス界面テクノロジー研究会―材料・プロセス・デバイス特性の物理―」(第29回)  2024.2.1 

     More details

    Event date: 2024.2

    Language:Japanese   Presentation type:Poster presentation  

    Venue:東レ総合研修センター(三島)   Country:Japan  

  11. GeSn/GeSiSn二重障壁構造における負性微分抵抗の発現

    石本 修斗、坂下 満男、黒澤 昌志、中塚 理、柴山 茂久

    特別研究会「電子デバイス界面テクノロジー研究会―材料・プロセス・デバイス特性の物理―」(第29回)  2024.2.2 

     More details

    Event date: 2024.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東レ総合研修センター(三島)   Country:Japan  

  12. A new challenge in group-IV materials: energy harvesting application & 2D crystal synthesizing Invited International conference

    Masashi Kurosawa, Akio Ohta, Masaaki Araidai, Shigehisa Shibayama, Mitsuo Sakashita, and Osamu Nakatsuka

    14th International Workshop on New Group IV Semiconductor Nanoelectronics  2023.12.14 

     More details

    Event date: 2023.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Sendai   Country:Japan  

  13. Thermoelectric properties of Sb-doped Ge1-x-ySixSny ternary alloy layers lattice matched to GaAs substrates International conference

    Itsuki Sugimura, Masaya Nakata, Shigehisa Shibayama, Mitsuo Sakashita, Osamu Nakatsuka, Takayoshi Katase, and Masashi Kurosawa

    14th International Workshop on New Group IV Semiconductor Nanoelectronics  2023.12.15 

     More details

    Event date: 2023.12

    Language:English   Presentation type:Poster presentation  

    Venue:Sendai   Country:Japan  

  14. Electrical activation of implanted phosphorus in GeSn epitaxial layers grown on Si(111) substrate International conference

    Yoshiki Kato, Masahiro Fukuda, Shigehisa Shibayama, Mitsuo Sakashita, Masashi Kurosawa, and Osamu Nakatsuka

    14th International Workshop on New Group IV Semiconductor Nanoelectronics  2023.12.15 

     More details

    Event date: 2023.12

    Language:English   Presentation type:Poster presentation  

    Venue:Sendai   Country:Japan  

  15. Observation of acceptor-type defect levels using low-temperature Hall effect measurement for GeSn layers fabricated by molecular beam epitaxy International conference

    Akira Honda, N. Shimizu, Y. J. Feng, K. Yamamoto, S. Shibayama, O. Nakatsuka, and D. Wang

    14th International Workshop on New Group IV Semiconductor Nanoelectronics  2023.12.14 

     More details

    Event date: 2023.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sendai   Country:Japan  

  16. Pイオン注入によるn型Ge1-xSnx(111)エピタキシャル層の形成

    加藤 芳規、柴山 茂久、坂下 満男、黒澤 昌志、中塚 理

    第14回 半導体材料・デバイスフォーラム (SMDF2023)  2023.12.9 

     More details

    Event date: 2023.12

    Language:Japanese   Presentation type:Poster presentation  

    Venue:九州工業大学   Country:Japan  

  17. Crystal Growth Technology of GeSn-related Group-IV Heteroepitaxial Layers Invited International conference

    Osamu Nakatsuka, Shigehisa Shibayama, Masashi Kurosawa, and Mitsuo Sakashita

    3rd Nucleation and Growth Research Conference (NGRC)  2023.11.10 

     More details

    Event date: 2023.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Kyoto   Country:Japan  

  18. スパッタリング法によるInP 基板上へのエピタキシャルGe0.75Sn0.25形成

    壁谷 汰知、柴山 茂久、高木 孝明、坂下 満男、黒澤 昌志、中塚 理

    第10回 応用物理学会SC東海地区学術講演会 2023 (JSAP SCTS 2023)  2023.11.3 

     More details

    Event date: 2023.11

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学   Country:Japan  

  19. GeSn/GeSiSn共鳴トンネルダイオードにおける負性微分抵抗の観測

    石本 修斗、坂下 満男、黒澤 昌志、中塚 理、柴山 茂久

    第10回 応用物理学会SC東海地区学術講演会 2023 (JSAP SCTS 2023)  2023.11.3 

     More details

    Event date: 2023.11

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学   Country:Japan  

  20. Al/GeSn(111)構造からの偏析を用いた極薄・高Sn組成GeSnの形成

    松本 泰河、大田 晃生、横川 凌、坂下 満男、黒澤 昌志、中塚 理、柴山 茂久

    第10回 応用物理学会SC東海地区学術講演会 2023 (JSAP SCTS 2023)  2023.11.3 

     More details

    Event date: 2023.11

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学   Country:Japan  

  21. Ge1-xSnx(111)エピタキシャル層へのPイオン注入と活性化

    加藤 芳規、柴山 茂久、坂下 満男、黒澤 昌志、中塚 理

    第10回 応用物理学会SC東海地区学術講演会 2023 (JSAP SCTS 2023)  2023.11.3 

     More details

    Event date: 2023.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  22. Sputtering Heteroepitaxy of Ge0.75Sn0.25 Layer on InP(001) Substrate International conference

    Taichi Kabeya, Shigehisa Shibayama, Komei Takagi, Mitsuo Sakashita, Masashi Kurosawa, and Osamu Nakatsuka

    2023 International Workshop on DIELECTRIC THIN FILMS FOR FUTURE ELECTRON DEVICES - SCIENCE AND TECHNOLOGY - (IWDTF2023)  2023.10.25 

     More details

    Event date: 2023.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kanazawa Chamber of Commerce and Industry, (Ishikawa)   Country:Japan  

  23. Epitaxial Growth Technique for Si1-xSnx Binary Alloy Thin Films Invited International conference

    Masashi Kurosawa, Shigehisa Shibayama, Mitsuo Sakashita, and Osamu Nakatsuka

    244th ECS Meeting  2023.10.10 

     More details

    Event date: 2023.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Digital Meeting & Gothenburg   Country:Sweden  

  24. イオン注入法によるn型無歪Ge1-xSnx(111)エピタキシャル層の形成

    加藤 芳規、柴山 茂久、坂下 満男、黒澤 昌志、中塚 理

    2023年第84回 応用物理学会 秋季学術講演会  2023.9.22 

     More details

    Event date: 2023.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:熊本城ホールほか3会場   Country:Japan  

  25. Si1-xSnx薄膜で観測される巨大熱電能の電子濃度依存性

    大岩 樹、柴山 茂久、坂下 満男、中塚 理、片瀬 貴義、黒澤 昌志

    2023年第84回 応用物理学会 秋季学術講演会  2023.9.23 

     More details

    Event date: 2023.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:熊本城ホールほか3会場   Country:Japan  

  26. Ge格子整合系SixGe1-x-ySny三元混晶とその転写

    前田 辰郎、石井 裕之、張 文馨、張 師宇、柴山 茂久、黒澤 昌志、中塚 理

    2023年第84回 応用物理学会 秋季学術講演会  2023.9.23 

     More details

    Event date: 2023.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:熊本城ホールほか3会場   Country:Japan  

  27. スパッタリング法によるInP基板上のGe0.75Sn0.25エピタキシャル成長

    壁谷 汰知、柴山 茂久、高木 孝明、坂下 満男、黒澤 昌志、中塚 理

    2023年第84回 応用物理学会 秋季学術講演会  2023.9.23 

     More details

    Event date: 2023.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:熊本城ホールほか3会場   Country:Japan  

  28. Al/GeSn(111)エピタキシャル層構造からの偏析による極薄GeSn形成

    松本 泰河、柴山 茂久、大田 晃生、横川 凌、黒澤 昌志、坂下 満男、中塚 理

    2023年第84回 応用物理学会 秋季学術講演会  2023.9.22 

     More details

    Event date: 2023.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:熊本城ホールほか3会場   Country:Japan  

  29. Seed-layer driven solid phase epitaxy of amorphous Ge1-xSnx layers on Si(001) substrates toward in-plane strain control International conference

    Tatsuma Hiraide, Masashi Kurosawa, Shigehisa Shibayama, Mitsuo Sakashita, and Osamu Nakatsuka

    2023 International Conference on Solid State Devices and Materials (SSDM2023)  2023.9.7 

     More details

    Event date: 2023.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Congress Center (Nagoya)   Country:Japan  

  30. Optoelectronic properties of Ge1−xSnx/high-Si-content SiyGe1−y− zSnz double quantum wells formed by low-temperature MBE growth and post deposition annealing International conference

    Shigehisa Shibayama, Shiyu Zhang, Mitsuo Sakashita, Masashi Kurosawa, and Osamu Nakatsuka

    2023 International Conference on Solid State Devices and Materials (SSDM2023)  2023.9.7 

     More details

    Event date: 2023.9

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya Congress Center (Nagoya)   Country:Japan  

  31. Low-temperature thermoelectric power-factor enhancement of n-type Ge-rich Ge1-x-ySixSny layers International conference

    Itsuki Sugimura, Masaya Nakata, Shigehisa Shibayama, Mitsuo Sakashita, Osamu Nakatsuka, Takayoshi Katase, and Masashi Kurosawa

    2023 International Conference on Solid State Devices and Materials (SSDM2023)  2023.9.7 

     More details

    Event date: 2023.9

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya Congress Center (Nagoya)   Country:Japan  

  32. Giant thermoelectric power of n-type Si1-xSnx layers grown on FZ-Si(001) substrates International conference

    Tatsuki Oiwa, Shigehisa Shibayama, Mitsuo Sakashita, Osamu Nakatsuka, Takayoshi Katase, and Masashi Kurosawa

    2023 International Conference on Solid State Devices and Materials (SSDM2023)  2023.9.7 

     More details

    Event date: 2023.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Congress Center (Nagoya)   Country:Japan  

  33. 高濃度n型ドープSi1-xSnx薄膜の低温熱電物性評価

    大岩 樹、柴山 茂久、坂下 満男、中塚 理、片瀬 貴義、黒澤 昌志

    第7回 フォノンエンジニアリング研究会  2023.8.5 

     More details

    Event date: 2023.8

    Language:Japanese   Presentation type:Poster presentation  

    Venue:沖縄コンベンションセンター   Country:Japan  

  34. N-type characteristics of undoped Ge0.967Sn0.033 fabricated on bulk n-Ge International conference

    N. Shimizu, Y. Wang, A. Honda, K. Yamamoto, S. Zhang, S. Shibayama, O. Nakatsuka, and D. Wang

    The International Conference on Silicon Epitaxy and Heterostructures and the International SiGe Technology and Device Meetings (ICSI/ISTDM2023)  2023.5.22 

     More details

    Event date: 2023.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Como   Country:Italy  

  35. The layer transfer of Ge-lattice-matched SiGeSn epitaxial films International conference

    Tatsuro Maeda, Hiroyuki Ishii, Wen-Hsin Chang, Shiyu Zhang, Shigehisa Shibayama, Masashi Kurosawa, and Osamu Nakatsuka

    The International Conference on Silicon Epitaxy and Heterostructures and the International SiGe Technology and Device Meetings (ICSI/ISTDM2023)  2023.5.22 

     More details

    Event date: 2023.5

    Language:English   Presentation type:Poster presentation  

    Venue:Como   Country:Italy  

  36. Low-temperature Thermoelectric Properties of GeSn Alloys Films International conference

    M. Kurosawa, T. Katase, Y. Imai, M. Nakata, M. Kimura, T. Kamiya, S. Shibayama, M. Sakashita, and O. Nakatsuka

    The International Conference on Silicon Epitaxy and Heterostructures and the International SiGe Technology and Device Meetings (ICSI/ISTDM2023)  2023.5.22 

     More details

    Event date: 2023.5

    Language:English   Presentation type:Poster presentation  

    Venue:Como   Country:Italy  

  37. Heteroepitaxial Growth of High Substitutional Sn-content Ge1−xSnx Layer Lattice-matched on InP Substrate International conference

    Osamu Nakatsuka, Komei Takagi, Shigehisa Shibayama, Masashi Kurosawa, and Mitsuo Sakashita

    The International Conference on Silicon Epitaxy and Heterostructures and the International SiGe Technology and Device Meetings (ICSI/ISTDM2023)  2023.5.22 

     More details

    Event date: 2023.5

    Language:English   Presentation type:Poster presentation  

    Venue:Como   Country:Italy  

  38. Epitaxy and heterostructure of germanium tin-related group-IV alloy semiconductors for future electronic and optoelectronic applications Invited International conference

    Osamu Nakatsuka, Masashi Kurosawa, Shigehisa Shibayama, and Mitsuo Sakashita

    2023 International Conference on Semiconductor Technology for Ultra Large Scale Integrated Circuits and Thin Film Transistors (ULSIC VS TFT 8)  2023.5.15 

     More details

    Event date: 2023.5

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Otaru(Sapporp)   Country:Japan  

  39. 固相成長法によるSi(001)基板上の伸長歪みGe1-xSnx薄膜の形成

    平出 達磨、大岩 樹、柴山 茂久、坂下 満男、中塚 理、黒澤 昌志

    2023年第70回 応用物理学会 春季学術講演会  2023.3.16 

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ハイブリッド 上智大学 四谷キャンパス+オンライン   Country:Japan  

  40. GeSn/GeSiSn共鳴トンネルダイオードの室温動作に向けた構造設計

    石本 修斗、坂下 満男、黒澤 昌志、中塚 理、柴山 茂久

    2023年第70回 応用物理学会 春季学術講演会  2023.3.17 

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ハイブリッド 上智大学 四谷キャンパス+オンライン   Country:Japan  

  41. 高Sn組成Ge1-xSnx(111)エピタキシャル薄膜の高品質形成

    森 俊輔、柴山 茂久、加藤 芳規、坂下 満男、黒澤 昌志、中塚 理

    2023年第70回 応用物理学会 春季学術講演会  2023.3.16 

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ハイブリッド 上智大学 四谷キャンパス+オンライン   Country:Japan  

  42. 高濃度n型ドープSi1-xSnx薄膜で観測された巨大熱電能

    大岩 樹、柴山 茂久、坂下 満男、中塚 理、片瀬 貴義、黒澤 昌志

    2023年第70回 応用物理学会 春季学術講演会  2023.3.16 

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ハイブリッド 上智大学 四谷キャンパス+オンライン   Country:Japan  

  43. 半絶縁性基板上Ge1-xSnx薄膜の低温熱電物性

    今井 志明、中田 壮哉、木村 公俊、片瀬 貴義、神谷 利夫、柴山 茂久、坂下 満男、中塚 理、黒澤 昌志

    2023年第70回 応用物理学会 春季学術講演会  2023.3.16 

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ハイブリッド 上智大学 四谷キャンパス+オンライン   Country:Japan  

  44. Heteroepitaxy of Ge1-xSnx with a high Sn content over 25% on InP(001) toward group-IV infrared detector International conference

    Komei Takagi, Shigehisa Shibayama, Mitsuo Sakashita, Masashi Kurosawa, and Osamu Nakatsuka

    13th International Workshop on New Group IV Semiconductor Nanoelectronics  2023.1.24 

     More details

    Event date: 2023.1

    Language:English   Presentation type:Poster presentation  

    Venue:Sendai   Country:Japan  

  45. Epitaxial Germanide/Germanium Contact: Its Impact on Schottky Barrier Height Invited International conference

    Osamu Nakatsuka, Shigehisa Shibayama, Mitsuo Sakashita, and Masashi Kurosawa

    13th International Workshop on New Group IV Semiconductor Nanoelectronics  2023.1.23 

     More details

    Event date: 2023.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Sendai   Country:Japan  

  46. N-type characteristics of undoped GeSn in the low Sn concentration region International conference

    N. Shimizu, Y. Wang, A. Honda, K. Yamamoto, S. Zhang, S. Shibayama, O. Nakatsuka, and D. Wang

    13th International Workshop on New Group IV Semiconductor Nanoelectronics  2023.1.23 

     More details

    Event date: 2023.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sendai   Country:Japan  

  47. Arising ferroelectric properties in ZrO2 thin film down to 4 nm International conference

    Shota Ikeguchi, Jotaro Nagano, Mitsuo Sakashita, Masashi Kurosawa, Osamu Nakatsuka, and Shigehisa Shibayama

    13th International Workshop on New Group IV Semiconductor Nanoelectronics  2023.1.24 

     More details

    Event date: 2023.1

    Language:English   Presentation type:Poster presentation  

    Venue:Sendai   Country:Japan  

  48. Development of accurate characterization technique of electrical properties in Ge1-xSnx-based group-IV epitaxial layers International conference

    Taichi Mori, Shigehisa Shibayama, Kohei Nishizawa, Mitsuo Sakashita, Masashi Kurosawa, and Osamu Nakatsuka

    13th International Workshop on New Group IV Semiconductor Nanoelectronics  2023.1.24 

     More details

    Event date: 2023.1

    Language:English   Presentation type:Poster presentation  

    Venue:Sendai   Country:Japan  

  49. Crystalline and electrical properties of Ge1-x-ySixSny epitaxial layers - Effect of Si incorporation and H2 irradiation - International conference

    Kohei Nishizawa, Shigehisa Shibayama, Taichi Mori, Mitsuo Sakashita, Masashi Kurosawa, and Osamu Nakatsuka

    13th International Workshop on New Group IV Semiconductor Nanoelectronics  2023.1.24 

     More details

    Event date: 2023.1

    Language:English   Presentation type:Poster presentation  

    Venue:Sendai   Country:Japan  

  50. EXAFSによるZrO2薄膜の強誘電性発現機構の理解

    池口 祥太、永野 丞太郎、坂下 満男、黒澤 昌志、中塚 理、柴山 茂久

    11th NUSR Symposium, 名古屋大学シンクロトロン光研究センターシンポジウム(2022)  2023.1.16 

     More details

    Event date: 2023.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学  

  51. Ge1-xSnx系Ⅳ族半導体混晶エピタキシャル層の電気的欠陥密度の評価

    西澤 康平、坂下 満男、黒澤 昌志、中塚 理、柴山 茂久

    第22回 日本表面真空学会中部支部学術講演会  2022.12.17 

     More details

    Event date: 2022.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋工業大学   Country:Japan  

  52. Research and Development of GeSn-related Group-IV Semiconductor Heterostructures for Optoelectronic Applications Invited International conference

    Osamu Nakatsuka, Shigehisa Shibayama, Masashi Kurosawa, and Mitsuo Sakashita

    Syposium on Light emission and Photonics of Group IV semiconductor Nanostructures (LPGN)  2022.12.14 

     More details

    Event date: 2022.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Nagoya   Country:Japan  

  53. Synthesis of multilayer two-dimensional group-IV flakes and nanosheets International conference

    M. Kurosawa, M. Itoh, Y. Ito, K. Okada, A. Ohta, M. Araidai, K. O. Hara, Y. Ando, S. Yamada, S. Shibayama, M. Sakashita, and O. Nakatsuka

    33rd International Photovoltaic Science and Engineering Conference (PVSEC-33)  2022.11.15 

     More details

    Event date: 2022.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  54. Impact of Strain Structure in Epitaxial HfGe2/n-Ge(001) Contact on Morphology and Schottky Barrier Height International conference

    Osamu Nakatsuka, Kentaro Kasahara, Shigehisa Shibayama, Mitsuo Sakashita, and Masashi Kurosawa

    Advanced Metallization Conference 2022  2022.10.14 

     More details

    Event date: 2022.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:The Univ. of Tokyo (Japan) & Online   Country:Japan  

  55. Study on doping by ion implantation of Si1-xSnx epitaxial layers International conference

    Tatsuki Oiwa, Shigehisa Shibayama, Mitsuo Sakashita, Masashi Kurosawa, and Osamu Nakatsuka

    2022 International Conference on Solid State Devices and Materials (SSDM2022)  2022.9.27 

     More details

    Event date: 2022.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Makuhari Messe, Chiba (Japan) & Online   Country:Japan  

  56. Molecular beam epitaxy of Si1-xSnx layers with 10%-Sn content on Si1-yGey buffers International conference

    Kazuaki Fujimoto, Shigehisa Shibayama, Mitsuo Sakashita, Masashi Kurosawa, and Osamu Nakatsuka

    2022 International Conference on Solid State Devices and Materials (SSDM2022)  2022.9.27 

     More details

    Event date: 2022.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Makuhari Messe, Chiba (Japan) & Online   Country:Japan  

  57. Formation of ferroelectric ZrO2 film in ultra-thin region by sputtering method International conference

    2022 International Conference on Solid State Devices and Materials (SSDM2022)  2022.9.27 

     More details

    Event date: 2022.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Makuhari Messe, Chiba (Japan) & Online   Country:Japan  

  58. 高抵抗Si(111)基板上における多層シリカンナノシートの形成

    伊藤 善常、柴山 茂久、坂下 満男、中塚 理、黒澤 昌志

    2022年第83回 応用物理学会 秋季学術講演会  2022.9.21 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東北大学(川内北キャンパス)& Online   Country:Japan  

  59. 熱安定性の高いGe1-xSnx量子ドットの自己形成

    橋本 薫、柴山 茂久、安坂 幸師、黒澤 昌志、坂下 満男、中塚 理

    2022年第83回 応用物理学会 秋季学術講演会  2022.9.21 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東北大学(川内北キャンパス)& Online   Country:Japan  

  60. Si(111)上における直接遷移Ge1-xSnxヘテロエピタキシャル層の形成

    森 俊輔、柴山 茂久、坂下 満男、黒澤 昌志、中塚 理

    2022年第83回 応用物理学会 秋季学術講演会  2022.9.21 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東北大学(川内北キャンパス)& Online   Country:Japan  

  61. InP基板上の超高Sn組成Ge1-xSnxヘテロエピタキシャル層の結晶性改善

    高木 孝明、柴山 茂久、黒澤 昌志、坂下 満男、中塚 理

    2022年第83回 応用物理学会 秋季学術講演会  2022.9.21 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東北大学(川内北キャンパス)& Online   Country:Japan  

  62. 電子・光デバイス応用に向けたPt/GeSn接合のショットキー特性調査

    清水 昇、王 一、山本 圭介、張 師宇、柴山 茂久、中塚 理、王 冬

    2022年第83回 応用物理学会 秋季学術講演会  2022.9.21 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東北大学(川内北キャンパス)& Online   Country:Japan  

  63. Molecular Beam Epitaxy of CaGe2 Layers on Si(111) Substrate International conference

    Kazuya Okada, Shigehisa Shibayama, Osamu Nakatsuka, and Masashi Kurosawa

    9th International Symposium on Control of Semiconductor Interfaces  2022.9.7 

     More details

    Event date: 2022.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University   Country:Japan  

  64. Sn-drivevn Self-formation of GeSn Nanodots on Insulator for Multi-layered Quantum Dots Structure International conference

    Kaoru Hashimoto, Shigehisa Shibayama, Koji Asaka, Masashi Kurosawa, and Osamu NakatsukaKaoru Hashimoto, Shigehisa Shibayama, Koji Asaka, Masashi Kurosawa, and Osamu Nakatsuka

    9th International Symposium on Control of Semiconductor Interfaces  2022.9.7 

     More details

    Event date: 2022.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University   Country:Japan  

  65. Electrical characteristics of metal/GeSn contacts in lateral Schottky diodes International conference

    N. Shimizu, Y. Wang, K. Yamamoto, S. Zhang, S. Shibayama, O. Nakatsuka, and D. Wang

    The 5th International Union of Materials Research Societies International Conference of Young Researchers on Advanced Materials  2022.8.3 

     More details

    Event date: 2022.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  66. Substrate engineering for strain-controlled high-Sn-content Ge1-xSnx epitaxy International conference

    Osamu Nakatsuka, Shigehisa Shibayama, Masashi Kurosawa, and Mitsuo Sakashita

    The 6th Asia-Pacific Conference on Semiconducting Silicides and Related Materials, 2022 (APAC-Silicide 2022)  2022.8.1 

     More details

    Event date: 2022.7 - 2022.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Online   Country:Japan  

  67. 多層量子ドット構造実現に向けた絶縁体上へのGe1-xSnxナノドットの自己形成

    橋本 薫、柴山 茂久、安坂 幸師、中塚 理

    シリコン材料・デバイス研究会(SDM)  2022.6.21 

     More details

    Event date: 2022.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  68. 酸化膜/4H-SiC界面特性に基づくカウンタードープMOSFETの優位性 Invited

    柴山 茂久、土井 拓馬、坂下 満男、田岡 紀之、清水 三聡、中塚 理

    シリコン材料・デバイス研究会(SDM)  2022.6.21 

     More details

    Event date: 2022.6

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  69. 量子ドット実現に向けた超高Sn組成GeSnの自己組織化形成

    橋本 薫、柴山 茂久、安坂 幸師、中塚 理

    2022年第69回 応用物理学会 春季学術講演会  2022.3.25 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ハイブリッド (青山学院大学 相模原キャンパス + オンライン)  

  70. 4H-SiC(0001)トレンチMOSFETへの機械的応力による移動度変化

    彦坂 直利、籠島 瑛二、柴山 茂久、坂下満男、富田 英幹、西脇 剛、藤原 広和、中塚 理、竹内 和歌奈

    2022年第69回 応用物理学会 春季学術講演会  2022.3.24 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ハイブリッド (青山学院大学 相模原キャンパス + オンライン)  

  71. Formation of Ge1-xSnx/SixGe1-x-ySny double quantum wells structure and its photoluminescence mechanism

    Shiyu Zhang, Shigehisa Shibayama, and Osamu Nakatsuka

    2022.3.26 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Oral presentation (general)  

  72. スパッタリング法による強誘電性ZrO2極薄膜の形成

    永野 丞太郎、柴山 茂久、坂下 満男、中塚 理

    2022年第69回 応用物理学会 春季学術講演会  2022.3.24 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ハイブリッド (青山学院大学 相模原キャンパス + オンライン)  

  73. 極薄GeSiSn/GeSn/GeSiSn二重障壁構造の形成およびその電気的特性

    柴山 茂久、Galih lamadana Suwito、中塚 理

    特別研究会「電子デバイス界面テクノロジー研究会―材料・プロセス・デバイス特性の物理―」(第27回)  2022.1.28 

     More details

    Event date: 2022.1

    Language:Japanese   Presentation type:Poster presentation  

    Venue:Online  

  74. シンクロトロンナノビームX線回折を用いた4H-SiC(0001)トレンチMOSFETの局所歪の可視化

    竹内 和歌奈、籠島 瑛二、隅谷 和嗣、今井 康彦、柴山 茂久、坂下満男、木村 滋、富田 英幹、西脇 剛、藤原 広和、中塚 理

    先進パワー半導体分科会 第8回講演会  2021.12.10 

     More details

    Event date: 2021.12

    Language:Japanese   Presentation type:Poster presentation  

  75. 機械的な応力が4H-SiC(0001)トレンチMOSFETの移動度に与える影響

    彦坂 直利、竹内 和歌奈、籠島 瑛二、柴山 茂久、坂下満男、富田 英幹、西脇 剛、藤原 広和、中塚 理

    先進パワー半導体分科会 第8回講演会  2021.12.10 

     More details

    Event date: 2021.12

    Language:Japanese   Presentation type:Poster presentation  

    Venue:WEB開催  

  76. Enhancement of the Field -effect Mobility of 4H-SiC Buried Channel n-MOSFETs by Using Al2O3 as a Gate Insulator International conference

    Takuma Doi, Shigehisa Shibayama, Noriyuki Taoka, Mitsuo Sakashita, Mitsuaki Shimizu, Osamu Nakatsuka

    2021 International Workshop on DIELECTRIC THIN FILMS FOR FUTURE ELECTRON DEIVICES -SCIENCE AND TECHNOLOGY-(IWDTF2021)  2021.11.16 

     More details

    Event date: 2021.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:On-line virtual  

  77. Schottky barrier height lowering for metal/n-type 4H-SiC contacts using low work function metals International conference

    Takuma Doi, Shigehisa Shibayama, Wakana Takeuchi, Mitsuo Sakashita, Mitsuaki Shimizu, Osamu Nakatsuka

    International Conference on Materials and Systems for Sustainability 2021 (ICMaSS2021)  2021.11.5 

     More details

    Event date: 2021.11

    Language:English   Presentation type:Oral presentation (general)  

  78. 金属堆積後熱処理による低仕事関数金属/n型4H-SiC界面のSBH低減

    土井 拓馬、柴山 茂久、坂下 満男、清水 三聡、中塚 理

    2021年第82回 応用物理学会 秋季学術講演会  2021.9.12 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名城大学(名古屋市)& Online  

  79. エピタキシャルHfGe2/n-Ge(001)コンタクトの微細化による界面平坦性および電気伝導特性の均一性向上

    笠原 健太郎、柴山 茂久、坂下 満男、中塚 理

    2021年第82回 応用物理学会 秋季学術講演会  2021.9.10 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名城大学(名古屋市)& Online  

  80. Characterization of Local Strain in 4H-SiC Trench MOSFET by Synchrotron Nanobeam X-ray Diffraction International conference

    Wakana Takeuchi, Eiji Kagoshima, Kazushi Sumitani, Yasuhiko Imai, Shigehisa Shibayama, Mitsuo Sakashita, Shigeru Kimura, Hidemoto Tomita, Tsuyoshi Nishiwaki, Hirokazu Fujiwara, and Osamu Nakatsuka

    2021 International Conference on Solid State Devices and Materials (SSDM2021)  2021.9.8 

     More details

    Event date: 2021.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:All-virtual confernece  

  81. Improved interface uniformity of epitaxial HfGe2/Ge(001) contact by microfabrication and its electron conduction property International conference

    Kentaro Kasahara, Kazuki Senga, Mitsuo Sakashita, Shigehisa Shibayama, and Osamu Nakatsuka

    The 20th International Workshop on Junction Technology 2021 (IWJT2021)  2021.6.11 

     More details

    Event date: 2021.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:On-line  

  82. Effects of post-formation teratment on electrical properties in 4H-SiC MOS capacitors Invited International conference

    Wakana Takeuchi, Takuma Doi, Shigehisa Shibayama, Mitsuo Sakashita, and Osamu Nakatsuka

    THERMEC'2021 - International Conference on Processing & Manufacturing of advanced Materials  2021.6 

     More details

    Event date: 2021.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  83. ウェット熱処理によるZrO2薄膜の強誘電相発現機構

    柴山 茂久、永野 丞太郎、安坂 幸師、坂下 満男、中塚 理

    2021年 第68回 応用物理学会春季学術講演会  2021.3.16 

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:オンライン  

  84. 界面層挿入が低仕事関数金属/n型4H-SiC界面のSBHに与える影響

    土井 拓馬、柴山 茂久、坂下 満男、清水 三聡、中塚 理

    2021年 第68回 応用物理学会春季学術講演会  2021.3.18 

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン  

  85. 高温堆積におけるZrO2薄膜結晶相の下地依存性

    永野 丞太郎、柴山 茂久、坂下 満男、中塚 理

    2021年 第68回 応用物理学会春季学術講演会  2021.3.16 

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン  

  86. Epitaxial growth of strain-relaxed and high-Sn-content n-Ge1-xSnx on Si(111) substrate with Ge buffer layer International conference

    An Huang, Shigehisa Shibayama, Osamu Nakatsuka

    The 8th Asian Conference on Crystal Growth and Crystal Technology (CGCT)  2021.3.10 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:On-Line Conference  

  87. Heterostructure design favorable for n+-Ge1-xSnx pseudo-direct transition layer for optoelectronic application International coauthorship International conference

    Shiyu Zhang, Masahiro Fukuda, Shigehisa Shibayama, Osamu Nakatsuka

    13th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021.3.10 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Virtual symposium  

  88. HfO2-ZrO2系の強誘電相発現におけるウェット熱処理の効果

    柴山 茂久、永野 丞太郎、坂下 満男、中塚 理

    特別研究会「電子デバイス界面テクノロジー研究会―材料・プロセス・デバイス特性の物理―」(第26回)  2021.1.22 

     More details

    Event date: 2021.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン  

  89. 低仕事関数金属/4H-SiC界面におけるMIGSの影響

    土井 拓馬、柴山 茂久、坂下 満男、清水 三聡、中塚 理

    特別研究会「電子デバイス界面テクノロジー研究会―材料・プロセス・デバイス特性の物理―」(第26回)  2021.1.23 

     More details

    Event date: 2021.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン  

  90. 低仕事関数金属を用いたn型4H-SiCに対する低ショットキー障壁コンタクトの実現

    土井 拓馬、柴山 茂久、坂下 満男、清水 三聡、中塚 理

    先進パワー半導体分科会 第7回講演会  2020.12.9 

     More details

    Event date: 2020.12

    Language:Japanese   Presentation type:Poster presentation  

    Venue:ウェブ開催  

  91. Understanding wet annealing effect on phase transition and ferroelectric phase formation for Hf1-xZrxO2 film International conference

    Shigehisa Shibayama, Jotaro Nagano, Mitsuo Sakashita, O. Nakatsuka

    2020 International Conference on Solid State Devices and Materials (SSDM2020)  2020.9.28 

     More details

    Event date: 2020.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:All-virtual confernece  

  92. コンタクト抵抗率低減のための金属/Ⅳ族半導体界面制御技術 Invited

    中塚 理、柴山 茂久、坂下 満男

    第84回半導体・集積回路技術シンポジウム  2020.9.16 

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:オンライン  

  93. Heteroepitaxy and Strain Engineering of Germanium-Silicon-Tin Ternary Alloy Semiconductor Thin Films for Energy Band Design Invited International conference

    Osamu Nakatsuka, Shigehisa Shibayama, Masashi Kurosawa, Mistuo Sakashita

    Pacific Rim Meeting on Electrochemical and Solid State Science (PRiME2020)  2020.8 

     More details

    Event date: 2020.8

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Online  

  94. ウェット熱処理によるZrO2薄膜の特異な強誘電相発現機構

    柴山茂久、永野丞太郎、坂下満男、中塚理

    2020年第67回応用物理学会春季学術講演会 

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:上智大 (東京)   Country:Japan  

  95. エピタキシャル HfGe2形成による金属/n-Geコンタクト抵抗率の低減

    千賀一輝、柴山茂久、中塚理

    2020年第67回応用物理学会春季学術講演会 

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:上智大 (東京)   Country:Japan  

  96. 界面平坦性から見た極薄金属酸化Al2O3/4H-SiC構造の有用性

    土井拓馬、柴山茂久、竹内和歌奈、坂下満男、田岡紀之、清水三聡、中塚理

    2020年第67回応用物理学会春季学術講演会 

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:上智大 (東京)   Country:Japan  

  97. 低温ウェット熱処理による強誘電性のアンドープZrO2薄膜の形成

    柴山茂久、永野丞太郎、坂下満男、中塚理

    特別研究会「電子デバイス界面テクノロジー研究会―材料・プロセス・デバイス特性の物理―」(第25回) 

     More details

    Event date: 2020.1 - 2020.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東レ研修センター(三島)   Country:Japan  

  98. 低温プロセスによる金属/4H-SICコンタクトのショットキー障壁高さ制御手法の検討

    柴山茂久、橋本健太郎、土井拓馬、中塚理

    特別研究会「電子デバイス界面テクノロジー研究会―材料・プロセス・デバイス特性の物理―」(第25回) 

     More details

    Event date: 2020.1 - 2020.2

    Language:Japanese   Presentation type:Poster presentation  

    Venue:東レ研修センター(三島)   Country:Japan  

  99. 室温での極薄金属膜酸化法による基板酸化を抑制した良質なAl2O3/4H-SiC界面の実現

    土井拓馬、柴山茂久、竹内和歌奈、坂下満男、田岡紀之、清水三聡、中塚理

    特別研究会「電子デバイス界面テクノロジー研究会―材料・プロセス・デバイス特性の物理―」(第25回) 

     More details

    Event date: 2020.1 - 2020.2

    Language:Japanese   Presentation type:Poster presentation  

    Venue:東レ研修センター(三島)   Country:Japan  

  100. (Invited) heteroepitaxy and strain engineering of germanium-silicon-tin ternary alloy semiconductor thin films for energy band design

    Nakatsuka O.

    ECS Transactions 

     More details

    Event date: 2020

    Language:English   Presentation type:Oral presentation (general)  

    DOI: 10.1149/09805.0149ecst

    Scopus

  101. ウェット酸素熱処理による強誘電性ZrO2薄膜の形成

    柴山茂久、永野丞太郎、坂下満男、中塚理

    第19回日本表面真空学会中部支部 学術講演会 

     More details

    Event date: 2019.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大 (愛知)   Country:Japan  

  102. 良質なAl2O3/4H-SiC MOS界面形成における4H-SiC表面構造の重要性

    土井拓馬、柴山茂久、竹内和歌奈、坂下満男、田岡紀之、清水三聡、中塚理

    先進パワー半導体分科会 第6回講演会 

     More details

    Event date: 2019.12

    Language:Japanese   Presentation type:Poster presentation  

    Venue:広島国際会議場 (広島)   Country:Japan  

  103. In-situ Sb Doping into Ge1-xSnx Epitaxial Layer toward Enhancement of Photoluminescence Intensity International conference

    Masahiro Fukuda, Jihee Jeon, Mitsuo Sakashita, Shigehisa Shibayama, Masashi Kurosawa, Osamu Nakatsuka

    The 8th International Symposium on Control of Semiconductor Interfaces (ISCSI-VIII)  2019.11.29 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sendai (Japan)   Country:Japan  

  104. Crystal Growth and Characterization of Group-IV Alloy Semiconductor Heterostructures for Future Electronic Devices Invited International conference

    O. Nakatsuka, M. Kurosawa, S. Shibayama, M. Sakashita, and S. Zaima

    The 8th International Symposium on Control of Semiconductor Interfaces (ISCSI-VIII) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Sendai (Japan)   Country:Japan  

  105. Ferroelectric Phase Evolution of Undoped ZrO2 Thin Films by Wet O2 Annealing Process International conference

    Shigehisa Shibayama, Jotaro Nagano, Mitsuo Sakashita, Osamu Nakatsuka

    2019 International Workshop on DIELECTRIC THIN FILMS FOR FUTURE ELECTRON DEIVICES -SCIENCE AND TECHNOLOGY-(IWDTF2019) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tokyo (Japan)   Country:Japan  

  106. Saturation of Activated Sb Atom in Heavily Sb-Doped Ge Epitaxial Layers International conference

    Jihee Jeon, Shigehisa Shibayama, Shigeaki Zaima, Osamu Nakatsuka

    International Conference on Materials and Systems for Sustainability 2019 (ICMaSS2019)  2019.11.3 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya (Japan)   Country:Japan  

  107. Development of in-situ cyclic metal layer oxidation to form abrupt Al2O3/4H-SiC interface International conference

    T. Doi, S. Shibayama, W. Takeuchi, M. Sakashita, N. Taoka, M. Shimizu, and O. Nakatsuka

    International Conference on Materials and Systems for Sustainability 2019 (ICMaSS2019) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya (Japan)   Country:Japan  

  108. Model development of MOCVD growth for realizing high-Sn-content Ge1-xSnx epitaxial layer ~ What physical properties are required for precursors? ~ International conference

    Y. Miki, S. Shibayama, S. Zaima, and O. Nakatsuka

    International Conference on Materials and Systems for Sustainability 2019 (ICMaSS2019) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya (Japan)   Country:Japan  

  109. Optoelectronic Property of GeSn and GeSiSn Heterostructure International conference

    M. Fukuda, M. Sakashita, S. Shibayama, M. Kurosawa, S. Zaima, and O. Nakatsuka

    International Conference on Materials and Systems for Sustainability 2019 (ICMaSS2019) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya (Japan)   Country:Japan  

  110. 金属薄膜酸化法によるAl2O3/4H-SiC(0001)界面特性の改善

    土井拓馬、柴山茂久、竹内和歌奈、坂下満男、田岡紀之、清水三聡、中塚理

    第7回 応用物理学会SC東海地区学術講演会 2019 (JSAP SCTS 2019) 

     More details

    Event date: 2019.10

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大 (愛知)   Country:Japan  

  111. SiCxOy界面層導入による金属/4H-SiCコンタクトのSBH制御 Control

    橋本健太郎、土井拓馬、柴山茂久、中塚理

    第7回 応用物理学会SC東海地区学術講演会 2019 (JSAP SCTS 2019) "名古屋大 (名古屋)" 

     More details

    Event date: 2019.10

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大 (愛知)   Country:Japan  

  112. Saturation of Sb1+ Concentration in Heavily Sb-doped n+-Ge Epitaxial Layers International conference

    J. Jeon, S. Shibayama, and O. Nakatsuka

    Advanced Metallization Conference 2019 (ADMETA2019): 29th Asian Session Conference 

     More details

    Event date: 2019.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tokyo (Japan)   Country:Japan  

  113. 高濃度SbドープによるGe1-xSnxのフォトルミネッセンス発光強度の増大

    福田雅大、全智禧、坂下満男、柴山茂久、黒澤昌志、中塚理

    第78回 応用物理学会秋季学術講演会 

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道大 (札幌)   Country:Japan  

  114. In-situ cyclic metal layer oxidation for future improving interface properties of Al2O3/4H-SiC(0001) gate stacks International conference

    Takuma Doi, Shigehisa Shibayama, Wakana Takeuchi, Mitsuo Sakashita, Noriyuki Taoka, Mitsuaki Shimizu, Osamu Nakatsuka

    2019 International Conference on Solid State Devices and Materials  2019.9.5 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya (Japan)   Country:Japan  

  115. Strain Relaxation Enhancement of Ge1-x-ySixSny Epitaxial Layer on Ge Substrate Using Ion-Implantation Method International conference

    H. Sofue, M. Fukuda, S. Shibayama, S. Zaima, and O. Nakatsuka

    2019 International Conference on Solid State Devices and Materials 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya (Japan)   Country:Japan  

  116. Fermi level pinninng at metal/4H-SiC contact induced by SiCxOy interalyer International conference

    Kentaro Hashimoto, Takuma Doi, Shigehisa Shibayama, Osamu Nakatsuka

    2019 International Conference on Solid State Devices and Materials  2019.9.4 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya (Japan)   Country:Japan  

  117. GeSn and GeSiSn Heterostructures for Optoelectronic Applications Invited International conference

    O. Nakatsuka, M. Fukuda, M. Kurosawa, M. Sakashita, S. Shibayama, and S. Zaima

    2019 IEEE Photonics Society Summer Topical Meeting Series (IEEE/SUM) 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Fort Lauderdale (USA)   Country:United States  

  118. Ultra-low resistance contact for n-type Ge1-xSnx with in-situ Sb heavily doping and nickel stanogermanide formation

    J. Jeon, A. Suzuki, S. Shibayama, S. Zaima, and O. Nakatsuka

     More details

    Event date: 2019.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  119. イオン注入法によるⅣ族半導体混晶薄膜の歪緩和促進機構について

    祖父江秀隆、福田雅大、柴山茂久、財満鎭明、中塚理

    シリコン材料・デバイス研究会(SDM) 

     More details

    Event date: 2019.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大 (愛知)   Country:Japan  

  120. Further reduction of Schottky barrier height of Hf-germanide/n-Ge(001) contacts by forming epitaxial HfGe2 International conference

    K. Senga, S. Shibayama, M. Sakashita, S. Zaima, and O. Nakatsuka

    19th International Workshop on Junction Technology 2019 

     More details

    Event date: 2019.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  121. Development and challenges of group-IV semiconductors for nanoelectronic applications Invited International conference

    S. Zaima, O. Nakatsuka, M. Kurosawa, M. Sakashita, and S. Shibayama

    The 11th International Conference on High Performance Ceramics (CICC-11) 

     More details

    Event date: 2019.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:China  

  122. Formation of Strain-relaxed Ge1-x-ySixSny Epitaxial Layer using Ion-implanted Ge Substrate International conference

    H. Sofue, M. Fukuda, S. Shibayama, O. Nakatsuka, and S. Zaima

    11th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 12th International Conference on Plasma-Nano Technology & Science ISPlasma2019/IC-PLANTS2019 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya (Japan)   Country:Japan  

  123. Raman分光法を用いたイオン注入Ge基板の結晶損傷評価

    祖父江秀隆、福田雅大、柴山茂久、中塚理、財満鎭明

    2019年 第66回 応用物理学会春季学術講演会 

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東工大 (大岡山)   Country:Japan  

  124. HfO2-ZrO2系薄膜の反強誘電性の発現過程について

    柴山茂久、坂下満男、中塚理、財満鎭明

    2019年 第66回 応用物理学会春季学術講演会 

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:東工大 (大岡山)   Country:Japan  

  125. Saturated concentration of activated Sb in Sb-doped Ge epitaxial thin films

    J. Jeon, S. Shibayama, O. Nakatsuka, and S. Zaima

     More details

    Event date: 2019.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  126. ポスト酸素ラジカル処理によるAl2O3/SiC界面のSi炭酸化物の脱炭素化

    土井拓馬、竹内和歌奈、坂下満男、柴山茂久、田岡紀之、中塚理、財満鎭明

    特別研究会「電子デバイス界面テクノロジー研究会―材料・プロセス・デバイス特性の物理―」(第24回) 

     More details

    Event date: 2019.1

    Language:Japanese   Presentation type:Poster presentation  

    Venue:東レ研修センター(三島)   Country:Japan  

  127. 高Si組成Ge1-x-ySixSny/Ge1-xSnx/Ge1-x-ySixSny二重ヘテロ構造のエネルギーバンド構造および電子特性評価

    福田雅大、坂下満男、柴山茂久、黒澤昌志、中塚理、財満鎭明

    特別研究会「電子デバイス界面テクノロジー研究会―材料・プロセス・デバイス特性の物理―」(第24回) 

     More details

    Event date: 2019.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東レ研修センター(静岡、三島)   Country:Japan  

  128. エピタキシャルHfGe2/Ge(001)界面の形成によるショットキー障壁高さ制御

    千賀一輝、中塚理、坂下満男、柴山茂久、財満鎭明

    特別研究会「電子デバイス界面テクノロジー研究会―材料・プロセス・デバイス特性の物理―」(第24回) 

     More details

    Event date: 2019.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東レ研修センター(三島)   Country:Japan  

  129. Development of germanium-tin-related semiconductor heterostructures for energy band design in electronic and optoelectronic applications

    Nakatsuka O.

    ECS Transactions 

     More details

    Event date: 2019

    Language:English   Presentation type:Oral presentation (general)  

    DOI: 10.1149/09204.0041ecst

    Scopus

  130. Al2O3/4H-SiC界面層への酸素ラジカル照射における反応機構

    土井拓馬、竹内和歌奈、坂下満男、柴山茂久、田岡紀之、中塚理、財満鎭明

    第16回 日本表面科学会中部支部学術講演会 

     More details

    Event date: 2018.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大 (愛知)   Country:Japan  

  131. Formation of Nickel Stanogermanide/Heavily Doped n+-Ge1-xSnx Structure with Ultra-Low Contact Resistivity International conference

    J. Jeon, A. Suzuki, S. Shibayama, O. Nakatsuka, and S. Zaima

    12th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultra-large Scale Intergration" 

     More details

    Event date: 2018.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  132. Study of factors to limit increasing Sn content in Ge1-xSnx for MOCVD method International conference

    Y. Miki, W. Takeuchi, S. Shibayama, O. Nakatsuka, and S. Zaima

    12th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultra-large Scale Intergration" 

     More details

    Event date: 2018.12

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  133. Understanding of ferroelectric phase formation mechanism for un-doped ZrO2 Invited International conference

    S. Shibayama, T. Nishimura, S. Migita, and A. Toriumi

    12th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultra-large Scale Intergration" 

     More details

    Event date: 2018.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Sendai (Japan)   Country:Japan  

  134. Al2O3/SiCに対する酸素ラジカル処理による界面反応機構

    土井拓馬、竹内和歌奈、坂下満男、柴山茂久、田岡紀之、中塚理、財満鎭明

    第6回 応用物理学会SC東海地区学術講演会 2018 (JSAP SCTS 2018) 

     More details

    Event date: 2018.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大 (愛知)   Country:Japan  

  135. インピーダンス解析による強誘電性HfO2における分極反転過程の観察

    安田脩平、柴山茂久、西村知紀、矢嶋赳彬、右田真司、鳥海明

    2018年 第79回 応用物理学会秋季学術講演会 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋国際会議場(名古屋)   Country:Japan  

  136. Al2O3/SiC形成後の酸素ラジカル処理による界面特性の改善

    土井拓馬、竹内和歌奈、坂下満男、柴山茂久、田岡紀之、中塚理、財満鎭明

    2018年 第79回 応用物理学会秋季学術講演会 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋国際会議場(名古屋)   Country:Japan  

  137. Effect of internal-strain caused by monoclinic phase foramtion on ferroelectric phase formation of ZrO2 International conference

    S. Shibayama, T. Nishimura, X. Tian, S. Migita, and A. Toriumi

    2018 International Conference on Solid State Devices and Materials 

     More details

    Event date: 2018.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tokyo (Japan)   Country:Japan  

  138. Junctionless ferroelectric FET with doped HfO2 on n+-TiO2 for three-terminal nonvolatile switch International conference

    L. Xu, S. Shibayama, T. Nishimura, T. Yajima, S. Migita, and A. Toriumi

    2018 International Conference on Solid State Devices and Materials 

     More details

    Event date: 2018.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  139. High on/off ratio (>10) ferroelectric tunnel junctions (FTJs) with ultrathin Y-doped HfO2 International conference

    X. Tian, S. Shibayama, T. Nishimura, T. Yajima, S. Migita, and A. Toriumi

    2018 International Conference on Solid State Devices and Materials 

     More details

    Event date: 2018.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  140. Atomically flat interface formation on Ge(111) in oxidation process International conference

    T. Nishimura, S. Takemura, X. Wang, S. Shibayama, T. Yajima, and A. Toriumi

    2018 International Conference on Solid State Devices and Materials 

     More details

    Event date: 2018.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tokyo (Japan)   Country:Japan  

  141. Ferroelectric and anti-ferroelectric phase control of un-doped ZrO2 International conference

    S. Shibayama, T. Nishimura, S. Migita, and A. Toriumi

    2018 ISAF-FMA-AMF-AMEC-PFM Joint Conference (IFAAP 2018) 

     More details

    Event date: 2018.5 - 2018.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  142. Ge酸化に伴う表面平坦性の劣化と酸化機構の変化

    竹村 千里、柴山 茂久、西村 知紀、矢嶋 赳彬、鳥海 明

    第65回応用物理学会春季学術講演会 

     More details

    Event date: 2018.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大 (東京)   Country:Japan  

  143. Improvement of Remanent Polarization and Endurance Characteristics in Thin Ferroelectric Y-doped HfO2

    X. Tian, S. Shibayama, T. Nishimura, T. Yajima, S. Migita, and A. Toriumi

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  144. HfxZr1-xO2が広い濃度領域で強誘電性を示す起源について

    柴山 茂久、西村 知紀、右田 真司、鳥海 明

    第65回応用物理学会春季学術講演会 

     More details

    Event date: 2018.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大 (東京)   Country:Japan  

  145. アンドープZrO2薄膜における強誘電性の実現

    柴山 茂久、西村 知紀、右田 真司、鳥海 明

    第65回応用物理学会春季学術講演会 

     More details

    Event date: 2018.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  146. パルスレーザーアニールによるHfO2薄膜の非平衡結晶化

    森 優樹、柴山 茂久、矢嶋 赳彬、西村 知紀、右田 真司、鳥海 明

    第65回応用物理学会春季学術講演会 

     More details

    Event date: 2018.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大 (東京)   Country:Japan  

  147. Nucleation-Driven Ferroelectric Phase Formation in ZrO2 Thin Films -What is Different in ZrO2 from HfO2 ?- International conference

    S. Shibayama, T. Nishimura, S. Migita, and A. Toriumi

    2nd Electron Devices Technology and Manufacturing (EDTM) Conference 2018 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kobe (Japan)   Country:Japan  

  148. Sub-nm EOT Ferroelectric HfO2 on p+Ge with Highly Reliable Field Cycling Properties Invited

    X. Tian, L. Xu, S. Shibayama, T. Nishimura, T. Yajima, S. Migita, and A. Toriumi

     More details

    Event date: 2018.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  149. Sub-nm EOT Ferroelectric HfO2 on p+Ge with Highly Reliable Field Cycling Properties International conference

    X. Tian, L. Xu, S. Shibayama, T. Nishimura, T. Yajima, S. Migita, and A. Toriumi

    2017 IEEE International Electron Devices Meeting 

     More details

    Event date: 2017.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:SanFrancisco (USA)   Country:United States  

  150. Thickness-dependent ferroelectric phase evolution in doped HfO2 International conference

    L. Xu, T. Nishimura, S. Shibayama, T. Yajima, S. Migita, and A. Toriumi

    2017 International Conference on Solid State Devices and Materials 

     More details

    Event date: 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sendai (Japan)   Country:Japan  

  151. Direct Evidence of 3-nm-thick Ferroelectric HfO2 International conference

    X. Tian, S. Shibayama, T. Nishimura, T. Yajima, S. Migita, and A. Toriumi

    2017 International Conference on Solid State Devices and Materials 

     More details

    Event date: 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sendai (Japan)   Country:Japan  

  152. Dopant-independent maximum Pr of doped ferroelectric HfO2

    L. Xu, S. Shibayama, T. Nishimura, T. Yajima, S. Migita, and A. Toriumi

     More details

    Event date: 2017.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  153. Ferroelectric tunnel junctions with ultrathin Y2O3-doped HfO2

    X. Tian, S. Shibayama, L. Xu, S. Migita, and A. Toriumi

     More details

    Event date: 2017.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  154. HfO2膜の強誘電相形成における熱履歴の重要性

    柴山 茂久、徐 倫、田 璇、右田 真司、鳥海 明

    第64回応用物理学会春季学術講演会 

     More details

    Event date: 2017.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:パシフィコ横浜 (横浜)   Country:Japan  

  155. General relationship for cation and anion doping effects on ferroelectric HfO2 formation Invited

    L. Xu, S. Shibayama, K. Izukashi, T. Nishimura, T. Yajima, S. Migita, and A. Toriumi

     More details

    Event date: 2017.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  156. 圧電応答力顕微鏡を用いたYドープHfO2の強誘電性ドメインの観察

    柴山 茂久、徐 倫、田 璇、右田 真司、鳥海 明

    特別研究会「電子デバイス界面テクノロジー研究会―材料・プロセス・デバイス特性の物理―」(第22回) 

     More details

    Event date: 2017.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東レ総合研修センター(静岡、三島)   Country:Japan  

  157. Ferroelectric HfO2 MIS Capacitor and MISFET on Oxide Semiconductors International conference

    L. Xu, S. Shibayama, K. Izukashi, T. Nishimura, T. Yajima, S. Migita, and A. Toriumi

    47th IEEE Semiconductor Interface Specialists Conference  

     More details

    Event date: 2016.12

    Language:English   Presentation type:Poster presentation  

    Venue:San Diego (USA)   Country:Japan  

  158. General relationship for cation and anion doping effects on ferroelectric HfO2 formation International conference

    L. Xu, S. Shibayama, K. Izukashi, T. Nishimura, T. Yajima, S. Migita, and A. Toriumi

    2016 IEEE International Electron Devices Meeting 

     More details

    Event date: 2016.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:SanFrancisco (USA)   Country:United States  

  159. Opportunity of Ferroelectric Phase Formation in Nitrogen-doped HfO2 International conference

    L. Xu, S. Shibayama, T. Nishimura, T. Yajima, S. Migita, and A. Toriumi

    2016 International Conference on Solid State Devices and Materials 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tsukuba (Japan)   Country:Japan  

  160. Depolarization Process in Ferroelectric HfO2 Probed by Piezo-response Force Microscopy (PFM) International conference

    S. Shibayama, L. Xu, X. Tian, S. Migita, and A. Toriumi

    2016 International Conference on Solid State Devices and Materials 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tsukuba (Japan)   Country:Japan  

  161. Tunneling Electro-resistance Effect in Ultra-thin Ferroelectric HfO2 Junctions International conference

    X. Tian, S. Shibayama, T. Nishimura, T. Yajima, S. Migita, and A. Toriumi

    2016 International Conference on Solid State Devices and Materials 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tsukuba (Japan)   Country:Japan  

  162. Effects of nitrogen bonding on para-/ferroelectric transition of HfO2 Invited

    L. Xu, T. Nishimura, S. Shibayama, T. Yajima, S. Migita, and A. Toriumi

     More details

    Event date: 2016.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  163. Study of polarization uniformity in N-doped ferroelectric HfO2 by piezo-response force microscopy

    L. Xu, S. Shibayama, T. Nishimura, T. Yajima, S. Migita, and A. Toriumi

     More details

    Event date: 2016.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  164. 強誘電性HfO2膜における局所内部電界に起因する分極の不均質性

    柴山 茂久、徐 倫、田 璇、右田 真司、鳥海 明

    第77回 応用物理学会秋季学術講演会 

     More details

    Event date: 2016.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:朱鷺メッセ (新潟)   Country:Japan  

  165. 圧電応答力顕微鏡を用いた強誘電性HfO2のエージング特性に関する研究 Invited

    柴山茂久, 徐倫, 右田真司, 鳥海明

    応用物理シリコンテクノロジー分科会 第194回 研究集会「2016 VLSI特別シンポジウム」特集 

     More details

    Event date: 2016.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:甲南大学 (東京)   Country:Japan  

  166. Study of Wake-up and Fatigue Properties in Doped and Undoped Ferroelectric HfO2 in Conjunction with Piezo-Response Force Microscopy Analysis International conference

    S. Shibayama, L. Xu, S. Migita, and A. Toriumi

    2016 Symposia on VLSI Technology and Circuits 

     More details

    Event date: 2016.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hawaii (USA)   Country:United States  

  167. 10-nm-Scale Ferroelectric Domain Distribution in Ferroelectric HfO2 Observed by Using Piezo-Response Force Microscopy International conference

    S. Shibayama, L. Xu, X. Tian, S. Migita, and A. Toriumi

    7th International Symposium on control of semiconductor interfaces (ISCSI-VII)/International SiGe Technology and Device Meeting (ISTDM 2016) 

     More details

    Event date: 2016.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya (Japan)   Country:Japan  

  168. 多相HfO2膜における均一強誘電相の発現

    柴山茂久, 徐倫, 右田真司, 鳥海明

    2016年 第63回応用物理学会春季学術講演会 

     More details

    Event date: 2016.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東工大 (大岡山, 東京)   Country:Japan  

  169. ラマン分光測定及びXRDによる強誘電性YドープHfO2の構造解析

    厳樫一孝, 柴山茂久, 矢嶋赳彬, 西村知紀, 右田真司, 鳥海明

    第63回応用物理学会 春季学術講演会 

     More details

    Event date: 2016.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東工大 (大岡山, 東京)   Country:Japan  

  170. New finding of ferroelectricity of N doped HfO2 films

    L. Xu, T. Nishimura, S. Shibayama, T. Yajima, S. Migita, and A. Toriumi

     More details

    Event date: 2016.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  171. 強誘電性HfO2膜における分極ドメインの減衰

    柴山茂久, 徐倫, 右田真司, 鳥海明

    第63回応用物理学会 春季学術講演会 

     More details

    Event date: 2016.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東工大 (大岡山, 東京)   Country:Japan  

  172. 原子層堆積法を用いたGeO2/Ge界面形成および欠陥の堆積温度依存性

    兼松正行, 柴山茂久, 坂下満男, 竹内和歌奈, 中塚理, 財満鎭明

    特別研究会「電子デバイス界面テクノロジー研究会―材料・プロセス・デバイス特性の物理―」(第21回) 

     More details

    Event date: 2016.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東レ総合研修センター(静岡、三島)   Country:Japan  

  173. Si1-xSnx半導体のエネルギーバンド構造に関する理論的および実験的分析

    長江祐樹, 柴山茂久, 黒澤昌志, 洗平昌晃, 中塚理, 白石賢二, 財満鎭明

    特別研究会「電子デバイス界面テクノロジー研究会―材料・プロセス・デバイス特性の物理―」(第21回) 

     More details

    Event date: 2016.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東レ総合研修センター(静岡、三島)   Country:Japan  

  174. Study of local polarization in ferroelectric HfO2 films with piezo-response force microscope (PFM) International conference

    S. Shibayama, L. Xu, S. Migita, and A. Toriumi

    9th International Workshop on New Group IV Semiconductor Nanoelectonics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultra-large Scale Integration" 

     More details

    Event date: 2016.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sendai (Japan)   Country:Japan  

  175. Control of Schottky barrier height at metal/Ge interface by insertion of GexSn1-x layer International conference

    A. Suzuki, O. Nakatsuka, S. Shibayama, M. Sakashita, W. Takeuchi, M. Kurosawa, and S. Zaima

    9th International Workshop on New Group IV Semiconductor Nanoelectonics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultra-large Scale Integration" 

     More details

    Event date: 2016.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sendai (Japan)   Country:Japan  

  176. Control of Schottky Barrier Height at Metal/Ge Interface by SnxGe1-x Interlayer International conference

    A. Suzuki, O. Nakatsuka, S. Shibayama, M. Sakashita, W. Takeuchi, M. Kurosawa, and S. Zaima

    International Symposium on EcoTopia Science 2015 (ISETS '15) 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya (Japan)   Country:Japan  

  177. Calculation of Si1-xSnx Energy Band Structures by using Density Functional Theory Considering Atomic Configuration International conference

    Y. Nagae, M. Kurosawa, S. Shibayama, O. Nakatsuka, and S. Zaima

    International Symposium on EcoTopia Science 2015 (ISETS '15) 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya (Japan)   Country:Japan  

  178. 超高Sn組成Sn1-xGexエピタキシャル層の形成および金属/Sn1-xGex/Geコンタクトの電気伝導特性の制御

    鈴木陽洋, 中塚理, 柴山茂久, 坂下満男, 竹内和歌奈, 黒澤昌志, 財満鎭明

    応用物理学会SC東海地区学術講演会 2015 (JSAP SCTS 2015) 

     More details

    Event date: 2015.11

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大 (愛知)   Country:Japan  

  179. 密度汎関数法によるSi1-xSnx価電子帯端準位の理論予測および実験的妥当性

    長江祐樹, 柴山茂久, 黒澤昌志, 洗平昌晃, 中塚理, 白石賢二, 財満鎭明

    応用物理学会SC東海地区学術講演会 2015 (JSAP SCTS 2015) 

     More details

    Event date: 2015.11

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大 (愛知)   Country:Japan  

  180. Influence of atomic layer deposition temperature of GeO2 layer on electrical properties of Ge gate stack International conference

    M. Kanematsu, S. Shibayama, M. Sakashita, W. Takeuchi, O. Nakatsuka, and S. Zaima

    2015 International Workshop on DIELECTRIC THIN FILMS FOR FUTURE ELECTRON DEIVICES -SCIENCE AND TECHNOLOGY-(IWDTF2015) 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tokyo (Japan)   Country:Japan  

  181. Evaluation of energy band structure of Si1-xSnx by density functional theory calculation and photoelectron spectroscopy International conference

    Y. Nagae, S. Shibayama, M. Kurosawa, M. Araidai, M. Sakashita, O. Nakatsuka, K. Shiraishi, and S. Zaima

    2015 International Workshop on DIELECTRIC THIN FILMS FOR FUTURE ELECTRON DEIVICES -SCIENCE AND TECHNOLOGY-(IWDTF2015) 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tokyo (Japan)   Country:Japan  

  182. Ge基板上への超高Sn組成Ge1-xSnxエピタキシャル層の形成およびGe1-xSnx界面層が金属/Geコンタクトのショットキー障壁高さに及ぼす影響

    鈴木陽洋, 中塚理, 柴山茂久, 坂下満男, 竹内和歌奈, 黒澤昌志, 財満鎭明

    第4回結晶工学未来塾 

     More details

    Event date: 2015.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京農工大 (小金井、東京)   Country:Japan  

  183. Impact of ultra-high Sn content SnxGe1-x interlayer on reducing Schottky barrier height at metal/n-Ge interface International conference

    A. Suzuki, O. Nakatsuka, S. Shibayama, M. Sakashita, W. Takeuchi, M. Kurosawa, and S. Zaima

    International Conference on Solid State Devices and Materials (SSDM2015) 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Poster presentation  

    Venue:Sapporo (Japan)   Country:Japan  

  184. 1T-TaS2の相転移に対する温度およびゲートバイアス変調効果

    柴山茂久, 方楠, 矢嶋赳彬, 西村知紀, 長汐晃輔, 鳥海明

    第76回 応用物理学会秋季学術講演会 

     More details

    Event date: 2015.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋国際会議場(名古屋)   Country:Japan  

  185. 原子層堆積法を用いたGeO2/Ge界面の低温形成と電気的特性評価

    兼松正行, 柴山茂久, 坂下満男, 竹内和歌奈, 中塚理, 財満鎭明

    第76回 応用物理学会秋季学術講演会 

     More details

    Event date: 2015.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋国際会議場(名古屋)   Country:Japan  

  186. Ge1-xSnxエピタキシャル層中における欠陥形成に対するSn組成の影響

    浅野孝典, 柴山茂久, 竹内和歌奈, 坂下満男, 中塚理, 財満鎭明

    第76回 応用物理学会秋季学術講演会 

     More details

    Event date: 2015.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋国際会議場(名古屋)   Country:Japan  

  187. Reduction of Schottky barrier height with Sn/Ge contact International conference

    A. Suzuki, O. Nakatsuka, S. Shibayama, M. Sakashita, W. Takeuchi, M. Kurosawa, and S. Zaima

    JSPS International Workshop Core-to-Core Program Atomically Controlled Processing for Ultra-large Scale Integration 

     More details

    Event date: 2015.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Marseille (France)   Country:France  

  188. 金属/Ge界面への超高Sn組成SnxGe1-x層導入による界面電気伝導特性の制御

    鈴木陽洋, 柴山茂久, 坂下満男, 竹内和歌奈, 中塚理, 財満鎭明

    シリコン材料・デバイス研究会(SDM) 

     More details

    Event date: 2015.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大 (愛知)   Country:Japan  

  189. Ge1-xSnxエピタキシャル層中の欠陥へ及ぼす熱処理の効果

    浅野孝典, 柴山茂久, 竹内和歌奈, 坂下満男, 中塚理, 財満鎭明

    シリコン材料・デバイス研究会(SDM) 

     More details

    Event date: 2015.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大 (愛知)   Country:Japan  

  190. Formation of Type-I Energy Band Alignment of Ge1-x-ySixSny/Ge Heterostructure International conference

    T. Yamaha, K. Kato, S. Shibayama, T. Asano, M. Sakashita, O. Nakatsuka, and S. Zaima

    The 9th International Conference on Silicon Epitaxy and Heterostructures (ICSI9)  

     More details

    Event date: 2015.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Montreal (Canada)   Country:Canada  

  191. Control of Electrically Active Defects in Ge1-xSnx Epitaxial Layers International conference

    T. Asano, S. Shibayama, W. Takeuchi, M. Sakashita, O. Nakatsuka, S. Zaima

    The 9th International Conference on Silicon Epitaxy and Heterostructures (ICSI9)  

     More details

    Event date: 2015.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Montreal (Canada)   Country:Canada  

  192. Ge1-x-ySixSny/Geヘテロ構造におけるエネルギーバンド構造の解明

    山羽隆, 加藤公彦, 柴山茂久, 浅野孝典, 坂下満男, 中塚理, 財満鎭明

    第62回応用物理学会 春季学術講演会 

     More details

    Event date: 2015.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東海大学 (神奈川、湘南)   Country:Japan  

  193. リン酸溶液中レーザドーピングにおけるGe 基板面方位の効果

    高橋恒太, 黒澤昌志, 池上浩, 柴山茂久, 坂下満男, 竹内和歌奈, 中塚理, 財満鎭明

    第62回応用物理学会 春季学術講演会 

     More details

    Event date: 2015.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東海大学 (神奈川、湘南)   Country:Japan  

  194. 金属/Ge 界面への高Sn組成Ge1−xSnx層挿入によるショットキー障壁高さの低減

    鈴木陽洋, 柴山茂久, 坂下満男, 竹内和歌奈, 中塚理, 財満鎭明

    第62回応用物理学会 春季学術講演会 

     More details

    Event date: 2015.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東海大学 (神奈川、湘南)   Country:Japan  

  195. Al2O3/Ge構造に対する熱酸化にともなうGe表面からのGe原子放出過程

    柴山茂久, 中嶋薫, 坂下満男, 中塚理, 木村健二, 財満鎭明

    第62回応用物理学会 春季学術講演会  

     More details

    Event date: 2015.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東海大学 (神奈川、湘南)   Country:Japan  

  196. Ge1−xSnxエピタキシャル層中の欠陥の電気的特性

    浅野孝典, 柴山茂久, 竹内和歌奈, 坂下満男, 中塚理, 財満鎭明

    第62回応用物理学会 春季学術講演会 

     More details

    Event date: 2015.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東海大学 (神奈川、湘南)   Country:Japan  

  197. GGA+U法によるSi1-xSnx材料物性の精密予測

    長江祐樹, 黒澤昌志, 加藤元太, 柴山茂久, 中塚理, 財満鎭明

    第62回応用物理学会 春季学術講演会 

     More details

    Event date: 2015.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東海大学 (神奈川、湘南)   Country:Japan  

  198. GeO2薄膜の正方晶形成による化学的安定性の向上

    柴山茂久, 吉田鉄兵, 加藤公彦, 坂下満男, 竹内和歌奈, 田岡紀之, 中塚理, 財満鎭明

    ゲートスタック研究会 ―材料・プロセス・評価の物理― (第20回研究会) 

     More details

    Event date: 2015.1

    Language:Japanese   Presentation type:Poster presentation  

    Venue:東レ総合研修センター(静岡、三島)   Country:Japan  

  199. Sn/Ge コンタクトにおけるフェルミレベルピニングの軽減およびショットキー障壁高さの低減

    鈴木陽洋, 鄧云生, 柴山茂久, 黒澤昌志, 坂下満男, 中塚理, 財満鎭明

    ゲートスタック研究会 ―材料・プロセス・評価の物理― (第20回研究会) 

     More details

    Event date: 2015.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東レ総合研修センター(静岡、三島)   Country:Japan  

  200. Devolepment of metal/Ge contacts for engineering Schottky barriers International conference

    O. Nakatsuka, Y. Deng, A. Suzuki, S. Shibayama, M. Kurosawa, W. Takeuchi, M. Sakashita, N. Taoka, and S. Zaima

    JSPS International Core-to-Core Program Workshop on Atomically Controlled Processing for Ultra-large Scale Integration 

     More details

    Event date: 2014.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Leuven (Belgium)   Country:Belgium  

  201. パルス MOCVD 法を用いた Ge(001)基板上における正方晶 GeO2 膜の形成

    柴山茂久, 吉田鉄兵, 加藤公彦, 坂下満男, 竹内和歌奈, 田岡紀之, 中塚理, 財満鎭明

    応用物理学会SC東海地区学術講演会 2014 (JSAP SCTS 2014) 

     More details

    Event date: 2014.11

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大 (愛知)   Country:Japan  

  202. Sn/Ge界面の結晶構造およびショットキー障壁高さのGe面方位依存性

    鈴木陽洋, 鄧云生, 柴山茂久, 黒澤昌志, 坂下満男, 中塚理, 財満鎭明

    応用物理学会SC東海地区学術講演会 2014 (JSAP SCTS 2014) 

     More details

    Event date: 2014.11

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大 (愛知)   Country:Japan  

  203. Low Schottky barrier height contacts with Sn electrode for various orientation n-Ge substrates International conference

    A. Suzuki, D. Yunsheng, S. Shibayama, M. Kurosawa, M. Sakashita, O. Nakatsuka, and S. Zaima

    Advanced Metallization Conference 2014 

     More details

    Event date: 2014.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tokyo (Japan)   Country:Japan  

  204. パルスMOCVD法により作製したGeO2薄膜を用いたゲートスタック構造の界面構造と電気的特性

    柴山茂久, 吉田鉄兵, 加藤公彦, 坂下満男, 竹内和歌奈, 田岡紀之, 中塚理, 財満鎭明

    第75回応用物理学会秋季学術講演会 

     More details

    Event date: 2014.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道大(札幌)   Country:Japan  

  205. Si1-xSnx薄膜におけるバンドギャップナローウィングの初観測

    黒澤昌志, 柴山茂久, 加藤元太, 山羽隆, 坂下満男, 竹内和歌奈, 中塚理, 財満鎭明

    第75回応用物理学会秋季学術講演会 

     More details

    Event date: 2014.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道大(札幌)   Country:Japan  

  206. 酸化プロセスにおける絶縁膜/Ge界面の界面準位密度を決定づける物理的要因

    柴山茂久, 加藤公彦, 坂下満男, 竹内和歌奈, 田岡紀之, 中塚理, 財満鎭明

    第61回応用物理学会 春季学術講演会  

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大(神奈川)   Country:Japan  

  207. MOCVD法により形成した極薄GeO2を用いたAl2O3/GeOx/Ge構造の電気的特性および構造評価

    吉田鉄兵, 加藤公彦, 柴山茂久, 坂下満男, 田岡紀之, 竹内和歌奈, 中塚理, 財満鎭明

    ゲートスタック研究会 ―材料・プロセス・評価の物理― (第19回研究会) 

     More details

    Event date: 2014.1

    Language:Japanese   Presentation type:Poster presentation  

    Venue:ニューウェルシティー湯河原(熱海)   Country:Japan  

  208. 低界面準位密度を有するGe MOS構造を実現するGe表面の酸化条件

    柴山茂久, 加藤公彦, 坂下満男, 竹内和歌奈, 田岡紀之, 中塚理, 財満鎭明

    ゲートスタック研究会 ―材料・プロセス・評価の物理― (第19回研究会) 

     More details

    Event date: 2014.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ニューウェルシティー湯河原 (熱海)   Country:Japan  

  209. Al2O3/Ge構造の後熱酸化によるAlGeO形成にともなう界面特性の改善

    柴山茂久, 加藤公彦, 坂下満男, 竹内和歌奈, 田岡紀之, 中塚理, 財満鎭明

    応用物理学会SC東海地区学術講演会 2013 (JSAP SCTS 2013) 

     More details

    Event date: 2013.11

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  210. Interface Properties of Al2O3/Ge MOS Structures with Thin Ge Oxide Interfacial Layer Formed by Pulsed MOCVD International conference

    T. Yoshida, K. Kato, S. Shibayama, M. Sakashita, N. Taoka, W. Takeuchi, O. Nakatsuka, and S. Zaima

    International Workshop on Dielectric Thin Films for Future Electron Devices-Science and Technology- (2013 IWDTF) 

     More details

    Event date: 2013.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tokyo (Japan)   Country:Japan  

  211. Quantitative Guideline for Formation of Ge MOS Interface with Low Interface State Density International conference

    S. Shibayama, K. Kato, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    International Workshop on Dielectric Thin Films for Future Electron Devices-Science and Technology- (2013 IWDTF) 

     More details

    Event date: 2013.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tokyo (Japan)   Country:Japan  

  212. Reduction of Interface State Density due to Post Oxidation with Formation of AlGeO Layer at Al2O3/Ge Interface International conference

    S. Shibayama, K. Kato, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    224th The Electrochemical Society (ECS) Meeting 

     More details

    Event date: 2013.10 - 2013.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:SanFrancisco (USA)   Country:United States  

  213. 界面反応機構に基づくAl2O3/Ge界面構造制御

    柴山茂久, 加藤公彦, 坂下満男, 竹内和歌奈, 田岡紀之, 中塚理, 財満鎭明

    第74回応用物理学会秋季学術講演会 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:同志社大(京都)   Country:Japan  

  214. テトラエトキシゲルマニウムによる極薄GeO2膜の形成

    吉田鉄兵, 加藤公彦, 柴山茂久, 坂下満男, 竹内和歌奈, 田岡紀之, 中塚理, 財満鎭明

    シリコン材料・デバイス研究会(SDM) 

     More details

    Event date: 2013.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:機会振興会館(東京)   Country:Japan  

  215. Al2O3/Ge構造における酸化機構の解明と界面反応がその特性に及ぼす影響

    柴山茂久, 加藤公彦, 坂下満男, 竹内和歌奈, 田岡紀之, 中塚理, 財満鎭明

    シリコン材料・デバイス研究会(SDM) 

     More details

    Event date: 2013.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:機会振興会館(東京)   Country:Japan  

  216. Impacts of AlGeO Formation by Post Thermal Oxidation of Al2O3/Ge Structure on Interface Properties International conference

    S. Shibayama, K. Kato, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    6th International Symposium on Control of Semiconductor Interfaces(ISCSI-VI)  

     More details

    Event date: 2013.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka (Japan)   Country:Japan  

  217. Al2O3/Ge構造の熱酸化による界面構造変化と界面特性との相関関係

    柴山茂久, 加藤公彦, 坂下満男, 竹内和歌奈, 田岡紀之, 中塚理, 財満鎭明

    第60回応用物理学会 春季学術講演会 

     More details

    Event date: 2013.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工大(神奈川)   Country:Japan  

  218. AlGeO Formation near Al2O3/Ge Interface with Post Thermal Oxidation International conference

    S. Shibayama, K. Kato, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    6th International WorkShop on New Group IV Semiconductor Nanoelectronics 

     More details

    Event date: 2013.2

    Language:English   Presentation type:Poster presentation  

    Venue:Sendai (Japan)   Country:Japan  

  219. Feasibility of Ge Device Fabrication by Low Temperature Processes on ULSI Circuits Invited International conference

    N. Taoka, M. Kurosawa, K. Kato, S. Shibayama, M. Sakashita, O. Nakatsuka, and S. Zaima

    6th International WorkShop on New Group IV Semiconductor Nanoelectronics 

     More details

    Event date: 2013.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sendai (Japan)   Country:Japan  

  220. Control of Al2O3/Ge Interfacial Structures by Post Oxidation Technique Using Oxygen Radical International conference

    K. Kato, S. Shibayama, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya (Japan)   Country:Japan  

  221. テトラエトキシゲルマニウムを用いた極薄Ge酸化膜の形成

    吉田鉄兵, 加藤公彦, 柴山茂久, 坂下満男, 竹内和歌奈, 田岡紀之, 中塚理, 財満鎭明

    ゲートスタック研究会 ―材料・プロセス・評価の物理― (第18回研究会) 

     More details

    Event date: 2013.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ニューウェルシティー湯河原(熱海)   Country:Japan  

  222. Al2O3/Ge構造に対する熱酸化機構の解明

    柴山茂久, 加藤公彦, 坂下満男, 竹内和歌奈, 田岡紀之, 中塚理, 財満鎭明

    ゲートスタック研究会 ―材料・プロセス・評価の物理― (第18回研究会) 

     More details

    Event date: 2013.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ニューウェルシティー湯河原(熱海)   Country:Japan  

  223. Interfacial Reaction Mechanism in Al2O3/Ge Structure by Oxygen Radical International conference

    K. Kato, S. Shibayama, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    International Conference on Solid State Devices and Materials 2012 (SSDM2012) 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Poster presentation  

    Venue:Kyoto(Japan)   Country:Japan  

  224. Thermal Oxidation Mechanism of Ge through Al2O3 Layer Formed on Ge Substrate International conference

    S. Shibayama, K. Kato M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    IUMRS-International Conference on Electronic Materials 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Yokohama (Japan)   Country:Japan  

  225. テトラエトキシゲルマニウムを用いた原子層堆積法によるGe酸化膜の形成

    吉田鉄兵, 加藤公彦, 柴山茂久, 坂下満男, 竹内和歌奈, 田岡紀之, 中塚理, 財満鎭明

    2012年秋季 第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛媛大(愛媛)   Country:Japan  

  226. Effect of Light Exposure and Air Exposures on Electrical Properties of GeO2/Ge and Al2O3/Ge Gate Stack Structures International conference

    Kusumandari, W. Takeuchi, K. Kato, S. Shibayama, M. Sakashita, O. Nakatsuka, and S. Zaima

    Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2012) 

     More details

    Event date: 2012.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Naha (Japan)   Country:Japan  

  227. Al2O3/Ge構造への酸素熱処理および酸素ラジカル処理による界面反応機構の解明

    柴山茂久, 加藤公彦, 坂下満男, 竹内和歌奈, 田岡紀之, 中塚理, 財満鎭明

    シリコン材料・デバイス研究会(SDM) 

     More details

    Event date: 2012.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大(名古屋)   Country:Japan  

  228. Al2O3/Ge構造に対する酸素熱処理温度依存性およびその界面反応機構

    柴山茂久, 加藤公彦, 坂下満男, 竹内和歌奈, 中塚理, 財満鎭明

    第59回応用物理学会関係連合講演会 

     More details

    Event date: 2012.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大(東京)   Country:Japan  

  229. Al2O3/Geに対する酸素熱処理が電気的特性および化学結合状態に与える効果

    柴山茂久, 加藤公彦, 坂下満男, 竹内和歌奈, 中塚理, 財満鎭明

    ゲートスタック研究会 ―材料・プロセス・評価の物理― (第17回研究会) 

     More details

    Event date: 2012.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東レ総合研修センター(三島)   Country:Japan  

  230. Al2O3/Geゲートスタック構造に対する酸素熱処理の化学結合状態および界面特性に与える効果

    柴山茂久, 加藤公彦, 坂下満男, 竹内和歌奈, 中塚理, 財満鎭明

    第11回 日本表面科学会中部支部 学術講演会 

     More details

    Event date: 2011.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:豊田工科大   Country:Japan  

  231. Effect of Light Exposure during Plasma Process on Electrical Properties of Au/Al2O3/Ge MOS Capacitor

    Kusumandari, W. Takeuchi, K. Kato, S. Shibayama, M. Sakashita, O. Nakatsuka, and S. Zaima

     More details

    Event date: 2011.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  232. Improvement of Al2O3/Ge Interfacial Properties by O2 Annealing International conference

    S. Shibayama, K. Kato M. Sakashita, W. Takeuchi, O. Nakatsuka, and S. Zaima

    7th International Conference on Silicon Epitaxy and Heterostructures (ICSI-7)  

     More details

    Event date: 2011.8 - 2011.9

    Language:English   Presentation type:Poster presentation  

    Venue:Leuven (Belgium)   Country:Belgium  

  233. Al2O3/Ge構造に対する酸素熱処理の界面特性に及ぼす効果

    柴山茂久, 加藤公彦, 坂下満男, 竹内和歌奈, 中塚理, 財満鎭明

    シリコン材料・デバイス研究会(SDM) 

     More details

    Event date: 2011.7

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大   Country:Japan  

  234. Evaluation of Light Induced Damages in Plasma Process on Electrical Properties of Al2O3/Ge Gate Stack Structure

    Kusumandari, W. Takeuchi, K. Kato, S. Shibayama, M. Sakashita, O. Nakatsuka, and S. Zaima

     More details

    Event date: 2011.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  235. 熱処理によるAl2O3/Ge界面構造制御

    柴山茂久, 加藤公彦, 坂下満男, 竹内和歌奈, 中塚理, 財満鎭明

    第58回応用物理学会関係連合講演会 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工大(神奈川)   Country:Japan  

▼display all

Works 1

  1. 次世代高駆動力集積回路に向けたGeO2膜の原子層堆積手法の開発

    2014

Research Project for Joint Research, Competitive Funding, etc. 4

  1. GeSnスピンデバイス応用に向けた基盤技術構築

    2022.4 - 2023.3

    集積システム材料産学連携コンソーシアム共同研究 

      More details

    Authorship:Principal investigator  Grant type:Collaborative (industry/university)

    Grant amount:\1400000 ( Direct Cost: \1077000 、 Indirect Cost:\323000 )

  2. 非平衡系Ⅳ族混晶半導体の光集積実現に向けた基盤技術開発

    2021.6 - 2022.3

    2021年度 牧誠記念研究助成 

    柴山 茂久

      More details

    Grant type:Other

    Grant amount:\1000000

  3. 金属の低仕事関数化に着目した金属/4H-SiCコンタクトの界面構造制御指針の提案

    2021.2 - 2022.3

    2020年度 パワーアカデミー研究助成「萌芽研究」 

    柴山茂久

      More details

    Authorship:Principal investigator  Grant type:Other

    2720000514

  4. 界面ナノ構造制御による超低抵抗率金属/Ⅳ族半導体コンタクト形成技術

    2020.6 - 2021.3

    2020年度キオクシア奨励研究 

    中塚理、柴山茂久、坂下満男、笠原健太郎

      More details

    Authorship:Collaborating Investigator(s) (not designated on Grant-in-Aid)  Grant type:Collaborative (industry/university)

    2720J0012c

KAKENHI (Grants-in-Aid for Scientific Research) 4

  1. Al-Sn共晶を媒介とした拡散・偏析法を用いたスズハニカムナノシート合成への挑戦

    Grant number:23K17745  2023.4 - 2025.3

    日本学術振興会  科学研究費補助金  挑戦的研究(萌芽)

    柴山茂久

      More details

    Authorship:Principal investigator  Grant type:Competitive

    Grant amount:\6500000 ( Direct Cost: \5000000 、 Indirect Cost:\1500000 )

  2. 二元系遷移金属酸化物の強誘電性に関する研究

    2016.4 - 2019.3

    科学研究費補助金  挑戦的萌芽研究

    柴山茂久

      More details

    Authorship:Principal investigator 

  3. 次世代超低消費電力トンネルFETの実現に向けたゲルマニウムスズの電子物性制御

    2015.4 - 2018.3

    科学研究費補助金 

    柴山茂久

      More details

    Authorship:Principal investigator 

  4. ULSIの高速化に向けた高誘電率ゲート絶縁膜/ゲルマニウム界面構造制御

    2013.4 - 2015.3

    科学研究費補助金 

      More details

    Authorship:Principal investigator 

Industrial property rights 1

  1. MOSキャパシタ及びMOSFET

    坂下満男, 財満鎭明,中塚理,竹内和歌奈,柴山茂久,田岡紀之,加藤公彦,吉田鉄兵

     More details

    Applicant:国立大学法人名古屋大学(愛知県)

    Application no:2015-22059  Date applied:2015.2

    Announcement no:2016-146382  Date announced:2016.8

    Country of applicant:Domestic  

 

Teaching Experience (On-campus) 29

  1. Physical Science and Engineering Laboratory 1

    2021

  2. Physical Science and Engineering Laboratory 2

    2021

  3. Physical Science and Engineering Laboratory 3

    2021

  4. 結晶デバイスセミナー1C

    2020

  5. 結晶デバイスセミナー1D

    2020

  6. 結晶デバイスセミナー1B

    2020

  7. 結晶デバイスセミナー1A

    2020

  8. 結晶デバイス工学特別実験及び演習B

    2020

  9. 結晶デバイス工学特別実験及び演習A

    2020

  10. Physical Science and Engineering Laboratory 3

    2020

  11. Physical Science and Engineering Laboratory 2

    2020

  12. Physical Science and Engineering Laboratory 1

    2020

  13. 結晶デバイスセミナー2A

    2020

  14. 結晶デバイスセミナー2B

    2020

  15. 結晶デバイスセミナー2C

    2020

  16. 結晶デバイスセミナー2D

    2020

  17. Physical Science and Engineering Laboratory 3

    2019

  18. Physical Science and Engineering Laboratory 2

    2019

  19. Physical Science and Engineering Laboratory 1

    2019

  20. 結晶デバイス工学特別実験及び演習A

    2019

  21. 結晶デバイスセミナー1D

    2019

  22. 結晶デバイスセミナー1C

    2019

  23. 結晶デバイスセミナー1B

    2019

  24. 結晶デバイスセミナー1A

    2019

  25. 結晶デバイス工学特別実験及び演習B

    2019

  26. 結晶デバイスセミナー2D

    2019

  27. 結晶デバイスセミナー2A

    2019

  28. 結晶デバイスセミナー2B

    2019

  29. 結晶デバイスセミナー2C

    2019

▼display all

 

Social Contribution 4

  1. SSDM2023実行委員会

    Role(s):Organizing member

    2022.8 - 2023.11

     More details

    Audience: Graduate students, Researchesrs, General, Scientific, Company

    Type:Lecture

  2. SSDM2019実行委員会

    Role(s):Organizing member

    2018.8 - 2019.11

     More details

    Audience: Graduate students, Researchesrs, General, Scientific, Company

    Type:Lecture

  3. The JSAP Student Chapter Tokai Symposium

    Role(s):Advisor, Planner, Organizing member, Report writing, Contribution

    JSAP SC Nagoya university  2013.11

     More details

    Audience: College students, Graduate students, Researchesrs, General, Company

    Type:Lecture

  4. 名古屋大学スチューデントチャプター サイエンスカフェ

    Role(s):Planner, Organizing member

    応用物理学会 名古屋大学スチューデントチャプター  2013.6