Updated on 2024/04/11

写真a

 
SUDA Jun
 
Organization
Graduate School of Engineering Electronics 2 Professor
Graduate School
Graduate School of Engineering
Undergraduate School
School of Engineering Electrical Engineering, Electronics, and Information Engineering
Title
Professor
External link

Degree 1

  1. 博士(工学) ( 1997.3   京都大学 ) 

Research Interests 9

  1. 結晶欠陥

  2. 分子線エピタキシー

  3. エピタキシャル成長

  4. 電子物性

  5. 界面

  6. 点欠陥

  7. パワーデバイス

  8. 窒化アルミニウム

  9. 窒化ガリウム

Research Areas 6

  1. Nanotechnology/Materials / Crystal engineering

  2. Manufacturing Technology (Mechanical Engineering, Electrical and Electronic Engineering, Chemical Engineering) / Electric and electronic materials

  3. Manufacturing Technology (Mechanical Engineering, Electrical and Electronic Engineering, Chemical Engineering) / Electron device and electronic equipment

  4. Nanotechnology/Materials / Crystal engineering

  5. Manufacturing Technology (Mechanical Engineering, Electrical and Electronic Engineering, Chemical Engineering) / Electron device and electronic equipment

  6. Manufacturing Technology (Mechanical Engineering, Electrical and Electronic Engineering, Chemical Engineering) / Electric and electronic materials

▼display all

Research History 5

  1. Nagoya University   Institute of Materials and Systems for Sustainability Center for Integrated Research of Future Electronics Innovative Devices Section

    2018.4

  2. 名古屋大学大学院   工学研究科   教授

    2017.4

      More details

    Country:Japan

  3. 京都大学大学院   工学研究科   准教授

    2008.4 - 2017.3

      More details

    Country:Japan

  4. 京都大学大学院   工学研究科   講師

    2002.10 - 2008.3

      More details

    Country:Japan

  5. 京都大学大学院   工学研究科   助手

    1997.4 - 2002.9

      More details

    Country:Japan

Education 3

  1. Kyoto University   Graduate School, Division of Engineering

    1994.4 - 1997.3

      More details

    Country: Japan

  2. Kyoto University   Graduate School, Division of Engineering

    1992.4 - 1994.3

      More details

    Country: Japan

  3. Kyoto University   Faculty of Engineering

    1988.4 - 1992.3

      More details

    Country: Japan

Professional Memberships 6

  1. 応用物理学会

  2. IEEE

  3. 電気学会

  4. 電子情報通信学会

  5. 日本結晶成長学会

  6. 電子情報通信学会

▼display all

 

Papers 419

  1. Electrical Characterization of AlGaN/ GaN-HEMTs on Semi-Insulating GaN Substrates Doped With Fe, C, or Mn and Grown by Hydride Vapor Phase Epitaxy

    Tanaka, D; Iso, K; Makisako, R; Ando, Y; Suda, J

    IEEE TRANSACTIONS ON ELECTRON DEVICES     2024.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IEEE Transactions on Electron Devices  

    This article reports a comparative study on the effect of dopants in semi-insulating GaN substrates grown by hydride vapor phase epitaxy (HVPE) on the electrical characteristics of AlGaN/GaN high-electron-mobility transistors (HEMTs). GaN-HEMTs were fabricated on GaN substrates doped with iron (Fe), carbon (C), or manganese (Mn), and their electrical characteristics from 300 to 600 K were compared. Similar ON-state characteristics were observed at room temperature, regardless of the substrate dopant. However, in the OFF-state breakdown characteristics, the devices on the C-and Mn-doped substrates showed slightly lower gate leakage currents than those on the Fe-doped substrate. Pulsed <italic>I</italic>&#x2013;<italic>V</italic> characteristics showed that all devices had a small current collapse of approximately 10% or less, although the devices on the C-and Mn-doped substrates had slightly larger current collapse than that on the Fe-doped substrate. Furthermore, the temperature dependence of the OFF-state breakdown characteristics showed that the devices on the C-and Mn-doped substrates had a breakdown voltage (<inline-formula> <tex-math notation="LaTeX">$\textit{V}_{\text{BD}}$</tex-math> </inline-formula>) that exceeded 100 V, even at 600 K, while that for the device on the Fe-doped substrate was less than 20 V. Arrhenius plots of leakage current suggested that for the devices on the Fe-doped substrate, current conduction through the substrate occurred above 350 K, which resulted in a low <inline-formula> <tex-math notation="LaTeX">$\textit{V}_{\text{BD}}$</tex-math> </inline-formula>. The devices on the C-and Mn-doped substrates had low leakage through the substrate due to the high resistivity of the substrate. These results indicate the feasibility of C-or Mn-doped GaN as HEMT substrates for use in high-voltage and high-temperature applications.

    DOI: 10.1109/TED.2024.3375837

    Web of Science

    Scopus

  2. Realization of low specific-contact-resistance on N-polar GaN surfaces using heavily-Ge-doped n-type GaN films deposited by low-temperature reactive sputtering technique

    Yamada, S; Shirai, M; Kobayashi, H; Arai, M; Kachi, T; Suda, J

    APPLIED PHYSICS EXPRESS   Vol. 17 ( 3 )   2024.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    We developed a low-temperature ohmic contact formation process for N-polar GaN surfaces. Specific-contact-resistances of 9.4 × 10−5 and 2.0 × 10−5Ω cm2 were obtained using Ti/Al metal stacks on heavily-germanium-doped GaN films, which were deposited at 500 °C and 600 °C using a radical-assisted reactive sputtering method, respectively. The electrode sintering temperature was as low as 475 °C. Carrier concentrations for the 500 °C and 600 °C samples were 2.6 × 1020 and 1.8 × 1020cm−3, respectively. These results suggest that this method is highly effective in reducing the contact resistance of GaN devices with low thermal budgets.

    DOI: 10.35848/1882-0786/ad2783

    Web of Science

    Scopus

  3. Suppression of threshold voltage shift due to positive bias stress in GaN planar MOSFETs by post-deposition annealing

    Ichikawa, Y; Ueno, K; Kondo, T; Tanaka, R; Takashima, S; Suda, J

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 63 ( 2 )   2024.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    Threshold voltage instability (shift) due to positive bias stress in GaN planar-gate MOSFETs was investigated. Gate dielectric (SiO2) was formed by remote-plasma-assisted CVD on homoepitaxial Mg-doped p-type GaN layers with Si-implanted n-type source and drain regions. The threshold voltage shift of 5.8 V was observed after a stress voltage of 30 V for a sample without post-deposition annealing (PDA). The threshold voltage shift was significantly reduced to 1.4 V for a sample with PDA (800 °C for 30 min). Stress time dependences up to 6000 s were measured, revealing that the main origin of the threshold voltage shift is electron trapping into near interface traps (NITs). These results suggest that PDA is effective for the reduction of the NITs.

    DOI: 10.35848/1347-4065/ad0c43

    Web of Science

    Scopus

  4. Demonstration of AlGaN-on-AlN p-n Diodes With Dopant-Free Distributed Polarization Doping

    Kumabe, T; Yoshikawa, A; Kawasaki, S; Kushimoto, M; Honda, Y; Arai, M; Suda, J; Amano, H

    IEEE TRANSACTIONS ON ELECTRON DEVICES     2024.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IEEE Transactions on Electron Devices  

    Nearly ideal vertical Al<inline-formula> <tex-math notation="LaTeX">$_{\textit{x}}$</tex-math> </inline-formula>Ga<inline-formula> <tex-math notation="LaTeX">$_{\text{1}-\textit{x}}$</tex-math> </inline-formula>N (<inline-formula> <tex-math notation="LaTeX">$\text{0.7} \leq \textit{x} &lt; \text{1.0}$</tex-math> </inline-formula>) p-n diodes are fabricated on an aluminum nitride (AlN) substrate. Distributed polarization doping (DPD) was employed for both p-type and n-type layers of the p-n junction, instead of conventional impurity doping, to overcome the major bottleneck of AlN-based material: the control of conductivity. Capacitance&#x2013;voltage measurements revealed that the net charge concentration agreed well with the DPD charge concentration expected from the device layer structure. The fabricated devices exhibited a low turn-on voltage of 6.5 V, a low differential specific ON-resistance of 3 M<inline-formula> <tex-math notation="LaTeX">$\Omega$</tex-math> </inline-formula> cm<inline-formula> <tex-math notation="LaTeX">$^{\text{2}}$</tex-math> </inline-formula>, electroluminescence (maximum at 5.1 eV), and an ideality factor of 2 for a wide range of temperatures (room temperature&#x2014;573 K). Moreover, the breakdown electric field was 7.3 MV cm<inline-formula> <tex-math notation="LaTeX">$^{-\text{1}}$</tex-math> </inline-formula>, which was almost twice as high as the reported critical electric field of GaN at the same doping concentration. These results clearly demonstrate the usefulness of DPD in the fabrication of high-performance AlN-based power devices.

    DOI: 10.1109/TED.2024.3367314

    Web of Science

    Scopus

  5. Demonstration of recycling process for GaN substrates using laser slicing technique towards cost reduction of GaN vertical power MOSFETs

    Ishida, T; Ushijima, T; Nakabayashi, S; Kato, K; Koyama, T; Nagasato, Y; Ohara, J; Hoshi, S; Nagaya, M; Hara, K; Kanemura, T; Taki, M; Yui, T; Hara, K; Kawaguchi, D; Kuno, K; Osajima, T; Kojima, J; Uesugi, T; Tanaka, A; Sasaoka, C; Onda, S; Suda, J

    APPLIED PHYSICS EXPRESS   Vol. 17 ( 2 )   2024.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    To address the issue of the high cost of GaN substrates, a recycling process for GaN substrates using a laser slicing technique was investigated. The channel properties of lateral MOSFETs and the reverse characteristics of vertical PN diodes, which represent the main components of vertical power devices, exhibited no degradation either before and after laser slicing or due to the overall GaN substrate recycling process. This result indicates that the proposed recycling process is an effective method for reducing the cost of GaN substrates and has the potential to encourage the popularization of GaN vertical power devices.

    DOI: 10.35848/1882-0786/ad269d

    Web of Science

    Scopus

  6. Improvement of AlSiO/GaN interface by a novel post deposition annealing using ultra high pressure

    Kanechika, M; Hirata, T; Tokozumi, T; Kachi, T; Suda, J

    APPLIED PHYSICS EXPRESS   Vol. 17 ( 1 )   2024.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    In this study, a novel post-deposition annealing (PDA) technique employing ultra-high pressure was demonstrated for the first time. A 40 nm thick AlSiO gate insulator was deposited using atomic layer deposition (ALD) on n-type gallium nitride (GaN) epitaxial layers grown on free-standing GaN substrates. These PDA techniques were performed at 600 °C in a nitrogen ambient under 400 MPa, with normal pressure conditions used as the references. The annealing duration varied within the range of 10, 30, 60, and 120 min. For normal pressure annealing, the flat-band voltage of capacitance-voltage curves exhibited a shift towards the positive bias direction as the annealing time increased. Conversely, for the 400 MPa annealing, the flat-band voltage approached the ideal curve as the annealing time extended. For 400 MPa and 120 min, low interface state density of ∼5 × 1011 cm−2 eV−1 or less at E c −0.20 eV was obtained. These results suggest that post-deposition annealing under ultra-high pressure could be a viable method for improving the interfacial characteristics of AlSiO/GaN.

    DOI: 10.35848/1882-0786/ad0ba5

    Web of Science

    Scopus

  7. Record high electron mobilities in high-purity GaN by eliminating C-induced mobility collapse

    Kaneki, S; Konno, T; Kimura, T; Kanegae, K; Suda, J; Fujikura, H

    APPLIED PHYSICS LETTERS   Vol. 124 ( 1 )   2024.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Letters  

    Impact of carbon impurities on the electrical properties of lightly doped n-type GaN [electron concentration ∼( 1 - 2 ) × 10 15 cm − 3 at room temperature] was investigated using temperature-dependent Hall effect measurements. GaN crystals with a threading dislocation density of ( 1 - 3 ) × 10 6 c m − 2 were grown by our originally developed quartz-free hydride vapor phase epitaxy method, which enabled the background Si, O, and C concentrations to be suppressed to below the mid-1014 cm−3 range. We prepared three samples with different C concentrations ([C]) by intentional C doping. The C incorporation induced severe mobility collapse at temperatures greater than 60 K, where the measured mobility decreased and deviated from the theoretical value as [C] increased. The mobility collapse was eliminated for the purest GaN crystal with [C] ∼ 1.4 × 10 14 cm − 3 , exhibiting a record high room-temperature mobility of 1480 cm2/(V·s), as well as a record high maximum mobility of 14 300 cm2/(V·s) at 62 K. The latter was almost double the previous record. We found that the overall mobility behavior can be well reproduced by adding an empirical [C]-dependent mobility component expressed as μ UNK = K / T n UNK with 1 ≤ n UNK ≤ 2 and K ∝ C − 1 to the conventional mobility theory (phonon and impurity scattering). Although the mechanism of the component remains uncertain, our findings provide insight into the unsolved issue of mobility collapse.

    DOI: 10.1063/5.0178086

    Web of Science

    Scopus

  8. Nitrogen-displacement-related recombination centers generated by electron beam irradiation in n-type and p-type homoepitaxial GaN layers

    Endo, M; Horita, M; Suda, J

    APPLIED PHYSICS EXPRESS   Vol. 17 ( 1 )   2024.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    Recombination centers originating from point defects generated by the displacement of N atoms in n-type and p-type GaN were investigated by analyzing Shockley-Read-Hall (SRH) recombination currents in homoepitaxial GaN p-n junctions. These defects were intentionally generated by electron beam (EB) irradiation at 137 keV. The net doping concentrations in p+-n junction diodes were not changed following irradiation although the levels in p-n+ junction diodes decreased as the EB fluence was increased. The SRH recombination current also increased with increases in the fluence. This work additionally evaluated the relationship between recombination lifetimes and trap concentrations obtained by deep level transient spectroscopy.

    DOI: 10.35848/1882-0786/ad16ad

    Web of Science

    Scopus

  9. Design and fabrication of vertical GaN junction barrier Schottky rectifiers using Mg ion implantation

    Matys, M; Kitagawa, K; Narita, T; Uesugi, T; Bockowski, M; Suda, J; Kachi, T

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 62 ( SN )   2023.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    In this review, we briefly summarize the major challenges and our recent progress in the development of GaN Junction Barrier Schottky (JBS) diodes using selective-area p-type doping with ion implantation and ultra high-pressure annealing (UHPA) process. As a starting point, we discuss the properties of Schottky contacts in the context of UHPA and provide design principle for a high performance JBS diode. Next, we propose a JBS diode having p-type regions formed by channeled ion implantation. This kind of device can provide ultra-low leakage currents and a much better trade-off between on-resistance (R ON) and breakdown voltage (BV). Finally, we demonstrate our high-performance JBS diodes which exhibited the superior electrical characteristics (record low R ON from 0.57 to 0.67 mΩ cm2 and high BV from 660 to 675 V) and nondestructive breakdown.

    DOI: 10.35848/1347-4065/acec69

    Web of Science

    Scopus

  10. Prospects of mist CVD for fabrication of <i>β</i>-Ga<sub>2</sub>O<sub>3</sub> MESFETs on <i>β</i>-Ga<sub>2</sub>O<sub>3</sub> (010) substrates

    Takane, H; Ando, Y; Takahashi, H; Makisako, R; Ikeda, H; Ueda, T; Suda, J; Tanaka, K; Fujita, S; Sugaya, H

    APPLIED PHYSICS EXPRESS   Vol. 16 ( 8 )   2023.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    Mist CVD was applied to grow the β-Ga2O3 channel layer of a MESFET on a semi-insulating β-Ga2O3 (010) substrate. The mobility and carrier concentration of the channel layer were 80 cm2 V-1 s-1 and 6.2 × 1017 cm−3, respectively. The device exhibited a pinch-off characteristic with a threshold gate voltage of −9 V, and the maximum drain current was 240 mA mm−1. The maximum transconductance was 46 mS mm−1 and the on-resistance was 30 Ω mm. This device performance suggests that mist CVD is a potential growth technology capable of providing low-cost devices in the future.

    DOI: 10.35848/1882-0786/acefa5

    Web of Science

    Scopus

  11. Electrical Characteristics of Gated Anode Diodes Based on Normally Off Recessed-Gate GaN High-Electron-Mobility Transistors for Rectenna Applications

    Takahashi, H; Ando, Y; Tsuchiya, Y; Wakejima, A; Suda, J

    PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE   Vol. 220 ( 16 )   2023.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Physica Status Solidi (A) Applications and Materials Science  

    AlGaN/GaN high-electron-mobility transistor (HEMT)-based gated-anode diodes (GADs) for a 5.8 GHz rectenna application are proposed. An anode of the GAD is formed by connecting a gate and a drain of a normally off GaN HEMT. Herein, a wide recessed HEMT structure reported in the previous article is modified to a buried-type recessed gate HEMT structure. The gate-to-cathode distance is optimized to maximize device performance. Typical direct current (DC) characteristics of the HEMTs are a threshold voltage (Vth) of +0.4 V and a maximum drain current (Imax) of 450 mA mm−1. GADs using the HEMTs show the characteristics of maximum forward current (If) of 600 mA mm−1 and reverse breakdown voltage (BVr) of more than 100 V. The GAD using the recessed gate structure HEMT is shown to significantly improve If and BVr simultaneously compared to the previous work using the wide recessed gate structure. The rectifying performance of a bridge-type rectifier simulated with SPICE model of the GAD shows radio frequency (RF)–DC conversion efficiency of 84% and RF input power of 13 W at 5.8 GHz using four GADs with each gate width (Wg) of 400 μm.

    DOI: 10.1002/pssa.202200837

    Web of Science

    Scopus

  12. High Hall electron mobility in the inversion layer of 4H-SiC (0001)/SiO<sub>2</sub> interfaces annealed in POCl<sub>3</sub>

    Ito, K; Horita, M; Suda, J; Kimoto, T

    APPLIED PHYSICS EXPRESS   Vol. 16 ( 7 )   2023.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    Hall effect measurements were conducted for MOSFETs with and without post-oxidation-annealing (POA) fabricated on the p-body doping in a wide doping range to vary the effective normal field (E eff). The Hall mobility (μ Hall) in the high-E eff region of the MOSFETs annealed in phosphoryl chloride (μ Hall = 41 cm2 V−1 s−1 at E eff = 1.1 MV cm−1) is much higher than that of MOSFETs annealed in nitric oxide (NO) (μ Hall = 14 cm2 V−1 s−1 at E eff = 1.1 MV cm−1), suggesting that the trapped electrons act as strong Coulomb scattering centers for the MOSFETs annealed in NO and without POA.

    DOI: 10.35848/1882-0786/ace150

    Web of Science

    Scopus

  13. RF characteristics of 150-nm AlGaN/GaN high electron mobility transistors fabricated using <i>i</i>-line stepper lithography

    Ando, Y; Takahashi, H; Makisako, R; Wakejima, A; Suda, J

    ELECTRONICS LETTERS   Vol. 59 ( 10 )   2023.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Electronics Letters  

    This article reports radio frequency characteristics of 150-nm gate aluminum gallium nitride (AlGaN)/gallium nitride (GaN) high electron mobility transistors (HEMTs) fabricated using i-line stepper lithography and a thermal reflow technique. The authors have developed two different gate structures that were a field-plated gate using the lift-off process and a Y-shaped gate using the ion-milling process. Fabricated HEMTs using these different gate structures exhibited nearly equivalent DC characteristics. The field-plated gate device showed a unity current gain cutoff frequency (fT) of 35 GHz and a maximum oscillation frequency (fmax) of 106 GHz, while the Y-shaped gate device showed fT of 36 GHz and fmax of 115 GHz. The equivalent circuit analysis indicated a decrease in the gate-drain capacitance and the drain conductance is responsible for the improved fmax in the Y-shaped gate device.

    DOI: 10.1049/ell2.12798

    Web of Science

    Scopus

  14. Effects of proton irradiation-induced point defects on Shockley-Read-Hall recombination lifetimes in homoepitaxial GaN p-n junctions

    Narita, T; Kanechika, M; Tomita, K; Nagasato, Y; Kondo, T; Uesugi, T; Ikeda, S; Kosaki, M; Oka, T; Suda, J

    APPLIED PHYSICS LETTERS   Vol. 122 ( 11 )   2023.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Letters  

    This work examined the intentional generation of recombination centers in GaN p-n junctions on freestanding GaN substrates. Irradiation with a 4.2 MeV proton beam was used to create a uniform distribution of vacancies and interstitials across GaN p+/n- and p-/n+ junctions through anode electrodes. With increasing proton dose, the effective doping concentrations were found to be reduced. Because the reduction in the doping concentration was much higher than the hydrogen atom concentration, this decrease could not be attributed solely to carrier compensation resulting from interstitial hydrogen atoms. In fact, more than half of the electron and hole compensation was caused by the presence of point defects. These defects evidently served as Shockley-Read-Hall (SRH) recombination centers such that the SRH lifetimes were reduced to several picoseconds from several hundred picoseconds prior to irradiation. The compensation for holes in the p-/n+ junctions was almost double that for electrons in the p+/n- junctions. Furthermore, the SRH lifetimes associated with p-/n+ junctions were shorter than those for p+/n- junctions for a given proton dose. These differences can be explained by variations in the charge state and/or the formation energy of intrinsic point defects in the p-type and n-type GaN layers. The results of the present work indicate the asymmetry of defect formation in GaN based on the fact that intrinsic point defects in p-type GaN readily compensate for holes.

    DOI: 10.1063/5.0141781

    Web of Science

    Scopus

  15. Comparative study of electrical properties of semi-insulating GaN substrates grown by hydride vapor phase epitaxy and doped with Fe, C, or Mn

    Tanaka, D; Iso, K; Suda, J

    JOURNAL OF APPLIED PHYSICS   Vol. 133 ( 5 )   2023.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Journal of Applied Physics  

    The electrical properties of semi-insulating GaN substrates doped with iron (Fe), carbon (C), or manganese (Mn) grown by hydride vapor phase epitaxy are presented. Hall effect measurements were performed at temperatures ranging from 300 to 800 K. At all of the investigated temperatures, the Mn-doped samples exhibited the highest resistivity. The Fe-doped samples showed n-type conduction, whereas the C-doped samples and the Mn-doped sample with a Mn concentration of 1 × 1019 cm-3 showed p-type conduction. A detailed analysis of the temperature dependence of the carrier concentration showed that all of the impurities formed acceptor levels at EC -(0.59-0.61) eV for Fe, at EV +(0.90-1.07) eV for C, and at EV +1.55 eV for Mn. The Mn-doped sample with a Mn concentration of 8 × 1017 cm-3 showed a negative Hall coefficient (suggesting n-type conduction) at high temperatures, contradicting the formation of acceptor levels by Mn. We successfully explained the negative value by considering the conduction of both holes and electrons with different mobilities. On the basis of the results, we calculated the relationship between the resistivity and doping concentration for each dopant. The calculations indicated that the highest resistivity can be realized in Mn-doped GaN with an optimized doping concentration (depending on the residual donor concentration). All of the dopants can effectively realize high resistivity at room temperature. Mn is an effective dopant for attaining high resistivity, especially at high temperatures (e.g., 800 K).

    DOI: 10.1063/5.0131470

    Web of Science

    Scopus

  16. Effect of H<sub>2</sub> addition on growth rate and surface morphology of GaN(0001) grown by halide-vapor-phase epitaxy using GaCl<sub>3</sub>

    Hara, K; Yamamoto, E; Ohara, J; Kojima, J; Onda, S; Suda, J

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 62 ( 2 )   2023.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    We investigated the effect of H2 addition in halide-vapor-phase epitaxy of GaN on Ga-polar GaN(0001) using an external GaCl3 supply method. To overcome the problem of the very low growth rate on GaN(0001) using GaCl3, we intentionally added H2 to convert GaCl3 to GaCl in the reactor. Using this approach, we successfully increased the growth rate, and also improved the surface morphology of the grown layer.

    DOI: 10.35848/1347-4065/acb97b

    Web of Science

    Scopus

  17. Correlation between non-ionizing energy loss and production rate of electron trap at <i>E</i><sub>C</sub> - (0.12-0.20) eV formed in gallium nitride by various types of radiation

    Aoshima, K; Horita, M; Suda, J

    APPLIED PHYSICS LETTERS   Vol. 122 ( 1 )   2023.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Letters  

    Production rate (PR = trap concentration/incident fluence) of traps formed by energetic particles is important for predicting device degradation caused by radiation when developing radiation-resistant devices. We demonstrate a clear correlation between non-ionizing energy loss (NIEL) and PR of an electron trap at about 0.12-0.20 eV below the conduction band edge [EC - (0.12-0.20) eV] for various types of energetic particles in gallium nitride (GaN). NIEL values in GaN for electrons, protons, and α-rays were calculated using a screened-relativistic treatment, and NIEL values for gamma-rays were calculated by simulating slowed-down spectra due to shielding material. To obtain the PRs of the electron trap, 60Co gamma-rays with an average photon energy of 1.25 MeV and electron beams with energies from 137 keV to 2 MeV were irradiated onto n-type GaN Schottky barrier diodes. We measured the concentration of an electron trap at EC - (0.13-0.14) eV using deep-level transient spectroscopy. We also used the PRs of electron traps with similar energy levels of EC - (0.12-0.20) eV from previous studies on electrons, protons, and α-rays irradiated on GaN. All the trap PRs were proportional to the NIEL in a range of eight orders of magnitude, which confirms that the energy levels formed by various energetic particles have the same origin of being generated by atomic displacements. The obtained relationship coefficient between the NIEL and PRs of the trap is useful for predicting the degradation of GaN-based devices due to traps formed by various kinds of radiation.

    DOI: 10.1063/5.0128709

    Web of Science

    Scopus

  18. Comparison of switching performance of high-speed GaN vertical MOSFETs with various gate structures based on TCAD simulation

    Ishida, T; Kachi, T; Suda, J

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 62 ( 1 )   2023.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    To evaluate the impact of gate structures on the switching performance (R on Q g) and cost (required chip size, proportional to R on A) of GaN vertical MOSFETs, we calculated the R on AR on Q g of trench-gate structures with and without a countermeasure to reduce the electric field applied to the gate insulator, as well as a planar structure with various cell pitches, channel mobilities, and blocking voltages. When the blocking voltage was 600 V, the planar-gate structure achieved the lowest R on AR on Q g owing to its low Q g/A, despite the high R on A. However, when the blocking voltage was 1800 V, a trench-gate structure without the countermeasure achieved the lowest R on AR on Q g owing to its low R on A and optimal cell pitch. The R on AR on Q g of a trench-gate structure with a countermeasure and planar-gate structure became close with increasing channel mobility. This indicates that high channel mobility is the most important factor, rather than the selection of the device structure.

    DOI: 10.35848/1347-4065/aca266

    Web of Science

    Scopus

  19. Annealing properties of vacancy-type defects in ion implanted GaN during ultra-high-pressure annealing studied by using a monoenergetic positron beam

    Uedono, A; Sakurai, H; Uzuhashi, J; Narita, T; Sierakowski, K; Ishibashi, S; Chichibu, SF; Bockowski, M; Suda, J; Ohkubo, T; Ikarashi, N; Hono, K; Kachi, T

    GALLIUM NITRIDE MATERIALS AND DEVICES XVIII   Vol. 12421   2023

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1117/12.2646233

    Web of Science

  20. Demonstration of AlN-based Vertical p-n Diodes with Dopant-Free Distributed-Polarization Doping

    Kumabe T., Yoshikawa A., Kushimoto M., Honda Y., Arai M., Suda J., Amano H.

    Technical Digest - International Electron Devices Meeting, IEDM     2023

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Technical Digest - International Electron Devices Meeting, IEDM  

    Nearly ideal AlN-based vertical p-n diodes are demonstrated on an AIN substrate utilizing dopant-free distributed-polarization doping (DPD). Capacitance-voltage measurements revealed that the effective doping concentration agreed well with the designed DPD charge concentration. The fabricated devices exhibited a low tum-on voltage of 6.5 V, a low differential specific ON-resistance of 3 mO cm2, and an ideality factor of 2 for a wide range of temperatures (room temperature-573 K). Moreover, the breakdown electric field was 7.3 MV/cm, which was almost twice as high as the reported critical electric field of 4H-SÌC and GaN. These results clearly demonstrate the usefulness of DPD in the fabrication of high-performance AlN-based power devices.

    DOI: 10.1109/IEDM45741.2023.10413866

    Scopus

  21. Polarization Engineering in AlSiO/p-type GaN MOSFETs Using AIN Interlayers Formed by Plasma-Enhanced Atomic Layer Deposition

    Ito K., Narita T., Iguchi H., Iwasaki S., Kikuta D., Kano E., Ikarashi N., Tomita K., Horita M., Suda J.

    Technical Digest - International Electron Devices Meeting, IEDM     2023

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Technical Digest - International Electron Devices Meeting, IEDM  

    Polarization engineering by AIN interlayers (AlN-ILs) deposited via plasma-enhanced atomic layer deposition was demonstrated in AlSiO/p-type GaN MOSFETs. Transmission electron microscopy observations revealed that the AlN-ILs were grown on GaN epitaxially and therefore could induce polarization charges, similar to AlGaN/GaN. The decrease in the threshold voltage (Vth) with increasing AIN-IL thickness corresponded to the polarization charge density. In addition, insertion of the AIN-IL suppressed the positive bias instability by less than 0.05 V. By controlling the AIN-IL thickness and the channel p-type doping, we controlled the Vth the range from -3 to 5 V and achieved enhanced channel mobility compared with that for the corresponding MOSFET without an AIN-IL.

    DOI: 10.1109/IEDM45741.2023.10413714

    Scopus

  22. Reliability issues of gate oxides and <i>p</i>-<i>n</i> junctions for vertical GaN metal-oxide-semiconductor field-effect transistors (Invited)

    Narita, T; Kikuta, D; Ito, K; Shoji, T; Mori, T; Yamaguchi, S; Kimoto, Y; Tomita, K; Kanechika, M; Kondo, T; Uesugi, T; Kojima, J; Suda, J; Nagasato, Y; Ikeda, S; Watanabe, H; Kosaki, M; Oka, T

    2023 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM, IRPS   Vol. 2023-March   2023

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IEEE International Reliability Physics Symposium Proceedings  

    We focus on reliability issues of gate oxides and p-n junctions to realize vertical GaN metal-oxide-semiconductor field-effect transistors (MOSFETs). An annealed AlSiO gate oxide on GaN displayed a lifetime of over 20 years at 150 °C and suppressed positive bias instability in MOSFETs. The key to high channel mobility and stability under positive gate bias is the interface structure designed to minimize oxide border traps. We also evaluated the reliability of GaN p-n diodes (PNDs) on freestanding GaN substrates with different threading dislocation densities. The reverse leakage for PNDs involving threading dislocations was explained by variable-range hopping, while the reverse leakage for dislocation-free PNDs was dominated by band-to-band tunneling. The fabricated PNDs demonstrated excellent robustness under high-temperature reverse bias. However, after continuous forward current stress, reverse leakage pathways were formed at threading screw dislocations, which should be minimized in future GaN substrates.

    DOI: 10.1109/IRPS48203.2023.10118047

    Web of Science

    Scopus

  23. Depth profiling of E <inf>C</inf> - 0.26 eV electron traps introduced in homoepitaxial n-type GaN by ultra-low-dose Si-ion implantation and subsequent annealing

    Iguchi H., Horita M., Suda J.

    Applied Physics Express   Vol. 15 ( 12 )   2022.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    Si ions were implanted into homoepitaxial n-type GaN at a peak concentration of 3 × 1014 cm−3 with subsequent annealing, and the associated formation of electron traps was investigated in detail using deep-level transient spectroscopy. A major electron trap was identified as 0.26 eV below the conduction band minimum and this trap concentration increased with increasing post-implantation annealing temperature, to a value of 6-8 × 1015 cm−3. Significant increases in the net donor concentration (N D) were also observed within the implanted region. The profile of the electron trap concentration was correlated with these increases in N D, suggesting that these traps acted as donors.

    DOI: 10.35848/1882-0786/aca45d

    Scopus

  24. Mg-implanted vertical GaN junction barrier Schottky rectifiers with low on resistance, low turn-on voltage, and nearly ideal nondestructive breakdown voltage

    Matys, M; Kitagawa, K; Narita, T; Uesugi, T; Suda, J; Kachi, T

    APPLIED PHYSICS LETTERS   Vol. 121 ( 20 )   2022.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Letters  

    Vertical GaN junction barrier Schottky (JBS) diodes with superior electrical characteristics and nondestructive breakdown were realized using selective-Area p-Type doping via Mg ion implantation and subsequent ultra-high-pressure annealing. Mg-ion implantation was performed into a 10 μm thick Si-doped GaN drift layer grown on a free-standing n-Type GaN substrate. We fabricated the JBS diodes with different n-Type GaN channel widths Ln = 1 and 1.5 μm. The JBS diodes, depending on Ln, exhibited on-resistance (RON) between 0.57 and 0.67 mω cm2, which is a record low value for vertical GaN Schottky barrier diodes (SBDs) and high breakdown (BV) between 660 and 675 V (84.4% of the ideal parallel plane BV). The obtained low RON of JBS diodes can be well explained in terms of the RON model, which includes n-Type GaN channel resistance, spreading current effect, and substrate resistance. The reverse leakage current in JBS diodes was relatively low 103-104 times lower than in GaN SBDs. In addition, the JBS diode with lower Ln exhibited the leakage current significantly smaller (up to reverse bias 300 V) than in the JBS diode with large Ln, which was explained in terms of the reduced electric field near the Schottky interface. Furthermore, the JBS diodes showed a very high current density of 5.5 kA/cm2, a low turn-on voltage of 0.74 V, and no destruction against the rapid increase in the reverse current approximately by two orders of magnitude. This work demonstrated that GaN JBS diodes can be strong candidates for low loss power switching applications.

    DOI: 10.1063/5.0106321

    Web of Science

    Scopus

  25. Process engineering of GaN power devices via selective-area p-type doping with ion implantation and ultra-high-pressure annealing

    Kachi, T; Narita, T; Sakurai, H; Matys, M; Kataoka, K; Hirukawa, K; Sumida, K; Horita, M; Ikarashi, N; Sierakowski, K; Bockowski, M; Suda, J

    JOURNAL OF APPLIED PHYSICS   Vol. 132 ( 13 )   2022.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Journal of Applied Physics  

    P-type doping in selected areas of gallium nitride (GaN) using magnesium (Mg)-ion implantation and subsequent ultra-high-pressure annealing (UHPA) are investigated to improve the performance of vertical GaN power devices. UHPA allows a high-temperature process without decomposition of the GaN surface and virtually complete activation of the implanted Mg ions in GaN. In the present paper, we provide an overview of recent challenges in making UHPA more realistic as an industrial process. Instead of UHPA at more than 1400 °C for a short duration, prolonged UHPA at 1300 °C demonstrates a comparable acceptor activation of Mg-ion-implanted GaN. This can reduce the annealing pressure to approximately 300 MPa and enlarge the processable wafer diameter. The second challenge is controlling the doping profiles in the lateral and vertical directions. We demonstrate fine patterning of the p-type regions, which indicates the limited lateral diffusion of Mg through UHPA. However, controlling the vertical doping profile is challenging. The nitrogen vacancies formed by ion implantation reduce the effective acceptor concentration near the surface, which can be compensated for by sequential nitrogen ion implantation. Defect-assisted Mg diffusion to the deeper region causes a redistribution of the Mg atoms and should be considered in the design of a device. Such anisotropic diffusion of Mg to the c-axis has potential applications in the fabrication of unique vertical device structures such as super junctions.

    DOI: 10.1063/5.0107921

    Web of Science

    Scopus

  26. Effect of Ultra-High-Pressure Annealing on Defect Reactions in Ion-Implanted GaN Studied by Positron Annihilation

    Uedono, A; Sakurai, H; Uzuhashi, J; Narita, T; Sierakowski, K; Ishibashi, S; Chichibu, SF; Bockowski, M; Suda, J; Ohkubo, T; Ikarashi, N; Hono, K; Kachi, T

    PHYSICA STATUS SOLIDI B-BASIC SOLID STATE PHYSICS   Vol. 259 ( 10 )   2022.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Physica Status Solidi (B) Basic Research  

    Herein, the annealing behaviors of defects in ion-implanted GaN are studied by positron annihilation, cathodoluminescence, scanning transmission electron microscopy, and atom probe tomography. Si or Mg ions are implanted into GaN to obtain 300 nm deep box profiles of the impurities. The samples are annealed up to 1480 °C under a N2 pressure of 1 GPa. For as-implanted GaN, the major defect species is identified as Ga-vacancy-type defects. After annealing above 1000 °C, vacancy clusters are introduced, and they remain even after 1480 °C annealing. For Mg-implanted GaN with the Mg concentration ([Mg]) ≤ 1018 cm−3, no large change in the depth distribution of Mg is observed before and after annealing at 1400 °C. For the sample with [Mg] = 1019 cm−3, however, Mg diffuses into the bulk, which is attributed to the over-doping of Mg and their vacancy-assisted diffusion. The Mg diffusion is suppressed, and the donor–acceptor pair emission is enhanced by sequential N-implantation, which is attributed to the reaction between Mg and vacancies under a N-rich condition. For the samples annealed at 1480 °C, an accumulation of Mg around dislocation loops and Mg clustering are enhanced by the N-implantation.

    DOI: 10.1002/pssb.202200183

    Web of Science

    Scopus

  27. Effective channel mobility in phosphorus-treated 4H-SiC (0001) metal-oxide-semiconductor field-effect transistors with various p-body doping concentrations

    Ito, K; Horita, M; Suda, J; Kimoto, T

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 61 ( 9 )   2022.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    Phosphorus treatment, which can substantially reduce the interface state density (D it), was used to investigate the impact of D it on effective channel mobility (μ eff) of 4H-SiC (0001) metal-oxide-semiconductor field-effect transistors (MOSFETs). A high μ eff of 126 cm2 V-1 s-1, which exceeds the reported phonon-limited mobility of 83 cm2 V-1 s-1 determined from Hall mobility of nitridation-treated MOSFETs, at a high effective normal field of 0.57 MV cm-1 was obtained in MOSFETs fabricated on a high-purity semi-insulating 4H-SiC substrate at room temperature. This high mobility may be caused by the difference of the density of electrons trapped at the interface states.

    DOI: 10.35848/1347-4065/ac87e4

    Web of Science

    Scopus

  28. Atomic resolution analysis of extended defects and Mg agglomeration in Mg-ion-implanted GaN and their impacts on acceptor formation

    Kano, E; Kataoka, K; Uzuhashi, J; Chokawa, K; Sakurai, H; Uedono, A; Narita, T; Sierakowski, K; Bockowski, M; Otsuki, R; Kobayashi, K; Itoh, Y; Nagao, M; Ohkubo, T; Hono, K; Suda, J; Kachi, T; Ikarashi, N

    JOURNAL OF APPLIED PHYSICS   Vol. 132 ( 6 )   2022.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Journal of Applied Physics  

    We carried out atomic-scale observations of Mg-ion-implanted GaN by transmission electron microscopy (TEM) and atom probe tomography (APT) to clarify the crystallographic structures of extended defects and Mg agglomerations that form during post-implantation annealing. The complementary TEM and APT analyses have shown that Mg atoms agglomerate at dislocations that bound extended defects. The concentration of Mg is higher at the dislocations with a larger Burgers vector. This indicates that Mg agglomeration is caused by the pressure at the dislocations. Mg concentration in highly Mg-rich regions is 1 at. %, which exceeds the solubility limit of Mg in GaN. We investigated isothermal and isochronal evolution of the defects by TEM, cathodoluminescence analysis, and positron annihilation spectroscopy. The results indicated that the intensity of donor-acceptor pair emission increases with the annealing temperature and duration and reaches a maximum after elimination of the extended defects with highly Mg-rich regions. These results strongly suggest that such extended defects reduce the acceptor formation and that they as well as the previously reported compensating centers, such as N-related vacancies, can inhibit the formation of p-type GaN. The mechanism by which the extended defects reduce acceptor formation is discussed.

    DOI: 10.1063/5.0097866

    Web of Science

    Scopus

  29. Suppression of cluster formation in GaN growth by tri-halide vapor phase epitaxy with external GaCl<sub>3</sub> gas supply system

    Hara, K; Yamamoto, E; Kozawa, M; Uematsu, D; Ohara, J; Mukaiyama, Y; Kojima, J; Onda, S; Suda, J

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 61 ( 7 )   2022.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    One critical issue hindering high-quality, high-speed growth of GaN is cluster formation in the gas phase. We investigated cluster formation in tri-halide vapor phase epitaxial growth of GaN. The growth system is equipped with an external GaCl3 gas supply system. We observed cluster formation under certain growth conditions experimentally. A simulation was also carried out to reveal the critical conditions for cluster formation. We propose that increasing the gas temperature is an effective way to suppress cluster formation, and thus achieve a higher growth rate with a flat surface morphology.

    DOI: 10.35848/1347-4065/ac7a7a

    Web of Science

    Scopus

  30. Increase in net donor concentration due to introduction of donor-like defects by ultra-low-dose Si-ion implantation and subsequent annealing in homoepitaxial n-type GaN

    Iguchi, H; Horita, M; Suda, J

    APPLIED PHYSICS EXPRESS   Vol. 15 ( 7 )   2022.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    Si ions were implanted at a dose of 1 × 1010 cm-2 into a homoepitaxial n-type GaN layer with a net donor concentration (N D) of 3-8 × 1015 cm-3. The N D in the implanted region increased by 1-3 × 1015 cm-3 after annealing at a temperature greater than 900 °C compared with that for the as-grown homoepitaxial layer. The increase in N D was considerably larger than the peak concentration of implanted Si ions (3 × 1014 cm-3). No increase in N D was observed for an as-grown sample after annealing. These results clearly suggest that donor-like defects were introduced by implantation of Si ions and a subsequent annealing process.

    DOI: 10.35848/1882-0786/ac7433

    Web of Science

    Scopus

  31. SiO<sub>2</sub>/GaN interfaces with low defect densities and high breakdown electric fields formed by plasma-enhanced atomic layer deposition

    Aoshima, K; Taoka, N; Horita, M; Suda, J

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 61 ( SC )   2022.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    We present SiO2/GaN interfaces with a low interface state density and a high breakdown electric field. The SiO2 films were deposited by plasma-enhanced atomic layer deposition (ALD) using bis(diethylamino)silane and O2 plasma at 300 °C on n-type GaN (0001) homoepitaxial layers. An interface state density of less than 1011 cm-2 eV-1 at 0.3 eV below the conduction band edge was confirmed by the conductance method. The value is much lower than those of previously reported ALD-SiO2/GaN interfaces (1012-1013 cm-2 eV-1). A low fixed charge density at the SiO2/GaN interface of 3.7 × 1011 cm-2 and a high dielectric breakdown field of ∼10 MV cm-1 were obtained. Moreover, the interface state density and current-voltage characteristics were further improved by post-deposition annealing at 400 °C in N2 ambient. Scanning transmission electron microscopy with energy-dispersive X-ray analysis revealed the existence of a GaO x interlayer between SiO2 and GaN. The unintentionally formed interlayer could be one of the reasons for the improvement of interface properties at ALD-SiO2/GaN.

    DOI: 10.35848/1347-4065/ac4f79

    Web of Science

    Scopus

  32. Hole traps related to nitrogen displacement in p-type GaN grown by metalorganic vapor phase epitaxy on freestanding GaN

    Endo, M; Horita, M; Suda, J

    APPLIED PHYSICS LETTERS   Vol. 120 ( 14 )   2022.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Letters  

    This work investigated deep levels in p-type GaN originating from intrinsic point defects, using deep level transient spectroscopy (DLTS) to examine homoepitaxial GaN p+-p-n+ junction diodes grown via metalorganic vapor-phase epitaxy. Following exposure to an electron beam with an energy of 137 keV that generated nitrogen vacancies (VN) and nitrogen interstitials (NI), a peak due to EHa hole traps (at 0.52 eV) was observed in DLTS spectra. The injection of minority carriers resulting from applying a forward bias generated signals for EHb (0.5 eV) and EHc (0.8 eV) hole traps while decreasing the EHa signal and increasing the net accepter concentration. The generation of EHa traps can likely be attributed to VN (3+/+) or NI (2+/+) defects based on the results of first-principles calculations. The EHb and EHc hole traps may have been associated with complex defects, including those that generated EHa traps, because these two traps appeared as the concentration of EHa traps decreased.

    DOI: 10.1063/5.0086535

    Web of Science

    Scopus

  33. Identification of type of threading dislocation causing reverse leakage in GaN p-n junctions after continuous forward current stress

    Narita, T; Kanechika, M; Kojima, J; Watanabe, H; Kondo, T; Uesugi, T; Yamaguchi, S; Kimoto, Y; Tomita, K; Nagasato, Y; Ikeda, S; Kosaki, M; Oka, T; Suda, J

    SCIENTIFIC REPORTS   Vol. 12 ( 1 )   2022.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Scientific Reports  

    Power devices are operated under harsh conditions, such as high currents and voltages, and so degradation of these devices is an important issue. Our group previously found significant increases in reverse leakage current after applying continuous forward current stress to GaN p–n junctions. In the present study, we identified the type of threading dislocations that provide pathways for this reverse leakage current. GaN p–n diodes were grown by metalorganic vapor phase epitaxy on freestanding GaN(0001) substrates with threading dislocation densities of approximately 3 × 105 cm−2. These diodes exhibited a breakdown voltage on the order of 200 V and avalanche capability. The leakage current in some diodes in response to a reverse bias was found to rapidly increase with continuous forward current injection, and leakage sites were identified by optical emission microscopy. Closed-core threading screw dislocations (TSDs) were found at five emission spots based on cross-sectional transmission electron microscopy analyses using two-beam diffraction conditions. The Burgers vectors of these dislocations were identified as [0001] using large-angle convergent-beam electron diffraction. Thus, TSDs for which b = 1c are believed to provide current leakage paths in response to forward current stress.

    DOI: 10.1038/s41598-022-05416-3

    Web of Science

    Scopus

    PubMed

  34. Breakdown Electric Field of GaN p<SUP>+</SUP>-n and p-n<SUP>+</SUP> Junction Diodes With Various Doping Concentrations

    Maeda, T; Narita, T; Yamada, S; Kachi, T; Kimoto, T; Horita, M; Suda, J

    IEEE ELECTRON DEVICE LETTERS   Vol. 43 ( 1 ) page: 96 - 99   2022.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IEEE Electron Device Letters  

    Breakdown characteristics in homoepitaxial GaN p-n junction diodes with p+-n and p-n+ junctions with relatively heavy doping concentrations are systematically investigated. The devices have vertical deep mesa etch termination, which enables uniform (nearly ideal) avalanche breakdown without electric field (E-field) crowding at the device edge. For p+-n junction, breakdown E-field of 3.0, 3.3 and 3.8 MV/cm and breakdown voltage (BV) of 340, 207 and 128 V were achieved at the donor concentrations of 7.5 × 1016, 1.5 × 1017, 3.1× 1017 cm-3, respectively. For p-n+ junction, breakdown E-field of 3.2, 3.3 and 4.0 MV/cm and BV of 235, 180 and 110 V were achieved at the acceptor concentrations of 1.3 × 1017, 1.8 × 1017, 4.1 × 1017 cm-3, respectively. No significant difference of the breakdown characteristics between n-type and p-type voltage-blocking layers was observed. These results are consistent with numerical simulations using impact ionization coefficients (IICs) in GaN reported in our previous studies.

    DOI: 10.1109/LED.2021.3125328

    Web of Science

    Scopus

  35. Dependence of Electrical Characteristics on Epitaxial Layer Structure of AlGaN/GaN HEMTs Fabricated on Freestanding GaN Substrates

    Ando, Y; Makisako, R; Takahashi, H; Wakejima, A; Suda, J

    IEEE TRANSACTIONS ON ELECTRON DEVICES   Vol. 69 ( 1 ) page: 88 - 95   2022.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IEEE Transactions on Electron Devices  

    This article reports a systematic study on the effects of the epitaxial layer structure on the electrical characteristics of AlGaN/GaN high electron mobility transistors (HEMTs) fabricated on freestanding GaN substrates. First, GaN-on-GaN HEMTs were fabricated comprising channel and buffer layers unintentionally doped with Fe atoms those were diffused from the substrate. Their electrical characteristics were compared with GaN-on-SiC HEMTs. The tradeoff relation between maximum drain current and the breakdown characteristics was improved in GaN-on-GaN devices than in GaN-on-SiC devices. A small current collapse was observed in GaN-on-GaN devices despite a high Fe density in the channel. This suggested an influence of Fe diffusion on the frequency dispersion to be comparatively limited. Second, GaN-on-GaN HEMTs were fabricated using a thin undoped channel layer grown on Fe-doped GaN substrate directly or through an Fe diffusion stopper layer. Without the stopper layer, the buffer leakage was significantly increased by reducing the channel thickness, and a 100-nm-thick channel device showed nonpinch-off characteristics. On the other hand, samples with the stopper layer exhibited no buffer leakage and a complete pinchoff up to 200 V. In addition, a sample with an intentional C doping to the buffer layer showed a relatively large current collapse, suggesting a major contribution of C-doping to the frequency dispersion of GaN-on-GaN HEMTs.

    DOI: 10.1109/TED.2021.3126270

    Web of Science

    Scopus

  36. Current Status and Future Prospects of GaN-on-GaN Vertical Power Devices

    Suda, J

    2022 INTERNATIONAL ELECTRON DEVICES MEETING, IEDM   Vol. 2022-December   page: 3571 - 3574   2022

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Technical Digest - International Electron Devices Meeting, IEDM  

    Current status and future prospects of GaN vertical power devices fabricated on GaN substrates are presented. Fundamental material properties of GaN related to vertical power devices, progress of GaN bulk substrate developments and device fabrication processes such as epitaxial growth, ion implantation and MOS interface are reviewed. Future challenges toward commercialization of GaN vertical devices are also discussed.

    DOI: 10.1109/IEDM45625.2022.10019514

    Web of Science

    Scopus

  37. Effect of annealing time and pressure on electrical activation and surface morphology of Mg-implanted GaN annealed at 1300 °C in ultra-high-pressure nitrogen ambient

    Sumida, K; Hirukawa, K; Sakurai, H; Sierakowski, K; Horita, M; Bockowski, M; Kachi, T; Suda, J

    APPLIED PHYSICS EXPRESS   Vol. 14 ( 12 )   2021.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    We performed an isothermal annealing study on Mg-implanted GaN at 1300 °C in an ultra-high-pressure (1 GPa) nitrogen ambient. Annealing for more than 30 min resulted in a high acceptor activation ratio and a low compensation ratio that were comparable to those obtained with annealing at 1400 °C for 5 min. We also performed annealing at 1300 °C in a reduced nitrogen pressure of 300 MPa which makes us possible to expand the inner diameter of annealing equipment in the future. High electrical activation, similar to one obtained by annealing at 1 GPa, was successfully obtained.

    DOI: 10.35848/1882-0786/ac39b0

    Web of Science

    Scopus

  38. Analysis of intrinsic reverse leakage current resulting from band-to-band tunneling in dislocation-free GaN p-n junctions

    Shoji, T; Narita, T; Nagasato, Y; Kanechika, M; Kondo, T; Uesugi, T; Tomita, K; Ikeda, S; Mori, T; Yamaguchi, S; Kimoto, Y; Kojima, J; Suda, J

    APPLIED PHYSICS EXPRESS   Vol. 14 ( 11 )   2021.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    This work examined reverse leakage currents in GaN p-n junctions nearly free of dislocations. Diodes with shallow bevel mesas and breakdown voltages (BVs) in the range of 130-1000 V exhibited avalanche breakdown at the designed voltages. Significant leakage currents were observed in response to reverse bias values far below the BVs and a weak effect of temperature was also evident. The data were explained based on direct band-to-band tunneling (BTBT). The BTBT current was dominant in those devices having BVs of several hundred volts but was far below the detection limit in the case of a 1000 V class diode.

    DOI: 10.35848/1882-0786/ac2a03

    Web of Science

    Scopus

  39. Effect of Schottky barrier height on quantitative analysis of deep-levels in n-type GaN by deep-level transient spectroscopy

    Aoshima, K; Horita, M; Suda, J

    AIP ADVANCES   Vol. 11 ( 11 )   2021.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:AIP Advances  

    Deep-level transient spectroscopy (DLTS) using Schottky barrier diodes (SBDs) is widely used for quantitative analysis of deep levels. This study focuses on the dependence of Schottky barrier height on apparent time constants and concentrations of electron traps in n-type GaN. DLTS using SBDs with various barrier heights was carried out. Experimental data show that large reverse leakage currents due to low barrier heights resulted in underestimation of time constants and concentrations. Theoretical calculations considering the impact of leakage currents reproduced experimental results well. Based on the calculations, we suggest a minimum required barrier height where accurate time constants and concentrations can be evaluated.

    DOI: 10.1063/5.0073747

    Web of Science

    Scopus

  40. Effects of the sequential implantation of Mg and N ions into GaN for p-type doping

    Sakurai, H; Narita, T; Kataoka, K; Hirukawa, K; Sumida, K; Yamada, S; Sierakowski, K; Horita, M; Ikarashi, N; Bockowski, M; Suda, J; Kachi, T

    APPLIED PHYSICS EXPRESS   Vol. 14 ( 11 )   2021.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    The sequential implantation of Mg and N ions into GaN was investigated using conventional rapid thermal annealing and ultra-high-pressure annealing (UHPA). In cathodoluminescence, the green luminescence related to nitrogen vacancies (VNs) was mostly suppressed at the Mg/N ratio of 0.5-1.0, whereas the donor-acceptor pair (DAP) emission as a signature of Mg acceptors was maintained high. The excess N implantation reduced the DAP emission through the formation of nonradiative recombination centers. The combined process of optimal Mg/N implantation and UHPA at 1673 K improved ohmic contacts by increasing Mg concentration and suppressing VNs near the surface.

    DOI: 10.35848/1882-0786/ac2ae7

    Web of Science

    Scopus

  41. Fabrication of 150-nm AlGaN/GaN field-plated High Electron Mobility Transistors using <i>i</i>-line stepper

    Ando, Y; Makisako, R; Takahashi, H; Wakejima, A; Suda, J

    ELECTRONICS LETTERS   Vol. 57 ( 24 ) page: 948 - 949   2021.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Electronics Letters  

    This article reports a high throughput 150-nm-gate AlGaN/GaN high electron mobility transistor (HEMT) process using i-line stepper lithography and a thermal reflow technique. Optimizing thermal reflow conditions, fabrication of a 150-nm gate structure was successfully realized with the initial resist opening of 0.7 μm. AlGaN/GaN field-plated HEMTs were fabricated on a semi-insulating SiC substrate by using this process. In spite of unoptimized structures, fabricated 150-nm gate devices exhibited the maximum drain current of 0.65 A/mm and the gate-drain breakdown voltage exceeding 200 V. Based on cold HEMT extraction measurements, the average gate length of 187 nm and the standard deviation of 30 nm were obtained on a quarter 4-in. wafer.

    DOI: 10.1049/ell2.12303

    Web of Science

    Scopus

  42. Investigation of point defects in GaN for the realization of high performance GaN vertical power devices

    SUDA Jun, HORITA Masahiro, KANEGAE Kazutaka

    Oyo Buturi   Vol. 90 ( 10 ) page: 628 - 631   2021.10

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:The Japan Society of Applied Physics  

    <p>Fundamental knowledge of point defects is essential for developing epitaxial growth, device processes and device design and characterization. However, the knowledge with regard to GaN is quite limited compared to Si and GaAs. The authors have carried out an extensive investigation of point defects in GaN by using deep-level transient spectroscopy (DLTS). In this paper, the origin of the E3 trap, the development of quantitative measurement techniques for carbon-related hole traps in GaN by using sub-bandgap photoexcitation and studies of nitrogen-displacement-related point defects (nitrogen vacancy and interstitial) intentionally formed by electron beam irradiation are reviewed.</p>

    DOI: 10.11470/oubutsu.90.10_628

    CiNii Research

  43. Electrical characteristics of gated-anode diodes based on normally-off GaN HEMT structures for rectenna applications

    Takahashi, H; Ando, Y; Tsuchiya, Y; Wakejima, A; Hayashi, H; Yagyu, E; Kikkawa, K; Sakai, N; Itoh, K; Suda, J

    ELECTRONICS LETTERS   Vol. 57 ( 21 ) page: 810 - 812   2021.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Electronics Letters  

    Here, a gated-anode diode (GAD) is proposed where an anode electrode is formed by connecting a gate electrode and an ohmic electrode of a normally-off GaN HEMT for a 5.8 GHz rectenna. A wide recessed gate GaN GADs were prepared and the recess length dependence of their electrical characteristics was investigated. Typical DC characteristics of the HEMTs are a threshold voltage (Vth) of +0.3 V and a maximum drain current (Imax) of 300 mA/mm. The GADs showed the characteristics of maximum forward current (If) of 350 mA/mm, reverse breakdown voltage (BVr) of 40 V, and off-state capacitance (Coff) of 0.28 pF/mm by using optimized recess length. We constructed SPICE model of the GADs. The SPICE simulation predicted a rectifier efficiency of 81% and a DC output power of 10 W for bridge type 5.8 GHz rectifier using four GADs with each gate width of 0.8 mm.

    DOI: 10.1049/ell2.12269

    Web of Science

    Scopus

  44. Depth profiles of electron traps generated during reactive ion etching in n-type 4H-SiC characterized by using isothermal capacitance transient spectroscopy

    Kanegae, K; Okuda, T; Horita, M; Suda, J; Kimoto, T

    JOURNAL OF APPLIED PHYSICS   Vol. 130 ( 10 )   2021.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Journal of Applied Physics  

    Electron traps generated during the reactive ion etching (RIE) process in n-type 4H-SiC are investigated using the deep-level transient spectroscopy technique and isothermal capacitance transient spectroscopy (ICTS) technique. Two electron traps of the Z1/2 center are detected in the RIE-etched sample by ICTS measurement at 300 K. A method is proposed to determine the depth profiles of the electron traps that are localized near the etched surface, whereby a depth profile is extracted from the dependence of averaged trap density on the depletion layer width. An exponential distribution is assumed as the depth profile of the electron traps generated during the RIE process. The extracted depth profile was confirmed to be consistent with that determined by the double-correlation method. An appropriate function for the depth profile of carrier traps is assumed and the dependence of the averaged trap density on the depletion layer width is analyzed, which enables the extraction of a depth profile that has both higher depth resolution and higher resolution in the carrier trap density with the proposed method than that with the double-correlation method.

    DOI: 10.1063/5.0059588

    Web of Science

    Scopus

  45. Impact of channel mobility on design optimization of 600-3300 V-class high-speed GaN vertical-trench MOSFETs based on TCAD simulation

    Ishida, T; Sakao, K; Kachi, T; Suda, J

    APPLIED PHYSICS EXPRESS   Vol. 14 ( 9 )   2021.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    To simultaneously evaluate the switching performance and cost (required chip size) of GaN vertical-trench metal-oxide-semiconductor field-effect transistors, we calculated RonARonQg considering the Miller effect with various cell pitches, channel mobilities, and blocking voltages. When the blocking voltage was 600 V, optimized cell pitches of 8 and 12 μm minimized RonARonQg with channel mobilities of 100 and 200 cm2 V-1 s-1, respectively. Moreover, a wide range of cell pitches could maintain a low RonARonQg with a channel mobility of 200 cm2 V-1 s-1. This indicates that a channel mobility of 100 cm2 V-1 s-1 or higher, particularly 200 cm2 V-1 s-1, is desirable for a good switching performance and low cost.

    DOI: 10.35848/1882-0786/ac18af

    Web of Science

    Scopus

  46. Photoionization cross section ratio of nitrogen-site carbon in GaN under sub-bandgap-light irradiation determined by isothermal capacitance transient spectroscopy

    Kanegae, K; Narita, T; Tomita, K; Kachi, T; Horita, M; Kimoto, T; Suda, J

    APPLIED PHYSICS EXPRESS   Vol. 14 ( 9 )   2021.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    The ratio of the photoionization cross sections (σo/n σ o/p) of carbon substituting at the nitrogen site [CN (0/-)] in n-type GaN, which is detected as a hole trap H1 (EV + 0.85 eV) under sub-bandgap-light irradiation (390 nm), is determined with isothermal capacitance transient spectroscopy (ICTS). The current-injection ICTS and the sub-bandgap-light-excited ICTS were compared for the same p+-n junction diode, whereby the hole occupancy ratio (fT) was obtained. Analysis of the dependence of fT on the temperature gave σo/n σ o/p of 3.0 was then used to estimate the charge state of CN (0/-) under sub-bandgap-light irradiation.

    DOI: 10.35848/1882-0786/ac16ba

    Web of Science

    Scopus

  47. Design and demonstration of nearly-ideal edge termination for GaN p-n junction using Mg-implanted field limiting rings

    Matys, M; Ishida, T; Nam, KP; Sakurai, H; Kataoka, K; Narita, T; Uesugi, T; Bockowski, M; Nishimura, T; Suda, J; Kachi, T

    APPLIED PHYSICS EXPRESS   Vol. 14 ( 7 )   2021.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    A nearly-ideal edge termination for GaN p-n junctions was designed and demonstrated using Mg-ions implanted field limiting rings (FLRs). The FLRs were fabricated via the ultra-high-pressure annealing process after implanting Mg-ions into the etched n-type region outside the main p-n junction. The results of the technology computer-aided design simulation indicate that by optimizing the space and width of the rings, the breakdown voltage (BV) can be increased by over 90% of the ideal parallel plane BV (973 V). Accordingly, the fabricated diodes exhibited low leakage current and a BV of 897 V (92% of the ideal BV).

    DOI: 10.35848/1882-0786/ac0b09

    Web of Science

    Scopus

  48. Increase of reverse leakage current at homoepitaxial GaN p-n junctions induced by continuous forward current stress

    Narita, T; Nagasato, Y; Kanechika, M; Kondo, T; Uesugi, T; Tomita, K; Ikeda, S; Yamaguchi, S; Kimoto, Y; Kosaki, M; Oka, T; Kojima, J; Suda, J

    APPLIED PHYSICS LETTERS   Vol. 118 ( 25 )   2021.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Letters  

    Reliability tests involving the application of high electrical stresses were employed to assess GaN-based vertical p-n junctions fabricated on freestanding GaN substrates with threading dislocation densities less than 104 cm−2. Electric field crowding at the device edges was eliminated by employing a shallow bevel mesa structure, thus allowing an evaluation of the reliability of the internal p-n junctions. The p-n diodes exhibited reproducible avalanche breakdown characteristics over the temperature range of 25-175 °C. No degradation was observed even during tests in which the devices were held under a reverse bias near the breakdown voltage. Despite this high degree of reliability in response to reverse bias stress, a small number of diodes were degraded during continuous forward current tests, although the majority of diodes remained unchanged. The reverse leakage current exhibited by degraded diodes was increased with an increase in the forward current density within the range of 50-500 A/cm2, while the breakdown voltages were unchanged in response to current stress. The leakage level increased exponentially with an increase in the total amount of injected carriers but eventually plateaued. In the degraded p-n diode, a luminous point in an emission microscope corresponded to one of the threading dislocations observed in the synchrotron x-ray topography, indicating that a specific dislocation played as a leakage path after injecting carriers.

    DOI: 10.1063/5.0053139

    Web of Science

    Scopus

  49. Impact ionization coefficients and critical electric field in GaN

    Maeda, T; Narita, T; Yamada, S; Kachi, T; Kimoto, T; Horita, M; Suda, J

    JOURNAL OF APPLIED PHYSICS   Vol. 129 ( 18 )   2021.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Journal of Applied Physics  

    Avalanche multiplication characteristics in a reverse-biased homoepitaxial GaN p-n junction diode are experimentally investigated at 223-373 K by novel photomultiplication measurements utilizing above- and below-bandgap illumination. The device has a non-punch-through one-side abrupt p--n+ junction structure, in which the depletion layer mainly extends to the p-type region. For above-bandgap illumination, the light is absorbed at the surface p+-layer, and the generated electrons diffuse and reach the depletion layer, resulting in an electron-injected photocurrent. On the other hand, for below-bandgap illumination, the light penetrates a GaN layer and is absorbed owing to the Franz-Keldysh effect in the high electric field region (near the p-n junction interface), resulting in a hole-induced photocurrent. The theoretical (non-multiplicated) photocurrents are calculated elaborately, and the electron- and hole-initiated multiplication factors are extracted as ratios of the experimental data to the calculated values. Through the mathematical analyses of the multiplication factors, the temperature dependences of the impact ionization coefficients of electrons and holes in GaN are extracted and formulated by the Okuto-Crowell model. The ideal breakdown voltage and the critical electric field for GaN p-n junctions of varying doping concentration are simulated using the obtained impact ionization coefficients, and their temperature dependence and conduction-type dependence were discussed. The simulated breakdown characteristics show good agreement with data reported previously, suggesting the high accuracy of the impact ionization coefficients obtained in this study.

    DOI: 10.1063/5.0050793

    Web of Science

    Scopus

  50. Isochronal annealing study of Mg-implanted p-type GaN activated by ultra-high-pressure annealing

    Hirukawa, K; Sumida, K; Sakurai, H; Fujikura, H; Horita, M; Otoki, Y; Sierakowski, K; Bockowski, M; Kachi, T; Suda, J

    APPLIED PHYSICS EXPRESS   Vol. 14 ( 5 )   2021.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    Isochronal annealing was performed on Mg-ion-implanted GaN under 1 GPa N2 ambient pressure for 5 min at temperatures of 1573-1753 K. Secondary ion mass spectrometry showed diffusion of Mg atoms and introduction of H atoms during annealing. Deeper diffusion was observed with increasing temperature. From Hall-effect measurements, p-type conductivity was found even for the sample with the lowest annealing temperature of 1573 K. For this sample, the acceptor activation ratio was 23% and the compensation ratio was 93%. The acceptor activation ratio increased to almost 100% and the compensation ratio decreased to 12% with increasing annealing temperature.

    DOI: 10.35848/1882-0786/abf4f3

    Web of Science

    Scopus

  51. Formation of highly vertical trenches with rounded corners via inductively coupled plasma reactive ion etching for vertical GaN power devices

    Yamada, S; Sakurai, H; Osada, Y; Furuta, K; Nakamura, T; Kamimura, R; Narita, T; Suda, J; Kachi, T

    APPLIED PHYSICS LETTERS   Vol. 118 ( 10 )   2021.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Letters  

    A trench-gate metal-oxide-semiconductor field-effect transistor (T-MOSFET) has great potential for use in gallium nitride (GaN)-based vertical power switching devices owing to its high blocking voltage and high current capability. To form an optimal trench shape that has highly vertical sidewalls and rounded corners, we developed a dry-etching technique using inductively coupled plasma reactive ion etching (ICP-RIE). A highly vertical trench was obtained by including SiCl4 reactive gas mixed with Cl2 gas in the ICP-RIE process, where Si-related byproducts suppressed the etching of the sidewall and allowed selective etching in the vertical direction. We found that the optimization of the bias power was a key to suppress the formation of subtrenches and to avoid an isotropic etching mode. The optimal etching condition leads to natural formation of rounded corners at the trench bottom. In addition, a multistep-bias etching technique was applied to reduce etching-induced damage. Cross-sectional transmission electron microscopy images revealed that lattice distortion on the sidewall surface was eliminated by multistep-bias etching. Based on the rectification properties of the Schottky barrier diodes formed on the trench sidewalls, the Schottky barrier height was comparable to the not-etched surfaces. This indicates that the gap states caused by etching-induced damage can almost be eliminated in the multistep-bias process. The proposed technique is suitable for GaN-based vertical T-MOSFETs.

    DOI: 10.1063/5.0040920

    Web of Science

    Scopus

  52. Fabrication of GaN cantilever on GaN substrate by photo-electrochemical etching

    Yamada, T; Ando, Y; Watanabe, H; Furusawa, Y; Tanaka, A; Deki, M; Nitta, S; Honda, Y; Suda, J; Amano, H

    APPLIED PHYSICS EXPRESS   Vol. 14 ( 3 )   2021.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    Photo-electrochemical (PEC) etching is a promising technique for fabricating GaN microelectromechanical systems devices. In this study, we demonstrate the fabrication of GaN cantilevers by the bandgap-selective PEC etching of an InGaN superlattice sacrificial layer. By using an InGaN superlattice as a sacrifice layer, we found the PEC etching rate became higher than using a normal InGaN layer. As a result, the InGaN superlattice was completely etched and we fabricated GaN-based cantilevers whose resonance characteristics were measured. The Young’s modulus of GaN was determined from the resonance characteristics of GaN cantilevers to be the same as the highest value reported previously.

    DOI: 10.35848/1882-0786/abe657

    Web of Science

    Scopus

  53. Mg-implanted bevel edge termination structure for GaN power device applications

    Matys, M; Ishida, T; Nam, KP; Sakurai, H; Narita, T; Uesugi, T; Bockowski, M; Suda, J; Kachi, T

    APPLIED PHYSICS LETTERS   Vol. 118 ( 9 )   2021.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Letters  

    Herein, we propose and demonstrate the edge termination for GaN-based one-sided abrupt p-n junctions. The structure is comprised of a combination of a shallow negative bevel mesa and selective-area p-type doping under the mesa. Based on the Technology Computer Aided Design (TCAD) simulation, the maximum electric field at the junction edge is markedly reduced to approximately 1.3 times that of the parallel-plane electric field in the proposed structure, which is almost half of the unimplanted diode. The TCAD simulation also shows that the shallow mesa angle of 6 ° effectively reduces the optimum acceptor concentration (Na) in the implanted region and enhances the breakdown voltage. The optimum Na value can be covered by the proposed technology based on the Mg-ion implantation and subsequent ultra-high-pressure annealing (UHPA). Using the formation of the shallow bevel mesa, the Mg-ion implantation, and the UHPA process, we experimentally demonstrate the p-n diodes with a breakdown voltage over 600 V, which is in good agreement with the TCAD simulation. The proposed method can be applied to a vertical trench-gate metal-oxide-semiconductor field-effect transistor with a high figure-of-merit.

    DOI: 10.1063/5.0039183

    Web of Science

    Scopus

  54. Effect of Nitrogen Plasma Treatment on Characteristics of GaN Trench MOSFETs

    NAM KyungPil, Ishida Takashi, Matys Maciej, Uesugi Tsutomu, Kachi Tetsu, Suda Jun

    JSAP Annual Meetings Extended Abstracts   Vol. 2021.1 ( 0 ) page: 2403 - 2403   2021.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:The Japan Society of Applied Physics  

    DOI: 10.11470/jsapmeeting.2021.1.0_2403

    CiNii Research

  55. Design guidelines suppressing dynamic punch-through in GaN vertical MOSFETs by considering the Poole-Frenkel effect

    Rokuno, S; Suda, J

    APPLIED PHYSICS EXPRESS   Vol. 14 ( 2 )   2021.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    Due to the large ionization energy of Mg acceptors in GaN, dynamic punch-through will occur in vertical GaN MOSFETs. To avoid this, higher doping and/or a thicker p-body region should be utilized. However, this increases the channel resistance. In this letter, we suggest that the Poole-Frenkel (P-F) effect has significant impact on dynamic punch-through because of the high electric field in the depletion region under a large bias voltage. Systematic TCAD simulations of simplified vertical GaN MOSFET structures were carried out. We show that the device design considering the P-F effect results in a reduction in the increase in channel resistance.

    DOI: 10.35848/1882-0786/abd960

    Web of Science

    Scopus

  56. Nitrogen-displacement-related electron traps in <i>n</i>-type GaN grown on a GaN freestanding substrate

    Horita, M; Narita, T; Kachi, T; Suda, J

    APPLIED PHYSICS LETTERS   Vol. 118 ( 1 )   2021.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Letters  

    Energy levels due to intrinsic point defects are identified by deep-level transient spectroscopy (DLTS). Electron-beam (EB) irradiation created nitrogen vacancies (VN) and nitrogen interstitials (NI) in n-type GaN layers grown via metalorganic vapor phase epitaxy on freestanding GaN substrates, where the irradiation energies were selected to be within 100-401 keV to displace only nitrogen atoms in GaN. Two electron traps, EE1 (0.13 eV) and EE2 (0.98 eV), were observed in the DLTS spectra. The production rates of EE1 and EE2 were 0.093 and 0.109 cm-1 under 401 keV irradiation, which were nearly equal values. In the DLTS spectra recorded for EB-irradiated samples at the energy ranging from 100 to 401 keV, EE1 and EE2 were found to appear simultaneously at an irradiation energy of 137 keV and were observed at energies greater than 137 keV. On the basis of a comparison with the results of recent first-principles calculations, we attributed the EE1 and EE2 peaks to nitrogen vacancies VN (+/0) and nitrogen interstitials NI (0/-), respectively. Furthermore, annealing led to reductions of the densities of these traps at the same rate. The reduction of the densities of EE1 and EE2 can be explained by the migration of NI and the subsequent recombination with VN. The displacement energy of 21.8 eV for nitrogen in GaN was obtained from the irradiation-energy dependence of EE1.

    DOI: 10.1063/5.0035235

    Web of Science

    Scopus

  57. Impact of gamma-ray irradiation on capacitance-voltage characteristics of Al<sub>2</sub>O<sub>3</sub>/GaN MOS diodes with and without post-metallization annealing

    Aoshima, K; Horita, M; Suda, J; Hashizume, T

    APPLIED PHYSICS EXPRESS   Vol. 14 ( 1 )   2021.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    Atomic layer deposited Al2O3/GaN metal-oxide-semiconductor (MOS) diodes with and without post-metallization annealing (PMA) were irradiated with gamma-rays. Capacitance-voltage measurements were made before and after irradiation to investigate trap formation in Al2O3 films and interface states between Al2O3 and GaN. Negative flat-band voltage shifts were observed. The flat-band voltage shift depends on the Al2O3 thickness, showing different distributions of gamma-ray-induced positive charges for samples with and without PMA. The interface state density of the PMA sample slightly increased after irradiation, but was lower than that of the sample without PMA before irradiation.

    DOI: 10.35848/1882-0786/abd71a

    Web of Science

    Scopus

  58. Enhanced activation of Mg ion-implanted GaN at decreasing annealing temperature by prolonging duration

    Nakashima T., Kano E., Kataoka K., Arai S., Sakurai H., Narita T., Sierakowski K., Bockowski M., Nagao M., Suda J., Kachi T., Ikarashi N.

    Applied Physics Express   Vol. 14 ( 1 )   2021.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    Defect time-evolution was investigated in Mg ion-implanted GaN after annealing at 1573 K for an unprecedentedly long duration. Transmission electron microscopy directly revealed that annealing for over 30 min reduced defects inhibiting Mg activation, just like annealing at 1753 K for a short duration. The cathodoluminescence intensity of donor-acceptor pair originating from Mg acceptors increased as the duration increased, and the intensity after annealing for 60 min was higher than after short-duration annealing at 1753 K. These show the potential of lowering the annealing temperature by prolonging the duration, which would lead to practical annealing technology for Mg ion-implanted GaN.

    DOI: 10.35848/1882-0786/abd308

    Scopus

  59. Improvement of channel property of GaN vertical trench MOSFET by compensating nitrogen vacancies with nitrogen plasma treatment

    Ishida, T; Nam, KP; Matys, M; Uesugi, T; Suda, J; Kachi, T

    APPLIED PHYSICS EXPRESS   Vol. 13 ( 12 )   2020.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    The electrical properties of vertical GaN trench MOSFETs without drift layers were evaluated to investigate the effect of nitrogen plasma treatment on the trench sidewalls. It is demonstrated that nitrogen plasma treatment improves the channel property of the vertical GaN trench MOSFET. The possible mechanism of this improvement is the supply of nitrogen atoms from nitrogen plasma treatment to the trench surfaces, and the compensation of the nitrogen vacancies near the trench surfaces by the nitrogen atoms during gate oxide annealing. The temperature dependence and the limiting factors of the channel property are also discussed.

    DOI: 10.35848/1882-0786/abcdbb

    Web of Science

    Scopus

  60. Impact of Film Stress of Field-Plate Dielectric on Electric Characteristics of GaN-HEMTs

    Ando, Y; Takahashi, H; Ma, Q; Wakejima, A; Suda, J

    IEEE TRANSACTIONS ON ELECTRON DEVICES   Vol. 67 ( 12 ) page: 5421 - 5426   2020.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IEEE Transactions on Electron Devices  

    This article reports a systematic study focused on the mechanical stress effect of field-plate dielectric film on the electric characteristics of AlGaN/GaN high-electron mobility transistors (HEMTs). AlGaN/GaN HEMTs were fabricated on SiC substrates, where the stress of a SiN field-plate dielectric film ranged from -252 (compressive) to +26.5 (tensile) MPa. Si-rich and compressive SiN films exhibited a significant increase in the isolation leakage. On the other hand, relatively N-rich and tensile SiN films showed a significant increase in the gate leakage current of HEMTs. In addition, pulsed ${I}$ - ${V}$ measurements showed the suppression in the current collapse by increasing the tensile stress. Consequently, small current collapse and small gate leakage current were obtained simultaneously with good isolation, as the film stress was optimized.

    DOI: 10.1109/TED.2020.3029540

    Web of Science

    Scopus

  61. Effects of ultra-high-pressure annealing on characteristics of vacancies in Mg-implanted GaN studied using a monoenergetic positron beam

    Uedono, A; Sakurai, H; Narita, T; Sierakowski, K; Bockowski, M; Suda, J; Ishibashi, S; Chichibu, SF; Kachi, T

    SCIENTIFIC REPORTS   Vol. 10 ( 1 )   2020.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Scientific Reports  

    Vacancy-type defects in Mg-implanted GaN were probed by using a monoenergetic positron beam. Mg ions were implanted into GaN to obtain 0.3-μm-deep box profiles with Mg concentrations of 1 × 1019 cm−3. The major defect species in an as-implanted sample was determined to be Ga-vacancy related defects such as a complex between Ga and N vacancies. The sample was annealed under a nitrogen pressure of 1 GPa in a temperature range of 1000–1480 °C without a protective capping layer. Compared with the results for Mg-implanted GaN annealed with an AlN capping layer, the defect concentration was decreased by the cap-less annealing, suggesting that the surface of the sample was an effective sink for vacancies migrating toward the surface. Depth distributions of Mg after annealing above 1300 °C were influenced by the presence of residual vacancies at this temperature. Hydrogen atoms were unintentionally incorporated into the sample during annealing, and their diffusion properties were also affected by both vacancies and Mg.

    DOI: 10.1038/s41598-020-74362-9

    Web of Science

    Scopus

    PubMed

  62. Why do electron traps at<i>E</i><sub>C</sub>-0.6 eV have inverse correlation with carbon concentrations in n-type GaN layers?

    Narita, T; Horita, M; Tomita, K; Kachi, T; Suda, J

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 59 ( 10 )   2020.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    We found that the graphite elements in a metalorganic vapor phase epitaxy reactor are a significant source of iron atoms, and lead to the formation of E3 electron traps at an energy E C-0.6 eV in n-type GaN layers. Thus, the previously reported inverse correlation between the E3 trap and carbon concentrations can be explained in terms of the correlation between the growth parameters. A high V/III ratio reduced carbon incorporation and simultaneously decreased the growth rate, resulting in increased iron incorporation in the layer grown. A high temperature also reduced carbon incorporation, while iron incorporation and the formation of E3 traps were both increased by enhanced etching of the graphite elements. By optimizing the growth conditions, we successfully suppressed both E3 trap formation and carbon incorporation in n-type GaN layers on 2 inch wafers.

    DOI: 10.35848/1347-4065/abb9ca

    Web of Science

    Scopus

  63. Depth profiling of surface damage in n-type GaN induced by inductively coupled plasma reactive ion etching using photo-electrochemical techniques

    Yamada, S; Takeda, K; Toguchi, M; Sakurai, H; Nakamura, T; Suda, J; Kachi, T; Sato, T

    APPLIED PHYSICS EXPRESS   Vol. 13 ( 10 )   2020.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    Depth profiling of the dry-etching damage in n-type GaN induced by inductively coupled plasma reactive ion etching was carried out by cyclical electrochemical impedance spectroscopy (EIS) measurements and damage-free photo-electrochemical (PEC) etching. The GaN samples were dry-etched under different etching bias power (Pbias) conditions, and PEC etchings were conducted in increments of 10 nm after EIS measurements. The damage depth was determined to be less than 50 nm for the sample corresponding to Pbias = 30 W and was less than 10 nm for the two-step etching sample corresponding to Pbias = 30 W + 5 W.

    DOI: 10.35848/1882-0786/abb787

    Web of Science

    Scopus

  64. Progress on and challenges of p-type formation for GaN power devices

    Narita, T; Yoshida, H; Tomita, K; Kataoka, K; Sakurai, H; Horita, M; Bockowski, M; Ikarashi, N; Suda, J; Kachi, T; Tokuda, Y

    JOURNAL OF APPLIED PHYSICS   Vol. 128 ( 9 )   2020.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Journal of Applied Physics  

    The fabrication processes of p-type regions for vertical GaN power devices are investigated. A p-type body layer in a trench gate metal-oxide-semiconductor field-effect transistor requires precise control of the effective acceptor concentration, which is equal to the difference between the Mg acceptor concentration (Na) and the compensating donor concentration (Nd). The carbon atoms incorporated during growth via metalorganic vapor phase epitaxy substitute nitrogen sites (CN) and function as donor sources in a p-type GaN layer. Since interstitial H atoms (H i) also compensate holes, their removal from an Mg-doped layer is crucial. Extended anneals to release H atoms cause the formation of extra hole traps. The p+ capping layer allows effective and rapid removal of H atoms from a p-type body layer owing to the electric field across the p+/p- junction. On the other hand, selective area p-type doping via Mg ion implantation is needed to control the electrical field distribution at the device edge. Ultrahigh-pressure annealing (UHPA) under a nitrogen pressure of 1 GPa enables post-implantation annealing up to 1753 K without thermal decomposition. Cathodoluminescence spectra and Hall-effect measurements suggest that the acceptor activation ratio improves dramatically by annealing above 1673 K as compared to annealing at up to 1573 K. High-temperature UHPA also induces Mg atom diffusion. We demonstrate that vacancy diffusion and the introduction of H atoms from the UHPA ambient play a key role in the redistribution of Mg atoms.

    DOI: 10.1063/5.0022198

    Web of Science

    Scopus

  65. Impacts of high temperature annealing above 1400<sup>°</sup>C under N<inf>2</inf>overpressure to activate acceptors in Mg-implanted GaN

    Sakurai H., Narita T., Hirukawa K., Yamada S., Koura A., Kataoka K., Horita M., Ikarashi N., Bockowski M., Suda J., Kachi T.

    Proceedings of the International Symposium on Power Semiconductor Devices and ICs   Vol. 2020-September   page: 321 - 324   2020.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Proceedings of the International Symposium on Power Semiconductor Devices and ICs  

    In this study, we clarify the impact of annealing pressure and temperature of the ultra-high-pressure annealing (UHPA) as a post-implantation-annealing (PIA) on the acceptor activation for Mg-ions-implanted GaN samples. The pressure to prevent the thermal decomposition is absolutely determined by the equilibrium N2 partial pressure in the phase diagram of GaN-Ga-N2 system, so that the pressure below the critical pressure always leads to the serious surface decomposition of GaN. In low temperature cathodoluminescence examinations, the samples processed at 1400°C or above exhibited more intense emissions in the near band edge and donor-acceptor pair band with a suppression of green luminescence related to point defects. Temperature dependent Hall-effect measurement was allowed for the UHPA samples annealed at 1400°C or above, whereas free holes were not observed for the 1300°C-UHPA. Moreover, the acceptor concentrations (Na) for samples annealed at 1400°C or above were close to Mg concentrations. We thus revealed the key process parameters in UHPA, which is at more than 1400°C under the N2 overpressure exceeding the equilibrium partial pressure. These findings can play as a key role in the selective area doping using UHPA.

    DOI: 10.1109/ISPSD46842.2020.9170174

    Scopus

  66. Redistribution of Mg and H atoms in Mg-implanted GaN through ultra-high-pressure annealing

    Sakurai, H; Narita, T; Omori, M; Yamada, S; Koura, A; Iwinska, M; Kataoka, K; Horita, M; Ikarashi, N; Bockowski, M; Suda, J; Kachi, T

    APPLIED PHYSICS EXPRESS   Vol. 13 ( 8 )   2020.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    Diffusion in a magnesium (Mg)-implanted homoepitaxial GaN layer during ultra-high-pressure annealing (UHPA, in ambient nitrogen, under 1 GPa) was investigated. Annealing at 1573 K resulted in Mg-segregation at the edge of the implanted region, which was suppressed using a higher temperature of 1673 K. Hydrogen (H) atoms were incorporated during the UHPA, resulting in the Mg and H developing the same diffusion profile in the deeper region. The diffusion coefficient of the Mg-implanted sample was 3.3 10-12 cm2 s-1 at 1673 K from the annealing duration dependence, 30 times larger than that of the epitaxial Mg-doped sample, originating from ion implantation-induced defects.

    DOI: 10.35848/1882-0786/aba64b

    Web of Science

    Scopus

  67. Identification of origin of<i>E</i><sub>C</sub>-0.6 eV electron trap level by correlation with iron concentration in n-type GaN grown on GaN freestanding substrate by metalorganic vapor phase epitaxy

    Horita, M; Narita, T; Kachi, T; Suda, J

    APPLIED PHYSICS EXPRESS   Vol. 13 ( 7 )   2020.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    The origin of E3 electron traps at E C -0.58 eV in GaN was investigated using Si-doped n-type GaN layers grown on freestanding GaN substrates using MOVPE. These layers contained impurity Fe at various concentrations depending on the growth conditions and the position within the wafer. Twenty E3 concentrations (N T,E3) determined by deep-level transient spectroscopy were plotted against the corresponding Fe concentrations ([Fe]) obtained from secondary ion mass spectrometry. A correlation was evident between N T,E3 and [Fe] in the range (0.4-12) 1015 cm-3, suggesting that the E3 level in MOVPE-grown homoepitaxial GaN originates from the substitution of Fe atoms at Ga sites.

    DOI: 10.35848/1882-0786/ab9e7c

    Web of Science

    Scopus

  68. Dual-color-sub-bandgap-light-excited isothermal capacitance transient spectroscopy for quick measurement of carbon-related hole trap density in n-type GaN

    Kanegae, K; Narita, T; Tomita, K; Kachi, T; Horitata, M; Kimoto, T; Suda, J

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 59 ( SG )   2020.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    A quick method is proposed for measurement of the carbon-related hole trap (H1: +0.87 eV) density in an n-type GaN homoepitaxial layer using dual-color-sub-bandgap-light-excited isothermal capacitance transient spectroscopy. Shorter wavelength (390 nm) light irradiation is employed to cause the hole traps to be in the hole-occupied state. Longer wavelength (660 nm) light irradiation is then used to emit the hole from the trap to the valence band. The photoemission of holes is much quicker than the thermal emission, which reduces the measurement time. The trap density can be calculated from the capacitance transient.

    DOI: 10.35848/1347-4065/ab6863

    Web of Science

    Scopus

  69. Electron traps formed by gamma-ray irradiation in homoepitaxial <i>n</i>-type GaN and their annealing behavior

    Aoshima, K; Kanegae, K; Horita, M; Suda, J

    AIP ADVANCES   Vol. 10 ( 4 )   2020.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:AIP Advances  

    Gamma-ray irradiations of up to 500 kGy on homoepitaxial n-type GaN layers were carried out, and the formation of electron traps was investigated by deep-level transient spectroscopy (DLTS) using Ni Schottky barrier diodes (SBDs). Before performing DLTS, current-voltage (I-V) and capacitance-voltage (C-V) measurements of the SBDs were performed and it was found that there was no change in the net donor concentration, ideality factor, and Schottky barrier height after irradiation. In the DLTS measurements, two new peaks, labeled G1 and G2, were observed after irradiation. The filling pulse width dependence of G1 revealed that the peak consists of two electron trap levels, labeled G1a (EC - 0.13 eV) and G1b (EC - 0.14 eV). Isothermal capacitance transient spectroscopy measurements of samples with different Schottky barrier heights showed that the G2 peak is a complex peak consisting of at least three electron traps, labeled G2a (EC - 0.80 eV), G2b (EC - 0.98 eV), and G2c (EC - 1.08 eV). The production rates (formation rates of traps by gamma-ray irradiation) for each trap were obtained. Finally, we investigated the annealing behavior of each trap and found that G1b and G2b decreased by the same amount with increasing annealing temperature, suggesting that the behavior originates from a recombination of vacancy-interstitial (Frenkel) pairs.

    DOI: 10.1063/1.5144158

    Web of Science

    Scopus

  70. Defect evolution in Mg ions implanted GaN upon high temperature and ultrahigh N<sub>2</sub> partial pressure annealing: Transmission electron microscopy analysis

    Iwata, K; Sakurai, H; Arai, S; Nakashima, T; Narita, T; Kataoka, K; Bockowski, M; Nagao, M; Suda, J; Kachi, T; Ikarashi, N

    JOURNAL OF APPLIED PHYSICS   Vol. 127 ( 10 )   2020.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Journal of Applied Physics  

    Defects in Mg ion-implanted GaN epitaxial layers formed after annealing at 1573 K and at 1753 K were analyzed by transmission electron microscopy. Degradation of the GaN surface, which occurs at temperatures higher than about 1573 K, was avoided by ultra-high-pressure annealing under a N2 atmosphere at 1 GPa. Annealing for damage recovery in ion-implanted compound semiconductors generally requires temperatures at about two-thirds of their melting point, which is reportedly 2518 K or higher for GaN. Thus, defect analysis in ion-implanted GaN annealed at temperatures higher than 1573 K is necessary to understand the defect recovery. Atomic-resolution transmission electron microscopy analysis showed that interstitial-type extended defects and inversion domains with Mg segregation were formed during the annealing at 1573 K. These defects were not observed in a sample annealed at 1753 K; instead, vacancy-type extended defects were formed. Such evolution of defects can be explained by previous theoretical studies that indicated that the migration energy of vacancy-type defects is higher than that of interstitial-type defects. Moreover, the formation of vacancy-type extended defects implies a reduction in the concentrations of vacancies and their complexes. Since the vacancies and their complexes can compensate for Mg acceptors, their reduced concentration should increase the acceptor activation efficiency. Also, the disappearance of Mg segregation during high-temperature annealing should increase the activation efficiency since the segregated Mg atoms are electrically inactive. It is thus concluded that the evolution of defects caused by high-temperature annealing above 1573 K increases the activation efficiency of acceptors in Mg ion-implanted GaN.

    DOI: 10.1063/1.5140410

    Web of Science

    Scopus

  71. Effects of Dosage Increase on Electrical Properties of Metal-Oxide-Semiconductor Diodes with Mg-Ion-Implanted GaN Before Activation Annealing

    Akazawa, M; Kamoshida, R; Murai, S; Narita, T; Omori, M; Suda, J; Kachi, T

    PHYSICA STATUS SOLIDI B-BASIC SOLID STATE PHYSICS   Vol. 257 ( 2 )   2020.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Physica Status Solidi (B) Basic Research  

    The effect of increasing the dosage on the electrical properties of Mg-ion-implanted GaN before activation annealing is investigated to obtain knowledge on the defect levels generated by ion implantation. To probe the near-surface region, GaN metal-oxide-semiconductor (MOS) structures with Al2O3 are used. Two kinds of MOS diodes with Mg-ion dosages of 1.5 × 1011 and 1.5 × 1012 cm−2 implanted at 50 keV are prepared. Although anomalous capacitance–voltage (C–V) characteristics are observed for the low-dosage sample, they are improved by annealing at 600 °C for 3 h. However, for the high-dosage sample, more severe and persistent frequency dispersion is observed in the C–V characteristics, which is not improved by the same annealing. On the basis of the detailed analysis of capacitance–frequency (C–f) characteristics, it is concluded that the discrete interface trap at 0.2–0.3 eV below the conduction band is responsible for the frequency dispersion observed for the high-dosage sample. Combined with the results of deep-level transient spectroscopy, it is highly likely that the bulk deep levels affect the C–V and C–f characteristics. The possibility that the dominant deep levels are changed by the increase in Mg-ion dosage is discussed.

    DOI: 10.1002/pssb.201900367

    Web of Science

    Scopus

  72. Overview of carrier compensation in GaN layers grown by MOVPE: toward the application of vertical power devices

    Narita, T; Tomita, K; Kataoka, K; Tokuda, Y; Kogiso, T; Yoshida, H; Ikarashi, N; Iwata, K; Nagao, M; Sawada, N; Horita, M; Suda, J; Kachi, T

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 59 ( SA )   2020.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    Sources of carrier compensation in n-type and p-type GaN layers grown by metalorganic vapor phase epitaxy were quantitatively identified by a combination of Hall-effect analysis and deep level transient spectroscopy. For n-type GaN, we identified three electron compensation sources: Residual carbon atoms likely sitting on nitrogen sites (CN), an electron trap at the energy level of E C-0.6 eV (the E3 trap), and self-compensation appearing with increasing donor concentration. We showed that the CN also play a key role in hole compensation in p-type GaN by forming donor-like charged states. We also investigated the reduction of acceptor concentrations (N a) in highly Mg-doped GaN. Atomic-resolution scanning transmission electron microscopy revealed that electrically inactive Mg atoms of 3/2 atomic layers are segregated at the boundary of pyramidal inversion domains. The N a reduction can be explained by this Mg segregation.

    DOI: 10.7567/1347-4065/ab4610

    Web of Science

    Scopus

  73. Reduction of plasma-induced damage in n-type GaN by multistep-bias etching in inductively coupled plasma reactive ion etching

    Yamada, S; Omori, M; Sakurai, H; Osada, Y; Kamimura, R; Hashizume, T; Suda, J; Kachi, T

    APPLIED PHYSICS EXPRESS   Vol. 13 ( 1 )   2020.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    Plasma-induced damage was reduced by multistep-bias etching that involved a stepwise decrease of the etching bias power (P bias) and subsequent annealing. The depth of damage at P bias = 60 W was determined to be 60 nm from the capacitance-voltage characteristics of Ni/Al2O3/etched-GaN metal-oxide-semiconductor diodes. The damaged layer was removed by subsequent etching at P bias = 5 W and 2.5 W. The residual and shallow damage induced by the low P bias was then recovered by subsequent annealing at 400 °C. The multistep-bias etching of inductively coupled plasma reactive ion etching was thus confirmed to be effective for achieving a high etching rate with low damage.

    DOI: 10.7567/1882-0786/ab5ffe

    Web of Science

    Scopus

  74. Impacts of high temperature annealing above 1400 °C under N<sub>2</sub> overpressure to activate acceptors in Mg-implanted GaN

    Sakurai, H; Narita, T; Hirukawa, K; Yamada, S; Koura, A; Kataoka, K; Horita, M; Ikarashi, N; Bockowski, M; Suda, J; Kachi, T

    PROCEEDINGS OF THE 2020 32ND INTERNATIONAL SYMPOSIUM ON POWER SEMICONDUCTOR DEVICES AND ICS (ISPSD 2020)     page: 321 - 324   2020

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Web of Science

  75. Impact Ionization Coefficients in GaN Measured by Above- and Sub-E<inf>g</inf> Illuminations for p<sup>-</sup>/n<sup>+</sup> Junction

    Maeda T., Narita T., Yamada S., Kachi T., Kimoto T., Horita M., Suda J.

    Technical Digest - International Electron Devices Meeting, IEDM   Vol. 2019-December   2019.12

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Technical Digest - International Electron Devices Meeting, IEDM  

    We propose a novel method to extract impact ionization coefficients of electrons and holes using above-and sub-bandgap illuminations for a p-/n+ junction diode. For above-bandgap illumination, the light is absorbed near p-GaN surface. Then, generated minority carriers diffuse and reach the edge of the depletion layer, resulting in an electron-injected photocurrent. On the other hand, for sub-bandgap illumination, the light is selectively absorbed near the p-n junction interface by the Franz-Keldysh effect, resulting in a hole-injected photocurrent. The electron- and hole-initiated multiplication factors are obtained as the ratios of the measured photocurrents to the calculated unmultiplicated photocurrents. By analyzing the electron- and hole-initiated multiplication factors, the impact ionization coefficients of electrons and holes in GaN are extracted separately.

    DOI: 10.1109/IEDM19573.2019.8993438

    Scopus

  76. Electric-field-induced simultaneous diffusion of Mg and H in Mg-doped GaN prepared using ultra-high-pressure annealing

    Narita, T; Sakurai, H; Bockowski, M; Kataoka, K; Suda, J; Kachi, T

    APPLIED PHYSICS EXPRESS   Vol. 12 ( 11 )   2019.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    To investigate Mg diffusion during ultra-high-pressure annealing, which activates Mg acceptors in GaN, GaN samples with p-n junctions prepared via epitaxial growth were annealed at 1573 K under 1 GPa. The profiles of Mg diffusion toward the underlying n-type layer cannot be explained by a simple diffusion model. We found that H atoms diffused along with Mg atoms. By considering the suppressed diffusion of positively charged interstitial H atoms due to the electric field in the depletion layer, we could better reproduce the Mg-H diffusion profiles, suggesting that H atoms play a key role in the Mg diffusion process.

    DOI: 10.7567/1882-0786/ab4934

    Web of Science

    Scopus

  77. Demonstration of Conductivity Modulation in SiC Bipolar Junction Transistors With Reduced Base Spreading Resistance

    Asada Satoshi, Suda Jun, Kimoto Tsunenobu

    IEEE TRANSACTIONS ON ELECTRON DEVICES   Vol. 66 ( 11 ) page: 4870 - 4874   2019.11

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:IEEE Transactions on Electron Devices  

    SiC bipolar junction transistors (BJTs) were fabricated based on the design criterion proposed in our previous study, which quantitatively proved the importance of decreasing a base spreading resistance. To reduce the base spreading resistance, Al+-implantation was performed in the parasitic base region. No negative influences due to the implantation damage on the current gain were confirmed when the implantation is performed sufficiently apart from the emitter mesa sidewall, the distance of which is longer than 3μ m. Since the fabricated BJTs satisfied the design criterion, clear conductivity modulation was achieved, resulting in a reduced collector-resistance, that is, 50% of the unipolar resistance. In addition, we experimentally demonstrated that the conductivity modulation in SiC BJTs could be enhanced by decreasing the base spreading resistance.

    DOI: 10.1109/TED.2019.2941884

    Web of Science

    Scopus

  78. Measurement of avalanche multiplication utilizing Franz-Keldysh effect in GaN p-n junction diodes with double-side-depleted shallow bevel termination

    Maeda Takuya, Narita Tetsuo, Ueda Hiroyuki, Kanechika Masakazu, Uesugi Tsutomu, Kachi Tetsu, Kimoto Tsunenobu, Horita Masahiro, Suda Jun

    APPLIED PHYSICS LETTERS   Vol. 115 ( 14 )   2019.9

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Letters  

    Avalanche multiplication characteristics of GaN p-n junction diodes (PNDs) with double-side-depleted shallow bevel termination, which exhibit nearly ideal avalanche breakdown, were investigated by photomultiplication measurements using sub-bandgap light. In GaN PNDs under reverse bias conditions, optical absorption induced by the Franz-Keldysh (FK) effect is observed, resulting in a predictable photocurrent. The avalanche multiplication factors were extracted as a ratio of the measured values to the calculated FK-induced photocurrent. In addition, the temperature dependences of the avalanche multiplications were also investigated.

    DOI: 10.1063/1.5114844

    Web of Science

    Scopus

  79. Highly effective activation of Mg-implanted p-type GaN by ultra-high-pressure annealing

    Sakurai, H; Omori, M; Yamada, S; Furukawa, Y; Suzuki, H; Narita, T; Kataoka, K; Horita, M; Bockowski, M; Suda, J; Kachi, T

    APPLIED PHYSICS LETTERS   Vol. 115 ( 14 )   2019.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Letters  

    A high activation ratio of acceptors to Mg ions implanted into a homoepitaxial GaN layer was achieved through an ultra-high-pressure annealing (UHPA) process. Capless annealing under a nitrogen pressure of 1 GPa in a temperature range of 1573-1753 K activated acceptors without thermally decomposing the GaN layer. Conventional rapid thermal annealing leads to a serious decomposition at 1573 K, even with an AlN protective cap. The sample annealed at 1673 K under UHPA exhibited very intense cathodoluminescence in near-band edge and donor-acceptor-pair band emissions. The intensities were over one order of magnitude higher than those of the sample treated by conventional annealing. A Hall-effect measurement was obtained in the temperature range of 275-500 K for the UHPA sample. The obtained hole concentration and mobility at 300 K were 3.6 × 1016 cm-3 and 24.1 cm2 V-1 s-1, respectively. The mobility value was close to that of an epitaxial p-type GaN with the same doping concentration. An Arrhenius plot of hole concentrations showed that the acceptor concentration and ionization energy were separately estimated to be (2.6 ± 0.8) × 1018 cm-3 and 212 ± 5 meV, respectively. By comparing the Mg concentrations obtained from secondary ion mass spectrometry, the acceptor activation ratio (acceptor concentration/Mg concentration) of the UHPA samples exceeded 70%. These results suggest that the UHPA process as a postimplantation annealing technique is promising for the fabrication of GaN-based power devices with selective area doping.

    DOI: 10.1063/1.5116866

    Web of Science

    Scopus

  80. Franz-Keldysh effect in 4H-SiC p-n junction diodes under high electric field along the < 11(2)over-bar0 > direction

    Maeda Takuya, Chi Xilun, Tanaka Hajime, Horita Masahiro, Suda Jun, Kimoto Tsunenobu

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 58 ( 9 )   2019.9

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    Electric-field dependence of optical absorption induced by Franz-Keldysh (FK) effect strongly depends on the value of the reduced effective mass along electric field. In this study, reverse current-voltage characteristics of 4H-SiC{1120} p-n junction diodes under sub-bandgap illumination were investigated. Under a reverse bias condition, a photocurrent induced by FK effect was observed and increased with the reverse voltage. We calculated a photocurrent with consideration of phonon-assisted optical absorption induced by FK effect in a depletion region using the reduced effective mass perpendicular to the c-axis (μ⊥ = 0.26 m0), and the calculated values showed good agreement with the experimental values. This result indicates that the anisotropy of optical absorption induced by FK effect in 4H-SiC is small, since the reduced effective mass perpendicular to the c-axis (μ⊥ = 0.26 m0) is close to that parallel to the c-axis (μ∥ m = 0.28 m0).

    DOI: 10.7567/1347-4065/ab3873

    Web of Science

    Scopus

  81. Deep-level transient spectroscopy studies of electron and hole traps in n-type GaN homoepitaxial layers grown by quartz-free hydride-vapor-phase epitaxy

    Kanegae Kazutaka, Fujikura Hajime, Otoki Yohei, Konno Taichiro, Yoshida Takehiro, Horita Masahiro, Kimoto Tsunenobu, Suda Jun

    APPLIED PHYSICS LETTERS   Vol. 115 ( 1 )   2019.7

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Letters  

    We studied deep levels in quartz-free hydride-vapor-phase epitaxy (QF-HVPE)-grown homoepitaxial n-type GaN layers within which three electron and eight hole traps were detected. The dominant electron and hole traps observed in the QF-HVPE-grown GaN layers were E3 (EC - 0.60 eV) and H1 (EV + 0.87 eV), respectively. We found that the E3 trap density of QF-HVPE-grown GaN (∼1014 cm-3) was comparable with that of MOVPE-grown GaN layers, whereas the H1 trap density of QF-HVPE-grown GaN (∼1014 cm-3) was much smaller than that of an MOVPE-grown GaN layer with a low-residual-carbon growth condition. A detailed analysis of the QF-HVPE-grown GaN layers revealed that the H1 trap density is almost equal to the carbon impurity concentration and other impurities that compensate the Si donors besides the carbon impurity were hardly detected in the QF-HVPE-grown GaN layers.

    DOI: 10.1063/1.5098965

    Web of Science

    Scopus

  82. Shockley-Read-Hall lifetime in homoepitaxial p-GaN extracted from recombination current in GaN p-n(+) junction diodes

    Maeda Takuya, Narita Tetsuo, Ueda Hiroyuki, Kanechika Masakazu, Uesugi Tsutomu, Kachi Tetsu, Kimoto Tsunenobu, Horita Masahiro, Suda Jun

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 58 ( SC )   2019.6

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    The Shockley-Read-Hall (SRH) lifetime in homoepitaxial p-GaN (N a = 1 × 1017 cm-3) is investigated by analyzing forward current-voltage (I-V) characteristics in GaN-on-GaN p-n+ junction diodes with mesa-isolation structure. The ideality factor around 2 due to recombination current was obtained in the 1.8-2.7 V window, which is different from the characteristic of a p+-n- junction involving considerable diffusion current. The recombination current was proportional to the junction area, indicating that the recombination current is a bulk component, not a mesa-surface component. Analyzing the recombination current with consideration of the SRH recombination rate in the depletion layer, we obtained an SRH lifetime of 46 ps at 298 K. The temperature dependence of the I-V characteristics was also investigated and the SRH lifetimes were extracted in the range of 223-573 K. The SRH lifetime in homoepitaxial p-GaN followed the empirical power law of = 1.2 × 10-16 × T 2.25 (s).

    DOI: 10.7567/1347-4065/ab07ad

    Web of Science

    Scopus

  83. Frontiers of Nitride Semiconductor Research FOREWORD

    Chichibu Shigefusa F., Kumagai Yoshinao, Kojima Kazunobu, Deura Momoko, Akiyama Toru, Arita Munetaka, Fujioka Hiroshi, Fujiwara Yasufumi, Hara Naoki, Hashizume Tamotsu, Hirayama Hideki, Holmes Mark, Honda Yoshio, Imura Masataka, Ishii Ryota, Ishitani Yoshihiro, Iwaya Motoaki, Kamiyama Satoshi, Kangawa Yoshihiro, Katayama Ryuji, Kawakami Yoichi, Kawamura Takahiro, Kobayashi Atsushi, Kuzuhara Masaaki, Matsumoto Koh, Mori Yusuke, Mukai Takashi, Murakami Hisashi, Murotani Hideaki, Nakazawa Satoshi, Okada Narihito, Saito Yoshiki, Sakai Akira, Sekiguchi Hiroto, Shiozaki Koji, Shojiki Kanako, Suda Jun, Takeuchi Tetsuya, Tanikawa Tomoyuki, Tatebayashi Jun, Tomiya Shigetaka, Yamada Yoichi

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 58   2019.6

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/ab1411

    Web of Science

  84. Design and Fabrication of GaN p-n Junction Diodes With Negative Beveled-Mesa Termination

    Maeda Takuya, Narita Tetsuo, Ueda Hiroyuki, Kanechika Masakazu, Uesugi Tsutomu, Kachi Tetsu, Kimoto Tsunenobu, Horita Masahiro, Suda Jun

    IEEE ELECTRON DEVICE LETTERS   Vol. 40 ( 6 ) page: 941 - 944   2019.6

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:IEEE Electron Device Letters  

    We report on homoepitaxial GaN p-n junction diodes with a negative beveled-mesa termination. The electric field distribution in a beveled-mesa was investigated using TCAD simulation, and the devices were designed using currently available GaN growth techniques. Shallow-angle (ca. 10°) negative bevel GaN p-n junction diodes were fabricated with various Mg acceptor concentrations in the p-layers. The suppression of electric field crowding and improvement of the breakdown voltage were observed, as the Mg concentration was decreased. The parallel-plane breakdown field of 2.86 MV/cm was obtained for a device with the breakdown voltage of 425 V.

    DOI: 10.1109/LED.2019.2912395

    Web of Science

    Scopus

  85. Process Technologies for GaN High Voltage Devices

    Kachi T., Narita T., Sakurai H., Suda J.

    Device Research Conference - Conference Digest, DRC   Vol. 2019-June   page: 235 - 236   2019.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Device Research Conference - Conference Digest, DRC  

    The vertical structure of the power device has advantages such as small chip size, easy wiring, and high breakdown voltage. Furthermore, wideband gap semiconductors have the greatest feature of low on-resistance. GaN is a material having the ability to fully exhibit these properties and in recent years development of GaN vertical devices has been accelerated. For example, GaN vertical devices with over lkV breakdown voltage have been reported recently1-5). Moreover, over 3kV pn diodes were also reported6,7). Therefore, ability of GaN for high voltage devices has been proven. Next issues are developments of fabrication process technologies which make devices stable operation. In this paper, we will report recent advances in process technology for GaN vertical devices.

    DOI: 10.1109/DRC46940.2019.9046467

    Scopus

  86. Estimation of Impact Ionization Coefficient in GaN by Photomulitiplication Measurement Utilizing Franz-Keldysh Effect

    Maeda T., Narita T., Ueda H., Kanechika M., Uesugi T., Kachi T., Kimoto T., Horita M., Suda J.

    Proceedings of the International Symposium on Power Semiconductor Devices and ICs   Vol. 2019-May   page: 59 - 62   2019.5

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Proceedings of the International Symposium on Power Semiconductor Devices and ICs  

    A valanche multiplication characteristics in GaN p-n junction diodes (PNDs) under high reverse bias conditions were investigated. The GaN-on-GaN PNDs with double-side-depleted shallow bevel termination, which showed low reverse leakage current and excellent avalanche capability, were used for the measurements. Under sub-bandgap light illumination, the photocurrents induced by Franz-Keldysh (FK) effect, which can be well reproduced by the theoretical calculations of the optical absorption, and their avalanche multiplications were observed. The multiplication factors were extracted as the ratios of the experimental photocurrents to the calculated FK-induced photocurrent. Under an assumption of equal impact ionization coefficients of electrons and holes, the electric-field dependence of an impact ionization coefficient in GaN were estimated.

    DOI: 10.1109/ISPSD.2019.8757676

    Scopus

  87. Parallel-Plane Breakdown Fields of 2.8-3.5 MV/cm in GaN-on-GaN p-n Junction Diodes with Double-Side-Depleted Shallow Bevel Termination

    Maeda T., Narita T., Ueda H., Kanechika M., Uesugi T., Kachi T., Kimoto T., Horita M., Suda J.

    Technical Digest - International Electron Devices Meeting, IEDM   Vol. 2018-December   page: 30.1.1 - 30.1.4   2019.1

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Technical Digest - International Electron Devices Meeting, IEDM  

    We report homoepitaxial GaN p-n junction diodes with novel beveled-mesa structures. The n-layers and p-layers, the doping concentrations of which are comparable, were prepared. We found that electric field crowding does not occur in the structure using TCAD simulation. The fabricated devices showed the breakdown voltages of 180-480 V, small leakage currents, and excellent avalanche capabilities. The breakdown voltages increased at elevated temperature. At the breakdown, nearly uniform luminescence in the entire p-n junctions was observed in all the devices. These results are strong evidences that the uniform avalanche breakdowns occurred in the devices. We carefully characterized the depletion layer width at the breakdown, and the parallel-plane breakdown electric fields of 2.8-3.5 MV/cm were obtained, which are among the best of the reported non-punch-through GaN vertical devices.

    DOI: 10.1109/IEDM.2018.8614669

    Scopus

  88. Estimation of Impact Ionization Coefficient in GaN by Photomulitiplication Measurement Utilizing Franz-Keldysh Effect

    Maeda Takuya, Narita Tetsuo, Ueda Hiroyuki, Kanechika Masakazu, Uesugi Tsutomu, Kachi Tetsu, Kimoto Tsunenobu, Horita Masahiro, Suda Jun

    2019 31ST INTERNATIONAL SYMPOSIUM ON POWER SEMICONDUCTOR DEVICES AND ICS (ISPSD)     page: 59 - 62   2019

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    Web of Science

  89. Impact Ionization Coefficients in GaN Measured by Above- and Sub-E-g Illuminations for p(-)/n(+) Junction

    Maeda Takuya, Narita Tetsuo, Yamada Shinji, Kachi Tetsu, Kimoto Tsunenobu, Horita Masahiro, Suda Jun

    2019 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM)     2019

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    Web of Science

  90. Acceptors activation of Mg-ion implanted GaN by ultra-high-pressure annealing

    Sakurai, H; Omori, M; Yamada, S; Koura, A; Suzuki, H; Narita, T; Kataoka, K; Horita, M; Kowski, MB; Suda, J; Kachi, T

    2019 NINETEENTH INTERNATIONAL WORKSHOP ON JUNCTION TECHNOLOGY (IWJT)     2019

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:19th International Workshop on Junction Technology, IWJT 2019  

    For the solution to global energy issues, highly-efficient energy conversion using next-generation power devices is required. Gallium nitride (GaN) having superior properties such as high breakdown electric field (2.8-3.75 MV/cm) is a powerful candidate for next-generation high-power semiconductor devices. [1] , [2] The selective area doping makes it possible to precisely engineer high-power devices with complex structures, allowing formation of low-resistivity region for contacting electrodes and optimization of the electric field configuration in the edge termination represented by the field limiting ring (FLR) and in the junction barrier Schottky (JBS) structures, as used in Si and SiC power devices.

    DOI: 10.23919/iwjt.2019.8802621

    Web of Science

    Scopus

  91. Determination of Surface Recombination Velocity From Current-Voltage Characteristics in SiC p-n Diodes

    Asada Satoshi, Suda Jun, Kimoto Tsunenobu

    IEEE TRANSACTIONS ON ELECTRON DEVICES   Vol. 65 ( 11 ) page: 4786 - 4791   2018.11

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:IEEE Transactions on Electron Devices  

    Surface recombination velocity on mesa sidewalls of SiC p-n diodes with various surface passivation conditions was evaluated from the device-size-dependent preexponential factor of recombination current (J0rec). The diodes passivated by SiO2 with postoxidation nitridation were dipped into HF to eliminate a shunt current, which is evoked by the nitrided SiO2 layer and disturbs the analysis of the recombination current. For accurate determination of the surface recombination velocity, an effective recombination zone width was precisely derived taking account of the distribution of carrier density in the depletion layer. The surface recombination velocity of the diodes without any passivation and with the postoxidation nitridation (NO annealing at 1250 °C for 70 min) was determined as 1.2 × 107 and 6.0 × 105 cm/s, respectively, which indicates that the postoxidation nitridation can reduce the surface recombination by a factor of about 20. We confirmed that TCAD simulation could reproduce the current-voltage characteristics by utilizing the extracted parameters. In addition, an evaluation method was proposed to determine the surface recombination velocity from the high-current region, where diffusion current is dominant.

    DOI: 10.1109/TED.2018.2867545

    Web of Science

    Scopus

  92. Carrier lifetime and breakdown phenomena in SiC power device material

    Kimoto T., Niwa H., Okuda T., Saito E., Zhao Y., Asada S., Suda J.

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 51 ( 36 )   2018.9

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Physics D: Applied Physics  

    Recent progress and current understanding of carrier lifetimes and avalanche phenomena in silicon carbide (SiC) are reviewed. The acceptor level of carbon vacancy (V C), called the Z 1/2 center, has been identified to be the primary carrier lifetime killer in SiC. The V C defects can be eliminated by the introduction of excess carbon atoms followed by carbon diffusion in the bulk region. The true bulk lifetime after V C elimination was estimated to be approximately 110 μs. The doping dependence of carrier lifetimes in n- and p-type SiC is also presented. The impact ionization coefficients of electrons and holes were extracted in the temperature range of 298 to 423 K. The intrinsic critical electric field strength of SiC0 0 0 1 was determined to be 2.0, 2.5, and 3.3 MV cm-1 for doping densities of 1 × 1015, 1 × 1016, and 1 × 1017 cm-3, respectively, at room temperature; it slightly increased at elevated temperature. The obtained set of impact ionization coefficients has enabled us to accurately predict the breakdown voltage of SiC devices, including its temperature dependence. Due to the unusually low impact ionization coefficient of electrons, the breakdown voltage of a SiC p+n junction is about 6%-9% higher than that of an n+p junction with a given doping density.

    DOI: 10.1088/1361-6463/aad26a

    Web of Science

    Scopus

  93. Phonon-assisted optical absorption due to Franz-Keldysh effect in 4H-SiC p-n junction diode under high reverse bias voltage

    Maeda Takuya, Chi Xilun, Horita Masahiro, Suda Jun, Kimoto Tsunenobu

    APPLIED PHYSICS EXPRESS   Vol. 11 ( 9 )   2018.9

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/APEX.11.091302

    Web of Science

    Scopus

  94. Analytical formula for temperature dependence of resistivity in p-type 4H-SiC with wide-range doping concentrations

    Asada Satoshi, Suda Jun, Kimoto Tsunenobu

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 8 )   2018.8

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    Temperature dependence of resistivity from 250 to 900K in p-type 4H-SiC with various doping concentrations (5.8 × 1014-7.1 × 1018cm-3) was presented. The resistivity was obtained by the van der Pauw method in samples, whose doping concentrations were precisely determined in our previous work. From the experimental results, coefficients for a fitting formula with polynomial approximation were derived. We confirmed that the fitting formula can accurately estimate the resistivity of p-type SiC with wide-range doping concentrations.

    DOI: 10.7567/JJAP.57.088002

    Web of Science

    Scopus

  95. Accurate method for estimating hole trap concentration in n-type GaN via minority carrier transient spectroscopy

    Kanegae Kazutaka, Horita Masahiro, Kimoto Tsunenobu, Suda Jun

    APPLIED PHYSICS EXPRESS   Vol. 11 ( 7 )   2018.7

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/APEX.11.071002

    Web of Science

    Scopus

  96. Characterization of carrier concentration and mobility of GaN bulk substrates by Raman scattering and infrared reflectance spectroscopies

    Kanegae Kazutaka, Kaneko Mitsuaki, Kimoto Tsunenobu, Horita Masahiro, Suda Jun

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 7 )   2018.7

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.070309

    Web of Science

    Scopus

  97. Impacts of Finger Numbers on ON-State Characteristics in Multifinger SiC BJTs With Low Base Spreading Resistance

    Asada Satoshi, Suda Jun, Kimoto Tsunenobu

    IEEE TRANSACTIONS ON ELECTRON DEVICES   Vol. 65 ( 7 ) page: 2771 - 2777   2018.7

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:IEEE Transactions on Electron Devices  

    Impacts of finger numbers on ON-state characteristics in multifinger 10-kV-class SiC bipolar junction transistors (BJTs), whose base spreading resistance is sufficiently reduced by using aluminum ion implantation, were investigated by performing TCAD simulations. Common-emitter current-voltage characteristics of the BJTs with different base current densities and carrier lifetimes in the collector layer were analyzed. The simulation results exhibited that the BJTs with fewer finger numbers could achieve superior characteristics owing to an expansion of a conductivity-modulated region and to a higher current density per finger. In addition, we showed that BJTs with a punchthrough structure have a potential to achieve superior characteristics suitable for power device applications under a certain condition, where strong conductivity modulation occurs. The presented results indicate that the appropriate finger numbers should be designed for a better performance of the multifinger SiC BJTs.

    DOI: 10.1109/TED.2018.2834354

    Web of Science

    Scopus

  98. Theoretical analysis of Hall factor and hole mobility in p-type 4H-SiC considering anisotropic valence band structure

    Tanaka H., Asada S., Kimoto T., Suda J.

    JOURNAL OF APPLIED PHYSICS   Vol. 123 ( 24 )   2018.6

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Applied Physics  

    The temperature dependencies of hole density and hole mobility of p-type 4H-SiC obtained by Hall effect measurement were theoretically analyzed taking account of its anisotropic valence band structure. The experimental Hall factor, which was derived from the ratio of theoretical hole density to experimental Hall hole density, was reproduced by theoretical Hall factor computed using the valence band structure and relaxation times of scattering mechanisms. The product of the theoretical Hall factor and drift mobility computed by the same transport model agreed well with the experimental Hall mobility. Based on analyses of the results, it was revealed that the temperature dependence of Hall factor can be explained by considering the anisotropic valence band structure along with consideration of anisotropic relaxation times. The contribution of each scattering mechanism was also discussed, and empirical formulas for Hall and drift mobilities are presented.

    DOI: 10.1063/1.5025776

    Web of Science

    Scopus

  99. Franz-Keldysh effect in GaN p-n junction diode under high reverse bias voltage

    Maeda Takuya, Narita Tetsuo, Kanechika Masakazu, Uesugi Tsutomu, Kachi Tetsu, Kimoto Tsunenobu, Horita Masahiro, Suda Jun

    APPLIED PHYSICS LETTERS   Vol. 112 ( 25 )   2018.6

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.5031215

    Web of Science

    Scopus

  100. A comparative study on electrical characteristics of 1-kV pnp and npn SiC bipolar junction transistors

    Okuda Takafumi, Kimoto Tsunenobu, Suda Jun

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 4 )   2018.4

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.04FR04

    Web of Science

    Scopus

  101. Sources of carrier compensation in metalorganic vapor phase epitaxy-grown homoepitaxial n-type GaN layers with various doping concentrations

    Sawada Naoki, Narita Tetsuo, Kanechika Masakazu, Uesugi Tsutomu, Kachi Tetsu, Horita Masahiro, Kimoto Tsunenobu, Suda Jun

    APPLIED PHYSICS EXPRESS   Vol. 11 ( 4 )   2018.4

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/APEX.11.041001

    Web of Science

    Scopus

  102. Impacts of energy relaxation process on quasi-ballistic hole transport capability in germanium and silicon nanowires

    Tanaka H., Suda J., Kimoto T.

    JOURNAL OF APPLIED PHYSICS   Vol. 123 ( 2 )   2018.1

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Applied Physics  

    The quasi-ballistic hole transport in germanium and silicon nanowires was theoretically investigated by solving the Boltzmann transport equation taking account of phonon scattering in an atomistic framework. Comparison of quasi-ballistic hole transport capabilities between germanium and silicon nanowires showed that the transmission coefficients for the two materials are similar. Then, the behavior of forward and backward current fluxes was analyzed focusing on the impact of energy relaxation process. The slower energy relaxation of holes in germanium nanowires leads to a longer distance where backscattering enables holes to return to the source. This cancels the benefit of the longer mean free path of holes in germanium nanowires, resulting in similar transmission coefficients in germanium and silicon nanowires.

    DOI: 10.1063/1.5010052

    Web of Science

    Scopus

  103. Deep-ultraviolet light emission from 4H-AlN/4H-GaN short-period superlattice grown on 4H-SiC(11(2)over-bar0)

    Kaneko M., Ueta S., Horita M., Kimoto T., Suda J.

    APPLIED PHYSICS LETTERS   Vol. 112 ( 1 )   2018.1

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Letters  

    AlN/GaN short-period superlattices (SPSLs) were grown on 4H-SiC(112-0) substrates with a 4H-AlN template layer. The thickness of the GaN layers was controlled to be less than 1 nm to suppress the generation of extended defects. The stacking fault and threading dislocation density were found to be 3 × 105 cm-1 and 6 × 107 cm-2, respectively, which were as low as those for a 4H-AlN single layer. The superlattice replicated the polytype of the underlying 4H-AlN layer (4H-SiC substrate), meaning that a 4H-AlN/4H-GaN SPSL was grown. Roomerature cathodoluminescence measurements revealed that the 4H-AlN/4H-GaN SPSLs exhibited intense luminescence in the deep ultraviolet region of 4.4-5.0 eV, depending on the GaN layer thickness. The emission wavelengths of the SPSLs fairly agreed with the estimation based on the Kronig-Penney model.

    DOI: 10.1063/1.5006435

    Web of Science

    Scopus

  104. Effects of parasitic region in SiC bipolar junction transistors on forced current gain

    Asada S., Suda J., Kimoto T.

    Materials Science Forum   Vol. 924 MSF   page: 629 - 632   2018

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Science Forum  

    Effects of a parasitic region in SiC BJTs on conductivity modulation and a forced current gain (βF) were investigated by using TCAD simulation with various device structures. By introducing an Al+-implanted region below the base parasitic region, βF can be improved because the implanted region can reduce the base spreading resistance, leading to alleviation of debiasing effect. βF in devices with various parasitic areas, whose base spreading resistances were reduced by the Al+-implantation, were compared. We found that βF can be enhanced by expanding the parasitic area if the base spreading resistance is sufficiently reduced. The higher βF is attributed to an expanded conductivity-modulated region. The collector current spreading in the collector layer and hole injection from the parasitic region as well as from the intrinsic region can play a role to evoke conductivity modulation. Thus, the larger parasitic region can expand the conductivity-modulated region, which results in expansion of an active area and the enhancement of βF, though a higher base voltage is required.

    DOI: 10.4028/www.scientific.net/MSF.924.629

    Scopus

  105. Parallel-Plane Breakdown Fields of 2.8-3.5 MV/cm in GaN-on-GaN p-n Junction Diodes with Double-Side-Depleted Shallow Bevel Termination

    Maeda T., Narita T., Ueda H., Kanechika M., Uesugi T., Kachi T., Kimoto T., Horita M., Suda J.

    2018 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM)     2018

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    Web of Science

  106. Comparison between GaN and SiC From the viewpoint of vertical power devices

    Suda J.

    CS MANTECH 2018 - 2018 International Conference on Compound Semiconductor Manufacturing Technology     2018

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:CS MANTECH 2018 - 2018 International Conference on Compound Semiconductor Manufacturing Technology  

    Thanks to superior materials properties, GaN has great potential as the next-generation power devices. The author will discuss challenges for GaN vertical devices by referring SiC technologies, which has over 20 years history.

    Scopus

  107. Theoretical analysis of quasi-ballistic hole transport in Ge and Si nanowires focusing on energy relaxation process

    Tanaka H., Suda J., Kimoto T.

    2017 Silicon Nanoelectronics Workshop, SNW 2017   Vol. 2017-January   page: 35 - 36   2017.12

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:2017 Silicon Nanoelectronics Workshop, SNW 2017  

    The quasi-ballistic hole transport capabilities of Ge and Si NWs were calculated using atomistic electron-phonon coupling and Boltzmann transport equation. Analyzing the forward and backward current fluxes, it was shown that the positive impact of high mobility of Ge is canceled by its slower energy relaxation, which results in comparable transmission coefficients and current transport capabilities between Ge and Si NWs.

    DOI: 10.23919/SNW.2017.8242284

    Scopus

  108. Carrier Lifetimes in Lightly-Doped p-Type 4H-SiC Epitaxial Layers Enhanced by Post-growth Processes and Surface Passivation

    Okuda T., Miyazawa T., Tsuchida H., Kimoto T., Suda J.

    JOURNAL OF ELECTRONIC MATERIALS   Vol. 46 ( 11 ) page: 6411 - 6417   2017.11

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Electronic Materials  

    We investigated limiting factors of carrier lifetimes and their enhancement by post-growth processes in lightly-doped p-type 4H-SiC epitaxial layers (NA ∼ 2 × 1014 cm−3). We focused on bulk recombination, surface recombination, and interface recombination at the epilayer/substrate, respectively. The carrier lifetime of 2.8 μs in an as-grown epilayer was improved to 10 μs by the combination of VC-elimination processes and hydrogen annealing. By employing surface passivation with deposited SiO2 followed by POCl3 annealing, a long carrier lifetime of 16 μs was obtained in an oxidized epilayer. By investigating carrier lifetimes in a self-standing p-type epilayer, it was revealed that the interface recombination at the epilayer/substrate was smaller than the surface recombination on a bare surface. We found that the VC-elimination process, hydrogen annealing, and surface passivation are all important for improving carrier lifetimes in lightly-doped p-type epilayers.

    DOI: 10.1007/s11664-017-5677-4

    Web of Science

    Scopus

  109. Analysis of quasi-ballistic hole transport capability of Ge and Si nanowire pMOSFETs by a quantum-corrected Boltzmann transport equation

    Tanaka H., Suda J., Kimoto T.

    International Conference on Simulation of Semiconductor Processes and Devices, SISPAD   Vol. 2017-September   page: 277 - 280   2017.10

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:International Conference on Simulation of Semiconductor Processes and Devices, SISPAD  

    The quasi-ballistic hole transport capabilities of Ge and Si nanowire pMOSFETs were analyzed based on a quantum-corrected Boltzmann transport equation. A new formalism of quantum-correction potential was proposed, and using this model, the current drive capabilities of Ge and Si nanowire pMOSFETs were compared. Though the ON-current was larger in the Ge nanowire pMOSFET, the transmission coefficients are similar between Ge and Si, because the higher hole mobility of Ge is canceled by its slower energy relaxation. Thus, the larger current of the Ge nanowire pMOSFET was attributed to its larger injection current. The impact of device geometry on the performance was also investigated, and the [110]-oriented Ge nanowire pMOSFET with a 15 nm gate length exhibited the highest performance among the devices considered in this study.

    DOI: 10.23919/SISPAD.2017.8085318

    Scopus

  110. Characterization of lightly-doped n- and p-type homoepitaxial GaN on free-standing substrates

    Horita M., Suda J.

    IMFEDK 2017 - 2017 International Meeting for Future of Electron Devices, Kansai     page: 86 - 87   2017.7

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:IMFEDK 2017 - 2017 International Meeting for Future of Electron Devices, Kansai  

    Hall-effect measurements for n-type and p-type GaN with low doping concentration are presented. The GaN layers were grown by metal-organic vapor phase epitaxy on hydride-vapor-phase-epitaxy-grown free-standing GaN substrates. For n-GaN, the origin of acceptor which compensating donor is not only C but also native defects for the Si doping concentration of 1016 cm-3 level. The electron mobility is mainly limited by ionized impurity scattering or polar optical phonon scattering in the temperature less or higher than 200 K, respectively. For p-GaN, lightly Mg doping of mid 1016 cm-3 was achieved, which shows the donor concentration of 3.2×1016 cm-3 and the mobility of 31 cm2/Vs at 300 K.

    DOI: 10.1109/IMFEDK.2017.7998055

    Scopus

  111. Effect of Postoxidation Nitridation on Forward Current-Voltage Characteristics in 4H-SiC Mesa p-n Diodes Passivated With SiO2

    Asada Satoshi, Kimoto Tsunenobu, Suda Jun

    IEEE TRANSACTIONS ON ELECTRON DEVICES   Vol. 64 ( 7 ) page: 3016 - 3018   2017.7

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:IEEE Transactions on Electron Devices  

    An origin of shunt current, a ledge in forward current-voltage ( ${I}$ - ${V}$ ) characteristics, in 4H-SiC mesa p-n diodes was investigated by adopting various surface passivation processes. Experimental results indicated that the shunt current path is located along the mesa sidewall and the shunt current is enlarged with increasing NO-annealing period and temperature. Based on these results, we qualitatively explain that nitrogen-related positive charges near the SiC/SiO2 interface, which are formed by postoxidation nitridation, induce band bending and lowering of the diffusion potential along the mesa sidewall, resulting in occurrence of the shunt current.

    DOI: 10.1109/TED.2017.2700336

    Web of Science

    Scopus

  112. Electrical properties of n- and p-type 4H-SiC formed by ion implantation into high-purity semi-insulating substrates

    Fujihara Hiroaki, Suda Jun, Kimoto Tsunenobu

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 56 ( 7 )   2017.7

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    Phosphorus or aluminum ions were directly implanted into semi-insulating 4H-SiC substrates with no epitaxial layers to form n- or p-type layers, respectively, with doping densities in the range from 1017 to 1019 cm-3. The electrical properties of these implanted layers annealed at 1650°C were characterized by Hall effect measurements in the temperature range of 160-900K. The electrical activation ratios of implanted dopants were 88-98%. The density of compensating defects was higher in Al+-implanted layers than in P+-implanted ones. The mobilities of the implanted layers were mostly comparable to those of epitaxial layers in the doping range investigated.

    DOI: 10.7567/JJAP.56.070306

    Web of Science

    Scopus

  113. Design Criterion for SiC BJTs to Avoid ON-Characteristics Degradation Due to Base Spreading Resistance

    Asada Satoshi, Kimoto Tsunenobu, Suda Jun

    IEEE TRANSACTIONS ON ELECTRON DEVICES   Vol. 64 ( 5 ) page: 2086 - 2091   2017.5

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:IEEE Transactions on Electron Devices  

    It is empirically known that the ON-resistance (voltage) of the SiC bipolar junction transistors (BJTs) with a thin-base layer is prone to be larger than the resistance of a voltage-blocking collector layer. In this paper, we explain the mechanism of this degradation of ON-characteristics by focusing on a high base spreading resistance and a parasitic diode existing below the base contact. An equivalent circuit model of the SiC BJT was proposed, and SPICE simulation was performed.In addition, TCAD simulation confirmed the validity of the model well. Based on the model, a design criterion to avoid the unwanted increase of the ON-resistance is proposed.

    DOI: 10.1109/TED.2017.2684181

    Web of Science

    Scopus

  114. Temperature dependence of barrier height in Ni/n-GaN Schottky barrier diode

    Maeda Takuya, Okada Masaya, Ueno Masaki, Yamamoto Yoshiyuki, Kimoto Tsunenobu, Horita Masahiro, Suda Jun

    APPLIED PHYSICS EXPRESS   Vol. 10 ( 5 )   2017.5

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    The temperature dependence of barrier height in a Ni/n-GaN Schottky barrier diode fabricated on a GaN homoepitaxial layer was investigated by capacitance-voltage, current-voltage, and internal photoemission measurements in the range of 223-573 K. The barrier height obtained by these methods linearly decreased with increasing temperature. The temperature coefficient was %(1.7-2.3) ' 10-4 eV/K, which is about half of the temperature coefficient of the band gap reported previously. This indicates that the decrease in the barrier height may mainly reflect the shrinkage of the band gap (lowering of the conduction band edge) in GaN with increasing temperature.

    DOI: 10.7567/APEX.10.051002

    Web of Science

    Scopus

  115. Interface properties of NO-annealed 4H-SiC (0001), (11(2)over-bar0), and (1(1)over-bar00) MOS structures with heavily doped p-bodies

    Kobayashi Takuma, Nakazawa Seiya, Okuda Takafumi, Suda Jun, Kimoto Tsunenobu

    JOURNAL OF APPLIED PHYSICS   Vol. 121 ( 14 )   2017.4

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Applied Physics  

    We investigated electrical characteristics of nitric oxide (NO)-annealed silicon carbide (SiC) (0001 ), (11 2 ¯ 0 ), and (1 1 ¯ 00 ) metal-oxide-semiconductor field effect transistors (MOSFETs) with heavily doped p-bodies (NA = 1 × 1017-3 × 1018 cm−3). Regardless of crystal faces or off-direction, the channel mobility decreased for higher acceptor density. We evaluated the interface state density (Dit) very near the bottom edge of 2-dimensional density of states (2D-DOS) in the conduction band of SiC from the low-temperature subthreshold slope of the MOSFETs. When the acceptor density of the p-body of the MOSFET is increased, the energy levels of 2D-DOS increase due to a stronger quantum confinement effect. Accordingly, the carriers in the heavily doped channel are influenced by the interface states located at higher energy levels. In the SiC MOS structures, the Dit values significantly increase near the conduction band edge (EC). Thus, MOSFETs on heavily doped p-bodies are affected by the higher density of Dit, leading to substantially lower mobility.

    DOI: 10.1063/1.4981127

    Web of Science

    Scopus

  116. Reduction of interface state density in SiC (0001) MOS structures by post-oxidation Ar annealing at high temperature

    Kobayashi Takuma, Suda Jun, Kimoto Tsunenobu

    AIP ADVANCES   Vol. 7 ( 4 )   2017.4

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:AIP Advances  

    We found that post-oxidation Ar annealing at high temperature is effective in reducing the interface state density (Dit) near the conduction band edge (EC) of SiC (0001) MOS structures. The Dit reduction effect is comparable to that of nitridation process (annealing in nitric oxide (NO)) which has been a standard in SiC MOS technologies, without introducing any foreign atoms into the interface/oxide. The generation of fast interface states, which have been pointed out as a problem of nitridation process, is suppressed in the case of Ar annealing. In the proposed method, the final Dit values are mainly determined by the Ar annealing temperature rather than the initial oxidation temperature. The Dit values are not sensitive to the cooling speed, which means that rapid cooling is not necessary in the proposed method.

    DOI: 10.1063/1.4980024

    Web of Science

    Scopus

  117. Ultrahigh-Voltage SiC MPS Diodes With Hybrid Unipolar/Bipolar Operation

    Niwa Hiroki, Suda Jun, Kimoto Tsunenobu

    IEEE TRANSACTIONS ON ELECTRON DEVICES   Vol. 64 ( 3 ) page: 874 - 881   2017.3

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:IEEE Transactions on Electron Devices  

    In this paper, ultrahigh-voltage (UHV) SiC devices with hybrid unipolar/bipolar operation are introduced and demonstrated. As the first step of such a device, a merged p-i-n Schottky (MPS) diode with an epitaxial p+-anode layer is proposed to reduce the conduction loss of a bipolar device in the low current region. A 'snapback' phenomenon is intensively investigated by analytical modeling, device simulation, and experiment and a design guideline of snapback-free hybrid operating MPS diodes is presented. Using the design guideline, snapback-free MPS diodes are fabricated and forward characteristics are investigated. By using a proper edge termination structure, a UHV SiC MPS diode with breakdown voltage of 11.3 kV is demonstrated.

    DOI: 10.1109/TED.2016.2636573

    Web of Science

    Scopus

  118. Hall-effect measurements of metalorganic vapor-phase epitaxy-grown p-type homoepitaxial GaN layers with various Mg concentrations

    Horita Masahiro, Takashima Shinya, Tanaka Ryo, Matsuyama Hideaki, Ueno Katsunori, Edo Masaharu, Takahashi Tokio, Shimizu Mitsuaki, Suda Jun

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 56 ( 3 )   2017.3

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.031001

    Web of Science

  119. Analysis of High-Field Hole Transport in Germanium and Silicon Nanowires Based on Boltzmann's Transport Equation

    Tanaka Hajime, Suda Jun, Kimoto Tsunenobu

    IEEE TRANSACTIONS ON NANOTECHNOLOGY   Vol. 16 ( 1 ) page: 118 - 125   2017.1

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:IEEE Transactions on Nanotechnology  

    In this paper, high-field hole transport in germanium nanowires was studied by using Boltzmann's transport equation in an atomistic framework. The scattering mechanisms taken into account are phonon and surface roughness. The hole drift velocities of [110], [111], and [112]-oriented germanium nanowires showed negative-differential characteristics, while that of the [001] nanowire did not. The behavior of hole drift velocity was analyzed based on the highly nonparabolic and orientation-dependent valence band structure. High-field hole transport properties in silicon nanowires were also calculated, and the differences between germanium and silicon nanowires were discussed, focusing mainly on momentum and energy relaxation times. The [110], [111], and [112] silicon nanowires showed faster hole drift velocity at high field than the germanium nanowires with the same orientation. This was attributed to faster energy relaxation in silicon nanowires, which mitigates the negative differential mobility in silicon nanowires compared to germanium nanowires.

    DOI: 10.1109/TNANO.2016.2635110

    Web of Science

    Scopus

  120. Phonon frequencies of a highly strained AIN layer coherently grown on 6H-SiC (0001)

    Kaneko M., Kimoto T., Suda J.

    AIP ADVANCES   Vol. 7 ( 1 )   2017.1

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:AIP Advances  

    Phonon frequencies of a high-quality AlN layer coherently grown on a 6H-SiC (0001) substrate are investigated by Raman scattering. Owing to the largest strain in our coherent AlN layer among heteroepitaxially grown AlN layers ever reported, phonon frequencies of the E2 (low), E2 (high), and A1 (LO) modes are considerably shifted to 244.5 (−3.3, compared with bulk AlN), 672.1 (+16.3), and 899 (+11)cm−1, respectively. Full widths at half maximum of the phonon modes in the coherent AlN are almost equal to those of high-quality bulk AlN, clearly indicating its high crystalline quality and uniform strain. We discuss accuracy of phonon deformation potentials reported by several other groups thorough comparing our experimental results.

    DOI: 10.1063/1.4974500

    Web of Science

    Scopus

  121. Characterization of lightly-doped n- and p-type homoepitaxial GaN on free-standing substrates

    Horita Masahiro, Suda Jun

    2017 IEEE INTERNATIONAL MEETING FOR FUTURE OF ELECTRON DEVICES, KANSAI (IMFEDK)     page: 86-87   2017

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Web of Science

  122. Analysis of quasi-ballistic hole transport capability of Ge and Si nanowire pMOSFETs by a quantum-corrected Boltzmann transport equation

    Tanaka Hajime, Suda Jun, Kimoto Tsunenobu

    2017 INTERNATIONAL CONFERENCE ON SIMULATION OF SEMICONDUCTOR PROCESSES AND DEVICES (SISPAD 2017)     page: 277 - 280   2017

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  123. Correlation between shapes of Shockley stacking faults and structures of basal plane dislocations in 4H-SiC epilayers

    Iijima Akifumi, Kamata Isaho, Tsuchida Hidekazu, Suda Jun, Kimoto Tsunenobu

    PHILOSOPHICAL MAGAZINE   Vol. 97 ( 30 ) page: 2736 - 2752   2017

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Philosophical Magazine  

    Shockley-type stacking faults expanded in 4H–SiC epilayers induced by ultraviolet illumination were investigated using a photoluminescence imaging method, a photoluminescence mapping method and X-ray topography. After ultraviolet illumination, more than 30 patterns of Shockley-type stacking faults which expanded from perfect basal plane dislocations were observed by photoluminescence imaging. The initial basal plane dislocations were crystallographically classified, and individual shapes of expanded Shockley-type stacking faults were predicted. The correspondence between the predicted shapes and observed ones was discussed.

    DOI: 10.1080/14786435.2017.1350788

    Web of Science

    Scopus

  124. Insight into phonon scattering in Si nanowires through high-field hole transport: Impacts of boundary condition and comparison with bulk phonon approximation

    Tanaka H., Suda J., Kimoto T.

    33RD INTERNATIONAL CONFERENCE ON THE PHYSICS OF SEMICONDUCTORS   Vol. 864 ( 1 )   2017

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Physics: Conference Series  

    The impact of how to model phonon scattering on hole transport in Si nanowires was studied based on Boltzmann's transport equation. Boundary conditions for atomistic description of phonons in nanowires and approximation by bulk acoustic and optical phonons were analyzed in terms of their impacts on high-field hole transport. The boundary conditions for phonons influence the drift velocity and momentum relaxation time, especially at low electric field, but the energy relaxation time hardly depends on the boundary conditions. The impacts by the change of boundary conditions can be approximated by the change of the strength of acoustic phonon scattering in bulk phonon picture, though the behavior of energy relaxation and distribution function of holes can not be reproduced by bulk phonon approximation.

    DOI: 10.1088/1742-6596/864/1/012046

    Web of Science

    Scopus

  125. Theoretical Analysis of Quasi-ballistic Hole Transport in Ge and Si Nanowires Focusing on Energy Relaxation Process

    Tanaka Hajime, Suda Jun, Kimoto Tsunenobu

    2017 SILICON NANOELECTRONICS WORKSHOP (SNW)     page: 35 - 36   2017

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  126. Impact of annealing temperature on surface passivation of SiC epitaxial layers with deposited SiO<inf>2</inf> followed by POCl<inf>3</inf> annealing

    Okuda T., Kobayashi T., Kimoto T., Suda J.

    WiPDA 2016 - 4th IEEE Workshop on Wide Bandgap Power Devices and Applications     page: 233 - 235   2016.12

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:WiPDA 2016 - 4th IEEE Workshop on Wide Bandgap Power Devices and Applications  

    We investigate an impact of annealing temperature on surface passivation of SiC epitaxial layers with deposited SiO2 followed by POCl3 annealing. The POCl3 annealing process consists of two steps: (i) thermal annealing in POCl3 and (ii) subsequent thermal annealing in pure N2. We find that the annealing temperature of the subsequent N2 annealing is important to reduce surface recombination on SiC. For surface passivation, N2 annealing at high temperature at 1000° C is necessary.

    DOI: 10.1109/WiPDA.2016.7799944

    Scopus

  127. Control of carbon vacancy in SiC toward ultrahigh-voltage power devices

    Kimoto T., Kawahara K., Zippelius B., Saito E., Suda J.

    SUPERLATTICES AND MICROSTRUCTURES   Vol. 99   page: 151 - 157   2016.11

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Superlattices and Microstructures  

    A carbon vacancy defect is one of the most abundant point defects in SiC (as-grown, irradiated, annealed) and of technological importance because the acceptor-like level of a carbon monovacancy (Z1/2 center: EC – 0.63 eV) works as the primary carrier-lifetime killer in 4H–SiC. The carbon vacancy defects can be preferentially generated by either low-energy electron irradiation or high-temperature treatment in an inert gas ambient. On the other hand, the carbon vacancy defects can be almost eliminated by either a carbon-ion implantation process or thermal oxidation. By combination of these techniques, the density of carbon vacancy defects can be controlled in the wide range from 1011 cm−3 to 1015 cm−3 or even higher.

    DOI: 10.1016/j.spmi.2016.03.029

    Web of Science

    Scopus

  128. Promise and Challenges of High-Voltage SiC Bipolar Power Devices

    Kimoto Tsunenobu, Yamada Kyosuke, Niwa Hiroki, Suda Jun

    ENERGIES   Vol. 9 ( 11 )   2016.11

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Energies  

    Although various silicon carbide (SiC) power devices with very high blocking voltages over 10 kV have been demonstrated, basic issues associated with the device operation are still not well understood. In this paper, the promise and limitations of high-voltage SiC bipolar devices are presented, taking account of the injection-level dependence of carrier lifetimes. It is shown that the major limitation of SiC bipolar devices originates from band-to-band recombination, which becomes significant at a high-injection level. A trial of unipolar/bipolar hybrid operation to reduce power loss is introduced, and an 11 kV SiC hybrid (merged pin-Schottky) diodes is experimentally demonstrated. The fabricated diodes with an epitaxial anode exhibit much better forward characteristics than diodes with an implanted anode. The temperature dependence of forward characteristics is discussed.

    DOI: 10.3390/en9110908

    Web of Science

    Scopus

  129. Hall-effect measurements of metalorganic vapor-phase epitaxy-grown p-type homoepitaxial GaN layers with various Mg concentrations (Retraction of vol 55, 05FH03, 2016)

    Horita Masahiro, Takashima Shinya, Tanaka Ryo, Matsuyama Hideaki, Ueno Katsunori, Edo Masaharu, Suda Jun

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 55 ( 11 )   2016.11

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.55.119201

    Web of Science

  130. Franz-Keldysh effect in n-type GaN Schottky barrier diode under high reverse bias voltage (vol 9, 091002, 2016)

    Maeda Takuya, Okada Masaya, Ueno Masaki, Yamamoto Yoshiyuki, Horita Masahiro, Suda Jun

    APPLIED PHYSICS EXPRESS   Vol. 9 ( 10 )   2016.10

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    The reduced effective masses (μhh-e and μlh-e) were incorrect in the original manuscript. Table I and Fig. 4 should be replaced with the table and the figure shown below. The description "using a reduced effective mass of 0.14m0, which is calculated from the masses reported for electrons and holes in GaN" in line 44, column 1, page 1 should be replaced with "using the effective masses reported for electrons and holes in GaN, which are listed in Table I", and "a reduced effective mass of GaN (μ∥ = 0.14m0) was used" in line 34, column 2, page 3 should be replaced with "calculation was done by the two-band-model using the effective masses listed in Table I". The calculations of the absorption coefficient and the photocurrent was done by the two-hole-band-model using me, mhh, and mlh. The correction does not affect the results and conclusions in this paper.

    DOI: 10.7567/APEX.9.109201

    Web of Science

    Scopus

  131. Theoretical analysis of high-field hole transport in germanium and silicon nanowires

    Tanaka H., Suda J., Kimoto T.

    2016 IEEE Silicon Nanoelectronics Workshop, SNW 2016     page: 192 - 193   2016.9

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:2016 IEEE Silicon Nanoelectronics Workshop, SNW 2016  

    High-field hole transport in Ge nanowires was studied using Boltzmann's transport equation. The behavior of drift velocity was analyzed based on the highly non-parabolic valence band structure. High-field hole transport properties in Si nanowires were also calculated, and the differences between Ge and Si nanowires were discussed.

    DOI: 10.1109/SNW.2016.7578046

    Scopus

  132. Analysis of ballistic and quasi-ballistic hole transport properties in germanium nanowires based on an extended "Top of the Barrier" model

    Tanaka Hajime, Suda Jun, Kimoto Tsunenobu

    SOLID-STATE ELECTRONICS   Vol. 123   page: 143 - 149   2016.9

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Solid-State Electronics  

    The ballistic hole transport properties in rectangular cross-sectional germanium nanowire transistors with various geometries were studied based on the “Top of the Barrier” model. Then, by an extension of this model, the quasi-ballistic hole transport was discussed taking into account phonon and surface roughness scattering in the channel and source-to-drain direct tunneling. Among several nanowire geometries targeted in this study, the [1 1 0]-oriented nanowire with large height along [11¯0] ([1 1 0]/(1 1¯ 0) NW) exhibited the largest ballistic current. This was understood from its large density of states and resulting high hole density. Large density of states, however, enhances backscattering in the channel. An approximation analysis of quasi-ballistic transport suggested that the [1 1 0]/(0 0 1) NW with higher mobility can outperform [1 1 0]/(1 1¯ 0) NW when scattering and tunneling are considered.

    DOI: 10.1016/j.sse.2016.04.015

    Web of Science

    Scopus

  133. Franz-Keldysh effect in n-type GaN Schottky barrier diode under high reverse bias voltage

    Maeda Takuya, Okada Masaya, Ueno Masaki, Yamamoto Yoshiyuki, Horita Masahiro, Suda Jun

    APPLIED PHYSICS EXPRESS   Vol. 9 ( 9 )   2016.9

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    The photocurrent of GaN vertical Schottky barrier diodes was investigated under sub-bandgap wavelength light irradiation. Under a low reverse bias voltage, the photocurrent is induced by internal photoemission, while under a high reverse bias voltage, the photocurrent increases significantly with the bias voltage. This is due to sub-bandgap optical absorption in a depletion region due to the Franz-Keldysh effect. The voltage and wavelength dependences of the photocurrent are successfully explained quantitatively.

    DOI: 10.7567/APEX.9.091002

    Web of Science

    Scopus

  134. SiC and GaN from the viewpoint of vertical power devices

    Suda J.

    Device Research Conference - Conference Digest, DRC   Vol. 2016-August   2016.8

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Device Research Conference - Conference Digest, DRC  

    Wide-bandgap (WBG) semiconductors have attracted great attention as materials for the next-generation power devices since they have superior material properties compared to silicon (Si). The most advanced WBG semiconductor for power devices is silicon carbide (SiC). In 1987, the growth technology called 'step-controlled epitaxy', which enables single-phase (polytype) growth, was developed. In 1993-1994, SiC Schottky-barrier diodes (SBDs) which exceeds the Si material limit was demonstrated. In 2001, SiC SBDs were commercialized. Key technologies for SiC SBDs were edge termination to obtain an ideal breakdown voltage and a junction barrier Schottky (JBS) structure to suppress reverse leakage current. For power MOSFETs, it took longer time due to low channel mobility at SiO2/SiC and oxide reliability issues. Channel mobility was much improved by post-oxidation nitridation in NO or N2O ambient. Now, channel mobility and reliability are well controlled (balanced). SiC power MOSFETs as well as power modules with SiC MOSFETs and SiC SBDs, are commercially available. Last 5 years, the implementation of SiC devices into electronic vehicles and railway trains were extensively investigated, demonstrating a significant improvement of power efficiency.

    DOI: 10.1109/DRC.2016.7548292

    Scopus

  135. Characterization of n-type and p-type GaN layers grown on free-standing GaN substrates

    Suda J., Horita M.

    2016 Compound Semiconductor Week, CSW 2016 - Includes 28th International Conference on Indium Phosphide and Related Materials, IPRM and 43rd International Symposium on Compound Semiconductors, ISCS 2016     2016.8

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:2016 Compound Semiconductor Week, CSW 2016 - Includes 28th International Conference on Indium Phosphide and Related Materials, IPRM and 43rd International Symposium on Compound Semiconductors, ISCS 2016  

    Electrical characterization of low-doped n-type and p-type GaN layers grown by metal-organic vapor phase epitaxy are presented. The GaN layers were grown on hydride-vapor-phase-epitaxy-grown free-standing GaN substrates. For n-type GaN, the impact of electron trap on electrical characterization is discussed. Charging of trap in a depletion layer affects C-V characteristics. Correction is needed for depth profiling of net donor concentration. For p-type GaN, hole mobility for various Mg doping concentrations at various temperatures are presented. At 300 K, 33 cm2/Vs is obtained for p-type GaN with Mg doping concentration of mid 1016 cm-3.

    DOI: 10.1109/ICIPRM.2016.7528835

    Scopus

  136. Ion implantation technology in SiC for high-voltage/high-temperature devices

    Kimoto T., Kawahara K., Kaji N., Fujihara H., Suda J.

    2016 16th International Workshop on Junction Technology, IWJT 2016     page: 54 - 58   2016.6

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:2016 16th International Workshop on Junction Technology, IWJT 2016  

    Electrical activation of implanted dopants and defect generation in SiC have been investigated. A nearly perfect (> 95%) electrical activation can be obtained including the implant tail region after annealing at 1650-1700 °C. The majority of point defects generated in implanted SiC can remarkably be reduced by thermal oxidation. The high activation ratio of implanted Al acceptors is a key factor for fabricating effective junction termination structures in high-voltage SiC devices. Recent high-quality semi-insulating SiC wafers offer the opportunity of high-temperature SiC integrated devices, which can be fabricated by only ion implantation without an epitaxial growth process.

    DOI: 10.1109/IWJT.2016.7486673

    Scopus

  137. Control of carrier lifetime of thick n-type 4H-SiC epilayers by high-temperature Ar annealing

    Saito Eiji, Suda Jun, Kimoto Tsunenobu

    APPLIED PHYSICS EXPRESS   Vol. 9 ( 6 )   2016.6

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    We investigated the carrier lifetime and Z1/2 center density of thick n-type 4H-SiC epilayers, which were oxidized and subsequently annealed in Ar at high temperatures. The Z1/2 center density decreased below the detection limit in the region to, at least, a 130μm depth by thermal oxidation. After subsequent high-temperature annealing, the Z1/2 center density increased with increasing annealing temperature, while the distribution of the Z1/2 center density was nearly uniform to a 130μm depth. The carrier lifetime could be controlled from 26 to 2.4 μs by changing the annealing temperature from 1600 to 1800 °C.

    DOI: 10.7567/APEX.9.061303

    Web of Science

    Scopus

  138. Hall-effect measurements of metalorganic vapor-phase epitaxy-grown p-type homoepitaxial GaN layers with various Mg concentrations

    Horita Masahiro, Takashima Shinya, Tanaka Ryo, Matsuyama Hideaki, Ueno Katsunori, Edo Masaharu, Suda Jun

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 55 ( 5 )   2016.5

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    Mg-doped p-type gallium nitride (GaN) layers with doping concentrations in the range from 6.5 × 1016cm-3 (lightly doped) to 3.8 × 1019cm-3 (heavily doped) were investigated by Hall-effect measurement for the analysis of hole concentration and mobility. p-GaN was homoepitaxially grown on a GaN free-standing substrate by metalorganic vapor-phase epitaxy. The threading dislocation density of the p-GaN was 4 × 106cm-2 measured by cathodoluminescence mapping. Hall-effect measurements of p-GaN were carried out at a temperature in the range from 160 to 450 K. A low compensation ratio of less than 1-was revealed. We also obtained the depth of the Mg acceptor level of 235 meV considering the lowering effect by the Coulomb potential of ionized acceptors. The hole mobilities of 33 cm2V-1 s-1 at 300K and 72cm2V-1 s-1 at 200K were observed in lightly doped p-GaN.

    DOI: 10.7567/JJAP.55.05FH03

    Web of Science

    Scopus

  139. Strain control in AlN top layer by inserting an ultrathin GaN interlayer on an AlN template coherently grown on SiC(0001) by PAMBE

    Kaneko Mitsuaki, Kimoto Tsunenobu, Suda Jun

    PHYSICA STATUS SOLIDI B-BASIC SOLID STATE PHYSICS   Vol. 253 ( 5 ) page: 814 - 818   2016.5

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Physica Status Solidi (B) Basic Research  

    Abstractauthoren We propose partially relaxed ultrathin GaN interlayer for strain control of AlN on SiC substrates. According to the degree of relaxation of the GaN interlayer, the lattice constant of the interlayer changes from that of SiC to that of bulk GaN, which leads to strain control of an AlN top layer grown on the interlayer. Growth of these layers is conducted by plasma-assisted molecular beam epitaxy. Before growing the interlayer, an AlN layer coherently grown on SiC is used as a template layer. The interlayers that have different degrees of relaxation are successfully obtained by changing the interlayer thickness. As a result, strain values of the AlN top layer grown on the interlayers are widely controlled from compressive (-0.53%) to tensile (+ 0.07%). TEM observation revealed the relaxation is induced by U-shaped half-loop dislocations originating from the GaN interlayer.

    DOI: 10.1002/pssb.201552649

    Web of Science

    Scopus

  140. Surface passivation on 4H-SiC epitaxial layers by SiO2 with POCl3 annealing

    Okuda Takafumi, Kobayashi Takuma, Kimoto Tsunenobu, Suda Jun

    APPLIED PHYSICS EXPRESS   Vol. 9 ( 5 )   2016.5

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    We investigated surface passivation on 4H-SiC epitaxial layers with deposited or thermally grown SiO2 followed by POCl3 annealing. The measured carrier lifetime in a p-type epilayer with deposited SiO2 was limited to 0.5 μs and it was improved to 3.0 μs after POCl3 annealing. In an n-type epilayer, a measured carrier lifetime of 5.8 μs was improved to 12 μs after POCl3 annealing. We found a clear relationship between the measured carrier lifetime and the interface state density at SiO2/n-SiC after POCl3 annealing, suggesting that the reduction in interface state density lowered the surface recombination velocity on the 4H-SiC.

    DOI: 10.7567/APEX.9.051301

    Web of Science

    Scopus

  141. Interface state density of SiO2/p-type 4H-SiC (0001), (11(2)over-bar0), (1(1)over-bar00) metal-oxide-semiconductor structures characterized by low-temperature subthreshold slopes

    Kobayashi Takuma, Nakazawa Seiya, Okuda Takafumi, Suda Jun, Kimoto Tsunenobu

    APPLIED PHYSICS LETTERS   Vol. 108 ( 15 )   2016.4

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Letters  

    Interface properties of heavily Al-doped 4H-SiC (0001) (Si-face), (1120) (a-face), and (1100) (m-face) metal-oxide-semiconductor (MOS) structures were characterized from the low-temperature gate characteristics of metal-oxide-semiconductor field-effect transistors (MOSFETs). From low-temperature subthreshold slopes, interface state density (Dit) at very shallow energy levels (ET) near the conduction band edge (Ec) was evaluated. We discovered that the Dit near Ec (Ec - 0.01 eV < ET < Ec) increases in MOS structures with higher Al doping density for every crystal face (Si-, a-, and m-face). Linear correlation is observed between the channel mobility and Dit near Ec, and we concluded that the mobility drop observed in heavily doped MOSFETs is mainly caused by the increase of Dit near Ec.

    DOI: 10.1063/1.4946863

    Web of Science

    Scopus

  142. Modeling of surface roughness scattering in nanowires based on atomistic wave function: Application to hole mobility in rectangular germanium nanowires

    Tanaka Hajime, Suda Jun, Kimoto Tsunenobu

    PHYSICAL REVIEW B   Vol. 93 ( 15 )   2016.4

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Physical Review B  

    The authors present a calculation model of surface roughness scattering (SRS) in nanowires (NWs) based on atomistic description of electronic states by an sp3d5s∗ tight-binding scheme, and then this model is applied to hole transport in rectangular cross-sectional germanium (Ge) NWs. In this SRS model, the change of electronic band structures due to width or height reduction is first computed, and then it is expressed using an equivalent potential near the surface. The perturbation corresponding to a surface roughness is calculated from this equivalent potential. Using the aforementioned SRS model, hole mobility in Ge NWs was computed taking into account phonon scattering and SRS. The impacts of SRS on hole mobility in Ge NWs were analyzed, focusing on the valence band structure and hole states of NWs. The main results are as follows. At low hole density, the impacts of SRS are strongly dependent on NW geometry, and Ge NWs with high phonon-limited hole mobility, such as rectangular cross-sectional [110]-oriented NWs with large height along the [001] direction and square cross-sectional [111]-oriented NWs, tend to be less affected by SRS. At high hole density, however, the geometry dependence of hole mobility becomes weaker. These are understood from the nature of hole states and the valence band structure.

    DOI: 10.1103/PhysRevB.93.155303

    Web of Science

    Scopus

  143. Hall scattering factors in p-type 4H-SiC with various doping concentrations

    Asada Satoshi, Okuda Takafumi, Kimoto Tsunenobu, Suda Jun

    APPLIED PHYSICS EXPRESS   Vol. 9 ( 4 )   2016.4

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    The Hall scattering factor (γH) in p-type 4H-SiC with various aluminum doping concentrations of 5.8 ' 1014-7.1 ' 1018cm%3 was investigated from 300 to 900 K. γH was determined by comparing the Hall coefficient with the theoretical carrier concentration derived from acceptor and donor concentrations obtained from secondary ion mab spectrometry and capacitance-voltage measurements. γH decreased with increasing temperature or doping concentration; γH = 1-0.4 for the doping concentration of 5.8 ' 1014cm%3 and γH = 0.5-0.2 for the doping concentration of 7.1 ' 1018cm%3. The dependence might be caused by the anisotropic and nonparabolic valence band structure of 4H-SiC.

    DOI: 10.7567/APEX.9.041301

    Web of Science

    Scopus

  144. Special issue on wide-bandgap semiconductor power electronics Preface

    Suda Jun

    SEMICONDUCTOR SCIENCE AND TECHNOLOGY   Vol. 31 ( 3 )   2016.3

     More details

    Publishing type:Research paper (scientific journal)   Publisher:Semiconductor Science and Technology  

    DOI: 10.1088/0268-1242/31/3/030301

    Web of Science

    Scopus

  145. Strong impact of the initial III/V ratio on the crystalline quality of an AlN layer grown by rf-plasma-assisted molecular-beam epitaxy

    Kaneko Mitsuaki, Kimoto Tsunenobu, Suda Jun

    APPLIED PHYSICS EXPRESS   Vol. 9 ( 2 )   2016.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    The initial Al/N ratio for AlN growth of plasma-assisted molecular-beam epitaxy without plasma stabilization is investigated. The in situ growth rate of AlN gradually increased and its temporal variation corresponded to that of nitrogen atoms, which indicated that the initial Al/N ratio was excessively Al-rich. For AlN growth, such a high-Al/N-ratio condition resulted in a three-dimensional growth mode in the initial stage of the growth, and AlN with high threading dislocation density was obtained. By controlling the initial Al/N ratio by introducing a short standby time, the resulting two-dimensional initial growth mode leads to high-quality growth of AlN.

    DOI: 10.7567/APEX.9.025502

    Web of Science

    Scopus

  146. Characterization of N-Type and P-Type GaN Layers Grown on Free-Standing GaN Substrates

    Suda Jun, Horita Masahiro

    2016 COMPOUND SEMICONDUCTOR WEEK (CSW) INCLUDES 28TH INTERNATIONAL CONFERENCE ON INDIUM PHOSPHIDE & RELATED MATERIALS (IPRM) & 43RD INTERNATIONAL SYMPOSIUM ON COMPOUND SEMICONDUCTORS (ISCS)     2016

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  147. ESR study on hydrogen passivation of intrinsic defects in p-type and semi-insulating 4H-SiC

    Murakami K., Tanai S., Okuda T., Suda J., Kimoto T., Umeda T.

    Materials Science Forum   Vol. 858   page: 318 - 321   2016

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Science Forum  

    We studied the hydrogen passivation/depassivation of four types of intrinsic defects (EI5/6, HEI7/8, HEI9/10, and P6/7) in p-type and semi-insulating 4H-SiC by means of electron spin resonance (ESR) for examining the origin of carrier-lifetime-killing defects. We suggest that the HEI7/8 and P6/7 centers are the strongest candidate for the origin of the lifetime-killing defects.

    DOI: 10.4028/www.scientific.net/MSF.858.318

    Scopus

  148. Impact of Annealing Temperature on Surface Passivation of SiC Epitaxial Layers with Deposited SiO2 Followed by POCl3 Annealing

    Okuda Takafumi, Kobayashi Takuma, Kimoto Tsunenobu, Suda Jun

    2016 IEEE 4TH WORKSHOP ON WIDE BANDGAP POWER DEVICES AND APPLICATIONS (WIPDA)     page: 233 - 235   2016

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  149. SiC and GaN from the Viewpoint of Vertical Power Devices

    Suda Jun

    2016 74TH ANNUAL DEVICE RESEARCH CONFERENCE (DRC)     2016

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  150. Theoretical Analysis of High-field Hole Transport in Germanium and Silicon Nanowires

    Tanaka Hajime, Suda Jun, Kimoto Tsunenobu

    2016 IEEE SILICON NANOELECTRONICS WORKSHOP (SNW)     page: 192 - 193   2016

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  151. Ion Implantation Technology in SiC for High-Voltage/High-Temperature Devices

    Kimoto T., Kawahara K., Kaji N., Fujihara H., Suda J.

    2016 16TH INTERNATIONAL WORKSHOP ON JUNCTION TECHNOLOGY (IWJT)     page: 54 - 58   2016

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    Web of Science

  152. Orientation and size effects on phonon-limited hole mobility in rectangular cross-sectional germanium nanowires

    Tanaka H., Mori S., Morioka N., Suda J., Kimoto T.

    2014 Silicon Nanoelectronics Workshop, SNW 2014     2015.12

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:2014 Silicon Nanoelectronics Workshop, SNW 2014  

    The phonon-limited hole mobility in rectangular cross-sectional [001], [110], [111], and [112]-oriented germanium nanowires was calculated and the hole transport characteristics were compared. The calculation revealed that [110] germanium nanowires with larger height along [001] show high hole mobility and are favorable for p-channel FETs.

    DOI: 10.1109/SNW.2014.7348616

    Scopus

  153. Oxidation-induced majority and minority carrier traps in n- and p-type 4H-SiC

    Okuda Takafumi, Alfieri Giovanni, Kimoto Tsunenobu, Suda Jun

    APPLIED PHYSICS EXPRESS   Vol. 8 ( 11 )   2015.11

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    We investigated majority and minority carrier traps in lightly doped n-and p-type 4H-SiC epitaxial layers before and after thermal oxidation using deep level transient spectroscopy and minority carrier transient spectroscopy. We detected oxidation-induced new minority carrier traps, HO1 (EV + 0.36 eV) and HO2 (EV + 0.54 eV) for n-type 4H-SiC, and EO1 (EC % 0.59 eV) and EO2 (EC % 0.84 eV) for p-type 4H-SiC after thermal oxidation at 1300 °C. After subsequent Ar annealing at 1550 °C, the HO1, HO2, and EO1 centers disappeared, whereas the concentration of the EO2 center increased. The properties of these carrier traps are discussed.

    DOI: 10.7567/APEX.8.111301

    Web of Science

    Scopus

  154. Impact Ionization Coefficients in 4H-SiC Toward Ultrahigh-Voltage Power Devices

    Niwa Hiroki, Suda Jun, Kimoto Tsunenobu

    IEEE TRANSACTIONS ON ELECTRON DEVICES   Vol. 62 ( 10 ) page: 3326 - 3333   2015.10

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:IEEE Transactions on Electron Devices  

    A temperature dependence of impact ionization coefficients in 4H-SiC was studied in a wide range of electric field toward the accurate designing of ultrahigh-voltage devices. The photomultiplication measurement was conducted for various photodiodes with different multiplication layer structures to obtain multiplication factors and ionization coefficients in a wide range of electric field strength. Especially, using multiplication layer structure with low doping concentration, the hole impact ionization coefficient was extracted at low electric field of 1 MV/cm. In high-temperature measurement, the hole ionization coefficient decreased with the increase of temperature, as observed in other semiconductor materials. For the electron ionization coefficient, however, its temperature dependence was very small and values obtained at room temperature could be used, at least up to 150 °C.

    DOI: 10.1109/TED.2015.2466445

    Web of Science

    Scopus

  155. Impacts of surface roughness scattering on hole mobility in germanium nanowires

    Tanaka H., Suda J., Kimoto T.

    2015 Silicon Nanoelectronics Workshop, SNW 2015     2015.9

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:2015 Silicon Nanoelectronics Workshop, SNW 2015  

    The hole mobility in rectangular cross-sectional germanium nanowires was calculated taking into account phonon and surface roughness scattering (SRS). SRS was modeled based on atomistic description of electronic states, and the impacts of SRS on hole mobility were analyzed.

    Scopus

  156. Temperature dependence of forward characteristics for ultrahigh-voltage SiC p-i-n diodes with a long carrier lifetime

    Kaji Naoki, Suda Jun, Kimoto Tsunenobu

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 54 ( 9 )   2015.9

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    Forward characteristics of ultrahigh-voltage 4H-SiC p-i-n diodes having four different n--layer (i-layer) thicknesses from 48 to 198μm were investigated in the temperature range from room temperature to 573 K. After enhancement of carrier lifetimes in i-layers, nearly ideal forward characteristics (differential on-resistance = 1.1-5.5mΩcm2 at 100A/cm2) were obtained at room temperature. The forward voltage drop decreased with temperature, which is consistent with the temperature dependence of junction voltage. The differential on-resistance exhibited a slight increase at elevated temperatures, which can mainly be ascribed to the increase in substrate resistance.

    DOI: 10.7567/JJAP.54.098004

    Web of Science

    Scopus

  157. Impacts of orientation and cross-sectional shape on hole mobility of Si nanowire MOSFETs

    Fujihara H., Morioka N., Tanaka H., Suda J., Kimoto T.

    IMFEDK 2015 - 2015 International Meeting for Future of Electron Devices, Kansai     page: 106 - 107   2015.7

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:IMFEDK 2015 - 2015 International Meeting for Future of Electron Devices, Kansai  

    We fabricated 〈100〉, 〈110〉, 〈111〉, and 〈112〉 p-channel gate-all-around Si nanowire (SiNW) MOSFETs, cross sections of which are rectangles with various widths, and investigated the hole mobility of the SiNW MOSFETs using the double Lm method. Measured hole mobilities of SiNW MOSFETs were about 80-140 cm2/Vs at surface carrier density of 1 × 1013 cm-2. The dependences of the hole mobility on orientations and cross-sectional shapes of nanowires were analyzed. The orientation and geometry dependences can be explained by the band structures calculated by tight-binding approximation.

    DOI: 10.1109/IMFEDK.2015.7158572

    Scopus

  158. インタビュー:手作りのGaN pn接合型青色/紫外LEDに通電したときの発光は,目に沁(し)みる本当に鮮やかなコバルトブルーの光でした

    赤﨑 勇, 西永 頌, 榊 裕之, 須田 淳, 岩谷 素顕

    応用物理   Vol. 84 ( 6 ) page: 492 - 504   2015.6

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:公益社団法人 応用物理学会  

    <p>1949年,赤﨑先生が京都大学に在学中,湯川秀樹先生がノーベル物理学賞を受賞されました.若き赤﨑先生は「湯川先生のお仕事というのは雲の上のことだけれど,自分も何か小さいことでもいいから,今までにない何かをやりたい」と強く思ったそうです.そして,17年後の1966年,松下電器東京研究所の室長としてGaAsP系赤色LEDの開発を進めていた赤﨑先生は,「青がない」ことに気が付きます.それを実現することこそが「何か」だと確信し,窒化物の研究を始められました.その後,20年間におよぶ地道な研究を経て,1986年,ついに低温バッファ層を用いて高品質GaNの結晶成長に成功し,次いで1989年,GaN pn接合型青色/紫外LEDの作製を実現.今日のGaN光・電子デバイスの基盤が確立されることとなりました.赤﨑先生にノーベル賞受賞発表後の様子から,若い頃の思い出,研究の苦労と喜び,応用物理学会や若手会員へのメッセージを伺いました.</p>

    DOI: 10.11470/oubutsu.84.6_492

    CiNii Research

  159. Temperature dependence of current gain in 4H-SiC bipolar junction transistors

    Asada Satoshi, Okuda Takafumi, Kimoto Tsunenobu, Suda Jun

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 54 ( 4 )   2015.4

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    The temperature dependence of current gain from 140 to 460K in 4H-SiC bipolar junction transistors (SiC BJTs) was investigated. The current gain increased from 110 to 1200 with decreasing temperature from 460 to 200 K. The high current gain at the low temperature can be ascribed to the enhanced incomplete ionization of aluminum acceptors in the base layer, resulting in the increase in injection efficiency. However, when the temperature was further reduced from 200 to 140 K, the current gain decreased from 1200 to 515, which is caused by high injection condition in the base layer, because of a very low hole concentration below 200 K.

    DOI: 10.7567/JJAP.54.04DP13

    Web of Science

    Scopus

  160. Progress in ultrahigh-voltage SiC devices for future power infrastructure

    Kimoto T., Suda J., Yonezawa Y., Asano K., Fukuda K., Okumura H.

    Technical Digest - International Electron Devices Meeting, IEDM   Vol. 2015-February ( February ) page: 2.5.1 - 2.5.4   2015.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Technical Digest - International Electron Devices Meeting, IEDM  

    UHV (> 15 kV) SiC PiN diodes and IGBTs with improved on-state performance are presented. Through enhancement of carrier lifetime and optimization of junction termination, a breakdown voltage over 26.9 kV and a differential on-resistance of 9.7 mΩcm2 were achieved for PiN diodes. Flip-type n-channel IGBTs with a chip size of 8 mm × 8 mm exhibited a breakdown voltage over 16 kV, and 6.5 kV - 60 A switching at 250°C was demonstrated.

    DOI: 10.1109/IEDM.2014.7046967

    Scopus

  161. Interface Properties of 4H-SiC (11(2)over-bar0) and (1(1)over-bar00) MOS Structures Annealed in NO

    Nakazawa Seiya, Okuda Takafumi, Suda Jun, Nakamura Takashi, Kimoto Tsunenobu

    IEEE TRANSACTIONS ON ELECTRON DEVICES   Vol. 62 ( 2 ) page: 309 - 315   2015.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:IEEE Transactions on Electron Devices  

    Interface properties of 4H-SiC (1120) and (1100) metal-oxide-semiconductor (MOS) structures annealed in nitric oxide are characterized by conductance, high-low, and C-Ψs methods. Compared with 4H-SiC (0001) MOS structures, generation of very fast interface states by nitridation is much smaller in 4H-SiC (1120) and (1100). The effective mobility of planar MOSFETs fabricated on Al+-implanted p-body doped to 1×1017 cm-3 is 103 cm2/Vs on (1100), 92 cm2/Vs on (1120), and 20 cm2/Vs on (0001). The mobility-limiting factors are discussed on the basis of experimental results. The high channel mobilities for (1120) and (1100) MOSFETs can be correlated with the lower density of fast interface states generated by nitridation.

    DOI: 10.1109/TED.2014.2352117

    Web of Science

    Scopus

  162. Ultrahigh- Voltage SiC p-i-n Diodes With Improved Forward Characteristics

    Kaji Naoki, Niwa Hiroki, Suda Jun, Kimoto Tsunenobu

    IEEE TRANSACTIONS ON ELECTRON DEVICES   Vol. 62 ( 2 ) page: 374 - 381   2015.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:IEEE Transactions on Electron Devices  

    Silicon carbide (SiC) p-i-n diodes having five different n--layer ( i-layer) thicknesses from 48 to 268 μm are fabricated. The forward characteristics of SiC p-i-n diodes are significantly improved by carrier-lifetime enhancement. After this improvement, the differential on-resistance is inversely proportional to the square root of current density for all the diodes with different thicknesses of n--layer. As a result, the forward current density-voltage characteristics can be approximately expressed by a parabolic function, as in the case of Si p-i-n diodes. Using a 268- μm-thick n--layer, the lifetime enhancement, and an improved space-modulated junction termination extension structure, a very high blocking voltage over 26.9 kV and low differential on-resistance of 9.7 mΩ·cm2 are achieved.

    DOI: 10.1109/TED.2014.2352279

    Web of Science

    Scopus

  163. High-temperature operation of electrostatically-excited single-crystalline 4H-SiC microcantilever resonators

    Sato K., Adachi K., Okamoto H., Yamaguchi H., Kimoto T., Suda J.

    Materials Science Forum   Vol. 821-823   page: 914 - 918   2015

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Science Forum  

    We fabricated electrostatically-excited single-crystalline 4H-SiC microcantilever resonators with various thicknesses and lengths. Their resonant characteristics were investigated from room temperature (RT) up to 600°C. The resonant frequency of the cantilevers decreased with increasing temperature. From the results, the temperature dependence of Young’s modulus of single-crystalline 4H-SiC was obtained, i.e., 3% decrement with increasing temperature from RT to 600°C. The cantilevers with different thicknesses showed different temperature dependences of the quality factor. A 2-μm-thick cantilever exhibited a high quality factor (Q) (250,000) at RT and the Q decreased to 6,000 at 600°C, which can be explained by thermoelastic damping. On the other hand, a Q of a 0.45-μm-thick cantilever was still high (50,000) even at 600°C.

    DOI: 10.4028/www.scientific.net/MSF.821-823.914

    Scopus

  164. Influence of conduction-type on thermal oxidation rate in SiC(0001) with various doping densities

    Kobayashi T., Suda J., Kimoto T.

    Materials Science Forum   Vol. 821-823   page: 456 - 459   2015

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Science Forum  

    It was discovered that the oxidation rate for SiC depended on the conduction type. The oxidation was performed for SiC(0001) with nitrogen doping (n-type) in the range from 2×1016 cm-3 to 1×1019 cm-3, and aluminum doping (p-type) in the range from 2×1015 cm-3 to 1×1019 cm-3, exhibiting a clear dependence. For n-type SiC the oxide thickness increases for higher doping density, and for p-type the thickness decreases. Note that in the case of Si oxidation, there exists very little difference of oxidation rate between the conduction types in such low doping density, and the dependence is peculiar to SiC.

    DOI: 10.4028/www.scientific.net/MSF.821-823.456

    Scopus

  165. Impacts of Surface Roughness Scattering on Hole Mobility in Germanium Nanowires

    Tanaka Hajime, Suda Jun, Kimoto Tsunenobu

    2015 SILICON NANOELECTRONICS WORKSHOP (SNW)     2015

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  166. Impacts of Orientation and Cross-sectional Shape on Hole Mobility of Si Nanowire MOSFETs

    Fujihara Hiroaki, Morioka Naoya, Tanaka Hajime, Suda Jun, Kimoto Tsunenobu

    2015 IEEE INTERNATIONAL MEETING FOR FUTURE OF ELECTRON DEVICES, KANSAI (IMFEDK)     2015

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  167. Geometrical and band-structure effects on phonon-limited hole mobility in rectangular cross-sectional germanium nanowires

    Tanaka H., Mori S., Morioka N., Suda J., Kimoto T.

    JOURNAL OF APPLIED PHYSICS   Vol. 116 ( 23 )   2014.12

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Applied Physics  

    We calculated the phonon-limited hole mobility in rectangular cross-sectional [001], [110], [111], and [112]-oriented germanium nanowires, and the hole transport characteristics were investigated. A tight-binding approximation was used for holes, and phonons were described by a valence force field model. Then, scattering probability of holes by phonons was calculated taking account of hole-phonon interaction atomistically, and the linearized Boltzmann's transport equation was solved to calculate the hole mobility at low longitudinal field. The dependence of the hole mobility on nanowire geometry was analyzed in terms of the valence band structure of germanium nanowires, and it was found that the dependence was qualitatively reproduced by considering an average effective mass and the density of states of holes. The calculation revealed that [110] germanium nanowires with large height along the [001] direction show high hole mobility. Germanium nanowires with this geometry are also expected to exhibit high electron mobility in our previous work, and thus they are promising for complementary metal-oxide-semiconductor (CMOS) applications.

    DOI: 10.1063/1.4904844

    Web of Science

    Scopus

  168. Impact of conduction type and doping density on thermal oxidation rate of SiC(0001)

    Kobayashi Takuma, Suda Jun, Kimoto Tsunenobu

    APPLIED PHYSICS EXPRESS   Vol. 7 ( 12 )   2014.12

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    We discovered that the oxidation rate of SiC depends on the conduction type. The oxidation was faster in n-type SiC than in p-type SiC. The linear rate constant B/A was higher for n-type SiC than for p-type SiC, which may be caused by the stability of Si vacancies. The parabolic rate constant B was nearly constant for n-type SiC, whereas it decreased dramatically for heavily doped p-type SiC. Secondary-ion mass spectrometry measurements revealed that a high density of Al atoms was incorporated in the oxide of p-type SiC, which may hinder the diffusion of O2 or COx.

    DOI: 10.7567/APEX.7.121301

    Web of Science

    Scopus

  169. Decay curve analyses in carrier lifetime measurements of p- and n-type 4H-SiC epilayers

    Hayashi Toshihiko, Okuda Takafumi, Suda Jun, Kimoto Tsunenobu

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 53 ( 11 )   2014.11

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    The impacts of the ambipolar diffusion constant and surface recombination in carrier lifetime measurements of p- and n-type 4H-SiC epilayers are investigated in detail by comparing a numerical simulation based on a diffusion equation and the measurement of microwave photoconductance decay (μ-PCD) curves measured from 4H-SiC epilayers. The simulation reveals that the shapes of decay curves of excess carrier concentration in epilayers, which defines the effective carrier lifetime, are different between p- and n-type 4H-SiC under a low-level injection condition, even when the bulk lifetime and the surface recombination velocity are fixed to the same values for p- and n-type epilayers. In experiments, the shapes of the microwave photoconductance decay curves measured from p- and n-type 4H-SiC epilayers show a similar tendency to the simulation results under a low-level injection condition. This is attributed to the difference in the dependence of the ambipolar diffusion constant on the excess carrier concentration for p- and n-type 4H-SiC. The comparison of μ-PCD decay curves obtained from 50-μm-thick epilayers with different surface passivation indicates that the surface recombination velocity on the epilayer passivated with deposited SiO2 followed by NO annealing is about one order of magnitude lower than that of the epilayer passivated with the dry oxide.

    DOI: 10.7567/JJAP.53.111301

    Web of Science

    Scopus

  170. Temperature dependence of optical absorption coefficient of 4H-and 6H-SiC from room temperature to 300 degrees C

    Watanabe Naoki, Kimoto Tsunenobu, Suda Jun

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 53 ( 10 )   2014.10

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    Optical absorption coefficients of 4H- and 6H-SiC were measured at cutoff wavelengths from a value of 0 to 400-500cm-1 at various temperatures that is from room temperature to 300 °C. The redshift of the absorption edge with increasing temperature was observed. It is caused by a decrease in bandgap energy and a change in phonon occupation with increasing temperature. By considering TA-, LA-, and TO-mode phonon-assisted indirect transitions, the measured data are well fitted using a theoretical model. The obtained parameters are reported.

    DOI: 10.7567/JJAP.53.108003

    Web of Science

    Scopus

  171. Formation mechanism of threading-dislocation array in AlN layers grown on 6H-SiC (0001) substrates with 3-bilayer-high surface steps

    Okumura Hironori, Kimoto Tsunenobu, Suda Jun

    APPLIED PHYSICS LETTERS   Vol. 105 ( 7 )   2014.8

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Letters  

    We grew AlN layers on 6H-SiC (0001) substrates with three Si-C bilayer high (0.75nm) steps. In the AlN layers, most of the threading dislocations (TDs) were arranged in rows. The TD row consisted of arrays of a half-loop dislocation, which was formed by an AlN/SiC interfacial dislocation along the step edges of the SiC substrate surfaces and a TD pair at both ends. The configuration of the interfacial dislocation was highly relevant with two-dimensional AlN nuclei at the initial stage of growth. We concluded that the half-loop dislocation arrays were generated in the AlN nucleus coalescence over the SiC step edges. © 2014 AIP Publishing LLC.

    DOI: 10.1063/1.4892807

    Web of Science

    Scopus

  172. Enhancement of carrier lifetime in lightly Al-doped p-type 4H-SiC epitaxial layers by combination of thermal oxidation and hydrogen annealing

    Okuda Takafumi, Miyazawa Tetsuya, Tsuchida Hidekazu, Kimoto Tsunenobu, Suda Jun

    APPLIED PHYSICS EXPRESS   Vol. 7 ( 8 )   2014.8

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    We investigated the enhancement of carrier lifetime in lightly Al-doped p-type 4H-SiC epilayers (NA ≃ 2 × 1014cm-3) by postgrowth processing. A carrier lifetime of 2.8 ìs in an as-grown epilayer is increased to 5.1 μs by carbon vacancy elimination, i.e., thermal oxidation at 1400 °C for 48 h. It reaches 10 μs by subsequent hydrogen annealing at 1000 °C for 10 min. The carrier lifetime in the as-grown epilayer is also increased to 4.0 μs by only hydrogen annealing. These results suggest that, in addition to carbon vacancy, there is another lifetime killer in p-type SiC, which cannot be eliminated by thermal oxidation but can be passivated by hydrogen annealing. © 2014 The Japan Society of Applied Physics.

    DOI: 10.7567/APEX.7.085501

    Web of Science

    Scopus

  173. Conduction-type dependence of thermal oxidation rate on SiC(0001)

    Kobayashi T., Suda J., Kimoto T.

    IMFEDK 2014 - 2014 International Meeting for Future of Electron Devices, Kansai     2014.7

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:IMFEDK 2014 - 2014 International Meeting for Future of Electron Devices, Kansai  

    The conduction-type dependent thermal oxidation rate in SiC was discovered. The oxidation was performed for SiC(0001) with nitrogen doping (n-type) in the range from 2.0×1016cm-3to 1.0×1019cm-3, and aluminum doping (p-type) in the range from 2.0×1015cm-3to 1.0×1019cm-3, exhibiting a clear dependence. For n-type SiC the oxide thickness increases for higher doping density, and for p-type the thickness decreases. Note that in the case of Si oxidation, there exists very little difference of oxidation rate between the conduction types in such low doping density, and the dependence is peculiar to SiC. The authors speculate the difference originates from the difference in carrier (electron/hole) density during the oxidation, which can reasonably explain the difference in the oxidation rate between Si and SiC.

    DOI: 10.1109/IMFEDK.2014.6867060

    Scopus

  174. Phonon-Limited Electron Mobility in Rectangular Cross-Sectional Ge Nanowires

    Tanaka Hajime, Mori Seigo, Morioka Naoya, Suda Jun, Kimoto Tsunenobu

    IEEE TRANSACTIONS ON ELECTRON DEVICES   Vol. 61 ( 6 ) page: 1993 - 1998   2014.6

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:IEEE Transactions on Electron Devices  

    The phonon-limited electron mobility in rectangular cross-sectional germanium (Ge) nanowires (NWs) with various orientations was theoretically investigated. The electronic states were calculated by a tight-binding model and the phononic states were calculated by a valence force field model. Then, transition probability was calculated by Fermi's golden rule, and Boltzmann's transport equation was solved for calculating lowfield mobility. The electron mobility of Ge NWs strongly depends on the wire orientations and cross-sectional shapes, and this dependence can be explained by the conduction band structure of Ge NWs. Among several geometries investigated in this paper, [110]-oriented NWs with wider width along [001] showed the highest electron mobility at low carrier concentration, and [112] NWs with wider width along [110] showed the highest electron mobility at high carrier concentration. This result indicates that these kinds of Ge NWs are suitable as n-channel material. © 1963-2012 IEEE.

    DOI: 10.1109/TED.2014.2318896

    Web of Science

    Scopus

  175. Quantitative comparison between Z(1/2) center and carbon vacancy in 4H-SiC

    Kawahara Koutarou, Xuan Thang Trinh, Nguyen Tien Son, Janzen Erik, Suda Jun, Kimoto Tsunenobu

    JOURNAL OF APPLIED PHYSICS   Vol. 115 ( 14 )   2014.4

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Applied Physics  

    In this study, to reveal the origin of the Z1/2 center, a lifetime killer in n-type 4H-SiC, the concentrations of the Z1/2 center and point defects are compared in the same samples, using deep level transient spectroscopy (DLTS) and electron paramagnetic resonance (EPR). The Z1/2 concentration in the samples is varied by irradiation with 250 keV electrons with various fluences. The concentration of a single carbon vacancy (VC) measured by EPR under light illumination can well be explained with the Z1/2 concentration derived from C-V and DLTS irrespective of the doping concentration and the electron fluence, indicating that the Z1/2 center originates from a single VC. © 2014 AIP Publishing LLC.

    DOI: 10.1063/1.4871076

    Web of Science

    Scopus

  176. 4H-SiC MISFETs With 4H-AlN Gate Insulator Isopolytypically Grown on 4H-SiC (11(2)over-bar0)

    Horita Masahiro, Noborio Masato, Kimoto Tsunenobu, Suda Jun

    IEEE ELECTRON DEVICE LETTERS   Vol. 35 ( 3 ) page: 339 - 341   2014.3

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:IEEE Electron Device Letters  

    4H silicon carbide (4H-SiC) metal-insulator-semiconductor field-effect transistors (MISFETs) with 4H aluminum nitride (4H-AlN) gate insulators have been demonstrated. The 4H-AlN layers are isopolytypically grown on 4H-SiC (1120) by molecular-beam epitaxy. Gate controlled transistor operation was realized using the AlN/SiC MISFETs. The MISFETs exhibit a low gate leakage current (<10-10~A) and normally on characteristics with a threshold voltage of approximately-10~V and a field-effect mobility of 0.5 cm 2V-1s-1. Capacitance-voltage measurements of AlN/SiC MIS capacitors reveal a large negative flat band shift of-10.9~V, which is consistent with the normally on characteristics. © 2014 IEEE.

    DOI: 10.1109/LED.2014.2299557

    Web of Science

    Scopus

  177. Effect of ultrathin AIN spacer on electronic properties of GaN/SiC heterojunction bipolar transistors

    Miyake Hiroki, Kimoto Tsunenobu, Suda Jun

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 53 ( 3 )   2014.3

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    GaN/SiC heterojunction bipolar transistors (HBTs) with an ultrathin AlN spacer layer at the n-GaN/p-SiC emitter junction are proposed for the control of the electronic properties of GaN/SiC heterojunctions. The insertion of an AlN spacer is found to be promising in terms of improving electron injection efficiency owing to the reduced potential barrier (0.54 eV) to electron injection and reduced recombination via interface traps. We also investigated the effect of pre-irradiation of active nitrogen atoms (N*) prior to AlN growth for the control of the electronic properties of GaN/ AlN/SiC heterojunctions. We found that the potential barrier was further reduced to 0.46 eV by N* pre-irradiation. The HBT structure was successfully fabricated using our newly developed process featuring ion implantation and Pd ohmic contacts to obtain a low contact resistivity to a p-SiC base at a temperature as low as 600 °C. A fabricated HBT without an AlN layer showed a low current gain (α ∼ 0.001), whereas the GaN/ AlN/SiC HBT showed improved current gains of 0.1 in the case of using a 1-nm-thick AlN spacer without N* pre-irradiation and 0.2 in the case of using a 2-nm-thick AlN spacer with N* pre-irradiation. © 2014 The Japan Society of Applied Physics.

    DOI: 10.7567/JJAP.53.034101

    Web of Science

    Scopus

  178. Quantum-confinement effects on conduction band structure of rectangular cross-sectional GaAs nanowires

    Tanaka H., Morioka N., Mori S., Suda J., Kimoto T.

    JOURNAL OF APPLIED PHYSICS   Vol. 115 ( 5 )   2014.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Applied Physics  

    The conduction band structure and electron effective mass of GaAs nanowires with various cross-sectional shapes and orientations were calculated by two methods, a tight-binding method and an effective mass equation taking the bulk full-band structure into account. The effective mass of nanowires increases as the cross-sectional size decreases, and this increase in effective mass depends on the orientations and substrate faces of nanowires. Among [001], [110], and [111]-oriented rectangular cross-sectional GaAs nanowires, [110]-oriented nanowires with wider width along the [001] direction showed the lightest effective mass. This dependence originates from the anisotropy of the Γ valley of bulk GaAs. The relationship between effective mass and bulk band structure is discussed. © 2014 AIP Publishing LLC.

    DOI: 10.1063/1.4864490

    Web of Science

    Scopus

  179. Identification of dislocations in 4H-SiC epitaxial layers and substrates using photoluminescence imaging

    Kawahara Chihiro, Suda Jun, Kimoto Tsunenobu

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 53 ( 2 )   2014.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    Dislocations in n- and p-type substrates as well as in epitaxial layers (epilayers) were clearly identified using a photoluminescence (PL) imaging technique. Dislocations in epilayers show large/small bright spots or lines in infrared PL images, which correspond to threading screw/edge dislocations (TSDs/TEDs) or basal plane dislocations (BPDs), respectively. In contrast, dislocations in substrates exhibit large/small dark spots or dark lines in infrared PL images, corresponding to TSDs/TEDs or BPDs, respectively. These different features (bright/dark contrast) of dislocations may originate from the different densities of point defects or impurities. © 2014 The Japan Society of Applied Physics.

    DOI: 10.7567/JJAP.53.020304

    Web of Science

    Scopus

  180. Etching-limiting process and origin of loading effects in silicon etching with hydrogen chloride gas

    Morioka Naoya, Suda Jun, Kimoto Tsunenobu

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 53 ( 1 )   2014.1

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    The etching-limiting step in slow Si etching with HCl/H2 at atmospheric pressure was investigated. The etching was performed at a low etching rate below 10nm/min in the temperature range of 1000-1100 °C. In the case of bare Si etching, it was confirmed that the etching rate showed little temperature dependence and was proportional to the equilibrium pressure of the etching by-product SiCl2 calculated by thermochemical analysis. In addition, the etching rates of Si(100) and (110) faces were almost the same. These results indicate that SiCl2 diffusion in the gas phase is the rate-limiting step. In the etching of the Si surface with SiO2 mask patterns, a strong loading effect (mask/opening pattern dependence of the etching rate) was observed. The simulation of the diffusion of gas species immediately above the Si surface revealed that the loading effect was attributed to the pattern-dependent diffusion of SiCl2.© 2014 The Japan Society of Applied Physics.

    DOI: 10.7567/JJAP.53.016502

    Web of Science

    Scopus

  181. Conduction-Type Dependence of Thermal Oxidation Rate on SiC(0001)

    Kobayashi Takuma, Suda Jun, Kimoto Tsunenobu

    2014 IEEE INTERNATIONAL MEETING FOR FUTURE OF ELECTRON DEVICES, KANSAI (IMFEDK)     2014

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  182. Fabrication of electrostatically actuated 4H-SiC microcantilever resonators by using n/p/n epitaxial structures and doping-selective electrochemical etching

    Sato K., Adachi K., Okamoto H., Yamaguchi H., Kimoto T., Suda J.

    Materials Science Forum   Vol. 778-780   page: 780 - 783   2014

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Science Forum  

    We fabricated electrostatically actuated single-crystalline 4H-SiC microcantilever resonators. To realize a narrow gap between cantilevers and substrate, we etched a thin p-type SiC layer in n/p/n multilayer structure by doping-selective electrochemical etching. The resonant characteristics of the fabricated 4H-SiC microcantilevers were investigated under a vacuum condition. Electrostatic actuation of microcantilevers was successfully performed by applying 10 mVrms ac voltage with 20 mV dc bias. The quality factor of 4H-SiC microcantilevers was above 100,000, which is about ten times higher than the quality factor of Si cantilevers with the same structure. Resonant characteristics were almost identical for mechanical actuation and electrostatic actuation. © (2014) Trans Tech Publications, Switzerland.

    DOI: 10.4028/www.scientific.net/MSF.778-780.780

    Scopus

  183. Identification of the negative carbon vacancy at quasi-cubic site in 4H-SIC by EPR and theoretical calculations

    Trinh X.T., Szász K., Hornos T., Kawahara K., Suda J., Kimoto T., Gali A., Janzén E., Son N.T.

    Materials Science Forum   Vol. 778-780   page: 285 - 288   2014

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Science Forum  

    In freestanding n-type 4H-SiC epilayers irradiated with low-energy (250 keV) electrons at room temperature, the electron paramagnetic resonance (EPR) spectrum of the negative carbon vacancy at the hexagonal site, V-C(h), and a new signal were observed. From the similarity in defect formation and the spin-Hamiltonian parameters of the two defects, the new center is suggested to be the negative C vacancy at the quasi-cubic site, V-C (k). The identification is further supported by hyperfine calculations. © (2014) Trans Tech Publications, Switzerland.

    DOI: 10.4028/www.scientific.net/MSF.778-780.285

    Scopus

  184. Ion implantation technology in SiC for power device applications

    Kimoto T., Kawahara K., Niwa H., Kaji N., Suda J.

    2014 International Workshop on Junction Technology, IWJT 2014     page: 1 - 6   2014

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:2014 International Workshop on Junction Technology, IWJT 2014  

    Silicon carbide (SiC) is a newly-emerging wide bandgap semiconductor, by which high-voltage, low-loss power devices can be realized owing to its superior properties. Because of its strong bonding energy and thermal stability, however, special cares must be paid to form high-quality junctions by ion implantation. This paper reviews present status and remaining issues of ion implantation technology in SiC. Requirements of hot implantation and high-temperature annealing are discussed in terms of electrical activation, defect generation, and junction characteristics. Furthermore, recent progress in junction termination for high-voltage SiC devices by using ion implantation is described. © 2014 IEEE.

    DOI: 10.1109/IWJT.2014.6842018

    Scopus

  185. Ion Implantation Technology in SiC for Power Device Applications

    Kimoto Tsunenobu, Kawahara Koutaro, Niwa Hiroki, Kaji Naoki, Suda Jun

    2014 INTERNATIONAL WORKSHOP ON JUNCTION TECHNOLOGY (IWJT)     page: 1 - 6   2014

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  186. Orientation and Size Effects on Phonon-limited Hole Mobility in Rectangular Cross-sectional Germanium Nanowires

    Tanaka Hajime, Mori Seigo, Morioka Naoya, Suda Jun, Kimoto Tsunenobu

    2014 IEEE SILICON NANOELECTRONICS WORKSHOP (SNW)     2014

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  187. 100 mm diameter mono-crystalline 4H-SiC/polycrystalline-SiC bonded wafers fabricated by SAB for power device

    Yagi K., Hatta N., Sakata T., Minami A., Kawahara T., Uchida H., Imaoka K., Okuda T., Suda J., Kurashima Y., Takagi H.

    Proceedings of 2014 4th IEEE International Workshop on Low Temperature Bonding for 3D Integration, LTB-3D 2014     2014

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Proceedings of 2014 4th IEEE International Workshop on Low Temperature Bonding for 3D Integration, LTB-3D 2014  

    We have developed 100mm in diameter 4H-SiC/poly-SiC bonded wafer by SAB method. The SiC bonded wafer demonstrated an excellent thermal stability against device processing temperature. SBDs fabricated on the SiC bonded wafer exhibited good I-V characteristics. These results suggest that it is a promising alternative wafer for SiC power device. © 2014 IEEE.

    DOI: 10.1109/LTB-3D.2014.6886195

    Scopus

  188. 100 mm diameter mono-crystalline 4H-SiC/polycrystalline-SiC bonded wafers fabricated by SAB for power device

    Yagi Kuniaki, Hatta Naoki, Sakata Toyokazu, Minami Akiyuki, Kawahara Takamitsu, Uchida Hidetsugu, Imaoka Kou, Okuda Takafumi, Suda Jun, Kurashima Yuichi, Takagi Hideki

    2014 4TH IEEE INTERNATIONAL WORKSHOP ON LOW TEMPERATURE BONDING FOR 3D INTEGRATION (LTB-3D)     page: 56 - 56   2014

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    Web of Science

  189. Progress in Ultrahigh-Voltage SiC Devices for Future Power Infrastructure

    Kimoto T., Suda J., Yonezawa Y., Asano K., Fukuda K., Okumura H.

    2014 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM)     2014

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    Web of Science

  190. Negative-U carbon vacancy in 4H-SiC: Assessment of charge correction schemes and identification of the negative carbon vacancy at the quasicubic site

    Trinh X. T., Szasz K., Hornos T., Kawahara K., Suda J., Kimoto T., Gali A., Janzen E., Son N. T.

    PHYSICAL REVIEW B   Vol. 88 ( 23 )   2013.12

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Physical Review B - Condensed Matter and Materials Physics  

    The carbon vacancy (VC) has been suggested by different studies to be involved in the Z1/Z2 defect-a carrier lifetime killer in SiC. However, the correlation between the Z1/Z2 deep level with VC is not possible since only the negative carbon vacancy (VC-) at the hexagonal site, VC-(h), with unclear negative-U behaviors was identified by electron paramagnetic resonance (EPR). Using freestanding n-type 4H-SiC epilayers irradiated with low energy (250 keV) electrons at room temperature to introduce mainly VC and defects in the C sublattice, we observed the strong EPR signals of VC-(h) and another S = 1/2 center. Electron paramagnetic resonance experiments show a negative-U behavior of the two centers and their similar symmetry lowering from C3v to C1h at low temperatures. Comparing the 29Si and 13C ligand hyperfine constants observed by EPR and first principles calculations, the new center is identified as VC-(k). The negative-U behavior is further confirmed by large scale density functional theory supercell calculations using different charge correction schemes. The results support the identification of the lifetime limiting Z1/Z2 defect to be related to acceptor states of the carbon vacancy. © 2013 American Physical Society.

    DOI: 10.1103/PhysRevB.88.235209

    Web of Science

    Scopus

  191. Growth, Electrical Characterization, and Electroluminescence of GaN/SiC Heterojunction Diodes and Bipolar Transistors Fabricated on SiC Off-Axis Substrates

    Miyake Hiroki, Amari Koichi, Kimoto Tsunenobu, Suda Jun

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 52 ( 12 )   2013.12

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    The growth, electrical characterization, and electroluminescence (EL) of GaN/SiC heterojunction bipolar transistors (HBTs) are presented. GaN grown on off-axis SiC by molecular beam epitaxy showed step bunching owing to the large off-angle of SiC substrates, which contributed to the annihilation of edge dislocations. We investigated the impact of base doping concentration and SiC polytype (4H and 6H) on the characteristics of GaN/SiC heterojunction diodes. By utilizing a reduced doping concentration of 1 × 1018 cm -3 instead of 1 × 1019 cm-3, we suppressed the tunneling current via interface traps, resulting in an improved rectifying behavior in the diodes. Capacitance-voltage (C-V) and EL characteristics revealed that the band lineup of GaN/SiC is of type II, and 6H-SiC is better for electron injection. In accordance with diode characteristics, the fabricated GaN/SiC HBTs showed an improved common-base current gain of 0.03 by employing a reduced base doping concentration of 1 × 1018 cm-3 and 6H-SiC, whereas a current gain below 1 × 10-4 was obtained in the HBTs with a base doping concentration of 1 × 1019 cm-3. © 2013 The Japan Society of Applied Physics.

    DOI: 10.7567/JJAP.52.124102

    Web of Science

    Scopus

  192. Improvement of Carrier Lifetimes in Highly Al-Doped p-Type 4H-SiC Epitaxial Layers by Hydrogen Passivation

    Okuda Takafumi, Kimoto Tsunenobu, Suda Jun

    APPLIED PHYSICS EXPRESS   Vol. 6 ( 12 )   2013.12

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    Carrier lifetimes in a highly Al-doped p-type epilayer (NA = 1 × 1018 cm-3) are investigated by differential microwave photoconductance decay (μ-PCD) measurements. A carrier lifetime of 310 ns in the as-grown p-type epilayer decreases to 90 ns by thermal treatment in Ar, O2, or N2 atmospheres (>700 °C), and recovers to 300 ns by H2 annealing (>750 °C). Hydrogen is detected at a concentration of (2-3) × 1015 cm-3 in the H2-annealed epilayer. These results suggest that a lifetime killer exists in the p-type epilayer, limiting the carrier lifetime to 90 ns and is passivated by hydrogen annealing, resulting in the improved carrier lifetime of 300 ns. © 2013 The Japan Society of Applied Physics.

    DOI: 10.7567/APEX.6.121301

    Web of Science

    Scopus

  193. Size and geometric effects on conduction band structure of GaAs nanowires

    Tanaka H., Morioka N., Mori S., Suda J., Kimoto T.

    IMFEDK 2013 - 2013 International Meeting for Future of Electron Devices, Kansai     page: 118 - 119   2013.10

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:IMFEDK 2013 - 2013 International Meeting for Future of Electron Devices, Kansai  

    The conduction band structure of GaAs nanowires with various cross-sectional shapes and orientations was calculated by a tight-binding model and an effective mass equation taking the bulk full-band structure into account. The effective mass of nanowires increases as the cross-sectional size decreases. However, the amount of the increase in mass is strongly dependent on the wire orientations and substrate faces of nanowires, which originates from the anisotropy of Γ valley of bulk GaAs. © 2013 IEEE.

    DOI: 10.1109/IMFEDK.2013.6602267

    Scopus

  194. AlGaN/SiC Heterojunction Bipolar Transistors Featuring AlN/GaN Short-Period Superlattice Emitter

    Miyake Hiroki, Kimoto Tsunenobu, Suda Jun

    IEEE TRANSACTIONS ON ELECTRON DEVICES   Vol. 60 ( 9 ) page: 2768 - 2775   2013.9

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:IEEE Transactions on Electron Devices  

    Growth and electrical characterization of aluminum gallium nitride (AlGaN)/SiC heterojunction bipolar transistors (HBTs) featuring AlN/GaN short-period superlattice as a quasi-AlGaN emitter are presented. The AlN/GaN superlattice emitter was grown by molecular beam epitaxy on off-axis SiC, which showed adequate structural and electronic properties as the emitter of the HBTs. We investigated the impact of Al composition in the emitter on the transport characteristics and current gain of the HBTs. Using Al composition of over 0.5, we achieved type-I band alignment in AlGaN/SiC, and suppressed the tunneling current via interface traps, resulting in an improved current gain of up to 2.7. Toward further improvement of current gain, we also investigated the effect of n-SiC spacer between n-AlGaN and p-SiC and p-SiC base width. Using 200-nm-thick n-SiC spacer and 250-nm-thick p-SiC base layer, we achieved an improved current gain of 13 owing to the reduced interface and bulk recombination. © 1963-2012 IEEE.

    DOI: 10.1109/TED.2013.2273499

    Web of Science

    Scopus

  195. Single-crystalline 4H-SiC micro cantilevers with a high quality factor

    Adachi Kohei, Watanabe Naoki, Okamoto Hajime, Yamaguchi Hiroshi, Kimoto Tsunenobu, Suda Jun

    SENSORS AND ACTUATORS A-PHYSICAL   Vol. 197   page: 122 - 125   2013.8

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Sensors and Actuators, A: Physical  

    Single-crystalline 4H-SiC micro cantilevers were fabricated by doping-type selective electrochemical etching of 4H-SiC. Using this method, n-type 4H-SiC cantilevers were fabricated on a p-type 4H-SiC substrate, and resonance characteristics of the fabricated 4H-SiC cantilevers were investigated under a vacuum condition. The resonant frequencies agreed very well with the results of numerical simulations. The maximum quality factor in first-mode resonance of the 4H-SiC cantilevers was 230,000. This is 10 times higher than the quality factor of conventional 3C-SiC cantilevers fabricated on an Si substrate. © 2013 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.sna.2013.04.014

    Web of Science

    Scopus

  196. Coherent Growth of AlN/GaN Short-Period Superlattice with Average GaN Mole Fraction of up to 20% on 6H-SiC(0001) Substrates by Plasma-Assisted Molecular-Beam Epitaxy

    Kaneko Mitsuaki, Kikuchi Ryosuke, Okumura Hironori, Kimoto Tsunenobu, Suda Jun

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 52 ( 8 )   2013.8

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    To obtain a high-crystalline-quality AlN/GaN short-period superlattice with higher average GaN mole fraction, the effects of the thicknesses of AlN barrier and GaN well layers on the superlattice growth were investigated. Coherent growth with an average GaN mole fraction of 20% was realized by reducing the AlN barrier layer thickness to 8 bilayers (BL) while keeping the GaN well layer thickness at 2 BL. Further reduction in the AlN barrier layer thickness resulted in lattice relaxation and degradation of the crystalline quality. Grown layers with various well and barrier thicknesses were investigated by transmission electron microscopy, X-ray diffraction, and atomic force microscopy. © 2013 The Japan Society of Applied Physics.

    DOI: 10.7567/JJAP.52.08JE21

    Web of Science

    Scopus

  197. Ultrahigh-Voltage SiC PiN Diodes with an Improved Junction Termination Extension Structure and Enhanced Carrier Lifetime

    Kaji Naoki, Niwa Hiroki, Suda Jun, Kimoto Tsunenobu

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 52 ( 7 )   2013.7

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    Ultrahigh-voltage SiC PiN diodes with an improved junction termination extension (JTE) structure and improved forward characteristics are presented in this paper. An improved space-modulated JTE (SM-JTE) structure was designed by device simulation, and a breakdown voltage of over 17 kV was obtained in a wider range of JTE dose with the improved SM-JTE. In addition, a lifetime enhancement process (thermal oxidation) was performed to improve the forward characteristics. The on-resistance of the SiC PiN diodes with the lifetime enhancement process was reduced to 13m°Cm2 at 150°C compared with that of the SiC PiN diodes with the conventional process (32m°Cm2). © 2013 The Japan Society of Applied Physics.

    DOI: 10.7567/JJAP.52.070204

    Web of Science

    Scopus

  198. Optical Properties of Highly Strained AlN Coherently Grown on 6H-SiC(0001)

    Kaneko Mitsuaki, Okumura Hironori, Ishii Ryota, Funato Mitsuru, Kawakami Yoichi, Kimoto Tsunenobu, Suda Jun

    APPLIED PHYSICS EXPRESS   Vol. 6 ( 6 )   2013.6

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    The optical properties of wurtzite AlN under large compressive strain are investigated by photoluminescence and optical reflectivity measurements with two different geometries. The AlN layer was coherently grown on 6H-SiC(0001), resulting in strains of ∈xx = ∈yy = -9.6 × 10-3 and ∈zz = 5.12 × 10-3, as confirmed by high-resolution X-ray diffraction. Free exciton transitions were clearly observed. The transition energy of A free exciton (with Γ1 symmetry) was estimated to be 6.246 eV at 10 K. The large energy shift of the free exciton transition with respect to the transition in unstrained AlN was well explained by the reported deformation potentials of AlN. © 2013 The Japan Society of Applied Physics.

    DOI: 10.7567/APEX.6.062604

    Web of Science

    Scopus

  199. Deep Levels Generated by Thermal Oxidation in n-Type 4H-SiC

    Kawahara Koutarou, Suda Jun, Kimoto Tsunenobu

    APPLIED PHYSICS EXPRESS   Vol. 6 ( 5 )   2013.5

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    The Z1/2 center (EC - 0:67 eV), which is a lifetime killer in n-type 4H-SiC epilayers, is reduced by thermal oxidation. The oxidation, however, simultaneously generates other deep levels: ON1 (E C - 0:84 eV) and ON2 (EC - 1:1 eV) centers. From the behaviors (generation condition, thermal stability, and change in the depth profiles) of the ON1 and ON2 centers in samples (i) oxidized in O2, (ii) implanted with C+ or Si+ atoms, and (iii) oxidized in N2O (or NO), we suggest that these defects may originate from the same defect in different charge states, related to both carbon interstitials and N atoms. © 2013 The Japan Society of Applied Physics.

    DOI: 10.7567/APEX.6.051301

    Web of Science

    Scopus

  200. Investigation on origin of Z(1/2) center in SiC by deep level transient spectroscopy and electron paramagnetic resonance

    Kawahara Koutarou, Xuan Thang Trinh, Nguyen Tien Son, Janzen Erik, Suda Jun, Kimoto Tsunenobu

    APPLIED PHYSICS LETTERS   Vol. 102 ( 11 )   2013.3

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Letters  

    The Z1/2 center in n-type 4H-SiC epilayers-a dominant deep level limiting the carrier lifetime-has been investigated. Using capacitance versus voltage (C-V) measurements and deep level transient spectroscopy (DLTS), we show that the Z1/2 center is responsible for the carrier compensation in n-type 4H-SiC epilayers irradiated by low-energy (250 keV) electrons. The concentration of the Z1/2 defect obtained by C-V and DLTS correlates well with that of the carbon vacancy (VC) determined by electron paramagnetic resonance, suggesting that the Z1/2 deep level originates from VC. © 2013 American Institute of Physics.

    DOI: 10.1063/1.4796141

    Web of Science

    Scopus

  201. Effects of Nitridation on 4H-SiC MOSFETs Fabricated on Various Crystal Faces

    Nanen Yuichiro, Kato Muneharu, Suda Jun, Kimoto Tsunenobu

    IEEE TRANSACTIONS ON ELECTRON DEVICES   Vol. 60 ( 3 ) page: 1260 - 1262   2013.3

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:IEEE Transactions on Electron Devices  

    Effects of nitric oxide (NO) and nitrous oxide (N2O) annealing on 4H-SiCmetal-oxide-semiconductor field-effect transistors (MOSFETs) fabricated on the (0001), (000-1), and (11-20) faces are investigated. MOSFETs on (11-20) exhibited high channel mobility (108 cm2/V s) compared to those on (0001) (29-37 cm2/V s) and (000-1) (39-46 cm2/V s). The MOSFET characteristics are discussed in terms of oxidation taking place during the nitridation annealing and crystal faces.

    DOI: 10.1109/TED.2012.2236333

    Web of Science

    Scopus

  202. Orientation and Shape Effects on Ballistic Transport Properties in Gate-All-Around Rectangular Germanium Nanowire nFETs

    Mori Seigo, Morioka Naoya, Suda Jun, Kimoto Tsunenobu

    IEEE TRANSACTIONS ON ELECTRON DEVICES   Vol. 60 ( 3 ) page: 944 - 950   2013.3

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:IEEE Transactions on Electron Devices  

    The electron transport properties of square and rectangular cross-sectional germanium nanowire (GeNW) field-effect transistors (FETs) with [001], [110], [111], and [112] crystal orientations are investigated. The electronic states of GeNWs are calculated by using an sp^{3}d^{5}s\ast tight-binding model coupled to a Poisson equation self-consistently. A semiclassical ballistic FET model is used to evaluate the electron transport characteristics. For the square cross section, electron injection velocity dominates the drive current in GeNW FETs because the inversion electron density in the GeNW channels is mainly determined by the capacitance of the gate insulator, and a [110] GeNW FET achieves the highest drive current of all the orientations. In the case of rectangular cross section, the electron density in GeNWs is dependent on their orientations and cross-sectional geometries due to the small quantum capacitance, and the difference of the density of states of GeNWs significantly affects the drive current. A [112] GeNW FET on a (\hbox{1}\bar{\hbox{1}}\hbox{0} ) face exhibits the highest injection velocity of all the calculated FETs but low drive current because of its insufficient density of states. As a result, a [110] GeNW FET on a (001) face, which has both large density of states and high injection velocity, achieves the highest drive current. © 1963-2012 IEEE.

    DOI: 10.1109/TED.2013.2237779

    Web of Science

    Scopus

  203. Deep levels generated by thermal oxidation in p-type 4H-SiC

    Kawahara Koutarou, Suda Jun, Kimoto Tsunenobu

    JOURNAL OF APPLIED PHYSICS   Vol. 113 ( 3 )   2013.1

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Applied Physics  

    Thermal oxidation is an effective method to reduce deep levels, especially the Z1/2-center (EC - 0.67 eV), which strongly suppresses carrier lifetimes in n-type 4H-SiC epilayers. The oxidation, however, simultaneously generates other deep levels, HK0 (EV + 0.79 eV) and HK2 (EV + 0.98 eV) centers, within the lower half of the bandgap of SiC, where the HK0 center is a dominant deep level with a concentration of about 1 × 1013 cm-3 after oxidation. By comparing deep levels observed in three sets of p-type 4H-SiC: oxidized, electron-irradiated, and C+- or Si+-implanted samples, we find that the HK0 and HK2 centers are complexes including carbon interstitials such as the di-carbon interstitial or di-carbon antisite. Other defects observed in p-type 4H-SiC after electron irradiation or after C+/Si+ implantation are also studied. © 2013 American Institute of Physics.

    DOI: 10.1063/1.4776240

    Web of Science

    Scopus

  204. Long Photoconductivity Decay Characteristics in p-Type 4H-SiC Bulk Crystals

    Okuda Takafumi, Miyake Hiroki, Kimoto Tsunenobu, Suda Jun

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 52 ( 1 )   2013.1

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    We investigated the photoconductivity decay characteristics of p-type 4H-SiC bulk crystals by differential microwave photoconductance decay (μ-PCD) measurements using a 349-nm laser as an excitation source. The decay time at room temperature was 2600 μs, which is much longer than that of n-type 4H-SiC bulk crystals (40 ns). Decay time decreased with increasing temperature, resulting in 120 μs at 250 °C, and the activation energy of decay time was determined to be 140 ± 10 meV. Long decay characteristics were also observed by below-band-gap excitation at 523 nm. © 2013 The Japan Society of Applied Physics.

    DOI: 10.7567/JJAP.52.010202

    Web of Science

    Scopus

  205. Junction technology in SiC for high-voltage power devices

    Kimoto T., Kawahara K., Niwa H., Okuda T., Suda J.

    Extended Abstracts of the 13th International Workshop on Junction Technology 2013, IWJT 2013     page: 54 - 57   2013

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Extended Abstracts of the 13th International Workshop on Junction Technology 2013, IWJT 2013  

    In electric power conversion systems of power infrastructures, electric vehicles, and power supplies, Si-based power semiconductor devices are employed as a key hardware. Reduction of power dissipation in the conversion systems is strongly required for energy saving. In particular, ultrahigh-voltage power converters with high efficiency are essential to realize a stable and highly efficient electric power network by optimizing the use of solar power and wind-generated power in the future. The efficiency of power converters/inverters strongly relies on the performance of power semiconductor devices employed in the power electronic systems. Silicon carbide (SiC) is a newly-emerging wide bandgap semiconductor, by which high-voltage, low-loss power devices can be realized owing to its superior properties [1-3]. The major features of SiC power devices include high-voltage blocking capability, low on-state resistance, fast switching speed, and high-temperature operation. © 2013 IEEE.

    DOI: 10.1109/IWJT.2013.6644492

    Scopus

  206. Size and Geometric Effects on Conduction Band Structure of GaAs Nanowires

    Tanaka Hajime, Morioka Naoya, Mori Seigo, Suda Jun, Kimoto Tsunenobu

    2013 IEEE INTERNATIONAL MEETING FOR FUTURE OF ELECTRON DEVICES, KANSAI (IMFEDK2013)     2013

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  207. 21-kV SiC BJTs With Space-Modulated Junction Termination Extension

    Miyake Hiroki, Okuda Takafumi, Niwa Hiroki, Kimoto Tsunenobu, Suda Jun

    IEEE ELECTRON DEVICE LETTERS   Vol. 33 ( 11 ) page: 1598 - 1600   2012.11

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:IEEE Electron Device Letters  

    We report here 20-kV-class small-area (0.035 mm 2 ) 4H-SiC bipolar junction transistors. We implemented edge termination techniques featuring two-zone junction termination extension and space-modulated rings. on-state characteristics showed a current gain of 63 and a specific on-resistance of 321 mΩ cm 2, which is slightly below the SiC unipolar limit. We achieved the open-base blocking voltage of 21 kV at a leakage current of 0.1 mA/cm 2 , which is the highest blocking voltage among any semiconductor switching devices. © 2012 IEEE.

    DOI: 10.1109/LED.2012.2215004

    Web of Science

    Scopus

  208. Thermo-Optic Coefficients of 4H-SiC, GaN, and AIN for Ultraviolet to Infrared Regions up to 500 degrees C

    Watanabe Naoki, Kimoto Tsunenobu, Suda Jun

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 51 ( 11 )   2012.11

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    The temperature dependence of the refractive indices of 4H-SiC, GaN, and AlN were determined in a wavelength range from the near band edge (392 nm for 4H-SiC, 367nm for GaN, and 217nm for AlN) to infrared (1700 nm) and a temperature range from room temperature to 500 °C. Optical interference measurements with vertical incidence along a c-axis configuration were employed to precisely evaluate ordinary refractive indices. Near the band-edge region, the temperature dependence of the refractive index mainly originates from the temperature change of the bandgap. At 450 nm, the thermo-optic coefficients of 4H-SiC, GaN, and AlN were measured to be 7:8 × 10 -5, 1:6 × 10 -4, and 3:6 × 10 -5 K -1, respectively. © 2012 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.51.112101

    Web of Science

    Scopus

  209. Negative-U System of Carbon Vacancy in 4H-SiC

    Son N. T., Trinh X. T., Lovlie L. S., Svensson B. G., Kawahara K., Suda J., Kimoto T., Umeda T., Isoya J., Makino T., Ohshima T., Janzen E.

    PHYSICAL REVIEW LETTERS   Vol. 109 ( 18 )   2012.10

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Physical Review Letters  

    Using electron paramagnetic resonance (EPR), energy levels of the carbon vacancy (V C) in 4H-SiC and its negative-U properties have been determined. Combining EPR and deep-level transient spectroscopy we show that the two most common defects in as-grown 4H-SiC-the Z 1/2 lifetime-limiting defect and the EH 7 deep defect-are related to the double acceptor (2-|0) and single donor (0|+) levels of V C, respectively. © 2012 American Physical Society.

    DOI: 10.1103/PhysRevLett.109.187603

    Web of Science

    Scopus

  210. Orientation and size effects on ballistic electron transport properties in gate-all-around rectangular germanium nanowire FETs

    Mori S., Morioka N., Suda J., Kimoto T.

    2012 IEEE Silicon Nanoelectronics Workshop, SNW 2012     2012.10

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:2012 IEEE Silicon Nanoelectronics Workshop, SNW 2012  

    We calculated the conduction band structure of GeNWs by a tight-binding model and obtained the fundamental understanding of electron transport characteristics in [001], [110], [111], and [112] GeNW FETs. The simulation of ballistic electron transport revealed that [110] GeNW FETs on the (001) face achieve high drive current as well as high injection velocity, being the best choice for n-channel FETs. © 2012 IEEE.

    DOI: 10.1109/SNW.2012.6243360

    Scopus

  211. Breakdown Characteristics of 15-kV-Class 4H-SiC PiN Diodes With Various Junction Termination Structures

    Niwa Hiroki, Feng Gan, Suda Jun, Kimoto Tsunenobu

    IEEE TRANSACTIONS ON ELECTRON DEVICES   Vol. 59 ( 10 ) page: 2748 - 2752   2012.10

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:IEEE Transactions on Electron Devices  

    15-kV-class 4H-SiC PiN diodes with various junction termination structures have been experimentally investigated. Employment of the space-modulated junction termination extension (SM-JTE) and the two-zone JTE have realized a breakdown voltage over 15 kV, corresponding to 93% of the parallel-plane breakdown voltage. The window of the implanted JTE dose to achieve the ultrahigh voltage has been enlarged, which indicates the robustness to the deviation of effective JTE dose. From the comparison of the experimental JTE-dose dependence of breakdown voltage with the numerical device simulation, a shift toward the heavier JTE-dose region was observed. To explain the phenomenon, effects of the charges at the SiO 2/SiC interface are discussed. © 2012 IEEE.

    DOI: 10.1109/TED.2012.2210044

    Web of Science

    Scopus

  212. Carrier Recombination in n-Type 4H-SiC Epilayers with Long Carrier Lifetimes

    Ichikawa Shuhei, Kawahara Koutarou, Suda Jun, Kimoto Tsunenobu

    APPLIED PHYSICS EXPRESS   Vol. 5 ( 10 )   2012.10

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    A longest carrier lifetime of 33.2 μs was achieved by eliminating the Z 1/2 center via thermal oxidation at 1400 °C for 48 h and subsequent surface passivation with a nitrided oxide on a 220-μm-thick n-type 4H-SiC epilayer. By deep-level elimination, photoluminescence (PL) in the infrared region (wavelength: 700-950 nm) was remarkably enhanced at locations of threading dislocations. A threading screw dislocation exhibited much stronger infrared PL than a threading edge dislocation. The present results indicate that carrier recombination at extended defects becomes pronounced through the elimination of the Z 1/2 center in the epilayers. © 2012 The Japan Society of Applied Physics.

    DOI: 10.1143/APEX.5.101301

    Web of Science

    Scopus

  213. Over-700-nm Critical Thickness of AlN Grown on 6H-SiC(0001) by Molecular Beam Epitaxy

    Okumura Hironori, Kimoto Tsunenobu, Suda Jun

    APPLIED PHYSICS EXPRESS   Vol. 5 ( 10 )   2012.10

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    We report on the critical thickness of AlN on SiC(0001). AlN was directly grown on 6H-SiC(0001) at 650 °C by rf-plasma-assisted molecular beam epitaxy. The growth layer had a relatively low threading dislocation density of 4 × 10 8-4 × 10 9 cm -2. Although the critical thickness of AlN on SiC(0001) is estimated to be 3.5nm using a Matthews-Blakeslee model, the critical thickness in our experiment was over 700 nm. Low dislocation density, a layer-by-layer growth mode, and low growth temperature may contribute to such a large critical thickness. Sharp and intense free exciton emission was observed in low-temperature PL measurements of the AlN layer. © 2012 The Japan Society of Applied Physics.

    DOI: 10.1143/APEX.5.105502

    Web of Science

    Scopus

  214. Enhancement and control of carrier lifetimes in p-type 4H-SiC epilayers

    Hayashi T., Asano K., Suda J., Kimoto T.

    JOURNAL OF APPLIED PHYSICS   Vol. 112 ( 6 )   2012.9

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Applied Physics  

    Enhancement and control of carrier lifetimes in p-type 4H-SiC have been investigated. In this study, thermal oxidation and carbon ion implantation methods, both of which are effective for lifetime enhancement in n-type SiC, were attempted on 147-μm thick p-type 4H-SiC epilayers. Effects of surface passivation on carrier lifetimes were also investigated. The carrier lifetimes in p-type SiC could be enhanced from 0.9 μs (as-grown) to 2.6 μs by either thermal oxidation or carbon implantation and subsequent Ar annealing, although the improvement effect for the p-type epilayers was smaller than that for the n-type epilayers. After the lifetime enhancement, electron irradiation was performed to control the carrier lifetime. The distribution of carrier lifetimes in each irradiated region was rather uniform, along with successful lifetime control in the p-type epilayer in the range from 0.1 to 1.6 μs. © 2012 American Institute of Physics.

    DOI: 10.1063/1.4748315

    Web of Science

    Scopus

  215. 4H-SiC pn Photodiodes with Temperature-Independent Photoresponse up to 300 degrees C

    Watanabe Naoki, Kimoto Tsunenobu, Suda Jun

    APPLIED PHYSICS EXPRESS   Vol. 5 ( 9 )   2012.9

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    4H-SiC pn photodiodes were fabricated and the temperature dependence of the photoresponse was measured for various wavelengths (280-365 nm) as a function of reverse-bias voltage. A temperature-independent photoresponse was obtained at 280nm illumination from room temperature to 300 °C under zero-bias condition. By applying reverse-bias voltage up to 150 V, the wavelength of the temperature-independent photoresponse was varied from 280 to 300 nm. The temperature-independent photoresponse was explained by the temperature dependence of optical absorption coefficient together with surface recombination effect. © 2012 The Japan Society of Applied Physics.

    DOI: 10.1143/APEX.5.094101

    Web of Science

    Scopus

  216. Breakdown characteristics of 12-20 kV-class 4H-SiC PiN diodes with improved junction termination structures

    Niwa H., Feng G., Suda J., Kimoto T.

    Proceedings of the International Symposium on Power Semiconductor Devices and ICs     page: 381 - 384   2012.8

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Proceedings of the International Symposium on Power Semiconductor Devices and ICs  

    Ultrahigh-voltage 4H-SiC PiN diodes with improved junction termination extension (JTE) structures have been investigated. Breakdown characteristics of 4H-SiC PiN diodes with conventional single-zone JTE was shown to be severely affected by the charge near the SiO 2/SiC interface from experiment and device simulation. Taking the effect of the interface charge into account, and by using "Space-Modulated" JTE structure with a wide optimum JTE-dose window to tolerate the impact of interface charge, we achieved a breakdown voltage of 21.7 kV (81 % of the ideal breakdown voltage calculated from the epilayer structure), which is the highest breakdown voltage among any semiconductor devices ever reported. © 2012 IEEE.

    DOI: 10.1109/ISPSD.2012.6229101

    Scopus

  217. Fundamental study on junction termination structures for ultrahigh-voltage SiC PiN diodes

    Niwa H., Suda J., Kimoto T.

    IMFEDK 2012 - 2012 International Meeting for Future of Electron Devices, Kansai     page: 56 - 57   2012.7

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:IMFEDK 2012 - 2012 International Meeting for Future of Electron Devices, Kansai  

    15 kV-class 4H-SiC PiN diodes with various junction termination extension (JTE) structures have been experimentally investigated. JTE-dose dependence of the breakdown voltage for conventional single and two-zone JTE showed a narrow window of optimum JTE-dose to obtain high breakdown voltage. To widen this window, space-modulated JTE (SM-JTE) was introduced. 4H-SiC PiN diodes with SM-JTE showed a highest breakdown voltage of 15 kV, and a widening of the optimum JTE-dose window to obtain ultrahigh-voltage was realized at the same time. © 2012 IEEE.

    DOI: 10.1109/IMFEDK.2012.6218579

    Scopus

  218. 21.7 kV 4H-SiC PiN Diode with a Space-Modulated Junction Termination Extension

    Niwa Hiroki, Suda Jun, Kimoto Tsunenobu

    APPLIED PHYSICS EXPRESS   Vol. 5 ( 6 )   2012.6

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    Ultrahigh-voltage 4H-SiC mesa PiN diodes are fabricated and characterized. An original space-modulated two-zone junction termination extension (SM-two-zone JTE) has realized a laterally tapered profile of the JTE dose, which enlarged the tolerance to the deviation of effective JTE dose compared with a conventional two-zone JTE. We demonstrate a SiC PiN diode with a breakdown voltage of 21.7 kV (81% of the ideal breakdown voltage calculated from the epilayer structure), which is the highest breakdown voltage among any semiconductor devices ever reported. © 2012 The Japan Society of Applied Physics.

    DOI: 10.1143/APEX.5.064001

    Web of Science

    Scopus

  219. Lattice mismatch and crystallographic tilt induced by high-dose ion-implantation into 4H-SiC

    Sasaki S., Suda J., Kimoto T.

    JOURNAL OF APPLIED PHYSICS   Vol. 111 ( 10 )   2012.5

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Applied Physics  

    Lattice parameters of high-dose ion-implanted 4H-SiC were investigated with reciprocal space mapping (RSM). N, P, Al, or (CSi) ions were implanted into lightly doped epilayers to form a (330-520) nm-deep box profile with concentrations of 10 19-10 20 atoms/cm 3. After activation annealing at 1800 °C, RSM measurements were conducted. The RSM images for (0008) reflection revealed that high-dose ion implantation causes c-lattice expansion in implanted layers, irrespective of ion species. In addition, crystallographic tilt was observed after high-dose ion implantation. The tilt direction is the same for all the samples investigated; the c-axis of the implanted layers is inclined toward the ascending direction of the off-cut. The c-lattice mismatch and the tilt angle increased as the implantation dose increases, indicating that the implantation damage is responsible for the lattice parameter change. From these results and transmission electron microscopy observation, the authors conclude that the c-lattice mismatch and the crystallographic tilt are mainly caused by secondary defects formed after the ion-implantation and activation-annealing process. © 2012 American Institute of Physics.

    DOI: 10.1063/1.4720435

    Web of Science

    Scopus

  220. AlN/GaN Short-Period Superlattice Coherently Grown on 6H-SiC(0001) Substrates by Molecular Beam Epitaxy

    Kikuchi Ryosuke, Okumura Hironori, Kaneko Mitsuaki, Kimoto Tsunenobu, Suda Jun

    APPLIED PHYSICS EXPRESS   Vol. 5 ( 5 )   2012.5

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    We demonstrate the coherent growth of AIN/GaN short-period superlattice (SPSL) on 6H-SiC(0001) substrates by molecular beam epitaxy. A high-quality 5-nm-thick AIN layer was grown on SiC as a template layer, followed by the growth of AIN (12BL)/GaN (2BL) SPSL, which consists of 40 periods (total thickness: 140nm). The SPSL was coherently grown on SiC, and its threading dislocation density (TDD) was as low as 8 × 10 8cm -2. The SPSL, which had 3-BL-thick GaN layers, was relaxed, and the TDD increased to 8 × 10 10cm -2. © 2012 The Japan Society of Applied Physics.

    DOI: 10.1143/APEX.5.051002

    Web of Science

    Scopus

  221. Current Transport Characteristics of Quasi-AlxGa1-xN/SiC Heterojunction Bipolar Transistors with Various Band Discontinuities

    Okuda Takafumi, Miyake Hiroki, Kimoto Tsunenobu, Suda Jun

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 51 ( 4 )   2012.4

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    The current transport characteristics of quasi-Al xGa 1-xN/SiC heterojunction bipolar transistors (HBTs) with various band discontinuities were investigated in a low-current range using a Gummel plot. In the low-current range, the base currents of the HBTs were dominated by recombination currents. The collector current characteristics of the HBTs in the low-current range were almost the same in spite of the various band discontinuities, and the ideality factor n was 1.0. The band discontinuities at the heterojunction had no effect on electron injection in the lowcurrent range. This is because the collector currents were dominated by diffusion process in the base region rather than by injection process at the AlGaN/SiC interface. © 2012 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.51.04DP09

    Web of Science

    Scopus

  222. Analytical model for reduction of deep levels in SiC by thermal oxidation

    Kawahara Koutarou, Suda Jun, Kimoto Tsunenobu

    JOURNAL OF APPLIED PHYSICS   Vol. 111 ( 5 )   2012.3

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Applied Physics  

    Two trap-reduction processes, thermal oxidation and C + implantation followed by Ar annealing, have been discovered, being effective ways for reducing the Z 12 center (E C - 0.67 eV), which is a lifetime killer in n-type 4H-SiC. In this study, it is shown that new deep levels are generated by the trap-reduction processes in parallel with the reduction of the Z 12 center. A comparison of defect behaviors (reduction, generation, and change of the depth profile) for the two trap-reduction processes shows that the reduction of deep levels by thermal oxidation can be explained by an interstitial diffusion model. Prediction of the defect distributions after oxidation was achieved by a numerical calculation based on a diffusion equation, in which interstitials generated at the SiO 2SiC interface diffuse to the SiC bulk and occupy vacancies related to the origin of the Z 12 center. The prediction based on the proposed analytical model is mostly valid for SiC after oxidation at any temperature, for any oxidation time, and any initial Z 12-concentration. Based on the results, the authors experimentally achieved the elimination of the Z 12 center to a depth of about 90 μm in the sample with a relatively high initial-Z 12-concentration of 10 13 cm -3 by thermal oxidation at 1400°C for 16.5 h. Furthermore, prediction of carrier lifetimes in SiC from the Z 12 profiles was realized through calculation based on a diffusion equation, which considers excited-carrier diffusion and recombination in the epilayer, in the substrate, and at the surface. © 2012 American Institute of Physics.

    DOI: 10.1063/1.3692766

    Web of Science

    Scopus

  223. High temperature annealing of n-type 4H-SiC: Impact on intrinsic defects and carrier lifetime

    Zippelius Bernd, Suda Jun, Kimoto Tsunenobu

    JOURNAL OF APPLIED PHYSICS   Vol. 111 ( 3 )   2012.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Applied Physics  

    In this paper, the impact of high-temperature annealing of 4H silicon carbide (SiC) on the formation of intrinsic defects, such as Z 1/2 and EH 6/7, and on carrier lifetimes was studied. Four nitrogen-doped epitaxial layers with various initial concentrations of the Z 1/2- and EH 6/7-centers (10 11 - 10 14 cm -3) were investigated by means of deep level transient spectroscopy and microwave photoconductance decay. It turned out that the high-temperature annealing leads to a monotone increase of the Z 1/2- and EH 6/7- concentration starting at temperatures between 1600°C and 1750°C, depending on the initial defect concentration. In the case of samples with high initial defect concentration (10 14 cm -3) a distinct decrease in Z 1/2- and EH 6/7-concentration in the temperature range from 1600°C to 1750°C was observed, being consistent with previous reports. For higher annealing temperatures (T anneal 1750°C), the defect concentration is independent of the samples' initial values. As a consequence, beside the growth conditions, such as C/Si ratio, the thermal post-growth processing has a severe impact on carrier lifetimes, which are strongly reduced for samples annealed at high temperatures. © 2012 American Institute of Physics.

    DOI: 10.1063/1.3681806

    Web of Science

    Scopus

  224. Growth of Nitrogen-Polar 2H-AlN on Step-Height-Controlled 6H-SiC(000(1)over-bar) Substrate by Molecular-Beam Epitaxy

    Okumura Hironori, Kimoto Tsunenobu, Suda Jun

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 51 ( 2 )   2012.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    200-nm-thick N-polar AlN layers were grown on 6H-SiC(0001̄) substrates with 6-bilayer-high steps by molecular-beam epitaxy. During N-polar AlN growth, multinucleation growth occured easily, increasing the surface roughness of AlN. By reducing supersaturation (nucleation probability), the surface roughness was improved. The FWHMs of (0002) and (011̄2̄) ω-scan diffraction peaks of the AlN layer were 120 and 210 arcsec, respectively. The formation of stacking-mismatch boundaries (SMBs) was successfully suppressed by step-height control of the SiC substrate and the initial layer-by-layer growth. Most of the threading dislocations (TDs) were generated at the step edges of the SiC surfaces. The density of TDs in the AlN layers was 2 × 10 9 cm -2. © 2012 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.51.02BH02

    Web of Science

    Scopus

  225. Space-Modulated Junction Termination Extension for Ultrahigh-Voltage p-i-n Diodes in 4H-SiC

    Feng Gan, Suda Jun, Kimoto Tsunenobu

    IEEE TRANSACTIONS ON ELECTRON DEVICES   Vol. 59 ( 2 ) page: 414 - 418   2012.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:IEEE Transactions on Electron Devices  

    An edge termination method, referred to as space-modulated junction termination extension (SMJTE) combined with a mesa structure, is presented for ultrahigh-voltage p-i-n diodes in 4H-SiC. Numerical device simulations have been performed for over 15-kV-class 4H-SiC p-i-n diodes with the proposed edge termination. The structure exhibits a high breakdown capability with an improved tolerance for the deviation of impurity dose in the JTE region. Unlike conventional multi-implantation, the proposed termination technique utilizes a single-step implantation with a single mask. A desired laterally tapered doping profile is achieved by fragmenting a conventional JTE region using relatively wide spaces. The simple process of the proposed edge termination makes it applicable to fabrication of various high-voltage devices in 4H-SiC. © 2006 IEEE.

    DOI: 10.1109/TED.2011.2175486

    Web of Science

    Scopus

  226. Breakdown Characteristics of 12-20 kV-class 4H-SiC PiN Diodes with Improved Junction Termination Structures

    Niwa Hiroki, Feng Gan, Suda Jun, Kimoto Tsunenobu

    2012 24TH INTERNATIONAL SYMPOSIUM ON POWER SEMICONDUCTOR DEVICES AND ICS (ISPSD)     page: 381 - 384   2012

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  227. Defect Electronics in SiC and Fabrication of Ultrahigh-Voltage Bipolar Devices

    Kimoto T., Suda J., Feng G., Miyake H., Kawahara K., Niwa H., Okuda T., Ichikawa S., Nishi Y.

    GALLIUM NITRIDE AND SILICON CARBIDE POWER TECHNOLOGIES 2   Vol. 50 ( 3 ) page: 25 - 35   2012

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:ECS Transactions  

    Fast epitaxial growth, defect reduction, device designing, and process development in SiC toward ultrahigh-voltage (> 10 kV) bipolar devices are investigated. 100∼200μ-thick 4H-SiC epilayers with a low background doping concentration in the low 1013 cm-3 can be grown at a growth rate greater than 50μ/h. Impacts of extended defects on carrier recombination are clarified in photoluminescence mapping measurements. Generation and reduction of Z1/2 center, the dominant lifetime killer, are summarized. After Z1/2 elimination by thermal oxidation at 1400°C, the carrier lifetime can be enhanced to 25 s or even longer. By utilizing space-modulated junction termination extension, a 21.7 kV PiN diode is demonstrated. Through unique process development, the current gain in bipolar junction transistors is increased to 250∼330. © The Electrochemical Society.

    DOI: 10.1149/05003.0025ecst

    Web of Science

    Scopus

  228. Experimental Study on Various Junction Termination Structures Applied to 15 kV 4H-SiC PiN Diodes

    Niwa Hiroki, Feng Gan, Suda Jun, Kimoto Tsunenobu

    SILICON CARBIDE AND RELATED MATERIALS 2011, PTS 1 AND 2   Vol. 717-720   page: 973 - 976   2012

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Science Forum  

    Breakdown characteristics of 4H-SiC PiN diodes with various JTE structures have been investigated. By combining two-zone JTE and Space-Modulated JTE (SM-JTE), a breakdown voltage over 15 kV, corresponding to about 93 % of the parallel-plane breakdown voltage, was realized. The window of optimum JTE dose to obtain high breakdown voltage was widened, which indicates the robustness to the deviation of JTE dose. By comparing the breakdown voltage obtained by simulation and experimental results, impacts of the charge near the SiO 2/SiC interface are discussed. © (2012) Trans Tech Publications.

    DOI: 10.4028/www.scientific.net/MSF.717-720.973

    Web of Science

    Scopus

  229. Enhanced Current Gain (> 250) in 4H-SiC Bipolar Junction Transistors by A Deep-Level-Reduction Process

    Miyake Hiroki, Kimoto Tsunenobu, Suda Jun

    SILICON CARBIDE AND RELATED MATERIALS 2011, PTS 1 AND 2   Vol. 717-720   page: 1117 - 1122   2012

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Science Forum  

    We demonstrate 4H-SiC bipolar junction transistors (BJTs) with an enhanced current gain over 250. High current gain was achieved by utilizing optimized device geometry as well as optimized surface passivation, continuous epitaxial growth of the emitter-base junction, combined with an intentional deep-level-reduction process based on thermal oxidation to improve the lifetime in p-SiC base. We achieved a maximum current gain (β) of 257 at room temperature and 127 at 250°C for 4H-SiC BJTs fabricated on the (0001)Si-face. The gain of 257 is twice as large as the previous record gain. We also demonstrate BJTs on the (000-1)C-face that showed the highest β of 439 among the SiC BJTs ever reported. © (2012) Trans Tech Publications.

    DOI: 10.4028/www.scientific.net/MSF.717-720.1117

    Web of Science

    Scopus

  230. Elimination of Deep Levels in Thick SiC Epilayers by Thermal Oxidation and Proposal of the Analytical Model

    Kawahara Koutarou, Suda Jun, Kimoto Tsunenobu

    SILICON CARBIDE AND RELATED MATERIALS 2011, PTS 1 AND 2   Vol. 717-720   page: 241 - 246   2012

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Science Forum  

    It has been clarified that the Z1/2 center, a well known deep level as a lifetime killer, can be reduced to the concentration below 10 11 cm-3 by thermal oxidation or C+ implantation plus Ar annealing. In this study, the authors investigate the trap-reduction phenomena systematically (experimentally), and propose a model to analyze the phenomena. Furthermore, prediction of the defect distributions is realized by solving a diffusion equation in accordance with the trap reduction model. This analytical model can explain almost all experimental data: oxidation-temperature dependence, oxidation-time dependence, and initial-Z1/2- concentration dependence of the defect reduction. Based on these results, the authors achieved the elimination of the Z1/2 center to a depth of ∼100 μm in a sample with a relatively high initial-Z1/2- concentration of 1013 cm-3 by thermal oxidation at 1400°C for 16.5 h. © (2012) Trans Tech Publications.

    DOI: 10.4028/www.scientific.net/MSF.717-720.241

    Web of Science

    Scopus

  231. Doping-Induced Lattice Mismatch and Misorientation in 4H-SiC Crystals

    Sasaki S., Suda J., Kimoto T.

    SILICON CARBIDE AND RELATED MATERIALS 2011, PTS 1 AND 2   Vol. 717-720   page: 481 - 484   2012

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Science Forum  

    The c- and a-lattice constants of nitrogen-doped 4H-SiC were measured in the wide temperature range (RT - 1100°C). The samples used in this study were heavily doped substrates and lightly-doped free-standing epilayers. The lattice constants at room temperature are almost identical for all the samples. However, the lattice contraction by heavy nitrogen doping was clearly observed at high temperatures, which indicates that the thermal expansion coefficients are dependent on the nitrogen concentration. The lattice mismatch (Δd/d) between a lightly-doped free-standing epilayer (Nd = 6×10 14 cm-3) and a heavily-doped substrate (Nd = 2×1019 cm-3) was calculated as 1.7×10 -4 at 1100°C. The authors also investigated lattice constants of high-dose N+, P+, and Al+-implanted 4H-SiC. Reciprocal space mapping (RSM) was utilized to investigate the lattice mismatch and misorientation. The RSM images show the c-lattice expansion and c-axis tilt of the ion-implanted layers, irrespective of ion species. The authors conclude that the lattice expansion is not caused by heavy doping itself, but by secondary defects formed after the ion-implantation and activation-annealing process. © (2012) Trans Tech Publications.

    DOI: 10.4028/www.scientific.net/MSF.717-720.481

    Web of Science

    Scopus

  232. On the Formation of Intrinsic Defects in 4H-SiC by High Temperature Annealing Steps

    Zippelius B., Suda J., Kimoto T.

    SILICON CARBIDE AND RELATED MATERIALS 2011, PTS 1 AND 2   Vol. 717-720   page: 247 - 250   2012

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Science Forum  

    In this paper the impact of high temperature annealing on the formation of intrinsic defects in 4H-SiC such as Z1/2 and EH6/7 is examined. Three epitaxial layers with various initial concentrations of the Z1/2- and EH6/7-centers (1011 - 1013 cm-3) are investigated by means of deep level transient spectroscopy (DLTS). It turns out that depending on the initial defect concentration, the high temperature annealing leads to a monotonic increase of the Z1/2- and EH6/7-concentration in a temperature range from 1600 to 1750°C. For higher temperatures, the resulting defect concentration is independent of the sample's initial values. Therefore, beside the growth conditions such as C/Si ratio, the thermal post-growth processing has a severe impact on the carrier lifetime and must be taken into account during device fabrication. © (2012) Trans Tech Publications.

    DOI: 10.4028/www.scientific.net/MSF.717-720.247

    Web of Science

    Scopus

  233. 4H-SiC bipolar junction transistors with record current gains of 257 on (0001) and 335 on (000-1)

    Miyake H., Kimoto T., Suda J.

    Proceedings of the International Symposium on Power Semiconductor Devices and ICs     page: 292 - 295   2011.12

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Proceedings of the International Symposium on Power Semiconductor Devices and ICs  

    We demonstrate 4H-SiC bipolar junction transistors (BJTs) with record current gains. Improved current gain was achieved by utilizing optimized device geometry as well as optimized surface passivation and continuous epitaxial growth of the emitter-base junction, combined with an intentional deep-level-reduction process based on thermal oxidation to improve the lifetime in p-SiC base. Current gain (β) of 257 was achieved for 4H-SiC BJTs fabricated on the (0001)Si-face. The gain of 257 is twice as large as the previous record gain. We also demonstrate, for the first time, BJTs on the (000-1)C-face that showed the highest β of 335 among the SiC BJTs ever reported. © 2011 IEEE.

    DOI: 10.1109/ISPSD.2011.5890848

    Scopus

  234. Epitaxial growth and defect control of SiC for high-voltage power devices

    Kimoto T., Suda J.

    Journal of the Vacuum Society of Japan   Vol. 54 ( 6 ) page: 362 - 368   2011.12

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of the Vacuum Society of Japan  

    Recent progress in fast epitaxial growth and defect control of silicon carbide (SiC) toward development of high-voltage power devices is reviewed. In chemical vapor deposition of 4H-SiC on off-axis (0001), a high growth rate of 85 μm/h and a low background doping of 1 × 10 13 cm -3 are achieved. Conversion of basal-plane dislocations to threading edge dislocations and generation of stacking faults during epitaxial growth are discussed. Deep levels in as-grown n-type and p-type 4H-SiC epitaxial layers have been investigated. A lifetime-killing defect, Z 1/2 center, can be almost eliminated by thermal oxidation, which leads to significant increase in carrier lifetimes. The obtained carrier lifetimes are long enough to fabricate 10 kV-class bipolar devices. Control of carrier lifetimes by lowenergy electron irradiation is demonstrated.

    DOI: 10.3131/jvsj2.54.362

    Scopus

  235. Reliability of Nitrided Gate Oxides for N- and P-Type 4H-SiC(0001) Metal-Oxide-Semiconductor Devices

    Noborio Masato, Grieb Michael, Bauer Anton J., Peters Dethard, Friedrichs Peter, Suda Jun, Kimoto Tsunenobu

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 50 ( 9 )   2011.9

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    In this paper, we have investigated reliability of n- and p-type 4H-SiC(0001) metal-oxide-semiconductor (MOS) devices with N2O-grown oxides and deposited oxides annealed in N2O. From the results of time-dependent dielectric breakdown (TDDB) tests, it is revealed that the N 2O-grown oxides have relatively-high reliability (4-30Ccm -2 for n- and p-MOS structures). In addition, the deposited SiO 2 on n- and p-SiC exhibited a high charge-to-breakdown of 70.0 and 54.9Ccm-2, respectively. The n/p-MOS structures with the deposited SiO2 maintained a high charge-tobreakdown of 19.9/15.1Ccm -2 even at 200 °C. The deposited SiO2 annealed in N2O has promise as the gate insulator for n- and p-channel 4HSiC(0001) MOS devices because of its high charge-to-breakdown and good interface properties. © 2011 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.50.090201

    Web of Science

    Scopus

  236. Nonradiative recombination at threading dislocations in 4H-SiC epilayers studied by micro-photoluminescence mapping

    Feng Gan, Suda Jun, Kimoto Tsunenobu

    JOURNAL OF APPLIED PHYSICS   Vol. 110 ( 3 )   2011.8

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Applied Physics  

    Threading dislocations (TDs) in 4H-SiC epilayers have been investigated by means of micro-photoluminescence (-PL) mapping at room temperature. Enhanced nonradiative recombination at TDs was confirmed experimentally, resulting in a reduced local PL emission intensity in the -PL intensity map performed at 390 nm (near band-edge emission). The behavior of nonradiative recombination at TDs depends on the dislocation type: the screw type of TDs shows stronger effect on the nonradiative recombination activity than the edge type, evidencing a larger local reduction of PL emission intensity. Furthermore, the contrast of TDs in the μ-PL intensity map greatly depends on the carrier lifetimes of the 4H-SiC epilayers. Lifetimes longer than 0.5 s are essential to obtain a discernible contrast for the individual TDs. © 2011 American Institute of Physics.

    DOI: 10.1063/1.3622336

    Web of Science

    Scopus

  237. 4H-SiC BJTs With Record Current Gains of 257 on (0001) and 335 on (0001)

    Miyake Hiroki, Kimoto Tsunenobu, Suda Jun

    IEEE ELECTRON DEVICE LETTERS   Vol. 32 ( 7 ) page: 841 - 843   2011.7

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:IEEE Electron Device Letters  

    We demonstrate 4H-SiC bipolar junction transistors (BJTs) with record current gains. An improved current gain was achieved by utilizing optimized device geometry and continuous epitaxial growth of the emitterbase junction, combined with an intentional deep-level-reduction process based on thermal oxidation to improve the lifetime in p-SiC base. A current gain (β) of 257 was achieved for 4H-SiC BJTs fabricated on the (0001) Si face. A gain of 257 is twice as large as the previous record gain. We also demonstrate BJTs on the (0001) C face that showed the highest β of 335 among the SiC BJTs ever reported. © 2011 IEEE.

    DOI: 10.1109/LED.2011.2142291

    Web of Science

    Scopus

  238. Anomalously low Ga incorporation in high Al-content AlGaN grown on (11(2)over-bar0) non-polar plane by molecular beam epitaxy

    Ueta Shunsaku, Horita Masahiro, Kimoto Tsunenobu, Suda Jun

    PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE   Vol. 208 ( 7 ) page: 1498 - 1500   2011.7

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Physica Status Solidi (A) Applications and Materials Science  

    Crystalline orientation dependence of Ga incorporation in growth of high Al-content AlGaN was investigated. Growth was carried out by molecular-beam epitaxy (MBE) using elemental Al, Ga, and rf-plasma-excited nitrogen under various V/III ratios. 6H-SiC (0001), 4H-SiC (1√100) and 4H-SiC (11√20) were used as substrates. Ga incorporation increased with increase of V/III ratio in the layers grown on (0001) and (1√100) planes. On the other hand, Ga was not incorporated in the layer grown on (11√20) plane even when the layer was grown under a nitrogen rich condition, indicating much lower Ga incorporation on (11√20) plane than those of other planes. AlGaN with good quality was successfully grown on (1√100) plane. Utilization of (1√100) plane is suitable in MBE growth of AlGaN-based deep-ultraviolet light emitting devices. © 2011 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

    DOI: 10.1002/pssa.201001033

    Web of Science

    Scopus

  239. Impacts of reduction of deep levels and surface passivation on carrier lifetimes in p-type 4H-SiC epilayers

    Hayashi T., Asano K., Suda J., Kimoto T.

    JOURNAL OF APPLIED PHYSICS   Vol. 109 ( 11 )   2011.6

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Applied Physics  

    Impacts of reduction of deep levels and surface passivation on carrier lifetimes in p-type 4H-SiC epilayers are investigated. The authors reported that the carrier lifetime in n-type epilayers increased by reduction of deep levels through thermal oxidation and thermal annealing. However, the carrier lifetimes in p-type epilayers were not significantly enhanced. In this study, in order to investigate the influence of surface passivation on the carrier lifetimes, the epilayer surface was passivated by different oxidation techniques. While the improvement of the carrier lifetime in n-type epilayers was small, the carrier lifetime in p-type epilayers were remarkably improved by appropriate surface passivation. For instance, the carrier lifetime was improved from 1.4 s to 2.6 s by passivation with deposited SiO2 annealed in NO. From these results, it was revealed that surface recombination is a limiting factor of carrier lifetimes in p-type 4H-SiC epilayers. © 2011 American Institute of Physics.

    DOI: 10.1063/1.3583657

    Web of Science

    Scopus

  240. Lifetime-Killing Defects in 4H-SiC Epilayers and Lifetime Control by Low-Energy Electron Irradiation

    Kimoto T.

    Silicon Carbide   Vol. 1   page: 267 - 286   2011.4

     More details

    Publishing type:Research paper (scientific journal)   Publisher:Silicon Carbide  

    DOI: 10.1002/9783527629053.ch10

    Scopus

  241. 4H-SiC MISFETs with Nitrogen-Containing Insulators

    Noborio M.

    Silicon Carbide   Vol. 2   page: 235 - 265   2011.3

     More details

    Publishing type:Research paper (scientific journal)   Publisher:Silicon Carbide  

    DOI: 10.1002/9783527629077.ch10

    Scopus

  242. Bandgap shift by quantum confinement effect in < 100 > Si-nanowires derived from threshold-voltage shift of fabricated metal-oxide-semiconductor field effect transistors and theoretical calculations

    Yoshioka Hironori, Morioka Naoya, Suda Jun, Kimoto Tsunenobu

    JOURNAL OF APPLIED PHYSICS   Vol. 109 ( 6 )   2011.3

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Applied Physics  

    Si-nanowire (Si-NW) MOSFETs, the cross-sectional size (square root of the cross-sectional area of NWs) of which was changed from 18 to 4 nm, were fabricated and characterized. Both n- and p-channel MOSFETs have shown a nearly ideal subthreshold swing of 63 mV/decade. The threshold voltage of n-/p-channel MOSFETs has gradually increased/decreased with decreasing the cross-sectional size. The bandgap shift from bulk Si has been derived from the threshold-voltage shift. The bandgap of Si-NWs was calculated by a density functional theory, tight binding method, and effective mass approximation. The calculated bandgap shows good agreement with that derived from threshold voltage. The theoretical calculation indicates that the bandgap is dominated by the cross-sectional size (area) and is not very sensitive to the shape within the aspect-ratio range of 1.0-2.5. © 2011 American Institute of Physics.

    DOI: 10.1063/1.3559265

    Web of Science

    Scopus

  243. Quantum-confinement effect on holes in silicon nanowires: Relationship between wave function and band structure

    Morioka Naoya, Yoshioka Hironori, Suda Jun, Kimoto Tsunenobu

    JOURNAL OF APPLIED PHYSICS   Vol. 109 ( 6 )   2011.3

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Applied Physics  

    The authors theoretically studied the valence band structure and hole effective mass of rectangular cross-sectional Si nanowires (NWs) with the crystal orientation of [110], [111], and [001]. The E-k dispersion and the wave function were calculated using an sp3d5s tight-binding method and analyzed with the focus on the nature of p orbitals constituting the subbands. In [110] and [111] nanowires, longitudinal/transverse p orbitals are well separated and longitudinal component makes light (top) subbands and transverse component makes heavy subbands. The heavy subbands are located far below the top light band when NW has square cross-section, but they gain their energy with the increase in the NW width and come near the band edge. This energy shift of heavy bands in [110] NWs shows strong anisotropy to the direction of quantum confinement whereas that in [111] NWs does not have such anisotropy. This anisotropic behavior and the difference among orientations are understandable by the character of the wave function of heavy subbands. Regarding the [001] nanowires, the top valence state is formed by the mixture of longitudinal/transverse p orbitals, which results in heavy effective mass and large susceptibility to lateral-size variation. The correlation of the wave function of hole states between nanowires and bulk is also discussed briefly. © 2011 American Institute of Physics.

    DOI: 10.1063/1.3552593

    Web of Science

    Scopus

  244. Improvement of Current Gain in 4H-SiC BJTs by Surface Passivation With Deposited Oxides Nitrided in N2O or NO

    Miyake Hiroki, Kimoto Tsunenobu, Suda Jun

    IEEE ELECTRON DEVICE LETTERS   Vol. 32 ( 3 ) page: 285 - 287   2011.3

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:IEEE Electron Device Letters  

    We report the improvement of current gain in 4H-SiC bipolar junction transistors (BJTs) by utilizing deposited oxides as a surface passivation layer. Various postdeposition annealing processes, including annealing ambient (N 2, N2O, and NO) and annealing time, were investigated. We successfully demonstrate SiC BJTs with high current gains β of 73 and 102 using deposited oxides annealed in N2O and NO, respectively, whereas BJTs having conventional thermally grown oxides showed a current gain of 50. © 2006 IEEE.

    DOI: 10.1109/LED.2010.2101575

    Web of Science

    Scopus

  245. Origin of Etch Hillocks Formed on On-Axis SiC(000(1)over-bar) Surfaces by Molten KOH Etching

    Suda Jun, Shoji Haruki, Kimoto Tsunenobu

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 50 ( 3 )   2011.3

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    Molten KOH etching of 6H- and 4H-SiC{0001} on-axis substrates was investigated. After molten KOH etching, etch pits originating from threading dislocations (TDs) and basal-plane dislocations (BPDs) were observed on (0001) surfaces. On the other hand, large and small hillocks were observed on (0001̄) surfaces. The etch hillocks consist of SiC, indicating slower etching at TDs. By comparing the (0001) side and (0001̄) side of the same substrate, it was found that large hillocks correspond to edge-type TDs, while small hillocks correspond to screw-type TDs. © 2011 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.50.038002

    Web of Science

    Scopus

  246. Reduction of Threading Dislocation Density in 2H-AlN Grown on 6H-SiC(0001) by Minimizing Unintentional Active-Nitrogen Exposure before Growth

    Okumura Hironori, Kimoto Tsunenobu, Suda Jun

    APPLIED PHYSICS EXPRESS   Vol. 4 ( 2 )   2011.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    300-nm-thick AlN layers were grown directly on 6H-SiC(0001) with six Si-C bilayer-height (1.5 nm) steps by rf-plasma-assisted molecular-beam epitaxy (MBE). To avoid unintentional active-nitrogen exposure, AlN was grown just after the nitrogen plasma ignition. By combining optimized Ga pre-deposition and no active-nitrogen exposure, layer-by-layer growth was realized from the first layer of AlN. Screw-type and edge-type threading dislocation densities in the AlN layer were reduced to 6 × 104 and 4 × 108 cm-2, respectively. Most of the edge-type dislocations were located at the step edge of the SiC substrate. The dislocation density of the AlN grown on the terrace of the SiC substrate was as low as 8 × 107 cm-2. © 2011 The Japan Society of Applied Physics.

    DOI: 10.1143/APEX.4.025502

    Web of Science

    Scopus

  247. Temperature and injection level dependencies and impact of thermal oxidation on carrier lifetimes in p-type and n-type 4H-SiC epilayers

    Hayashi T., Asano K., Suda J., Kimoto T.

    JOURNAL OF APPLIED PHYSICS   Vol. 109 ( 1 )   2011.1

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Applied Physics  

    Dependencies of temperature and injection level on carrier lifetimes in 50 μm thick p-type and n-type 4H-SiC epilayers have been investigated. The carrier lifetimes have been measured by differential microwave photoconductance decay measurements at various injection levels and temperatures. In both p-type and n-type epilayers, the carrier lifetimes gradually increased with increasing the injection level, which were naturally expected from the Shockley-Read-Hall (SRH) model, and after taking a maximum, the lifetimes dropped at the very high-injection level. In contrast, the carrier lifetimes exhibited continuous increase with elevating the temperature for both epilayers. In addition, the impact of thermal oxidation process on the carrier lifetimes has been also investigated. The thermal oxidation process, by which the Z1/2 and EH6/7 centers were remarkably reduced that had been observed in n-type 4H-SiC in our previous work, led to the improvement of the carrier lifetimes especially for n-type epilayers. The carrier lifetime reached 4.1 μs in p-type and 6.1 μs in n-type epilayers at 250 °C with an injection level of 1.8×1016 cm-3 through the thermal oxidation processing. © 2011 American Institute of Physics.

    DOI: 10.1063/1.3524266

    Web of Science

    Scopus

  248. 4H-SiC Bipolar Junction Transistors with Record Current Gains of 257 on (0001) and 335 on (000-1)

    Miyake Hiroki, Kimoto Tsunenobu, Suda Jun

    2011 IEEE 23RD INTERNATIONAL SYMPOSIUM ON POWER SEMICONDUCTOR DEVICES AND ICS (ISPSD)     page: 292 - 295   2011

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  249. Fabrication of Electrostatic-actuated Single-crystalline 4H-SiC Bridge Structures by Photoelectrochemical Etching

    Watanabe Naoki, Kimoto Tsunenobu, Suda Jun

    MICROMACHINING AND MICROFABRICATION PROCESS TECHNOLOGY XVI   Vol. 7926   2011

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Proceedings of SPIE - The International Society for Optical Engineering  

    An electrostatic-actuated suspended bridge structure composed by single-crystalline silicon carbide (SiC) is fabricated. The structure is entirely made of homoepitaxially grown single-crystalline 4H-SiC. Electrical isolation between the suspended bridge and the base plate is established with a pnp junction formed by multiple ion implantation. The structure is fabricated by a combination of reactive ion etching (RIE) and doping-selective photoelectrochemical (PEC) etching. The suspended bridge is actuated by applying a voltage between the bridge and the base plate.

    DOI: 10.1117/12.874543

    Web of Science

    Scopus

  250. Thermo-optic Coefficients of SiC, GaN, and AlN up to 512 degrees C from Infrared to Ultraviolet Region for Tunable Filter Applications

    Watanabe Naoki, Kimoto Tsunenobu, Suda Jun

    MICROMACHINING AND MICROFABRICATION PROCESS TECHNOLOGY XVI   Vol. 7926   2011

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Proceedings of SPIE - The International Society for Optical Engineering  

    The temperature dependence of the refractive indices of 4H-SiC, GaN, and AlN were investigated in a wavelength range from the near band edge (392 nm for SiC, 367 nm for GaN, and 217 nm for AlN) to infrared (1700 nm) and a temperature range from room temperature to 512°C. Optical interference measurements with vertical incident configuration were employed to precisely evaluate ordinary refractive indices. In visible region, the thermo-optic coefficient of GaN has the largest value in these materials. Optical simulation of GaN-based tunable band-pass filter with AlGaN/GaN distributed Bragg reflectors (DBRs) was also carried out by using the obtained thermo-optic coefficients. It revealed that 9 nm red-shift can be obtained from room temperature to 500°C.

    DOI: 10.1117/12.874531

    Web of Science

    Scopus

  251. Tight-binding study of size and geometric effects on hole effective mass of silicon nanowires

    Moriokaa N., Yoshioka H., Suda J., Kimoto T.

    2010 Silicon Nanoelectronics Workshop, SNW 2010     2010.10

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:2010 Silicon Nanoelectronics Workshop, SNW 2010  

    The present tight-binding study of rectangular SiNWs along [001], [110], and [111] revealed that the hole m* of [001] and [110] NWs on the {001} basal face has strong dependence on the width. Because this nature may make the design of devices difficult, these NWs are considered to be unfavorable for p-channel devices. In contrast, rectangular [111] NWs on both (112̄) and (1̄10) basal faces are favorable for p-channel devices because they have the smallest hole m* and its value is very resistant to the variability of the width.

    DOI: 10.1109/SNW.2010.5562567

    Scopus

  252. Impacts of recombination at the surface and in the substrate on carrier lifetimes of n-type 4H-SiC epilayers

    Kimoto Tsunenobu, Hiyoshi Toru, Hayashi Toshihiko, Suda Jun

    JOURNAL OF APPLIED PHYSICS   Vol. 108 ( 8 )   2010.10

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Applied Physics  

    After remarkable reduction in the Z1/2 center in n-type 4H-SiC epilayers, the measured carrier lifetimes can be severely affected by other recombination paths. Impacts of carrier recombination at the surface as well as in the substrate are investigated in detail by using numerical simulation based on a diffusion equation. The simulation reveals that a very thick (>100 μm) epilayer is required for accurate measurement of carrier lifetimes if the bulk lifetime in the epilayer is longer than several microsecond, due to the extremely short lifetimes in the substrate. The fast decay often observed at the initial stage of decay curves can be explained by fast recombination at the surface and in the substrate. In experiments, the carrier lifetime is improved from 0.69 to 9.5 μs by reducing the Z1/2 center via two-step thermal treatment (thermal oxidation and Ar annealing) for a 148-μm -thick n-type epilayer. This lifetime must be still, to large extent, affected by the recombination at the surface and in the substrate, and the real bulk lifetime may be much longer. The carrier recombination paths and their impacts on the decay curves are discussed. © 2010 American Institute of Physics.

    DOI: 10.1063/1.3498818

    Web of Science

    Scopus

  253. Accurate measurement of quadratic nonlinear-optical coefficients of gallium nitride

    Abe M., Sato H., Shoji I., Suda J., Yoshimura M., Kitaoka Y., Mori Y., Kondo T.

    Journal of the Optical Society of America B: Optical Physics   Vol. 27 ( 10 ) page: 2026 - 2034   2010.10

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of the Optical Society of America B: Optical Physics  

    We have determined all the three independent components of quadratic nonlinear-optical coefficients of GaN by highly accurate Maker-fringe measurements on high-quality bulk samples combined with theoretical analysis taking account of the multiple-reflection effects in slightly misoriented optically anisotropic samples. Especially, the d33 coefficient is determined with sufficient accuracies for the first time, to our knowledge, by using (112̄0)-oriented samples. The obtained values of quadratic nonlinear-optical coefficients are d31 =2.5±0.1 pm/V, d 15=2.5±0.1 pm/V, and d33=-3.8±0.2 pm/V at the fundamental wavelength of 1.064 μm. © 2010 Optical Society of America.

    DOI: 10.1364/JOSAB.27.002026

    Scopus

  254. Accurate measurement of quadratic nonlinear-optical coefficients of gallium nitride

    Abe Makoto, Sato Hiroaki, Shoji Ichiro, Suda Jun, Yoshimura Masashi, Kitaoka Yasuo, Mori Yusuke, Kondo Takashi

    JOURNAL OF THE OPTICAL SOCIETY OF AMERICA B-OPTICAL PHYSICS   Vol. 27 ( 10 ) page: 2026 - 2034   2010.10

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    Web of Science

  255. Demonstration of Common-Emitter Operation in AlGaN/SiC Heterojunction Bipolar Transistors

    Miyake Hiroki, Kimoto Tsunenobu, Suda Jun

    IEEE ELECTRON DEVICE LETTERS   Vol. 31 ( 9 ) page: 942 - 944   2010.9

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:IEEE Electron Device Letters  

    We report here on the fabrication and characterization of new aluminum gallium nitride (AlGaN)/silicon carbide heterojunction bipolar transistors (HBTs). In the HBTs, AlN/GaN short-period superlattice (quasi-AlGaN) was employed as the widegap emitter. We have successfully demonstrated band-offset control and the first commonemitter-mode operation (β ∼ 2.7) in the HBTs. © 2010 IEEE.

    DOI: 10.1109/LED.2010.2052012

    Web of Science

    Scopus

  256. Reduction of deep levels generated by ion implantation into n- and p-type 4H-SiC

    Kawahara Koutarou, Suda Jun, Pensl Gerhard, Kimoto Tsunenobu

    JOURNAL OF APPLIED PHYSICS   Vol. 108 ( 3 )   2010.8

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Applied Physics  

    The authors have investigated effects of thermal oxidation on deep levels in the whole energy range of the band gap of 4H-SiC by deep level transient spectroscopy. The deep levels are generated by ion implantation. The dominant defects in n-type samples after ion implantation and high-temperature annealing at 1700 °C are IN3 (Z1/2: EC-0.63 eV) and IN9 (EH 6/7: EC-1.5 eV) in low-dose-implanted samples, and IN8 (EC-1.2 eV) in high-dose-implanted samples. These defects can remarkably be reduced by thermal oxidation at 1150 °C. In p-type samples, however, IP8 (HK4: EV +1.4 eV) survives and additional defects such as IP4 (HK0: EV +0.72 eV) appear after thermal oxidation in low-dose-implanted samples. In high-dose-implanted p-type samples, three dominant levels, IP5 (HK2: EV +0.85 eV), IP6 (EV +1.0 eV), and IP7 (HK3: EV +1.3 eV), are remarkably reduced by oxidation at 1150 °C. The dominant defect IP4 observed in p-type 4H-SiC after thermal oxidation can be reduced by subsequent annealing in Ar at 1400 °C. These phenomena are explained by a model that excess interstitials are generated at the oxidizing interface, which diffuse into the bulk region. © 2010 American Institute of Physics.

    DOI: 10.1063/1.3456159

    Web of Science

    Scopus

  257. Sources of Epitaxial Growth-Induced Stacking Faults in 4H-SiC

    Feng Gan, Suda Jun, Kimoto Tsunenobu

    JOURNAL OF ELECTRONIC MATERIALS   Vol. 39 ( 8 ) page: 1166 - 1169   2010.8

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Electronic Materials  

    Successive reactive-ion etching and microphotoluminescence (PL) intensity mapping have been performed in order to investigate the sources of epitaxial growth-induced stacking faults (SFs) in thick 4H-SiC epilayers. Three kinds of SFs, i.e., 4SSFs, 3SSFs, and 2SSFs, have been identified in the samples. Two of these (3SSFs and 2SSFs) show similar nucleation behaviors, and their formation may be due to stress within the epitaxial layer. In contrast, 4SSFs nucleate at the epilayer-substrate interface and might be related to an unknown dislocation, which shows a rounded-shape etch pit in the substrate. © 2010 TMS.

    DOI: 10.1007/s11664-010-1192-6

    Web of Science

    Scopus

  258. Deep levels induced by reactive ion etching in n- and p-type 4H-SiC

    Kawahara Koutarou, Krieger Michael, Suda Jun, Kimoto Tsunenobu

    JOURNAL OF APPLIED PHYSICS   Vol. 108 ( 2 )   2010.7

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Applied Physics  

    In this study, the authors investigate deep levels, which are induced by reactive ion etching (RIE) of n-type/p-type 4H-SiC, by deep level transient spectroscopy (DLTS). The capacitance of a Schottky contact fabricated on as-etched p-type SiC is abnormally small due to compensation or deactivation of acceptors extending to a depth of ∼14 μm, which is nearly equal to the epilayer thickness. The value of the capacitance can recover to that of a Schottky contact on as-grown samples after annealing at 1000 °C. However, various kinds of defects, IN2 (EC-0.30 eV), EN (EC -1.6 eV), IP1 (EV +0.30 eV), IP2 (EV +0.39 eV), IP4 (HK0: EV +0.72 eV), IP5 (EV +0.85 eV), IP7 (EV +1.3 eV), and EP (EV +1.4 eV), remain at a high concentration (average of total defect concentration in the region ranging from 0.3 μm to 1.0 μm:∼5× 1014 cm-3) even after annealing at 1000 °C. The concentration of all these defects generated by RIE, except for the IP4 (HK0) center, remarkably decreases by thermal oxidation. In addition, the HK0 center can also be reduced significantly by a subsequent annealing at 1400 °C in Ar. © 2010 American Institute of Physics.

    DOI: 10.1063/1.3460636

    Web of Science

    Scopus

  259. Electrical characterization and reliability of nitrided-gate insulators for N- and P-Type 4H-SiC MIS devices

    Noborio M., Grieb M., Bauer A.J., Peters D., Friedrichs P., Suda J., Kimoto T.

    Materials Science Forum   Vol. 645-648   page: 825 - 828   2010

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Science Forum  

    In this paper, nitrided insulators such as N2O-grown oxides, deposited SiO2 annealed in N2O, and deposited SiN x/SiO2 annealed in N2O on thin-thermal oxides have been investigated for realization of high performance n- and p-type 4H-SiC MIS devices. The MIS capacitors were utilized to evaluate MIS interface characteristics and the insulator reliability. The channel mobility was determined by using the characteristics of planar MISFETs. Although the N 2O-grown oxides are superior to the dry O2-grown oxides, the deposited SiO2 and the deposited SiNx/SiO2 exhibited lower interface state density (n-MIS: below 7×1011 cm-2eV-1 at EC-0.2 eV, p-MIS: below 6×1011 cm-2eV-1 at EV+0.2 eV) and higher channel mobility (n-MIS: over 25 cm2/Vs, p-MIS: over 10 cm2/Vs). In terms of reliability, the deposited SiO2 annealed in N2O exhibits a high charge-to-breakdown over 50 C/cm 2 at room temperature and 15 C/cm2 at 200°C. The nitrided-gate insulators formed by deposition method have superior characteristics than the thermal oxides grown in N2O. © (2010) Trans Tech Publications, Switzerland.

    DOI: 10.4028/www.scientific.net/MSF.645-648.825

    Scopus

  260. Enhancement of initial layer-by-layer growth and reduction of threading dislocation density by optimized Ga pre-irradiation in molecular-beam epitaxy of 2H-AlN on 6H-SiC (0001)

    Okumura Hironori, Kimoto Tsunenobu, Suda Jun

    PHYSICA STATUS SOLIDI C: CURRENT TOPICS IN SOLID STATE PHYSICS, VOL 7, NO 7-8   Vol. 7 ( 7-8 ) page: 2094 - 2096   2010

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Physica Status Solidi (C) Current Topics in Solid State Physics  

    300-nm-thick AlN layers without a nucleation layer were grown on 6H-SiC (0001) vicinal substrates with 3-bilayer-height steps by rf-plasma-assisted molecular-beam epitaxy. A Ga beam was supplied for 0 to 30 seconds just before growth of AlN. The Ga pre-irradiation for 7 seconds (~1.6 ML) was found to be effective for realization of the AlN layer-by-layer growth mode at an earlier stage of the growth and reduction of threading dislocation densities (TDD) in the AlN layers. The screw-type and edge-type TDDs were ∼106 cm-2 and 6×108 cm-2, respectively. © 2010 Wiley-VCH Verlag GmbH & Co. KGaA.

    DOI: 10.1002/pssc.200983579

    Web of Science

    Scopus

  261. Enhancement of Carrier Lifetimes in n-Type 4H-SiC Epitaxial Layers by Improved Surface Passivation

    Kimoto Tsunenobu, Nanen Yuichiro, Hayashi Toshihiko, Suda Jun

    APPLIED PHYSICS EXPRESS   Vol. 3 ( 12 )   2010

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    Carrier lifetimes in n-type 4H-SiC epitaxial layers are limited by several factors such as deep levels, surface recombination, and recombination in the substrate. In this study, the carrier lifetime is significantly improved from 0.68 to 13.1 μs by eliminating deep levels and by improving surface passivation. Deep levels can be almost eliminated by two-step annealing as reported before, and the surface recombination can be reduced by passivating the surface with a deposited oxide annealed in nitric oxide at 1300 °C. Major recombination paths are discussed based on numerical simulation. © 2010 The Japan Society of Applied Physics.

    DOI: 10.1143/APEX.3.121201

    Web of Science

    Scopus

  262. Influence of Effective Fixed Charges on Short-Channel Effects in SiC Metal-Oxide-Semiconductor Field-Effect Transistors

    Noborio Masato, Suda Jun, Kimoto Tsunenobu

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 49 ( 2 )   2010

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    Influence of effective fixed charges, which are located near the metal-oxide-semiconductor (MOS) interface, on short-channel effects in 4H-SiC MOS field-effect transistors (FETs) has been investigated. The relationship between the threshold voltage and the channel length was theoretically calculated by using an original charge-share model, which takes the effective fixed charges into account. As a result, it was revealed that the effective fixed charges, which are attributed to electron trapping at the interface states, affect the relationship. The threshold voltage is decreased by reducing the channel length in the relatively-long channel region when the effective fixed charges exist. The theoretical relationship between the threshold voltage and the channel length calculated by using the proposed model agrees very well with the experimental results. © 2010 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.49.024204

    Web of Science

    Scopus

  263. Lifetime-killing defects in 4H-SiC epilayers and lifetime control by low-energy electron irradiation

    Kimoto Tsunenobu, Danno Katsunori, Suda Jun

    SILICON CARBIDE, VOL 1: GROWTH, DEFECTS, AND NOVEL APPLICATIONS     page: 267 - 286   2010

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  264. Nearly Ideal Current-Voltage Characteristics of Schottky Barrier Diodes Formed on Hydride-Vapor-Phase-Epitaxy-Grown GaN Free-Standing Substrates

    Suda Jun, Yamaji Kazuki, Hayashi Yuichirou, Kimoto Tsunenobu, Shimoyama Kenji, Namita Hideo, Nagao Satoru

    APPLIED PHYSICS EXPRESS   Vol. 3 ( 10 )   2010

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    The current-voltage characteristics of Schottky barrier diodes formed on GaN(0001) free-standing substrates with net donor concentrations of 7:6 × 1015-1:4 × 1017 cm-3 are discussed. The substrates were grown by hydride vapor phase epitaxy. Ni Schottky contacts were directly formed on chemical-mechanical-polished Ga-polar faces of the substrates. Nearly ideal characteristics for both directions were obtained. The ideality factors for forward characteristics are 1.02 -1.05, very close to unity. The reverse characteristics agree well with calculations based on thermionicfield emission theory without any fitting parameter. © 2010 The Japan Society of Applied Physics.

    DOI: 10.1143/APEX.3.101003

    Web of Science

    Scopus

  265. Temperature and injection level dependencies of carrier lifetimes in p-type and n-type 4H-SiC epilayers

    Hayashi T., Asano K., Suda J., Kimoto T.

    Materials Science Forum   Vol. 645-648   page: 199 - 202   2010

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Science Forum  

    Temperature and injection level dependencies of carrier lifetimes in p-type and n-type 4H-SiC epilayers have been investigated. The carrier lifetimes have been measured by differential microwave photoconductance decay measurements at various injection levels and temperatures. In both p-type and n-type epilayers, the carrier lifetimes gradually increased with increasing the injection level except for the very high injection condition. And the carrier lifetimes exhibited continuous increase with elevating the temperature for both epilayers. The carrier lifetime reached 3.3 μs in p-type and 4.2 μs in n-type epilayers at 250°C and an injection level of 1.8×1016 cm-3. © (2010) Trans Tech Publications.

    DOI: 10.4028/www.scientific.net/MSF.645-648.199

    Scopus

  266. Nonpolar 4H-Polytype AlN/AlGaN Multiple Quantum Well Structure Grown on 4H-SiCd(1(1)over-bar00)

    Horita Masahiro, Kimoto Tsunenobu, Suda Jun

    APPLIED PHYSICS EXPRESS   Vol. 3 ( 5 )   2010

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    Nonpolar 4H-polytype AlN/AlGaN multiple quantum well (MQW) structures were grown on 4H-SiC(11̄00) substrates by molecular-beam epitaxy. Layer-by-layer growth of MQW layers is observed and abrupt AlN/AlGaN interfaces have been achieved. Generation of additional extended defects is not observed at the AlN/AlGaN interfaces and the crystal structure of 4H-polytype is preserved throughout the entire MQW structure. In cathodoluminescence spectra, a band-edge emission peak is observed at 5.41 eV (229 nm). The band edge peak position is not blue-shifted when the irradiation beam current is increased. © 2010 The Japan Society of Applied Physics.

    DOI: 10.1143/APEX.3.051001

    Web of Science

    Scopus

  267. Nondestructive Visualization of Individual Dislocations in 4H-SiC Epilayers by Micro Photoluminescence Mapping

    Feng Gan, Suda Jun, Kimoto Tsunenobu

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 49 ( 9 )   2010

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    Dislocations in 4H-SiC epilayers were imaged nondestructively by means of micro photoluminescence (μ-PL) mapping at room temperature. The one-to-one correspondence between the individual dislocations and the μ-PL mapping contrast has been consistently obtained. By analyzing the reduction of the intensity in the μ-PL mapping image performed at 390nm (near band-edge emission), we were able to distinguish threading screw dislocations and threading edge dislocations. Furthermore, it was found that a basal plane dislocation dissociates into a single Shockley stacking fault during the measurement. © 2010 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.49.090201

    Web of Science

    Scopus

  268. Non-destructive detection and visualization of extended defects in 4H-SiC epilayers

    Feng Gan, Suda Jun, Kimoto Tsunenobu

    B - SILICON CARBIDE 2010-MATERIALS, PROCESSING AND DEVICES   Vol. 1246   page: 37 - 42   2010

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Research Society Symposium Proceedings  

    The extended defects, such as dislocations and in-grown stacking faults (IGSFs), in 4H-SiC epilayers have been detected and visualized by a non-destructive method, the micro photoluminescence (μ-PL) intensity mapping method, at room temperature. The one-to-one correspondence between the extended defects and the μ-PL mapping contrast has been successfully obtained. A threading dislocation corresponds to a dark circle with the reduced intensity in the μ-PL mapping image performed at 390 nm, while a basal plane dislocation dissociates into a single Shockley SF during the measurements. Three kinds of IGSFs have been identified in the samples. Each kind of IGSF shows the distinct PL emission located at 460 nm, 480 nm, and 500 nm, respectively. The shapes and distributions of IGSFs have also been profiled by μ-PL intensity mapping. © 2010 Materials Research Society.

    DOI: 10.1557/PROC-1246-B03-02

    Web of Science

    Scopus

  269. Characterization of major in-grown stacking faults in 4H-SiC epilayers

    Feng Gan, Suda Jun, Kimoto Tsunenobu

    PHYSICA B-CONDENSED MATTER   Vol. 404 ( 23-24 ) page: 4745 - 4748   2009.12

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Physica B: Condensed Matter  

    The optical properties of major in-grown stacking faults (IGSFs) in 4H-SiC epilayers have been characterized by micro-photoluminescence (micro-PL) spectroscopy and its intensity mapping. Strong PL emissions from the IGSFs are observed even at room temperature. Three kinds of IGSFs have been identified in the samples based on the micro-PL spectra. Each kind of IGSF shows the distinct PL emission peak located at 460, 480, and 500 nm, respectively. The micro-PL intensity mapping at the emission band of each IGSF has been performed to spatially profile the IGSF. The shapes, distributions, and densities of IGSFs in the epilayers are then presented. The microstructure of each IGSF has been revealed by high-resolution transmission electron microscopy observations. The stacking sequences of three IGSFs are determined as (4,4), (3,5), and (6,0) in the Zhdanov's notation, respectively, which apparently differ from the perfect 4H-SiC, (2,2). Three identified IGSFs are then classified as quadruple Shockley SFs, triple Shockley SFs, and double Shockley SFs, respectively, based on the shear formation model. © 2009 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.physb.2009.08.189

    Web of Science

    Scopus

  270. Demonstration of SiC heterojunction bipolar transistors with AlN/GaN short-period superlattice widegap emitter

    Miyake H., Kimoto T., Suda J.

    Device Research Conference - Conference Digest, DRC     page: 281 - 282   2009.12

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Device Research Conference - Conference Digest, DRC  

    SiC bipolar-junction transistors (BJTs) are attractive candidates for high-power switching devices due to their high breakdown voltage and low on-resistance. However, SiC BJT has so far suffered from the limited current gain. An alternative device structure would be heterojunction bipolar transistors (HBTs). Because it is impossible to grow SixC 1-x solid solutions with x near 0.5, HBTs cannot be fabricated within group-IV semiconductors. Heteroepitaxial growth of wider bandgap group-III nitride (Al)GaN on SiC is one possible way to realize bandgap engineering in SiC devices. The fabrication of (Al)GaN/SiC HBTs was first reported by Pankove et al. [1] followed by several groups [2]. But any HBTs did not show common-emitter mode operation due to the large leakage at the emitterjunction. © 2009 IEEE.

    DOI: 10.1109/DRC.2009.5354933

    Scopus

  271. Electrostatic-Actuated Suspended Ribbon Structure Fabricated in Single-Crystalline SiC by Selective Photoelectrochemical Etching

    Suda Jun, Watanabe Naoki, Fukunaga Katsuhiko, Kimoto Tsunenobu

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 48 ( 11 )   2009.11

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    An electrostatic-actuated suspended ribbon structure composed of single-crystalline SiC is presented. All the main parts of the structure, namely, a suspended ribbon, posts, base plate, and electrical connections, are made of homoepitaxially grown single-crystalline 4H-SiC with selective ion implantations. Electrical isolation between the ribbon and the base plate is established with a pnp junction. The structure is fabricated by a combination of reactive ion etching (RIE) and doping-selective photoelectrochemical (PEC) etching. The suspended ribbon is actuated by applying a voltage between the ribbon and the base plate. © 2009 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.48.111101

    Web of Science

    Scopus

  272. Enhanced Drain Current of 4H-SiC MOSFETs by Adopting a Three-Dimensional Gate Structure

    Nanen Yuichiro, Yoshioka Hironori, Noborio Masato, Suda Jun, Kimoto Tsunenobu

    IEEE TRANSACTIONS ON ELECTRON DEVICES   Vol. 56 ( 11 ) page: 2632 - 2637   2009.11

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:IEEE Transactions on Electron Devices  

    4H-SiC (0001) metal-oxide-semiconductor field-effect transistors (MOSFETs) with a 3-D gate structure, which has a top channel on the (0001) face and side-wall channels on the {1120} face, have been fabricated. The 3-D gate structures with a 1-5-μm width and a 0.8- μm height have been formed by reactive ion etching, and the gate oxide has been deposited by plasma-enhanced chemical vapor deposition and then annealed in N2O ambient at 1300 °C. The fabricated MOSFETs have exhibited good characteristics: The ION/IOFF ratio, the subthreshold swing, and VTH are 109, 210 mV/decade, and 3.5 V, respectively. The drain current normalized by the gate width is increasing with decreasing the gate width. The normalized drain current of a 1-μm-wide MOSFET is 16 times higher than that of a conventional planar MOSFET. © 2009 IEEE.

    DOI: 10.1109/TED.2009.2030437

    Web of Science

    Scopus

  273. Accurate Measurements of second-order nonlinear optical coefficients of 6H and 4H silicon carbide

    Sato H., Abe M., Shoji I., Suda J., Kondo T.

    Journal of the Optical Society of America B: Optical Physics   Vol. 26 ( 10 ) page: 1892 - 1896   2009.10

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of the Optical Society of America B: Optical Physics  

    The second-order nonlinear optical coefficients of 4H-SiC and 6H-SiC have been measured by use of two second-harmonic generation methods, the rotational Maker-fringe and wedge techniques, at the fundamental wavelength of 1.064 μm. Measurements on high-quality (0001) and (112̄0) plane samples as well as rigorous analyses taking into account the multiple-reflection effects allowed us to accurately determine the magnitudes of the nonlinear optical coefficients. The obtained values are d31 = 6.7 pm/V, d15 = 6.5 pm/V, and d33 = -12.5 pm/V for 6H-SiC; and d31 = 6.5 pm/V, d15 = 6.7 pm/V, and d33 = -11.7 pm/V for 4H-SiC. © 2009 Optical Society of America.

    DOI: 10.1364/JOSAB.26.001892

    Scopus

  274. 4H-SiC MISFETs with nitrogen-containing insulators

    Noborio Masato, Suda Jun, Beljakowa Svetlana, Krieger Michael, Kimoto Tsunenobu

    PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE   Vol. 206 ( 10 ) page: 2374 - 2390   2009.10

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Physica Status Solidi (A) Applications and Materials Science  

    4H-SiC MISFETs with nitrogen-containing insulators have been fabricated and characterized. Several techniques have been explored to incorporate nitrogen in the gate insulator in order to improve the density of interface states and thereby the channel mobility. The techniques are N 2O-grown oxides, the oxidation of a surface layer co-implanted with N + and Al +, deposited SiO 2 annealed in N 2O and NO, and deposited SiN x/SiO 2 annealed in N 2O. By optimizing the formation process of the gate insulators, MIS capacitors with N-containing insulators have demonstrated an interface state density close to the conduction band edge below 2 X10 11 cm -2 eV -1, which is one or two orders-of-magnitude lower than that of MOS capacitors with oxides grown in dry O 2. The channel mobility of the n-channel 4H-SiC(0001) MISFETs with N-containing insulators is increased to about 30 cm 2/Vs. In addition, an even higher channel mobility of 50 cm 2/Vs has been realized by utilizing N-containing insulators adequately processed on the 4H-SiC(0001) face. From the experimental results, the dominant scattering mechanisms in SiC MISFETs have been identified; Coulomb scattering and electron trapping at interface states dominate the channel mobility in SiC MOSFETs with thermally-grown and deposited SiO 2. The application of N- containing insulators to p-channel 4H-SiC MIS devices is also discussed. © 2009 WILEY-VCH Verlag GmbH & Co.

    DOI: 10.1002/pssa.200925247

    Web of Science

    Scopus

  275. A New Class of Step-and-Terrace Structure Observed on 4H-SiC(0001) after High-Temperature Gas Etching

    Suda Jun, Kimoto Tsunenobu

    APPLIED PHYSICS EXPRESS   Vol. 2 ( 10 )   2009.10

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    Various interesting phenomena related to the step-and-terrace structures of crystal surfaces have been previously observed. In this paper, we report a unique phenomenon not observed previously: the formation of a new class of step-and-terrace structure on the 4H-SiC(0001) surface after high-temperature gas etching. The structure consists of a periodic array of pairs of 1-bilayer-height "down" steps and 5-bilayer-height "up" steps, in contrast to conventional step-and-terrace structures which consist of only "up" (or only "down") steps. We show that the newly observed (5 -1)-bilayer-height step-and-terrace structure originates from spiral etching at a screw dislocation. A mechanism for step-flow etching which conserves the "up"-and-"down" step pairs is also proposed. © 2009 The Japan Society of Applied Physics.

    DOI: 10.1143/APEX.2.101603

    Web of Science

    Scopus

  276. Accurate measurements of second-order nonlinear optical coefficients of 6H and 4H silicon carbide

    Sato Hiroaki, Abe Makoto, Shoji Ichiro, Suda Jun, Kondo Takashi

    JOURNAL OF THE OPTICAL SOCIETY OF AMERICA B-OPTICAL PHYSICS   Vol. 26 ( 10 ) page: 1892 - 1896   2009.10

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    Web of Science

  277. Anomalously Large Difference in Ga Incorporation for AlGaN Grown on the (11(2)over-bar0) and (1(1)over-bar00) Planes under Group-III-Rich Conditions

    Horita Masahiro, Kimoto Tsunenobu, Suda Jun

    APPLIED PHYSICS EXPRESS   Vol. 2 ( 9 )   2009.9

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    The Ga incorporation behavior of AlGaN layers grown on nonpolar planes has been investigated comparing the (112̄0) and (11̄00) planes. AlGaN growth was performed on 4H-SiC(112̄0) and (11̄00) substrates by molecular-beam epitaxy under group-III-rich conditions. The Ga composition of the AlGaN layers was evaluated by energy-dispersive X-ray spectroscopy analysis as well as X-ray diffraction and cathodoluminescence measurements. The GaN mole fraction x of Al1-xGaxN layers grown on the (11̄00) plane is 0.12 for the Ga flux ratio [JGa/(JAl + J Ga)] of 0.32 at 750 °C, while it is negligibly small (x < 0.01) for growth on the (112̄0) plane. © 2009 The Japan Society of Applied Physics.

    DOI: 10.1143/APEX.2.091003

    Web of Science

    Scopus

  278. In situ Gravimetric Monitoring of Thermal Decomposition and Hydrogen Etching Rates of 6H-SiC(0001) Si Face

    Akiyama Kazuhiro, Ishii Yasuhiro, Abe Sohei, Murakami Hisashi, Kumagai Yoshinao, Okumura Hironori, Kimoto Tsunenobu, Suda Jun, Koukitu Akinori

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 48 ( 9 ) page: 0955051 - 0955054   2009.9

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    The thermal decomposition and hydrogen etching of a 6H-SiC(0001) Si-face were directly monitored using an in situ gravimetric monitoring system. The monitoring of the weight change of the 6H-SiC Si-face using this system clarified the dependences of the thermal decomposition and hydrogen etching rates on the substrate temperature. Although the thermal decomposition of the 6H-SiC Si-face above 1400 °C generated a graphite layer since only the Si atom directly desorbs from the surface, the etching of the 6H-SiC Si-face by hydrogen did not form this layer, and both Si and C atoms react with hydrogen. Moreover, the surface reaction of the 6H-SiC Si face with H2 and the resultant surface morphology were found to change at approximately 1250 °C. © 2009 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.48.095505

    Web of Science

    Scopus

  279. P-Channel MOSFETs on 4H-SiC {0001} and Nonbasal Faces Fabricated by Oxide Deposition and N2O Annealing

    Noborio Masato, Suda Jun, Kimoto Tsunenobu

    IEEE TRANSACTIONS ON ELECTRON DEVICES   Vol. 56 ( 9 ) page: 1953 - 1958   2009.9

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:IEEE Transactions on Electron Devices  

    In this paper, we have investigated 4H-SiC p-channel metal-oxide-semiconductor field-effect transistors (MOSFETs) with deposited SiO2 followed by N2O annealing. In addition to deposited oxides, dry-O2-grown oxides and N2O-grown oxides were also adopted as the gate oxides of SiC p-channel MOSFETs. The MOSFETs have been fabricated on the 4H-SiC (0001), (0001), (0338), and (1120) faces. The (0001) MOSFETs with deposited oxides exhibited a relatively high channel mobility of 10 cm2, although a mobility of 7 cm2/V · swas obtained in the (0001) MOSFETs with N2O-grown oxides. The channel mobility was also increased by utilizing the deposited SiO2 in the MOSFETs fabricated on nonbasal faces, although the MOSFETs on (0001) were not operational. Compared with the thermally grown oxides, the deposited oxides annealed in N2O are effective in improving the performance of 4H-SiC p-channel MOSFETs. © 2009 IEEE.

    DOI: 10.1109/TED.2009.2025909

    Web of Science

    Scopus

  280. Mobility oscillation by one-dimensional quantum confinement in Si-nanowire metal-oxide-semiconductor field effect transistors

    Yoshioka Hironori, Morioka Naoya, Suda Jun, Kimoto Tsunenobu

    JOURNAL OF APPLIED PHYSICS   Vol. 106 ( 3 )   2009.8

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Applied Physics  

    Si-nanowire p -channel metal-oxide-semiconductor field effect transistors (MOSFETs), in which the typical cross section of the nanowire is a rectangular shape with 3 nm height and 18 nm width, have been fabricated and the current-voltage characteristics have been measured from 101 to 396 K. The transconductance has shown oscillation up to 309 K. The carrier transport has been theoretically analyzed, assuming that the acoustic phonon scattering is dominant. The electronic states have been determined from the effective mass approximation and the mobility from the relaxation time approximation as a function of the Fermi level. Relation between the gate voltage and the Fermi level has been estimated from the MOSFET structure. The calculated mobility has shown the oscillation with change in the Fermi level (the gate voltage), resulting in the transconductance oscillation. The oscillation originates from one-dimensional density of states (∝ E-0.5). © 2009 American Institute of Physics.

    DOI: 10.1063/1.3187803

    Web of Science

    Scopus

  281. 1580-V-40-m Omega . cm(2) Double-RESURF MOSFETs on 4H-SiC (000(1)over-bar)

    Noborio Masato, Suda Jun, Kimoto Tsunenobu

    IEEE ELECTRON DEVICE LETTERS   Vol. 30 ( 8 ) page: 831 - 833   2009.8

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:IEEE Electron Device Letters  

    Double-reduced-surface-field (RESURF) MOSFETs with N2O-grown oxides have been fabricated on the 4H-SiC (0001 face. The double-RESURF structure is effective in reducing the drift resistance, as well as in increasing the breakdown voltage. In addition, by utilizing the 4H-SiC (0001̄) face, the channel mobility can be increased to over 30 cm2/V· s, and hence, the channel resistance is decreased. As a result, the fabricated MOSFETs on 4H-SiC (0001) have demonstrated a high breakdown voltage (VB) of 1580 V and a low on-resistance (RON of 40 mΩ · cm2. The figure-of-merit (VB2 of the fabricated device has reached 62 MW/cm2, which is the highest value among any lateral MOSFETs and is more than ten times higher than the "Si limit.". © 2009 IEEE.

    DOI: 10.1109/LED.2009.2023540

    Web of Science

    Scopus

  282. Temperature and doping dependencies of electrical properties in Al-doped 4H-SiC epitaxial layers

    Koizumi Atsushi, Suda Jun, Kimoto Tsunenobu

    JOURNAL OF APPLIED PHYSICS   Vol. 106 ( 1 )   2009.7

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Applied Physics  

    The free hole concentration and the low-field transport properties of Al-doped 4H-SiC epilayers with several acceptor concentrations grown on semi-insulating substrates have been investigated in the temperature range from 100 to 500 K by Hall-effect measurements. Samples have been grown by cold-wall chemical vapor deposition (CVD) in the Al acceptor concentration range from 3× 1015 to 5.5× 1019 cm-3. The dependencies of the acceptor ionization ratio at 300 K and the ionization energy on the acceptor concentration were estimated. Numerical calculations of the hole Hall mobility and the Hall scattering factor have been performed based on the low-field transport model using relaxation-time approximation. At the low acceptor concentrations, the acoustic phonon scattering dominates the hole mobility at 300 K. At the high acceptor concentrations, on the other hand, the neutral impurity scattering dominates the mobility. A Caughey-Thomas mobility model with temperature dependent parameters is used to describe the dependence of the hole mobilities on the acceptor concentration, and the physical meanings of the parameters are discussed. © 2009 American Institute of Physics.

    DOI: 10.1063/1.3158565

    Web of Science

    Scopus

  283. Observation of novel defect structure in 2H-AlN grown on 6H-SiC(0001) substrates with 3-bilayer-height step-and-terrace structures

    Okumura Hironori, Horita Masahiro, Kimoto Tsunenobu, Suda Jun

    PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE   Vol. 206 ( 6 ) page: 1187 - 1189   2009.6

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Physica Status Solidi (A) Applications and Materials Science  

    250 nm thick AlN layers without a nucleation layer were grown directly on 6H-SiC(0001) with 3-bilayer-height steps by rf-plasma-assisted molecular-beam epitaxy. The structure and morphology of the AlN layers have been studied using atomic force microscopy, X-ray diffraction and transmission electron microscopy. Two different types of unique defect structures were observed. Rows of pure-edge-type threading dislocations were observed along the pre-existing step-edges of the SiC substrate for AlN grown on as-gas-etched SiC substrates, while the planar defects threading through the AlN layer were observed at the step-edges of the substrate for AlN on SiC with sacrificial oxidation. We concluded that these planar defects were the stacking mismatch boundaries due to the difference in stacking sequence of AlN layers on different SiC terraces. © 2009 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

    DOI: 10.1002/pssa.200880934

    Web of Science

    Scopus

  284. Triple Shockley type stacking faults in 4H-SiC epilayers

    Feng Gan, Suda Jun, Kimoto Tsunenobu

    APPLIED PHYSICS LETTERS   Vol. 94 ( 9 )   2009.3

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Letters  

    4H-SiC epilayers have been characterized by microphotoluminescence (micro-PL) spectroscopy and micro-PL intensity mapping at room temperature. A type of stacking fault (SF) with a peak emission wavelength at 480 nm (2.58 eV) has been identified. The shape of this SF is triangular revealed by the micro-PL intensity mapping. Conventional and high-resolution transmission electron microscopies have been carried out to investigate the structure of this SF. Its stacking sequence is determined as (3,5) in Zhdanov's notation, which is consistent with that of the triple Shockley SF. The formation mechanism of this SF is also discussed. © 2009 American Institute of Physics.

    DOI: 10.1063/1.3095508

    Web of Science

    Scopus

  285. Systematic Investigation of c-Axis Tilt in GaN and AlGaN Grown on Vicinal SiC(0001) Substrates

    Suda Jun, Miyake Hiroki, Amari Koichi, Nakano Yuki, Kimoto Tsunenobu

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 48 ( 2 )   2009.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    High-resolution X-ray diffraction measurements of GaN and AlGaN grown on 4H- and 6H-SiC(0001) vicinal substrates with rnisorientation angles of up to 9° are presented. Growth of (Al)GaN was carried out by plasma-assisted molecular beam epitaxy. The c-axis tilt, i.e., inclination of the (Al)GaN c-axis relative to that of SiC, was systematically investigated. The inclination angle clearly depended on the SiC substrate misorientation angle, while it was independent of the (Al)GaN growth temperature, SiC polytype, and substrate rnisorientation direction. The behavior observed for both GaN and AlGaN is in excellent agreement with the model proposed previously by Nagai for the InGaAs/GaAs system. © 2009 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.48.020202

    Web of Science

    Scopus

  286. 5 kV lateral double RESURF MOSFETs on 4H-SiC (000-1)C face

    Noborio M., Suda J., Kimoto T.

    Materials Science Forum   Vol. 615 617   page: 757 - 760   2009

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Science Forum  

    SiC lateral double RESURF MOSFETs have been fabricated on the 4H-SiC (000-1)C face. By utilizing the C face, the channel resistance can be reduced because the C-face MOSFETs show higher channel mobility than the Si-face MOSFETs. In addition, by employing the double RESURF structure, the drift resistance is decreased and the breakdown voltage is increased with increasing the RESURF doses. The fabricated RESURF MOSFETs on the 4H-SiC (000-1)C face have demonstrated a low on-resistance of 40 mΩcm2 at an oxide field of 3 MV/cm and a breakdown voltage of 1580 V at zero gate bias. The figure-of-merit of the MOSFET is 62 MW/cm2, which is more than 10 times better than the conventional "Si limit" and the highest value among any lateral MOSFETs to date. © (2009) Trans Tech Publications.

    DOI: 10.4028/www.scientific.net/MSF.615-617.757

    Scopus

  287. Bevel mesa combined with implanted junction termination structure for 10 kV SiC PiN diodes

    Hiyoshi T., Hori T., Suda J., Kimoto T.

    Materials Science Forum   Vol. 600-603   page: 995 - 998   2009

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Science Forum  

    A 10 kV 4H-SiC PiN diode with an improved junction termination structure has been fabricated. An improved bevel mesa structure, nearly vertical side-wall at the edge of pn junction and rounded corner at mesa bottom, has been formed by reactive ion etching (RIE). The junction termination extension (JTE) region has been optimized by device simulation, and simulated breakdown voltage has been compared with experimental results. The locations of electric field crowding and diode breakdown have been discussed. © (2009) Trans Tech Publications, Switzerland.

    DOI: 10.4028/3-908453-11-9.995

    Scopus

  288. Accurate measurements of second-order nonlinear-optical coefficients of silicon carbide

    Sato H., Shoji I., Suda J., Kondo T.

    Materials Science Forum   Vol. 615 617   page: 315 - 318   2009

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Science Forum  

    Second-order nonlinear-optical coefficients of 4H and 6H-SiC have been measured with the wedge technique. Using high-quality (11-20) samples as well as performing rigorous measurements and analyses, the three independent components, d31 (= d32), d15 (= d 24), and d33, have been accurately determined. We have found that the nonlinear-optical coefficients are nearly the same between the measured 4H and 6H-SiC samples within the experimental accuracy; d31 = 5.4 pm/V, d15 = 6.2 pm/V, and d33 = 9.7pm/V. © (2009) Trans Tech Publications.

    DOI: 10.4028/www.scientific.net/MSF.615-617.315

    Scopus

  289. Determination of the thermo-optic coefficients of GaN and AlN up to 515 degrees C

    Watanabe Naoki, Kimoto Tsunenobu, Suda Jun

    PHYSICA STATUS SOLIDI C: CURRENT TOPICS IN SOLID STATE PHYSICS, VOL 6, SUPPL 2   Vol. 6 ( SUPPL. 2 ) page: S776 - S779   2009

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Physica Status Solidi (C) Current Topics in Solid State Physics  

    The refractive index dispersions of hexagonal GaN and AlN have been investigated to determine the thermo-optic coefficients. Measurements were conducted at temperatures ranging from room temperature up to 515 °C in the wavelength range from the near band-edge to 1000 nm. Optical interference measurements with vertical incident configuration were employed to precisely evaluate the ordinary refractive indices. Optical simulation of AlGaN/GaN distributed Bragg reflectors was also carried out by using the obtained thermo-optic coefficients. © 2009 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

    DOI: 10.1002/pssc.200880937

    Web of Science

    Scopus

  290. Enhanced channel mobility in 4H-SiC MISFETs by utilizing deposited SiN/SiO<inf>2</inf> stack gate structures

    Noborio M., Suda J., Kimoto T.

    Materials Science Forum   Vol. 600-603   page: 679 - 682   2009

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Science Forum  

    Deposited SiN/SiO2 stack gate structures have been investigated to improve the 4H-SiC MOS interface quality. Capacitance-voltage measurements on fabricated SiN/SiO2 stack gate MIS capacitors have indicated that the interface state density is reduced by post-deposition annealing in N 2O at 1300°C. The usage of thin SiN and increase in N 2O-annealing time lead to a low interface state density of 1×1011 cm-2eV-1 at EC - 0.2 eV. Oxidation of the SiN during N2O annealing has resulted in improvement of SiC MIS interface. The fabricated SiN/SiO2 stack gate MISFETs demonstrate a high channel mobility of 32 cm2/Vs on (0001)Si face and 40 cm2/Vs on (000-1)C face. © (2009) Trans Tech Publications, Switzerland.

    DOI: 10.4028/3-908453-11-9.679

    Scopus

  291. High channel mobility in P-channel MOSFETs fabricated on 4H-SiC (0001) and non-basal faces

    Noborio M., Suda J., Kimoto T.

    Materials Science Forum   Vol. 615 617   page: 789 - 792   2009

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Science Forum  

    P-channel MOSFETs have been fabricated on 4H-SiC (0001) face as well as on 4H-SiC (03-38) and (11-20) faces. The gate oxides were formed by thermal oxidation in dry N2O ambient, which is widely accepted to improve the performance of n-channel SiC MOSFETs. The p-channel SiC MOSFETs with N 2O-grown oxides on 4H-SiC (0001), (03-38), and (11-20) faces show a channel mobility of 7 cm2/Vs, 11 cm2/Vs, and 17 cm 2/Vs, respectively. From the quasi-static C-V curves measured by using gate-controlled diodes, the interface state density was calculated by an original method. The interface state density was the lowest at the SiO 2/4H-SiC (03-38) interface (about 1×1012 cm -2eV-1 at EV + 0.2 eV). The authors have applied deposited oxides to the 4H-SiC p-channel MOSFETs. The (0001), (03-38), and (11-20) MOSFETs with deposited oxides exhibit a channel mobility of 10 cm 2/Vs, 13 cm2/Vs, and 17 cm2/Vs, respectively. The deposited oxides are one of effective approaches to improve both n-channel and p-channel 4H-SiC MOS devices. © (2009) Trans Tech Publications.

    DOI: 10.4028/www.scientific.net/MSF.615-617.789

    Scopus

  292. Improved on-current of 4H-SiC MOSFETs with a three-dimensional gate structure

    Nanen Y., Yoshioka H., Noborio M., Suda J., Kimoto T.

    Materials Science Forum   Vol. 615 617   page: 753 - 756   2009

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Science Forum  

    4H-SiC (0001) MOSFETs with a three-dimensional gate structure, which has a top channel on the (0001) face and side-wall channels on the {11-20} face have been fabricated. The three-dimensional gate structures with a 1-5 μm width and 0.8 μm height have been formed by reactive ion etching, and the gate oxide has been deposited by plasma-enhanced chemical vapor deposition and then annealed in N2O ambient at 1300°C. The fabricated MOSFETs have exhibited superior characteristics: ION / IOFF, the subthreshold swing and VTH are 1010, 250 mV/decade and 3.5 V, respectively. The drain current normalized by the gate width is increasing with decreasing the gate width. The normalized drain current of a 1 μm-wide MOSFET is ten times higher than that of a conventional planar MOSFET. © (2009) Trans Tech Publications.

    DOI: 10.4028/www.scientific.net/MSF.615-617.753

    Scopus

  293. Improved current gain in GaN/SiC Heterojunction Bipolar Transistors by insertion of ultra-thin AlN layer at emitter-junction

    Miyake H., Kimoto T., Suda J.

    Materials Science Forum   Vol. 615 617   page: 979 - 982   2009

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Science Forum  

    GaN/SiC Heterojunction Bipolar Transistors (HBTs) with ultra-thin AlN insertion layers at the n-GaN/p-SiC emitter junction are proposed to improve carrier injection efficiency. The current-voltage characteristics of n-GaN/AlN/p-SiC heterojunctions have exhibited very small reverse leakage and good rectification. The capacitance-voltage measurement have revealed that the conduction band offset between n-GaN and p-SiC has been reduced from -0.74 eV to -0.54 eV by insertion of AlN, indicating that the GaN/AlN/SiC heterojunction may show better electron-injection efficiency. A significantly improved common-base current gain (α∼0.2) is obtained for GaN/AlN/SiC HBTs with initial N* pre-irradiation, while it was very low (α∼0.001) for GaN/SiC HBTs without AlN layers. © (2009) Trans Tech Publications.

    DOI: 10.4028/www.scientific.net/MSF.615-617.979

    Scopus

  294. Spatial profiling of planar defects in 4H-SiC epilayers using micro-photoluminescence mapping

    Feng G., Suda J., Kimoto T.

    Materials Science Forum   Vol. 615 617   page: 245 - 250   2009

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Science Forum  

    The micro-photoluminescence (micro-PL) spectroscopy and its intensity mapping have been utilized to investigate the planar defects, stacking faults (SFs), in 4H-SiC epilayers. Strong PL emissions from the SFs are observed even at room temperature. It is found that each kind of SF shows the distinct PL emission behaviours. Three kinds of SFs: intrinsic Frank SFs, double Shockley SFs, and in-grown SFs, have been identified in the samples based on the micro-PL spectra. At the same time, the micro-PL intensity mapping at the emission band of each SF has been performed to spatially profile the SFs. The shapes, distributions, and densities of SFs in the epilayers are then presented. The PL emission behaviours of each SF at low temperature are also studied. © (2009) Trans Tech Publications, Switzerland.

    DOI: 10.4028/www.scientific.net/MSF.615-617.245

    Scopus

  295. The temperature dependence of the refractive indices of GaN and AlN from room temperature up to 515 degrees C

    Watanabe Naoki, Kimoto Tsunenobu, Suda Jun

    JOURNAL OF APPLIED PHYSICS   Vol. 104 ( 10 )   2008.11

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Applied Physics  

    The temperature dependence of the refractive indices of GaN and AlN was investigated in the wavelength range from the near band edge (367 nm for GaN and 217 nm for AlN) to 1000 nm and the temperature range from room temperature to 515 °C. Optical interference measurements with vertical incident configuration were employed to precisely evaluate the ordinary refractive indices. © 2008 American Institute of Physics.

    DOI: 10.1063/1.3021148

    Web of Science

    Scopus

  296. N2O-grown oxides/4H-SiC (0001), (0338), and (1120) interface properties characterized by using p-type gate-controlled diodes

    Noborio Masato, Suda Jun, Kimoto Tsunenobu

    APPLIED PHYSICS LETTERS   Vol. 93 ( 19 )   2008.11

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Letters  

    The N2 O -grown SiO2 /4H-SiC (0001), (033̄8), and (112̄0) interface properties in p -channel metal-oxide-semiconductor field-effect transistors (MOSFETs) have been characterized by using gate-controlled diodes. Although the inversion layer is not formed in simple SiC MOS capacitors at room temperature due to its large bandgap, a standard low frequency capacitance-voltage (C-V) curve can be obtained for the gate-controlled diodes, owing to the supply of minority carriers from the source region. From the quasistatic C-V curves measured by using gate-controlled diodes, the interface state density has been evaluated by an original method proposed in this study. The interface state density near the valence band edge evaluated by the method is the lowest at the oxides/ 4H-SiC (033̄8) interface. Comparison with the channel mobility is also discussed. © 2008 American Institute of Physics.

    DOI: 10.1063/1.3028016

    Web of Science

    Scopus

  297. Surface Morphologies of 4H-SiC(11(2)over-bar0) and (1(1)over-bar00) Treated by High-Temperature Gas Etching

    Horita Masahiro, Kimoto Tsunenobu, Suda Jun

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 47 ( 11 ) page: 8388 - 8390   2008.11

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    The impact of HCl/H2 high-temperature gas-etching on the surface morphologies of 4H-SiC(1120) and (1100) faces is investigated by atomic force microscopy (AFM). Very flat surfaces are obtained on both (1120) and (11̄00) faces after gas-etching when the substrate surfaces are initially treated by chemical mechanical polishing to remove polishing scratches. The root-mean square roughness values of gas-etched (112̄0) and (11̄00) substrates are 0.07 and 0.11nm, respectively. Within AFM resolution limits no atomic steps are observed on the very flat surfaces of (1120) substrates. On the other hand, clear step-and-terrace structures are observed on (1100) substrates after gas-etching and the height of the steps (2.7Å) corresponding to the lattice spacing between 4H-SiC(1100) planes (= √3a/2). © 2008 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.47.8388

    Web of Science

    Scopus

  298. Comprehensive analysis of multiple-reflection effects on rotational Maker-fringe experiments

    Abe M., Shoji I., Suda J., Kondo T.

    Journal of the Optical Society of America B: Optical Physics   Vol. 25 ( 10 ) page: 1616 - 1624   2008.10

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of the Optical Society of America B: Optical Physics  

    What we believe to be a new mathematical procedure has been proposed for analyzing the rotational Maker-fringe data taking account of the effects of multiple reflections and interferences of the fundamental and second-harmonic beams in anisotropic plane-parallel plates. In the present formalisms partial overlaps of the fundamental and second-harmonic beams are fully included. A comparison between numerical simulations based on the proposed formalisms and experimental data obtained for a high-quality (0001) plane-parallel plate of 6H-SiC revealed the validity of our theory. We have determined the magnitudes of the nonlinear optical coefficients of 6H-SiC as d31=d32 = 6.7±0.8 pm/V, d15=d24 = 6.4±1.1 pm/V, and d33 = -9.7±8.0 pm/V. © 2008 Optical Society of America.

    DOI: 10.1364/JOSAB.25.001616

    Scopus

  299. Improved Performance of 4H-SiC Double Reduced Surface Field Metal-Oxide-Semiconductor Field-Effect Transistors by Increasing RESURF Doses

    Noborio Masato, Suda Jun, Kimoto Tsunenobu

    APPLIED PHYSICS EXPRESS   Vol. 1 ( 10 ) page: 1014031 - 1014033   2008.10

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    For further improvement of lateral power devices, 4H-SiC double reduced surface field (RESURF) metal-oxide-semiconductor field-effect transistors (MOSFETs) with high doses in RESURF region have been fabricated and characterized. The drift resistance was decreased and the breakdown voltage was increased with increasing RESURF doses, although breakdown occurs in the oxide when the RESURF doses are too high. The increase of drift resistance in double RESURF MOSFETs at elevated temperature was smaller than that in single RESURF MOSFETs, due to the higher doping concentration in the RESURF region. The fabricated 4H-SiC(0001) double RESURF MOSFETs exhibited a breakdown voltage (VB) of 1540V and an on-resistance (RON) of 55mΩcm2. The figure-of-merit (VB2/R ON) of the fabricated device is 43MW/cm2, which is the highest value compared with those reported in lateral MOSFETs. © 2008 The Japan Society of Applied Physics.

    DOI: 10.1143/APEX.1.101403

    Web of Science

    Scopus

  300. Improvement of Channel Mobility in Inversion-Type n-Channel GaN Metal-Oxide-Semiconductor Field-Effect Transistor by High-Temperature Annealing

    Yamaji Kazuki, Noborio Masato, Suda Jun, Kimoto Tsunenobu

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 47 ( 10 ) page: 7784 - 7787   2008.10

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    Inversion- and depletion-type GaN metal-oxide-semiconductor field-effect transistors (MOSFETs) were fabricated on p- and n--type GaN epitaxial layers, respectively, grown on n+-type on-axis 4H-SiC(0001) substrates. After gate SiO2 was deposited by plasma-enhanced chemical vapor deposition at 350 °C, high-temperature annealing in N2 was carried out to modify the interface. The channel mobility was enhanced with increasing annealing temperature. The device annealed in N2 at 1100 °C after SiO2 deposition showed an inversion channel mobility of 108cm2.V-1.s-1 at a gate voltage of 15 V. The authors also fabricated MOS capacitors on n--type GaN and characterized the interface state density at the SiO2/GaN interface from capacitance-voltage measurements using the Terman method, of which density was estimated to be in the range of (6-10) × 1011 cm -2.eV-1 at an energy level of 0.2eV below the conduction band edge. The interface state density tended to decrease with increasing annealing temperature, resulting in the improvement of the channel mobility. © 2008 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.47.7784

    Web of Science

    Scopus

  301. Comprehensive analysis of multiple-reflection effects on rotational Maker-fringe experiments

    Abe Makoto, Shoji Ichiro, Suda Jun, Kondol Takashi

    JOURNAL OF THE OPTICAL SOCIETY OF AMERICA B-OPTICAL PHYSICS   Vol. 25 ( 10 ) page: 1616 - 1624   2008.10

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    Web of Science

  302. Impact of surface step heights of 6H-SiC (0001) vicinal substrates in heteroepitaxial growth of 2H-AlN

    Okumura H., Horita M., Kimoto T., Suda J.

    APPLIED SURFACE SCIENCE   Vol. 254 ( 23 ) page: 7858 - 7860   2008.9

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Surface Science  

    Impact of step height of silicon carbide (SiC) substrates on heteroepitaxial growth of aluminum nitride (AlN) was investigated. Step-and-terrace structures with various step heights, 6 monolayer (ML), 3ML and 1ML, were formed on 6H-SiC (0 0 0 1) vicinal substrates by high-temperature gas etching. 2H-AlN layers were grown on the substrate by plasma-assisted molecular-beam epitaxy (MBE) and then these layers were characterized by atomic-force microscopy (AFM) and X-ray diffraction (XRD). High-quality AlN can be grown on SiC substrates with 6ML- and 3ML-height step, while AlN grown on SiC substrates with 1ML-height step exhibited inferior crystalline quality. A model for high-quality AlN growth on SiC substrates with 3ML-height step is proposed. © 2008 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.apsusc.2008.02.165

    Web of Science

    Scopus

  303. 4H-SiC double RESURF MOSFETs with a record performance by increasing RESURF dose

    Noborio M., Suda J., Kimoto T.

    Proceedings of the International Symposium on Power Semiconductor Devices and ICs     page: 263 - 266   2008.9

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Proceedings of the International Symposium on Power Semiconductor Devices and ICs  

    For further improvement of lateral power devices, 4H-SiC double RESURF MOSFETs with high RESURF doses have been fabricated and characterized. The drift resistance was decreased and the breakdown voltage was increased with increasing RESURF doses, although oxide brekaodown occurs when the RESURF doses are too high. The increase in drift resistance at elevated temperature was smaller for double RESURF MOSFETs than single RESURF MOSFETs, due to the higher doping concentration in the RESURF region. The fabricated 4H-SiC (0001) double RESURF MOSFETs exhibited a breakdown voltage (VB) of 1430 V and an on-resistance (RON) of 57 mΩcm2, and the MOSFETs on 4H-SiC (0001) face demonstrated a higher breakdown voltage of 1550 V and a lower on-resistance of 54 mΩcm2. The figure-of-merit (V B2/RON) of the fabricated device on Si face and C face is 36 MW/cm2 and 44 MW/cm2, respectively, which is the highest value among any lateral MOSFETs ever reported. ©2008 IEEE.

    DOI: 10.1109/ISPSD.2008.4538949

    Scopus

  304. Nonpolar 4H-AlN grown on 4H-SiC (1(1)over-bar00) with reduced stacking fault density realized by persistent layer-by-layer growth

    Horita Masahiro, Kimoto Tsunenobu, Suda Jun

    APPLIED PHYSICS LETTERS   Vol. 93 ( 8 )   2008.8

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Letters  

    Nonpolar AlN layers were grown on 4H-SiC (1 1- 00) substrates by plasma-assisted molecular-beam epitaxy. By using SiC substrates with well-formed step-and-terrace structures, stable layer-by-layer growth of 4H-AlN (1 1- 00) can be realized. The layer-by-layer growth is confirmed by observations of anisotropic two-dimensional AlN islands on the grown surface as well as persistent reflection high-energy electron diffraction intensity oscillations. Cross-sectional transmission electron microscopy observations reveal that stacking fault generation during growth is suppressed and the stacking fault density is reduced to 1× 106 cm-1. © 2008 American Institute of Physics.

    DOI: 10.1063/1.2976559

    Web of Science

    Scopus

  305. 4H-SiC MIS capacitors and MISFETs with deposited SiNx/SiO2 stack-gate structures

    Noborio Masato, Suda Jun, Kimoto Tsunenobu

    IEEE TRANSACTIONS ON ELECTRON DEVICES   Vol. 55 ( 8 ) page: 2054 - 2060   2008.8

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:IEEE Transactions on Electron Devices  

    SiNx/SiO2 stack-gate structures, followed by N2O annealing, have been investigated to improve the 4H-SiC metal - insulator - semiconductor (MIS) interface quality. Capacitance - voltage measurements on fabricated stack-gate MIS capacitors have indicated that the interface trap density is reduced by postdeposition annealing in N2O at 1300 °C. When the MIS capacitor with a SiNx/SiO2 thickness of 10 nm/50 nm was annealed in N2O for 2 h, the interface trap density at EC - 0.2 eV is below 1 × 1011 cm-2eV-1. Oxidation of SiNx during N2O annealing has resulted in the improvement of SiCMIS interface characteristics, as well as dielectric properties. The fabricated MISFETs with SiNx/SiO2 stack-gate structure annealed in N2O demonstrate a reasonably high channel mobility of 32 cm2/V · s on the (0001)Si face and 40 cm2/V · s on the (0001)C face. © 2008 IEEE.

    DOI: 10.1109/TED.2008.926644

    Web of Science

    Scopus

  306. Simulation and experimental study on the junction termination structure for high-voltage 4H-SiC PiN diodes

    Hiyoshi Toru, Hori Tsutomu, Suda Jun, Kimoto Tsunenobu

    IEEE TRANSACTIONS ON ELECTRON DEVICES   Vol. 55 ( 8 ) page: 1841 - 1846   2008.8

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:IEEE Transactions on Electron Devices  

    Designing and fabrication of 10-kV 4H-SiC PiN diodes with an improved junction termination structure have been investigated. An improved bevel mesa structure and a single-zonejunction termination extension (JTE) have been employed to achieve a high breakdown voltage (≥10 kV)). The improved bevel mesa structure, nearly a vertical sidewall at the edge of the p-n junction and a gradual slope at the mesa bottom, has been fabricated by reactive ion etching. The effectiveness of the improved bevel mesa structure has been experimentally demonstrated. The JTE region has been optimized by device simulation, and the JTE dose dependence of the breakdown voltage has been compared with experimental results. A 4H-SiC PiN diode with a JTE dose of 1.1 × 1013 cm-2 has exhibited a high blocking voltage of 10.2 kV. The locations of electric field crowding and breakdown are also discussed. © 2008 IEEE.

    DOI: 10.1109/TED.2008.926643

    Web of Science

    Scopus

  307. Hydrogen implantation and annealing-induced exfoliation process in SiC wafers with various crystal orientations

    Senga Kei, Kimoto Tsunenobu, Suda Jun

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 47 ( 7 ) page: 5352 - 5354   2008.7

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    The influence of time and temperature were investigated in wafer exfoliation process by hydrogen implantation for 4H-SiC wafers with various orientations, on-axis and 8° off-axis (0001), (11̄00), and (112̄0). Void formation occurs isotropically for all the orientations investigated. The blistering rate (R) of 4H-SiC was found to be dependent on the crystal orientation of the wafer; RSiC(0001) on-axis ≲ R SiC(0001) 8° off-axis ≲ RSiC(11̄00) < RSiC(11̄20). The blistering rate of (112̄0) is faster than those of the other three orientations. This result suggests that different annealing conditions are needed when fabricating SiC-on-insulator wafers of different crystal orientations. © 2008 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.47.5352

    Web of Science

    Scopus

  308. Lifetime-killing defects in 4H-SiC epilayers and lifetime control by low-energy electron irradiation

    Kimoto Tsunenobu, Danno Katsunori, Suda Jun

    PHYSICA STATUS SOLIDI B-BASIC SOLID STATE PHYSICS   Vol. 245 ( 7 ) page: 1327 - 1336   2008.7

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Physica Status Solidi (B) Basic Research  

    Carrier lifetimes in n-type 4H-SiC epilayers have been investigated by differential microwave photoconductance decay measurements. Through a correlation study between lifetime and various deep levels, the Z1/2 and/or EH6/7 centers have been identified as effective recombination centers. When the Z1/2 (and EH6/7) concentration is higher than 1013 cm-3, the inverse carrier lifetime is in proportion to the trap concentration, and the lifetime increases with increasing excitation intensity (density of irradiated photons). Alternartively, other recombination processes limit the lifetime when the Z1/2 concentration is less than 1013 cm-3. In this case, the carrier lifetime is decreased by increasing the excitation intensity. Surface recombination and recombination in the substrate have been suggested based on numerical analyses as the other recombination paths. By controlling the Z 1/2 (and EH6/7) concentration by low-energy electron irradiation, lifetime control has been achieved. © 2008 Wiley-VCH Verlag GmbH & Co. KGaA.

    DOI: 10.1002/pssb.200844076

    Web of Science

    Scopus

  309. Characterization of stacking faults in 4H-SiC epilayers by room-temperature microphotoluminescence mapping

    Feng Gan, Suda Jun, Kimoto Tsunenobu

    APPLIED PHYSICS LETTERS   Vol. 92 ( 22 )   2008.6

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Letters  

    The stacking faults (SFs) in 4H-SiC epilayers have been characterized by microphotoluminescence spectroscopy and photoluminescence (PL) intensity mapping at room temperature. Three kinds of SFs, intrinsic Frank SFs, double Shockley SFs, and in-grown SFs, have been identified in the samples. Each kind of SF shows the distinct PL emission located at 420, 500, and 455 nm, respectively. The shapes and distributions of SFs have been profiled by micro-PL intensity mapping. The formation mechanisms of each SF are also discussed. © 2008 American Institute of Physics.

    DOI: 10.1063/1.2937097

    Web of Science

    Scopus

  310. 4H-SiC double RESURF MOSFETs with a record performance by increasing RESURF dose

    Noborio Masato, Suda Jun, Kimoto Tsunenobu

    ISPSD 08: PROCEEDINGS OF THE 20TH INTERNATIONAL SYMPOSIUM ON POWER SEMICONDUCTOR DEVICES & ICS     page: 263 - 266   2008

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  311. Temperature dependence of electrical properties of NiO thin films for Resistive Random Access Memory

    Suzuki Ryota, Suda Jun, Kimoto Tsunenobu

    MATERIALS SCIENCE AND TECHNOLOGY FOR NONVOLATILE MEMORIES   Vol. 1071   page: 69 - 74   2008

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  312. Fabrication and electronic characteristics of silicon nanowire mosfets

    Yoshioka H., Nanen Y., Suda J., Kimoto T.

    Materials Research Society Symposium Proceedings   Vol. 1080   page: 90 - 95   2008

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Research Society Symposium Proceedings  

    The n-type silicon nanowire MOSFETs with a nanowire shape being triangular or trapezoidal, have been fabricated on SOI substrates and characterized. The height and bottom-width of the triangular nanowire has been 10 nm and 19 nm, respectively. The devices have shown good gate control, such as a nearly ideal subthreshold slope of 63 mV/decade, high Ion/Ioff ratio of 107, and small drain-induced barrier lowering of 5 mV/V at room temperature. The low field mobility of triangular nanowire has been estimated to be 130 cm2/V·s and shown no difference with the change of the nanowire shape and direction within the investigated range. © 2008 Materials Research Society.

    DOI: 10.1557/proc-1080-o12-02

    Scopus

  313. Temperature dependence of electrical properties of NiO thin films for resistive random access memory

    Suzuki R., Suda J., Kimoto T.

    Materials Research Society Symposium Proceedings   Vol. 1071   page: 69 - 74   2008

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Research Society Symposium Proceedings  

    Temperature dependence of electrical properties in NiO thin films for ReRAM applications has been investigated. I-V measurements have been carried out in the temperature range from 100K to 523K. The resistance in the high resistance state (HRS) is almost independent of temperature below 250K, whereas it decreases with an activation energy of 300 meV above 250K. Hopping conduction and band conduction may be dominant in the low- and high-temperature range, respectively. Admittance spectroscopy on the NiO/n+-Si structure reveals the existence of a high density of traps, which may contribute to the conduction in HRS. In the low resistance state (LRS), however, the resistance slightly increased in the whole temperature range and the trend is similar to that of metallic Ni film, indicating the metallic Ni defects is related to the conduction in LRS. The Pt/NiO/Pt structure demonstrated stable resistance switching even at temperature as high as 250°C or higher. Since other competitive nonvolatile memories will face severe difficulty in high-temperature operation, the present ReRAM shows promise for high-temperature application. © 2008 Materials Research Society.

    DOI: 10.1557/proc-1071-f08-08

    Scopus

  314. 4H-SiC lateral double RESURF MOSFETs with low ON resistance

    Noborio Masato, Suda Jun, Kimoto Tsunenobu

    IEEE TRANSACTIONS ON ELECTRON DEVICES   Vol. 54 ( 5 ) page: 1216 - 1223   2007.5

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:IEEE Transactions on Electron Devices  

    Designing and fabrication of 4H-SiC (0001) lateral MOSFETs with a double reduced surface field (RESURF) structure have been investigated to reduce on resistance. In order to achieve high breakdown voltage, a two-zone RESURF structure was also employed in addition to the double RESURF structure. The simulated double RESURF MOSFETs with optimum doses exhibit slightly higher breakdown voltage and lower drift resistance than the simulated single RESURF MOSFETs. The double RESURF structure is attractive to suppress oxide breakdown at gate edge. After the device simulation for dose optimization, the 4H-SiC two-zone double RESURF MOSFETs have been fabricated by using a self-aligned process. The fabricated MOSFET has demonstrated a high breakdown voltage of 1380 V and a low on resistance of 66 mΩ · cm2 (including a drift resistance of 24 mΩ · cm2). The drift resistance of the fabricated double RESURF MOSFETs is only 50% or even lower than that of the single RESURF MOSFETs. © 2007 IEEE.

    DOI: 10.1109/TED.2007.894249

    Web of Science

    Scopus

  315. A comparative study of nonpolar a-plane and m-plane AlN grown on 4H-SiC by plasma-assisted molecular-beam epitaxy

    Suda J., Horita M., Armitage R., Kimoto T.

    JOURNAL OF CRYSTAL GROWTH   Vol. 301 ( SPEC. ISS. ) page: 410 - 413   2007.4

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Crystal Growth  

    AlN has been grown on 4H-SiC m-plane (1 over(1, -) 0 0) and a-plane (1 1 over(2, -) 0) substrates by RF-plasma-assisted molecular-beam epitaxy. Similarities and differences between the two growth orientations are discussed. High-quality AlN can be obtained in both orientations when grown in the metastable 4H-crystal structure. For both epilayer orientations Al-rich conditions were necessary to stabilize the 4H-polytype. 4H-AlN for both growth directions shows very narrow X-ray rocking curves widths less than 100 arcsec. a-plane 4H-AlN exhibited a much smoother morphology than m-plane 4H-AlN. On the other hand, reflection high-energy diffraction intensity oscillations in the initial growth stage were observed only for m-plane AlN. © 2007 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.jcrysgro.2006.11.287

    Web of Science

    Scopus

  316. Reduction in potential barrier height of AlGaN/GaN heterostructures by SiN passivation

    Onojima N., Higashiwaki M., Suda J., Kimoto T., Mimura T., Matsui T.

    JOURNAL OF APPLIED PHYSICS   Vol. 101 ( 4 )   2007.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Applied Physics  

    SiN passivation on AlGaNGaN heterostructures was carried out using catalytic or plasma-enhanced chemical vapor deposition (Cat-CVD or PECVD), which has been found to increase two-dimensional electron gas (2DEG) density. The 2DEG density can be closely related to AlGaN surface properties via polarization effects. AlGaN potential barrier heights of AlGaNGaN heterostructures with and without SiN passivation were systematically investigated using x-ray photoelectron spectroscopy (XPS) and capacitance-voltage (C-V) measurements. The results for the XPS and C-V measurements were consistent and demonstrated that a reduction in the AlGaN potential barrier height was actually induced by SiN passivation. Furthermore, Cat-CVD SiN passivation lowered the AlGaN potential barrier height more significantly than PECVD SiN passivation did, suggesting that the passivation method can influence the AlGaN potential barrier height. © 2007 American Institute of Physics.

    DOI: 10.1063/1.2472255

    Web of Science

    Scopus

  317. m-plane GaN layers grown by rf-plasma assisted molecular beam epitaxy with varying Ga/N flux ratios on m-plane 4H-SiC substrates

    Armitage R., Horita M., Suda J., Kimoto T.

    JOURNAL OF APPLIED PHYSICS   Vol. 101 ( 3 )   2007.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Applied Physics  

    A series of m -plane GaN layers with the Ga beam-equivalent pressure (BEP) as the only varied parameter was grown by rf-plasma assisted molecular beam epitaxy on m -plane 4H-SiC substrates using AlN buffer layers. The smoothest growth surfaces and most complete film coalescence were found for the highest Ga BEP corresponding to the Ga droplet accumulation regime. However, better structural quality as assessed by x-ray rocking curves was observed for growth at a lower Ga BEP value below the droplet limit. The variation of rocking curve widths for planes inclined with respect to the epilayer c axis followed a different trend with Ga BEP than those of reflections parallel to the c axis. The GaN layers were found to exhibit a large residual compressive strain along the a axis. © 2007 American Institute of Physics.

    DOI: 10.1063/1.2435806

    Web of Science

    Scopus

  318. Accurate determination of nonlinear optical coefficients of hexagonal silicon carbide of polytype 6H

    Abe M., Maruyama K., Sato H., Tanaka H., Suda J., Shoji I., Kondo T.

    Optics InfoBase Conference Papers     2007

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Optics InfoBase Conference Papers  

    Nonlinear optical coefficients of 6H-SiC have been measured with much improved accuracy using high quality single crystalline plane-parallel plates by the Maker-fringe technique combined with theoretical analysis taking into account of the multiple-reflection effect. © 2007 Optical Society of America.

    DOI: 10.1364/nlo.2007.we25

    Scopus

  319. Impact of acceptor concentration on electronic properties of n <sup>+</sup>-GaN/p<sup>+</sup>-SiC heterojunction for GaN/SiC heterojunction bipolar transistor

    Amari K., Suda J., Kimoto T.

    Materials Science Forum   Vol. 556-557   page: 1039 - 1042   2007

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Science Forum  

    The electrical properties of n+-GaN/p+-SiC heterojunction diodes have been investigated by varying the acceptor concentration of P+-SiC epilayers (Na) and polytype of SiC (4H- and 6H-SiC). The current-voltage (I-V) characteristics of diodes with Na ∼1×1019 cm-3 were dominated by tunneling-assisted current. The diodes with Na ∼ 1×10 18 cm-3 exhibit excellent characteristics and 6H-SiC may be a better choice from a view point of electron injection into p-SiC base. Compared to previous investigations (Na<1016cm -3), we could obtain good rectification with p-SiC doped to two-order-of-magnitude higher acceptor concentration.

    DOI: 10.4028/0-87849-442-1.1039

    Scopus

  320. Lateral 4H-SiC MOSFETs with low on-resistance by using two-zone double RESURF structure

    Noborio M., Suda J., Kimoto T.

    Materials Science Forum   Vol. 556-557   page: 815 - 818   2007

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Science Forum  

    4H-SiC lateral MOSFETs with a double reduced surface field (RESURF) structure have been fabricated in order to reduce drift resistance. A two-zone RESURF structure was also employed in addition to double RESURF structure for achieving both high breakdown voltage and low on-resistance. After device simulation for dose optimization, 4H-SiC two-zone double RESURF MOSFETs have been fabricated. The fabricated MOSFETs block 1380 V and exhibit a low on-resistance of 66 mΩcm2 (including a drift resistance of 24 mΩcm2) at a gate oxide field of 3 MV/cm. The figure-of-merit of present device is about 29 MW/cm2, which is the best performance among any lateral MOSFETs. The drift resistance of the fabricated double RESURF MOSFETs is only 50% or even lower than that of single RESURF MOSFETs. Temperature dependence of device characteristics is also discussed.

    DOI: 10.4028/www.scientific.net/MSF.556-557.815

    Scopus

  321. Lateral 4H-SiC MOSFETs with low on-resistance by using two-zone double RESURF structure

    Noborio M., Suda J., Kimoto T.

    Materials Science Forum   Vol. 556-557   page: 815 - 818   2007

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Science Forum  

    4H-SiC lateral MOSFETs with a double reduced surface field (RESURF) structure have been fabricated in order to reduce drift resistance. A two-zone RESURF structure was also employed in addition to double RESURF structure for achieving both high breakdown voltage and low on-resistance. After device simulation for dose optimization, 4H-SiC two-zone double RESURF MOSFETs have been fabricated. The fabricated MOSFETs block 1380 V and exhibit a low on-resistance of 66 Ωcm2 (including a drift resistance of 24 mΩcm2) at a gate oxide field of 3 MV/cm. The figure-of-merit of present device is about 29 MW/cm2, which is the best performance among any lateral MOSFETs. The drift resistance of the fabricated double RESURF MOSFETs is only 50 % or even lower than that of single RESURF MOSFETs. Temperature dependence of device characteristics is also discussed.

    DOI: 10.4028/0-87849-442-1.815

    Scopus

  322. Impact of acceptor concentration on electronic properties of n<sup>+</sup>-GaN/p<sup>+</sup>-SiC heterojunction for gan/sic heterojunction bipolar transistor

    Amari K., Suda J., Kimoto T.

    Materials Science Forum   Vol. 556-557   page: 1039 - 1042   2007

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Science Forum  

    The electrical properties of n+-GaN/p+-SiC heterojunction diodes have been investigated by varying the acceptor concentration of p+-SiC epilayers (Na) and polytype of SiC (4H- and 6H-SiC). The current-voltage (I-V) characteristics of diodes with Na ~ 1x1019 cm-3 were dominated by tunneling-assisted current. The diodes with Na ~ 1x1018 cm-3 exhibit excellent characteristics and 6H-SiC may be a better choice from a view point of electron injection into p-SiC base. Compared to previous investigations (Na<1016cm-3), we could obtain good rectification with p-SiC doped to two-order-of-magnitude higher acceptor concentration.

    DOI: 10.4028/www.scientific.net/MSF.556-557.1039

    Scopus

  323. Dose designing and fabrication of 4H-SiC double RESURF MOSFETs

    Noborio M., Suda J., Kimoto T.

    Proceedings of the International Symposium on Power Semiconductor Devices and ICs   Vol. 2006   2006.12

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Proceedings of the International Symposium on Power Semiconductor Devices and ICs  

    Designing and fabrication of 4H-SiC (0001) lateral MOSFETs with a double reduced surface field (RESURF) structure have been investigated to reduce a drift resistance. In order to achieve high breakdown voltage, a two-zone RESURF structure was also employed In addition to the double RESURF structure. After device simulation for dose optimization, 4H-SiC two-zone double RESURF MOSFETs have been fabricated by using an original self-aligned process proposed in this paper. The fabricated MOSFETs with optimum doses exhibit a high breakdown voltage of 1380 V and a low on-resistance of 66 mΩcm2 (including a drift resistance of 13 mΩcm2). The drift resistance in the fabricated MOSFETs with double RESURF structure is only 50 % or even lower than that in the MOSFETs with a normal RESURF structure. The figure-of-merit (VB2/RON) of the present device reaches 29 MW/cm2, which is the best performance among any lateral MOSFETs ever reported. ©2006 IEEE.

    Scopus

  324. High-quality nonpolar 4H-AlN grown on 4H-SiC (11(2)over-bar20) substrate by molecular-beam epitaxy

    Horita Masahiro, Suda Jun, Kimoto Tsunenobu

    APPLIED PHYSICS LETTERS   Vol. 89 ( 11 )   2006.9

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Letters  

    Growth of very high-quality nonpolar (112̄0) a-plane face 4H-AlN on 4H-SiC (112̄0) substrate was investigated. Nonpolar 4H-AlN (112̄0) was isopolytypically grown on 4H-SiC (112̄0) substrate by molecular-beam epitaxy. A reduction of defects such as stacking faults and threading dislocations was achieved by keeping the growing surface flat. To this end, the SiC substrate was HCl gas etched and the V/III ratio was optimized for AlN growth. A full width at half maximum of symmetrical x-ray diffraction ω scan of the 4H-AlN layer was 40 arc sec. Transmission electron microscopy revealed the stacking fault density to be 2 × 105 cm -1, and the partial and perfect threading dislocation densities to be 7 × 107 and 1 × 107 cm-2, respectively. © 2006 American Institute of Physics.

    DOI: 10.1063/1.2352713

    Web of Science

    Scopus

  325. Growth of nonpolar AlN and AlGaN on 4H-SiC (1-100) by molecular beam epitaxy

    Armitage R., Horita M., Suda J., Kimoto T.

    Materials Research Society Symposium Proceedings   Vol. 892   page: 705 - 710   2006.5

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Research Society Symposium Proceedings  

    AlN and AlGaN have been grown on 4H-SiC (1-100) substrates by rf-plasma molecular beam epitaxy. AlN assumes a metastable 4H structure to match the in-plane stacking arrangement of the substrate. Initial 2D nucleation of 4H-AlN is revealed by reflection high-energy electron diffraction. The epitaxial quality is evidenced by narrow x-ray diffraction co-scan line widths less than 100 arcsec for symmetric and asymmetric reflections. Structural characterization results for AlGaN/AlN multiple quantum wells are also presented. © 2006 Materials Research Society.

    Scopus

  326. Extremely high quantum efficiency of donor-acceptor-pair emission in N-and-B-doped 6H-SiC

    Kamiyama S., Maeda T., Nakamura Y., Iwaya M., Amano H., Akasaki I., Kinoshita H., Furusho T., Yoshimoto M., Kimoto T., Suda J., Henry A., Ivanov I. G., Bergman J. P., Monemar B., Onuma T., Chichibu S. F.

    JOURNAL OF APPLIED PHYSICS   Vol. 99 ( 9 )   2006.5

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Applied Physics  

    High-efficiency visible light emission in N-and-B-doped 6H-SiC epilayers was observed in photoluminescence measurements at room temperature. The orange-yellow light emission due to the recombination of donor-acceptor pairs (DAPs) has a broad spectrum with a peak wavelength of 576 nm and a full width at half maximum of 110 nm at 250 K. The high B concentration of more than 1018 cm-3 improves the emission efficiency of the DAP recombination at a high temperature. Compared with the photoluminescence spectrum of GaN at 10 K, a high quantum efficiency of 95% was estimated for the highly B-doped sample. From time-resolved photoluminescence measurements, a DAP recombination time of 5.0 ms was obtained, which is in good agreement with the calculated value by the rate equation with the assumption of a 95% internal quantum efficiency. This is quite promising as a light-emitting medium by optical pumping, as well as monolithic light sources combined with nitride-based light-emitting diodes grown on the DA-doped SiC epilayer. © 2006 American Institute of Physics.

    DOI: 10.1063/1.2195883

    Web of Science

    Scopus

  327. Structure analysis of ZrB2(0001) surface prepared by ex situ HF treatment

    Suto Hirofumi, Fujii Shunjiro, Miyamae Nobuhiko, Armitage Robert D., Suda Jun, Kimoto Tsunenobu, Honda Shin-ichi, Katayama Mitsuhiro

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS & EXPRESS LETTERS   Vol. 45 ( 17-19 ) page: L497 - L500   2006.5

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics, Part 2: Letters  

    The surface structure of ZrB2(0001) was investigated using coaxial impact-collision ion scattering spectroscopy (CAICISS). The ZrB 2(0001) 1 × 1 surface was prepared by HF solution treatment and annealing in vacuum. It was directly evidenced that the ZrB2(0001) surface is terminated with a Zr layer. Moreover, we found that the interlayer spacing between the first Zr layer and the second B layer is expanded by 20% with regard to c-axis lattice constant. Since small amount of O atoms were detected after the cleaning, the incorporation of the residual O atoms into the subsurface region of the ZrB2(0001) surface is likely to be the origin of the large expansion. © 2006 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.45.L497

    Web of Science

    Scopus

  328. Characterization of ZrB2(0001) surface prepared by ex situ HF solution treatment toward applications as a substrate for GaN growth

    Armitage R, Suda J, Kimoto T

    SURFACE SCIENCE   Vol. 600 ( 7 ) page: 1439 - 1449   2006.4

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Surface Science  

    ZrB2(0 0 0 1) crystals grown by the rf-floating zone technique were characterized by X-ray photoelectron spectroscopy, reflection high-energy electron diffraction, and atomic force microscopy. These characteristics were investigated as a function of thermal cleaning temperature up to 1000 °C in vacuum for as-received substrates as well as substrates treated ex situ in HF aqueous solution. The HF treatment process removed the ZrO2 native oxide layer present on as-received substrates and resulted in ZrB2(0 0 0 1) surfaces exhibiting long-range order. Upon annealing the HF-treated surface in high vacuum, two types of reconstructions were observed: an incommensurate reconstruction from 650 to 900°C related to residual H 2 gas, and n × n reconstructions at 1000°C, possibly related to oxygen. © 2006 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.susc.2006.01.032

    Web of Science

    Scopus

  329. Epitaxy of nonpolar AlN on 4H-SiC (1-100) substrates

    Armitage R, Suda J, Kimoto T

    APPLIED PHYSICS LETTERS   Vol. 88 ( 1 )   2006.1

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Letters  

    AlN has been grown on 4H-SiC (1-100) substrates by rf-plasma molecular beam epitaxy. The epilayers assume a metastable 4H structure to match the in-plane stacking arrangement of the substrate. Initial two-dimensional nucleation of 4H-AlN is revealed by reflection high-energy electron diffraction. The epitaxial quality is evidenced by narrow x-ray diffraction ω-scan linewidths less than 70 arcsec for both symmetric and asymmetric reflections. The AlN growth surface exhibits a smooth and anisotropic morphology similar to that of GaN (1-100). Large residual stress is present in the epilayers, consistent with incomplete relaxation of misfit strain during growth. © 2006 American Institute of Physics.

    DOI: 10.1063/1.2161809

    Web of Science

    Scopus

  330. Anisotropic etching of single crystalline SiC using molten KOH for SiC bulk micromachining

    Fukunaga Katsuhiko, Suda Jun, Kimoto Tsunenobu

    MICROMACHINING AND MICROFABRICATION PROCESS TECHNOLOGY XI   Vol. 6109   2006

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Proceedings of SPIE - The International Society for Optical Engineering  

    Microelectromechanical systems (MEMS) devices made of single crystalline silicon carbide (SiC) are attractive for applications in harsh environment, because SiC is chemically inert and semiconductor devices made of SiC can be operated at very high temperature. On the other hand, due to its chemical inertness, controllable etching of SiC has been difficult. Molten KOH etching has been widely used to detect crystalline defects in SiC as etch pit in crystal growth researchers. Some etch pits have hexagonal shape, indicating anisotropic etching nature. Therefore, molten KOH etching may have potential as a SiC MEMS fabrication process. In this study we have developed the anisotropic wet chemical etching of single crystalline hexagonal SiC using molten KOH for SiC bulk micromachining, 6H-SiC (0001)Si face and (000-1)C face substrates are used. Etching rates of (0001)Si and (000-1)C faces at 490°C are evaluated to be 37 nm/min and 3.1 μm/min, respectively, indicating that the (0001)Si face is etched almost 100 times slower than the (000-1)C face is. Cross sectional analysis of etched structure of (000-1)C face revealed that inclined crystal plane was formed as a sidewall with some undercut. To assess in-plane etching anisotropy, ring shape mesa structures are formed on SiC (0001)Si face by R1E and then etched by molten KOH, Ring shape changed into hexagonal shape, clearly indicating etching rate along 〈11-20〉 direction is larger than 〈1-100〉 direction in (0001)Si face.

    DOI: 10.1117/12.647116

    Web of Science

    Scopus

  331. Electron injection from GaN to SiC and fabrication of GaN/SiC heterojunction bipolar transistors

    Suda J., Nakano Y., Shimada S., Amari K., Kimoto T.

    Materials Science Forum   Vol. 527-529 ( PART 2 ) page: 1545 - 1548   2006

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Science Forum  

    Characterization of n+-GaN/p--SiC and n +-GaN/p+-SiC heterojunctions as well as fabrication of GaN/SiC heterojunction bipolar transistors (HBTs) using these heterojunctions is presented. The electroluminescence spectrum from n+-GaN/p +-SiC heterojunction diodes under forward bias clearly indicates electron injection from n+-GaN into P+-SiC. HBTs consisting of n+-GaN emitter /p+-SiC base/n --SiC collector/n+-SiC substrate have been fabricated. Although clear common-base properties were obtained, the current gain was very low (10-4). SiC homojunction bipolar junction transistors (BJT) using the same base-collector junction exhibited a current gain value of 0.5, suggesting the low current gain of GaN/SiC HBTs originates from low emitter efficiency.

    DOI: 10.4028/0-87849-425-1.1545

    Scopus

  332. Improved dielectric and interface properties of 4H-SiC MOS structures processed by oxide deposition and N<inf>2</inf>O annealing

    Kimoto T., Kawano H., Noborio M., Suda J., Matsunami H.

    Materials Science Forum   Vol. 527-529 ( PART 2 ) page: 987 - 990   2006

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Science Forum  

    Oxide deposition followed by high-temperature annealing in N2O has been investigated to improve the quality of 4H-SiC MOS structures. Annealing of deposited oxides in N2O at 1300°C significantly enhances the breakdown strength and decreases the interface state density to 3×10" cm-2 eV-1 at Ec- 0.2 eV. As a result, high channel mobility of 34 cm2/Vs and 52 cm2/Vs has been attained for inversion-type MOSFETs fabricated on 4H-SiC(0001)Si and (000-1)C faces, respectively. The channel mobility shows a maximum when the increase of oxide thickness during N2O annealing is approximately 5 nm. A lateral RESURF MOSFET with gate oxides formed by the proposed process has blocked 1450 V and showed a low on-resistance of 75 mΩcm2, which is one of the best performances among lateral SiC MOSFETs reported.

    DOI: 10.4028/0-87849-425-1.987

    Scopus

  333. Reduction of on-resistance in 4H-SiC multi-RESURF MOSFETs

    Noborio M., Negoro Y., Suda J., Kimoto T.

    Materials Science Forum   Vol. 527-529 ( PART 2 ) page: 1305 - 1308   2006

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Science Forum  

    SiC lateral MOSFETs with multi-RESURF structures have been fabricated by a self-aligned process. The "multi-RESURF" means "double RESURF" and "buried-p RESURF" structures, which have the buried-p region at the top and at the middle of RESURF region, respectively. The increase of net RESURF dose and the decrease of channel length lead to the reduced on-resistance. The "buried-p RESURF" MOSFETs have higher on-resistances than the "double RESURF" MOSFETs, due to the resistance of parasitic JFET inside the RESURF region. The dose designing for double RESURF MOSFETs has been optimized by using device simulation. A double RESURF MOSFET exhibits a breakdown voltage of 750 V and an on-resistance of 52 mΩcm2.

    DOI: 10.4028/0-87849-425-1.1305

    Scopus

  334. Low-dislocation-density nonpolar AlN grown on 4H-SiC (11-20) substrates

    Suda J., Horita M., Kimoto T.

    Materials Research Society Symposium Proceedings   Vol. 955   page: 61 - 62   2006

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Research Society Symposium Proceedings  

    Growth of AlN on 4H-SiC (11-20) substrates by plasma-assisted molecular-beam epitaxy is presented. Very high-quality AlN can be grown under a slightly Al-rich condition. Transmission electron microscopy revealed that the AlN layer has 4H crystalline structure with high-phase purity (stacking-fault density of 2×105cm-1) and the density of threading dislocations is as small as 8×107cm-2. © 2007 Materials Research Society.

    DOI: 10.1557/proc-0955-i06-03

    Scopus

  335. 1200 V-Class 4H-SiC RESURF MOSFETs with low on-resistances

    Kimoto T., Kawano H., Suda J.

    Proceedings of the International Symposium on Power Semiconductor Devices and ICs     page: 279 - 282   2005.11

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Proceedings of the International Symposium on Power Semiconductor Devices and ICs  

    4H-SiC{0001} RESURF MOSFETs with a two-zone structure have been simulated and fabricated. The dose dependencies of experimental breakdown voltage show good agreement with simulation. Through the optimization of implant dose, high-temperature (1700°C) annealing after ion implantation, and reduction of channel length, a high breakdown voltage of 1330 V and a low on-resistance of 69 mΩcm2 have been obtained. The 4H-SiC(000-1) face is promising for MOS-based devices owing to superior quality of MOS interface, and a performance of 1260 V - 62 mΩcm2 has been achieved. The figure-of-merit (VB2/Ron) of the present devices reaches 26 MW/cm2, being the best performance among lateral SiC MOSFETs reported. ©2005 IEEE.

    Scopus

  336. Molecular-beam epitaxy of AlN on off-oriented SiC and demonstration of MISFET using AlN/SiC interface

    Onojima N., Kaido J., Suda J., Kimoto T.

    Physica Status Solidi C: Conferences   Vol. 2 ( 7 ) page: 2643 - 2646   2005.11

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Physica Status Solidi C: Conferences  

    Molecular-beam epitaxy (MBE) of AlN on 4H-SiC epilayers with the off-cut angle of 8° was performed using elemental Al and rf-plasma excited active nitrogen (N*) toward the goal of MISFETs using the AlN/4H-SiC hetero-interface. AlN films grown at 600 °C had a very flat surface with monolayer-height steps. Control of atomic arrangement at the AlN/4H-SiC interface was attempted by pre-irradiation of active nitrogen to achieve MIS structures with excellent electrical characteristics. The electronic properties of AlN/4H-SiC interface were characterized by C-V measurements of Al/AlN/n-type 4H-SiC MIS capacitors. The interface states at the AlN/4H-SiC interface were revealed to be strongly influenced by preirradiation of active nitrogen. Planar-type n-channel AlN/4H-SiC MISFETs were fabricated using a gate-stacked structure with SiO2. Owing to the novel device structure as well as an optimized AlN growth condition, we could first demonstrate the good transistor operation of MISFETs using the AlN/4H-SiC interface. © 2005 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

    DOI: 10.1002/pssc.200461526

    Scopus

  337. Role of initial nucleation in molecular-beam epitaxy of GaN on lattice-matched ZrB<inf>2</inf> substrates

    Armitage R., Suda J., Kimoto T.

    Physica Status Solidi C: Conferences   Vol. 2 ( 7 ) page: 2191 - 2194   2005.11

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Physica Status Solidi C: Conferences  

    Nitrogen-polar GaN was grown on lattice-matched ZrB2 substrates by a two-step rf-MBE process with conventional low-temperature GaN (LT-GaN) used as the nucleation layer. For nucleation in slightly Ga-rich conditions, a streaky RHEED pattern was maintained from the very beginning and persisted through-out subsequent high-temperature growth. However, despite the streaky RHEED pattern the initial nucleation was evidently not 2D as inferred from AFM and x-ray results. For nucleation in slightly N-rich conditions, spots appeared in the RHEED in the early stages of growth but a streak pattern was restored with high-temperature GaN growth. Tradeoffs were identified in optimizing the LT-GaN nucleation process. Slightly Ga-rich nucleation conditions offered the best x-ray characteristics with ω-scan FWHM values of 400-500 and 720-800 arcsec for the (0002) and (11̄04) reflections, respectively. Short-length atomic steps (up to ∼200 nm) were present on the surfaces of such layers, but the morphology showed a sub-grain structure. Slightly N-rich nucleation conditions yielded remarkably smooth films surfaces (rms 0.5 nm over 10 μm2) with uninterrupted atomic steps up to several urn long, but inferior x-ray ω-scans (∼50% larger FWHM values). © 2005 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

    DOI: 10.1002/pssc.200461539

    Scopus

  338. Direct growth of GaN on off-oriented SiC (0001) by molecular-beam epitaxy for GaN/SiC heterojunction bipolar transistor

    Nakano Y., Suda J., Kimoto T.

    Physica Status Solidi C: Conferences   Vol. 2 ( 7 ) page: 2208 - 2211   2005.11

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Physica Status Solidi C: Conferences  

    Direct growth of GaN on misoriented 4H- and 6H-SiC (0001) Si-face substrates and electrical characteristics of n-GaN/p-SiC heterojunction mesa diodes are presented. GaN was grown by molecular-beam epitaxy (MBE) using elemental Ga and rf plasma-excited active nitrogen. SiC substrates misoriented 8° toward the [11-20] direction were used in this study. The surfaces of MBE-grown GaN layers have wavy features with peak-to-valley height of 30 nm. These features originated from the substrate misorientation. It was found that step bunching and large faceting along [01-10] and [10-10] directions occurred during the growth of GaN. Lowering the growth temperature suppresses large faceting, and results in reduction of the peak-to-valley height to 3 nm. However, the surface still has the same undulating features (on a smaller length scale). Mesa diodes were fabricated from the grown GaN layers. The correlation between the diode electrical characteristics and GaN growth conditions is discussed. © 2005 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

    DOI: 10.1002/pssc.200461549

    Scopus

  339. Mechanism of stabilization of zincblende GaN on hexagonal substrates: Insight gained from growth on ZrB2(0001)

    Armitage R, Nishizono K, Suda J, Kimoto T

    JOURNAL OF CRYSTAL GROWTH   Vol. 284 ( 3-4 ) page: 369 - 378   2005.11

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Crystal Growth  

    Thin (∼25 nm) zincblende GaN (1 1 1) epilayers have been grown on hexagonal ZrB2 (0 0 0 1) substrates by plasma-assisted molecular-beam epitaxy at temperatures near 600 °C. Layers grown in near-stoichiometric conditions exhibit high phase purity; no wurtzite inclusions are detected by high-resolution X-ray diffraction or photoluminescence. For growth in the presence of excess Ga the layers are predominantly zincblende phase, but contain some wurtzite inclusions. Reducing the growth temperature to 400 °C results in a much-increased fraction of wurtzite inclusions. The growth of zincblende GaN with high phase purity on hexagonal ZrB2 implies the existence of an underlying physical mechanism rather than simply a result of "growth mistakes." A possible surface energy-related mechanism by which zincblende GaN (1 1 1) can be preferentially nucleated on hexagonal substrates is discussed. © 2005 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.jcrysgro.2005.07.037

    Web of Science

    Scopus

  340. 1330 V, 67 m Omega center dot cm(2) 4H-SiC(0001) RESURF MOSFET

    Kimoto T, Kawano H, Suda J

    IEEE ELECTRON DEVICE LETTERS   Vol. 26 ( 9 ) page: 649 - 651   2005.9

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:IEEE Electron Device Letters  

    Design and fabrication of 4H-SiC(0001) lateral MOSFETs with a two-zone reduced surface field structure have been investigated. The dose dependencies of experimental breakdown voltage show good agreement with simulation. Through the optimization of implant dose, high-temperature (1700 °C) annealing after ion implantation, and reduction of channel length, a breakdown voltage of 1330 V and a low on-resistance of 67 mΩ · cm2 have been obtained. The figure-of-merit (VB2/Ron) of the present device reaches 26 MW/cm2, being the best performance among lateral MOSFETs reported. The temperature dependence of static characteristics is also presented. © 2005 IEEE.

    DOI: 10.1109/LED.2005.854371

    Web of Science

    Scopus

  341. Experimental and theoretical investigations on short-channel effects in 4H-SiC MOSFETs

    Noborio M, Kanzaki Y, Suda J, Kimoto T

    IEEE TRANSACTIONS ON ELECTRON DEVICES   Vol. 52 ( 9 ) page: 1954 - 1962   2005.9

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:IEEE Transactions on Electron Devices  

    In this paper, a fundamental investigation on short-channel effects (SCEs) in 4H-SiC MOSFETs is given. Planar MOSFETs with various channel lengths have been fabricated on p-type 4H-SiC (0001), (0001̄) and (112̄0) faces. In the fabricated MOSFETs, SCEs such as punchthrough behavior, decrease of threshold voltage, deterioration of subthreshold characteristics, and saturation of transconductance occur by reducing channel length. The critical channel lengths below which SCEs occur are analyzed as a function of p-body doping and oxide thickness by using device simulation. The critical channel lengths obtained from the device simulation is in good agreement with the empirical relationship for Si MOSFETs. The critical channel lengths in the fabricated SiC MOSFETs are slightly longer than simulation results. The dependence of crystal face orientations on SCEs is hardly observed. Impacts of interface charge on the appearance of SCEs are discussed. © 2005 IEEE.

    DOI: 10.1109/TED.2005.854269

    Web of Science

    Scopus

  342. Influence of substrate misorientation angle and direction in growth of GaN on off-axis SiC (0001)

    Suda J., Nakano Y., Kimoto T.

    Materials Research Society Symposium Proceedings   Vol. 831   page: 471 - 476   2005.8

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Research Society Symposium Proceedings  

    Growth of GaN on 4H- or 6H-SiC (0001) Si-face substrates with various misorientation angles and directions is presented. GaN layers were directly grown on the SiC substrates by molecular-beam epitaxy using elemental Ga and rf plasma-excited active nitrogen. First, 4H-SiC (0001) 8o-off toward the [11-20] direction was investigated. Before the growth of GaN, ex-situ high-temperature gas etching was carried out, resulting in a smooth SiC initial surface. However, the surface of the subsequently grown GaN layer has wavy features with peak-to-valley height of 30 nm. Since the direction of the undulations is parallel to the misorientation direction, this feature must originate from the substrate misorientation. Step bunching and large faceting along 〈01-10〉 and 〈10-10〉 directions occurred during the growth of GaN. Lowering the growth temperature suppresses large faceting, and results in reduction of the peak-to-valley height to 3 nm. However, the surface still has the same undulating features on a smaller length scale. On the other hand such morphology was not observed for GaN grown on (0001) on-axis SiC substrates (misorientation < 0.3o). The influence of the polytype of the SiC substrate and the misorientation angle and direction are also discussed. © 2005 Materials Research Society.

    Scopus

  343. Molecular beam epitaxy of GaN on lattice-matched ZrB<inf>2</inf> substrates using low-temperature GaN and AlN nucleation layers

    Armitage R., Nishizono K., Suda J., Kimoto T.

    Materials Research Society Symposium Proceedings   Vol. 831   page: 477 - 482   2005.8

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Research Society Symposium Proceedings  

    GaN epilayers have been grown by plasma-assisted molecular-beam epitaxy on ZrB2 substrates with close in-plane lattice match. Growth processes utilizing both low-temperature GaN (LT-GaN) and AlN nucleation layers were investigated. The x-ray ω-scan widths for the optimized LT-GaN nucleation process were 400 and 750 arcsec for symmetric and asymmetric reflections, respectively. When using LT-GaN nucleation layers, the chemical incompatibility of ZrB2 results in a high dislocation density despite the in-plane lattice match. The epilayer polarity was N-polar for LT-GaN nucleation layers under all conditions investigated. For AlN nucleation layers, Gapolar epilayers were obtained under suitable conditions (Al-rich, lower nucleation temperatures) for nominal AlN thickness as low as 1 nm. From RHEED analysis it appears that a psuedomorphic Al wetting layer forms on the ZrB2 surface, and that using AlN as the nucleation layer may offer promise for reducing the epilayer defect density. © 2005 Materials Research Society.

    Scopus

  344. Design and Fabrication of RESURF MOSFETs on 4H-SiC(0001), (1120), and 6H-SiC(0001)

    Kimoto T, Kosugi H, Suda J, Kanzaki Y, Matsunami H

    IEEE TRANSACTIONS ON ELECTRON DEVICES   Vol. 52 ( 1 ) page: 112 - 117   2005.1

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:IEEE Transactions on Electron Devices  

    Design and fabrication of lateral SiC reduced surface field (RESURF) MOSFETs have been investigated. The doping concentration (dose) of the RESURF and lightly doped drain regions has been optimized to reduce the electric field crowding at the drain edge or in the gate oxide by using device simulation. The optimum oxidation condition depends on the polytype: N2O oxidation at 1300 °C seems to be suitable for 4H-SiC, and dry O2 oxidation at 1250 °C for 6H-SiC. The average inversion-channel mobility is 22, 78, and 68 cm2 /Vs for 4H-SiC(0001), (112̄0), and 6H-SiC(0001) MOSFETs, respectively. RESURF MOSFETs have been fabricated on 10-μm-thick p-type 4H-SiC(0001), (112̄0), and 6H-SiC(0001) epilayers with an acceptor concentration of 1 × 1016 cm-3. A 6H-SiC(0001) RESURF MOSFET with a 3-μm channel length exhibits a high breakdown voltage of 1620 V and an on-resistance of 234 mΩ · cm2. A 4H-SiC(112̄0) RESURF MOSFET shows the characteristics of 1230 V-138 mΩ · cm2. © 2005 IEEE.

    DOI: 10.1109/TED.2004.841358

    Web of Science

    Scopus

  345. 1200 V-class 4H-SiC RIESURF MOSFETs with low on-resistances

    Kimoto T, Kawano H, Suda J

    PROCEEDINGS OF THE 17TH INTERNATIONAL SYMPOSIUM ON POWER SEMICONDUCTOR DEVICES & ICS     page: 279 - 282   2005

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  346. Molecular beam epitaxy of GaN on lattice-matched ZrB2 substrates using low-temperature GaN and AlN nucleation layers

    Armitage R, Nishizono K, Suda J, Kimoto T

    GaN, AIN, InN and Their Alloys   Vol. 831   page: 477 - 482   2005

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  347. Short-Channel Effects in 4H-SiC MOSFETs

    Noborio M, Kanzaki Y, Suda J, Kimoto T, Matsunami H

    SILICON CARBIDE AND RELATED MATERIALS 2004   Vol. 483   page: 821 - 824   2005

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  348. Dose designing for high-voltage 4H-SiC RESURF MOSFETs - device simulation and fabrication

    Kawano H, Kimoto T, Suda J, Matsunami H

    SILICON CARBIDE AND RELATED MATERIALS 2004   Vol. 483   page: 809 - 812   2005

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    Web of Science

  349. Dose designing for high-voltage 4H-SiC RESURF MOSFETs - Device simulation and fabrication

    Kawano H., Kimoto T., Suda J., Matsunami H.

    Materials Science Forum   Vol. 483-485   page: 809 - 812   2005

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Science Forum  

    Optimum dose designing for 4H-SiC (0001) two-zone RESURF MOSFETs is investigated by device simulation and fabrication. Simulated results suggest that negative charge at the SiC/SiO2 interface significantly influences breakdown voltage. Simulation has also showed that breakdown voltage strongly depends on LDD (Lightly-Doped Drain) dose. The dose dependencies of the breakdown voltage experimentally obtained are in good agreement with the device simulation. A RESURF MOSFET, processed by N2O oxidation, with an optimized dose blocks 1080V and has a low on-resistance of 79 mΩcm 2 at a gate oxide field of 3.0 MV/cm, which is the best 4H-SiC RESURF MOSFET ever reported.

    DOI: 10.4028/0-87849-963-6.809

    Scopus

  350. Short-channel effects in 4H-SiC MOSFETs

    Noborio M., Kanzaki Y., Suda J., Kimoto T., Matsunami H.

    Materials Science Forum   Vol. 483-485   page: 821 - 824   2005

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Science Forum  

    Short-channel effects in SiC MOSFETs have been investigated. Planar MOSFETs with various channel lengths have been fabricated on p-type 4H-SiC (0001), (000-1) and (11-20) faces. Short-channel effects such as punchthrough behavior, decrease of threshold voltage and deterioration of subthreshold characteristics are observed. Furthermore, the critical channel lengths below which short-channel effects occur are analyzed as a function of p-body doping and oxide thickness by using device simulation. The critical channel lengths in the fabricated SiC MOSFETs are in agreement with those obtained from the device simulation. The results are also in agreement with the empirical relationship for Si MOSFETs.

    DOI: 10.4028/0-87849-963-6.821

    Scopus

  351. Molecular beam epitaxy of GaN on lattice-matched zirconium diboride substrates using low-temperature GaN and ALN nucleation layers

    Armitage R., Suda J., Kimoto T.

    Proceedings - Electrochemical Society   Vol. 6   page: 484 - 495   2004.12

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Proceedings - Electrochemical Society  

    GaN epilayers have been grown on lattice-matched ZrB2 substrates by rf-molecular beam epitaxy using a two-step method with low-temperature GaN or AlN employed as the nucleation layer. N-polarity was always obtained for GaN nucleation layers, while Ga-polarity could be obtained with AlN nucleation layers under certain conditions. The characteristics of N-polar GaN epilayers were found to strongly depend on the Ga/N ratio during initial nucleation. Remarkably smooth morphologies (rms <0.5 nm over 10 μm2) with atomic steps up to several μm long were obtained for layers with N-excess nucleation conditions. Ga-excess nucleation conditions resulted in better x-ray diffraction characteristics, but the morphology showed a subgrain structure and increased roughness (rms 1-2 nm). Typical ω-scan values for Ga-excess nucleation were in the range 400-500 arcsec and 750-860 arcsec for the (002) and (104) reflections, respectively. Possible reasons for the high dislocation density despite the good lattice match of ZrB2 are discussed.

    Scopus

  352. Molecular-beam epitaxy of III-N on novel ZrB<inf>2</inf> substrates

    Suda J.

    Proceedings of SPIE - The International Society for Optical Engineering   Vol. 5349   page: 397 - 407   2004.8

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Proceedings of SPIE - The International Society for Optical Engineering  

    Electrically conductive zirconium diboride (ZrB2) is a promising lattice-matched substrate for GaN-based nitride semiconductors. In this paper, important properties of ZrB2 as a substrate for nitrides, such as, thermal expansion coefficient, thermal conductivity, optical reflectivity and cleavage, are reviewed. Then, heteroepitaxial growth of GaN and AlN on the substrate by molecular-beam epitaxy (MBE) are discussed. Direct growth and two-step growth using low-temperature GaN nucleation layers as well as characterization of the surface condition of ZrB2 substrates by X-ray photoelectron spectroscopy (XPS) and the effect of surface treatment on grown layers are presented.

    DOI: 10.1117/12.540326

    Scopus

  353. Molecular-beam epitaxy of III-N on novel ZrB2 substrates

    Suda J

    PHYSICS AND SIMULATION OF OPTOELECTRONIC DEVICES XII   Vol. 5349   page: 397 - 407   2004

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  354. High channel mobilities of MOSFETs on highly-doped 4H-SiC (11-20) face by oxidation in N<inf>2</inf>O ambient

    Kanzaki Y., Kinbara H., Kosugi H., Suda J., Kimoto T., Matsunami H.

    Materials Science Forum   Vol. 457-460 ( II ) page: 1429 - 1432   2004

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Science Forum  

    Planar n-channel MOSFETs have been fabricated on 4H-SiC (11-20), (0001) and (000-1) faces by using oxidation in N2O ambient. The relationship between the MOSFET performance and the acceptor concentration (7×10 15-2×1017 cm-3) of epilayers has been investigated. 4H-SiC (11-20) MOSFETs have shown a high effective channel mobility of 70 cm2/Vs at a 2×1016 cm-3 doping, and 54 cm2/Vs at 2×1017 cm-3. Short-channel effects have been also investigated.

    Scopus

  355. Towards high-quality AlN/SiC hetero-interface by controlling initial processes in molecular-beam epitaxy

    Onojima N., Kaido J., Suda J., Kimoto T., Matsunami H.

    Materials Science Forum   Vol. 457-460 ( II ) page: 1569 - 1572   2004

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Science Forum  

    The electronic properties of AlN/SiC hetero-interface were investigated by using Al/AlN/4H-SiC MIS capacitors. Single crystalline AlN was grown on n-type 8° off-axis 4H-SiC (0001) epilayers via plasma-assisted molecular-beam epitaxy (PA-MBE). The C-V characteristics revealed that the initial pre-irradiation of atomic nitrogen (N*) before AlN growth strongly affected the electronic properties of AlN/SiC interface, which probably attributed to the atomic arrangement of Al, N, Si and C at the interface. A noticeably small value of interface state density (Dit), such as 3×1011 cm-2eV-1 at Ec-0.2 eV, was obtained for the N* pre-irradiated interface.

    DOI: 10.4028/www.scientific.net/msf.457-460.1569

    Scopus

  356. 4H-polytype AlN grown on 4H-SiC(11(2)over-bar0) substrate by polytype replication

    Onojima N, Suda J, Kimoto T, Matsunami H

    APPLIED PHYSICS LETTERS   Vol. 83 ( 25 ) page: 5208 - 5210   2003.12

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Letters  

    The growth of aluminum nitride (AlN) on a 4H-SiC(112̄0) substrate, which has a different stacking structure compared to 6H-SiC was studied. 4H-AlN was grown on the 4H-SoC(112̄0) substrate owing to the atomic-template effect, i.e., polytype reduction. The FWHM of XRC (112̄0) diffraction for the 4H-AlN epilayer was as small as 90 arc sec. The results show that the excellent crystalline quality is probably owing to the polytype matching between the 4H-AlN epilayer and the 4H-SiC substrate.

    DOI: 10.1063/1.1636533

    Web of Science

    Scopus

  357. High-quality AlN by initial layer-by-layer growth on surface-controlled 4H-SiC(0001) substrate

    Onojima N, Suda J, Matsunami H

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS   Vol. 42 ( 5A ) page: L445 - L447   2003.5

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics, Part 2: Letters  

    The initial layer-by-layer growth of AlN was realized on a surface-controlled 4H-SiC(0001) substrate using plasma-assisted molecular-beam epitaxy (PA-MBE). To achieve initial two-dimensional (2D) growth, the control of SiC surface chemistry is very important as well as that of surface flatness. The effect of SiC preparation on the surface structure and chemical composition was investigated by using reflection high-energy electron diffraction (RHEED) and in situ X-ray photoelectron spectroscopy (XPS). The initial growth mode of AlN was strongly influenced by the removal of residual oxygen atoms from the SiC surface. Symmetrical and asymmetrical X-ray rocking curve (XRC) measurements revealed that initial 2D growth was essential to obtain the excellent crystalline quality of AlN layer.

    DOI: 10.1143/JJAP.42.L445

    Web of Science

    Scopus

  358. Fabrication of SiC lateral super junction diodes with multiple stacking p- and n-layers

    Miura M, Nakamura S, Suda J, Kimoto T, Matsunami H

    IEEE ELECTRON DEVICE LETTERS   Vol. 24 ( 5 ) page: 321 - 323   2003.5

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:IEEE Electron Device Letters  

    Using epitaxial multiple p-n junction structures of 4H-SiC, lateral super junction diodes were fabricated for the first time. The breakdown voltage of the device was 400 V, which is more than 3 × higher than the theoretical value calculated for a device with uniformly-doped drift layer (130 V), indicating the effective operation of super junction structure.

    DOI: 10.1109/LED.2003.812561

    Web of Science

    Scopus

  359. ZrB2 substrate for nitride semiconductors

    Kinoshita H, Otani S, Kamiyama S, Amano H, Akasaki I, Suda J, Matsunami H

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   Vol. 42 ( 4B ) page: 2260 - 2264   2003.4

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers  

    Zirconium diboride (ZrB2) has excellent properties as an electrically conductive substrate for nitride semiconductors. In this paper, the bulk growth of ZrB2 by a floating-zone method and some properties of ZrB2, together with a brief summary of heteroepitaxial growth of gallium nitride (GaN) by molecular beam epitaxy (MBE) and metalorganic vapor phase epitaxy (MOVPE), are presented. By optimizing the bulk growth conditions, a 20-mm-diameter ZrB2 single crystal was obtained. The cleavage direction of the ZrB2 (0001) substrate was confirmed to be parallel to [112̄0], which is parallel to that of GaN (0001). Epitaxial growth by both MBE and MOVPE was demonstrated. The grown n-type GaN/ZrB2 structure exhibited ohmic-like current-voltage behavior. These results indicate that ZrB2 has potential for application to the substrate for nitride semiconductors.

    DOI: 10.1143/JJAP.42.2260

    Web of Science

    Scopus

  360. Epitaxial growth of AlN on 6H-SiC (11(2)over-bar0) by molecular-beam epitaxy and effect of low-temperature buffer layer

    Onojima N, Suda J, Matsunami H

    GAN AND RELATED ALLOYS-2002   Vol. 743   page: 139 - 144   2003

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  361. Growth of high-quality non-polar AlN on 4H-SiC(11-20) substrate by molecular-beam epitaxy

    Onojima N, Suda J, Kimoto T, Matsunami H

    5TH INTERNATIONAL CONFERENCE ON NITRIDE SEMICONDUCTORS (ICNS-5), PROCEEDINGS   Vol. 0 ( 7 ) page: 2502 - 2505   2003

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Physica Status Solidi C: Conferences  

    Non-polar AlN epitaxial growth on SiC substrates with the (11-20) face, which is parallel to the 〈0001〉 direction, has been investigated. AlN(11-20) epilayers were grown on 6H- and 4H-SiC(11-20) substrates by molecular-beam epitaxy (MBE) using elemental Al and rf plasma-excited nitrogen. The crystalline structure of the AlN layer was strongly influenced by the stacking structure of the SiC substrate. A considerably smooth AlN(11-20) layer with an rms roughness of 0.3 nm was obtained on the 4H-SiC(11-20) substrate. From the results of X-ray diffraction (XRD), the superior crystalline quality of the AlN(11-20) layer on the 4H-SiC(11-20) substrate was clearly shown. © 2003 WILEY-VCH Verlag GmbH & Co. KGaA.

    DOI: 10.1002/pssc.200303396

    Web of Science

    Scopus

  362. Lattice relaxation of AlN buffer on surface-treated SiC in molecular-beam epitaxy for growth of high-quality GaN

    Suda J, Miura K, Honaga M, Onojima N, Nishi Y, Matsunami H

    GAN AND RELATED ALLOYS-2002   Vol. 743   page: 311 - 316   2003

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  363. Impact of SiC surface control on initial growth mode and crystalline quality of AlN grown by molecular-beam epitaxy

    Onojima N, Suda J, Kimoto T, Matsunami H

    5TH INTERNATIONAL CONFERENCE ON NITRIDE SEMICONDUCTORS (ICNS-5), PROCEEDINGS   Vol. 0 ( 7 ) page: 2529 - 2532   2003

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Physica Status Solidi C: Conferences  

    The surface pretreatment of SiC substrate for AlN heteroepitaxial growth was investigated to realize initial two-dimensional (2D) layer-by-layer growth. AlN layers were grown on atomically flat SiC (0001) substrates by plasma-assisted molecular-beam epitaxy (PA-MBE). To achieve initial 2D growth, the control of SiC surface chemistry was very important as well as that of surface flatness. Owing to Ga deposition on the SiC surface and subsequent flash-off, an oxygen-free (V3 × V3) R30° surface structure was achieved, and initial 2D growth with an evident RHEED intensity oscillation was demonstrated. The initial growth mode of AlN closely correlated with the crystalline quality of AlN layer. © 2003 WILEY-VCH Verlag GmbH & Co. KGaA.

    DOI: 10.1002/pssc.200303358

    Web of Science

    Scopus

  364. Surface control of ZrB2 (0001) substrate for molecular-beam epitaxy of GaN

    Suda J, Yamashita H, Armitage R, Kimoto T, Matsunami H

    GAN AND RELATED ALLOYS - 2003   Vol. 798   page: 369 - 374   2003

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  365. Either step-flow or layer-by-layer growth for AlN on SiC (0001) substrates

    Suda J., Onojima N., Kimoto T., Matsunami H.

    Materials Research Society Symposium - Proceedings   Vol. 798   page: 311 - 316   2003

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Research Society Symposium - Proceedings  

    AlN was grown on 4H- or 6H-SiC (0001) on-axis substrates by plasma-assisted molecular beam epitaxy. By utilizing optimized SiC surface pretreatment, RHEED oscillations just after the growth of AlN were obtained with high reproducibility. This study focused on the growth kinetics of AlN and the correlation between kinetics and the crystalline quality of the grown layers. It was found that the growth mode changed from layer-by-layer to step-flow for high growth temperatures, while for lower temperatures the layer-by-layer growth mode persisted. The mechanism responsible for the change in growth mode is discussed. Symmetrical (0002) and asymmetrical (01-14) x-ray rocking curve measurements were carried out to evaluate the crystalline quality. For the (0002) peak, both high-temperature and low-temperature grown layers showed almost the same FWHM values. On the other hand, for the (01-14) peak, the FWHM of low-temperature grown AlN was much smaller (180 arcsec) than that of the high-temperature grown AlN (450 arcsec).

    DOI: 10.1557/proc-798-y3.4

    Scopus

  366. SiC Lateral Super-Junction Diodes Fabricated by Epitaxial Growth

    Miura M., Nakamura S.I., Suda J., Kimoto T., Matsunami H.

    Materials Science Forum   Vol. 433-436   page: 859 - 862   2003

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Science Forum  

    Lateral super junction diodes were fabricated using epitaxial multiple pn junction structures of 4H-SiC. The diodes showed very high on-state current densities of 500 A/cm2 at 3.9V and 1000 A/cm2 at 5.0V were obtained, and the corresponding specific on-state resistance of 2.1 mΩcm2. Even without the aid of conductivity modulation, a specific on-state resistance of 4.2 mΩcm2 was obtained, showing an extremely low value as lateral devices. The maximum breakdown voltage of the diodes was 400V, which is more than three times higher than the theoretical value calculated for a lateral p+n diode with a uniformly-doped drift region (130 V), indicating the effective operation of super junction structure made of SiC.

    DOI: 10.4028/www.scientific.net/msf.433-436.859

    Scopus

  367. Surface control of ZrB<inf>2</inf> (0001) substrate for molecular-beam epitaxy of GaN

    Suda J., Yamashita H., Armitage R., Kimoto T., Matsunami H.

    Materials Research Society Symposium - Proceedings   Vol. 798   page: 369 - 374   2003

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Research Society Symposium - Proceedings  

    Zirconium diboride (ZrB2) is a promising lattice-matched substrate for GaN-based materials. A key issue to realize high-quality heteroepitaxial growth is preparation of the substrate surface. The ZrB 2 surface was studied by x-ray photoemission spectroscopy (XPS) and reflection high-energy electron diffraction (RHEED). XPS results indicated the presence of both ZrO2 and ZrB2 on the as-received substrate surface. Thermal cleaning at 1000°C in ultra-high vacuum, Ar + ion sputtering, and wet chemical treatments were examined as surface preparation methods. After treatment with HF acid, the O peak intensity was much reduced. The combination of HF treatment and thermal cleaning resulted in sharp and intense RHEED from the ZrB2 surface. GaN grown on the surface by molecular-beam epitaxy exhibited intense photoluminescence, suggesting that this treatment is effective to obtain high-quality GaN on ZrB2 substrates.

    DOI: 10.1557/proc-798-y10.65

    Scopus

  368. Effects of 6H-SiC surface reconstruction on lattice relaxation of AlN buffer layers in molecular-beam epitaxial growth of GaN

    Suda J, Miura K, Honaga M, Nishi Y, Onojima N, Matsunami H

    APPLIED PHYSICS LETTERS   Vol. 81 ( 27 ) page: 5141 - 5143   2002.12

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Letters  

    A high-temperature HCl-gas etching technique followed by chemical treatment as a SiC substrate pretreatment, which resulted in 1 × 1 reconstruction with an atomically flat surface was proposed. The effects of SiC surface reconstruction on the lattice relaxation of AlN buffer layers and the crystalline quality of GaN layers were investigated. The GaN layers grown on the AlN buffer layer demonstrated the narrowest x-ray rocking curve (XRC) (0002) diffraction of 70 arcsec and 107 cm-2 screw-type dislocation density, which is two orders of magnitude smaller than that of GaN grown on as-received substrates.

    DOI: 10.1063/1.1533855

    Web of Science

    Scopus

  369. Growth of AlN (11(2)over-bar0) on 6H-SiC (11(2)over-bar0) by molecular-beam epitaxy

    Onojima N, Suda J, Matsunami H

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS   Vol. 41 ( 12A ) page: L1348 - L1350   2002.12

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics, Part 2: Letters  

    AlN epitaxial growth on 6H-SiC substrates with the (112̄0) face, which is parallel to the <0001> direction, has been investigated. AlN epitaxial layers were grown by molecular-beam epitaxy (MBE) using elemental Al and rf plasma-excited nitrogen (N*). The surface roughness of the AlN layers was relatively small (rms roughness of 0.98 nm). From the results of reflection high-energy electron diffraction (RHEED), AlN layers on 6H-SiC (112̄0) substrates were revealed to be grown toward the [112̄0] direction, not toward the [0001] direction. AlN and 6H-SiC (112̄0) had an exact epitaxial relationship, i.e., [112̄0]AlN ∥ [112̄0]SiC and [0001]AlN ∥ [0001]SiC. The polytype of the AlN layer was also discussed based on the results of microscopic Raman scattering spectroscopy.

    DOI: 10.1143/JJAP.41.L1348

    Web of Science

    Scopus

  370. Heteroepitaxial growth of group-III nitrides on lattice-matched metal boride ZrB2 (0001) by molecular beam epitaxy

    Suda J, Matsunami H

    JOURNAL OF CRYSTAL GROWTH   Vol. 237   page: 1114 - 1117   2002.4

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  371. Lattice relaxation process of AlN growth on atomically flat 6H-SiC substrate in molecular beam epitaxy

    Onojima N, Suda J, Matsunami H

    JOURNAL OF CRYSTAL GROWTH   Vol. 237   page: 1012 - 1016   2002.4

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  372. Heteroepitaxial growth of group-III nitrides on lattice-matched metal boride ZrB<inf>2</inf> (0 0 0 1) by molecular beam epitaxy

    Suda J., Matsunami H.

    Journal of Crystal Growth   Vol. 237-239 ( 1-4 II ) page: 1114 - 1117   2002.4

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Crystal Growth  

    Growth of group-III nitrides was carried out on zirconium diboride (ZrB2) (0 0 0 1) substrates for the first time. ZrB2 is a semi-metal compound and have a hexagonal crystal structure. The a-axis lattice constant of ZrB2 is 3.169Å, which is almost lattice-matched to GaN and AlN. GaN and AlN were grown on mirror-polished ZrB2 (0 0 0 1) substrate directly by molecular beam epitaxy using elemental Al and Ga, and radio frequency plasma-excited active nitrogen. Epitaxial growth was confirmed by in situ reflection high-energy electron diffraction observation and X-ray diffraction pole-figure measurement. The surface morphology of 1.4μm-thick GaN layer was rough. On the other hand, that of 0.9μm-thick AlN layer was specular. © 2002 Elsevier Science B.V. All rights reserved.

    DOI: 10.1016/S0022-0248(01)02065-6

    Scopus

  373. Lattice relaxation process of AlN growth on atomically flat 6H-SiC substrate in molecular beam epitaxy

    Onojima N., Suda J., Matsunami H.

    Journal of Crystal Growth   Vol. 237-239 ( 1-4 II ) page: 1012 - 1016   2002.4

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Crystal Growth  

    The lattice relaxation process of AlN growth on an atomically flat 6H-SiC (0 0 0 1)Si substrate was investigated by using high-resolution X-ray diffraction. The atomically flat 6H-SiC substrates were prepared by HCl gas etching at 1300°C. AlN layers were grown by molecular beam epitaxy using elemental Al and radio frequency plasma-excited active nitrogen. Owing to 1% in-plane lattice mismatch between AlN and 6H-SiC, lattice relaxation occurs at a certain thickness, which strongly affects the structural property of AlN layer. The layer thickness dependence of AlN c-axis lattice constant was examined. The structural property of these AlN layers is also discussed based on the linewidth of XRD ω-scan measurements. © 2002 Elsevier Science B.V. All rights reserved.

    DOI: 10.1016/S0022-0248(01)02118-2

    Scopus

  374. Scanning capacitance and spreading resistance microscopy of SiC multiple-pn-junction structure

    Suda J, Nakamura S, Miura M, Kimoto T, Matsunami H

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS   Vol. 41 ( 1AB ) page: L40 - L42   2002.1

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics, Part 2: Letters  

    Scanning capacitance microscopy (SCM) and scanning spreading resistance microscopy (SSRM) of a SiC multiple-pn-junction structure are presented. The structure was grown by atmospheric-pressure chemical vapor deposition using silane, propane and hydrogen. Nitrogen and diborane were used for n- and p-type doping gases, respectively. The SCM and SSRM results are compared with those of secondary ion mass spectrometry (SIMS). The 0.2-μm-thick n-type layer and 0.3-μm-thick p-type layer with a doping level of 1.5 × 1017 cm-3 in the multiple pn-junction were clearly resolved by both SCM and SSRM as well as SIMS.

    DOI: 10.1143/JJAP.41.L40

    Web of Science

    Scopus

  375. Molecular-beam epitaxial growth of insulating AlN on surface-controlled 6H-SiC substrate by HCl gas etching

    Onojima N, Suda J, Matsunami H

    APPLIED PHYSICS LETTERS   Vol. 80 ( 1 ) page: 76 - 78   2002.1

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    Web of Science

  376. Molecular-beam epitaxial growth of insulating AlN on surface-controlled 6H-SiC substrate by HCl gas etching

    Onojima N., Suda J., Matsunami H.

    Applied Physics Letters   Vol. 80 ( 1 ) page: 76 - 78   2002.1

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Letters  

    Insulating AlN layers were grown on surface-controlled 6H-SiC subtrates by molecular-beam epitaxy (MBE) using elemental Al and rf plasma-excited nitrogen (N*). HCl gas etching was introduced as an effective pretreatment method of substrate for MBE growth of AlN. 6H-SiC substrates pretreated by HCl gas etching had no surface polishing scratches and an atomically flat surface. In addition, evident (×)R30° surface reconstruction was observed even before thermal cleaning. AlN layers grown on this substrate had no defects related to surface polishing scratches and excellent insulating characteristics. © 2002 American Institute of Physics.

    DOI: 10.1063/1.1428620

    Scopus

  377. Scanning capacitance microscopy of SiC multiple PN junction structure grown by cold-wall chemical vapor deposition

    Suda J, Nakamura S, Miura M, Kimoto T, Matsunami H

    SILICON CARBIDE AND RELATED MATERIALS 2001, PTS 1 AND 2, PROCEEDINGS   Vol. 389-3   page: 659 - 662   2002

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  378. Epitaxial growth of AlN on 6H-SiC (1120) by molecular-beam epitaxy and effect of low-temperature buffer layer

    Onojima N., Suda J., Matsunami H.

    Materials Research Society Symposium - Proceedings   Vol. 743   page: 139 - 144   2002

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Research Society Symposium - Proceedings  

    Aluminum nitride (AlN) has been grown on 6H-silicon carbide (SiC) substrates with the non-polar (112̄0) face using rf plasma-assisted molecular-beam epitaxy (rf-MBE). Reflection high-energy electron diffraction (RHEED) revealed that AlN and 6H-SiC (112̄0) had an exact epitaxial relationship, i.e., [112̄0]AlN∥[112̄0]SiC and [0001]AlN∥[0001]SiC. From the result of microscopic Raman scattering spectroscopy, the stacking structure of the AlN epitaxial layer was suggested to be a 2H structure, not a 6H structure. A directly grown AlN layer and layer with AlN low-temperature (LT) buffer layer were investigated based on atomic force microscopy (AFM) and X-ray diffraction (XRD).

    DOI: 10.1557/proc-743-l3.21

    Scopus

  379. Heteroepitaxial growth of insulating AIN on 6H-SiC by MBE

    Onojima N., Suda J., Matsunami H.

    Materials Science Forum   Vol. 389-393   page: 1457 - 1460   2002

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Science Forum  

    Insulating AIN single crystals were grown on 6H-SiC substrates by molecular beam epitaxy (MBE). The insulating properties of AIN were investigated by a current-voltage (I-V) measurement of Al/AIN/6H-SiC metal-insulator-semiconductor (MIS) diode structures. 6H-SiC substrates pretreated by HCI gas etching had no surface polishing scratches and an atomically flat surface. The AIN layer grown on the atomically flat substrate was very smooth and exhibited excellent insulating properties. The resistivity of this layer was around 7×1013 Ωcm. Thinner AIN layers had superior insulating properties. From the result of X-ray diffraction (XRD) measurements, thinner AIN layers had superior structural quality. These results indicate strong correlations between insulating properties and structural quality of AIN layer.

    DOI: 10.4028/www.scientific.net/MSF.389-393.1457

    Scopus

  380. Scanning capacitance microscopy of SiC multiple PN junction structure grown by cold-wall chemical vapor deposition

    Suda J., Nakamura S., Miura M., Kimoto T., Matsunami H.

    Materials Science Forum   Vol. 389-393 ( 1 ) page: 659 - 662   2002

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Science Forum  

    Scanning capacitance microscopy (SCM) of a SiC multiple pn junction structure is presented. The structure was grown by cold-wall atmospheric-pressure chemical vapor deposition using silane, propane and hydrogen. Nitrogen and diborane were used for n- and p-type doping gases, respectively. From secondary ion mass spectrometry (SIMS), it was confirmed that a multiple pn junction consists of 0.2 μm-thick n-type layer and 0.3 μm-thick p-type layer with a doping level of 1.5×1017 cm-3 was successfully grown. The multiple pn junction structure was clearly resolved by SCM and scanning electron microscopy (SEM). © 2002 Trans Tech Publications.

    DOI: 10.4028/www.scientific.net/msf.389-393.659

    Scopus

  381. Lattice relaxation of AlN buffer on surface-treated SiC in molecular-beam epitaxy for growth of high-quality GaN

    Suda J., Miura K., Honaga M., Onojima N., Nishi Y., Matsunami H.

    Materials Research Society Symposium - Proceedings   Vol. 743   page: 311 - 316   2002

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Materials Research Society Symposium - Proceedings  

    The effects of SiC surface treatment on the lattice relaxation of AlN buffer layers and the crystalline quality of GaN layers grown on the buffer layers were studied. AlN buffer layers and GaN main layers were grown by plasma-assisted molecular-beam epitaxy on on-axis 6H-SiC (0001)Si substrates. High-temperature HCl-gas etching resulted in an atomically flat SiC surface with (√3×√3)R30° surface reconstruction, while HCl-gas etching followed by HF chemical treatment resulted in an atomically flat surface with (1×1) structure. The AlN layer grown on the (1×1) surface showed slower lattice relaxation. GaN grown on the AlN buffer layer exhibited a (0002) X-ray rocking curve of 70 arcsec and 107 cm-2 of screw-type dislocation density, which was superior than that of GaN grown on (√3×√3)R30° surface.

    DOI: 10.1557/proc-743-l4.6

    Scopus

  382. Heteroepitaxial growth of insulating AlN on 6H-SiC by MBE

    Onojima N, Suda J, Matsunami H

    SILICON CARBIDE AND RELATED MATERIALS 2001, PTS 1 AND 2, PROCEEDINGS   Vol. 389-3   page: 1457 - 1460   2002

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    Web of Science

  383. Zirconium diboride (0001) as an electrically conductive lattice-matched substrate for gallium nitride

    Kinoshita H, Otani S, Kamiyama S, Amano H, Akasaki I, Suda J, Matsunami H

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS   Vol. 40 ( 12A ) page: L1280 - L1282   2001.12

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    Web of Science

  384. Zirconium diboride (0001) as an electrically conductive lattice-matched substrate for gallium nitride

    Kinoshita H., Otani S., Kamiyama S., Amano H., Akasaki I., Suda J., Matsunami H.

    Japanese Journal of Applied Physics, Part 2: Letters   Vol. 40 ( 12 A )   2001.12

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics, Part 2: Letters  

    Zirconium diboride (ZrB2) has a hexagonal crystal structure with an in-plane lattice constant of 3.168 Å, very close to that of GaN (3.189 Å). It is a metalloid compound and a very good electrical conductor, with a resistivity of 4.6μΩ·cm. We propose ZrB2 (0001) as an electrically conductive lattice-matched substrate for GaN. Firstly, bulk crystal growth of ZrB2 using a radio frequency (rf)-heated floating zone (FZ) method is presented. Relatively large crystals (φ 10 mm × 60 mm) were obtained using this method. The thermal expansion coefficient and thermal conductivity of ZrB2 were evaluated using this crystal. Characterization of ZrB2 (0001) mirror-polished substrate was carried out by optical microscopic examination and X-ray diffraction. Finally, the results of heteroepitaxial growth are briefly mentioned.

    DOI: 10.1143/jjap.40.l1280

    Scopus

  385. Selective area growth of cubic GaN on 3C-SiC (001) by metalorganic molecular beam epitaxy

    Suda J, Kurobe T, Nakamura S, Matsunami H

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS   Vol. 39 ( 11A ) page: L1081 - L1083   2000.11

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  386. Selective area growth of cubic GaN on 3C-SiC (001) by metalorganic molecular beam epitaxy

    Suda J., Kurobe T., Nakamura S., Matsunami H.

    Japanese journal of applied physics   Vol. 39 ( 11 A )   2000.11

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese journal of applied physics  

    Selective area growth (SAG) of cubic GaN (c-GaN) was performed by metalorganic molecular beam epitaxy (MOMBE). The substrates used in this study were vapor phase epitaxy (VPE)-grown 3C-SiC on Si (001) 4°-off substrates. As a mask, 70-nm-thick SiO2 was formed by thermal oxidation of 3C-SiC and patterned by photolithography or focused ion beam (FIB) etching. GaN was grown on these patterned 3C-SiC substrates without a low-temperature-grown (LT) buffer layer. At a high growth temperature (850 °C), growth of GaN did not occur even on a 3C-SiC surface. At a low temperature (800 °C), c-GaN was epitaxially grown on a 3C-SiC surface, while polycrystalline GaN (poly-GaN) was grown on the SiO2-masked region. Growth of poly-GaN on the mask was suppressed by optimizing the growth temperature and V/III supply ratio. The possibility of positioning control for c-GaN microcrystals is also presented.

    DOI: 10.1143/jjap.39.l1081

    Scopus

  387. GaP/Si heterojunction with ohmic conduction fabricated by wafer fusion technique

    Soeno A, Kajita D, Suda J, Matsunami H

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS   Vol. 39 ( 9AB ) page: L905 - L907   2000.9

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  388. GaP/Si heterojunction with ohmic conduction fabricated by wafer fusion technique

    Soeno A., Kajita D., Suda J., Matsunami H.

    Japanese Journal of Applied Physics, Part 2: Letters   Vol. 39 ( 9 A/B )   2000.9

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics, Part 2: Letters  

    In this letter, we report the fabrication of a GaP/Si heterojunction with ohmic conduction by a wafer fusion technique. After a hydrophilic pretreatment, GaP and Si wafers were brought in contact and annealed at 500 °C in a flowing forming gas for 30 min. The electrical properties of n-GaP/n+-Si and p+-GaP/n+-Si junctions fabricated by this procedure were investigated. The n-GaP/n+-Si junction exhibited potential barriers for both directions, indicating Fermi-level pinning due to high-density interface states at the fused interface. For the p+-GaP/n+-Si junction, ohmic conduction (10-20 Ω·cm2) was observed. This may be due to carrier transport by a tunneling process. The wafer fusion technique of GaP/Si is applicable to optoelectronic integrated circuits (OEICs) and high-efficiency tandem-type solar cells.

    DOI: 10.1143/jjap.39.l905

    Scopus

  389. Morphological diversity in the crystal growth of potassium and rubidium dichromates in gelatin gel

    Suda J., Matsushita M., Izumi K.

    Journal of the Physical Society of Japan   Vol. 69 ( 1 ) page: 124 - 129   2000.1

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of the Physical Society of Japan  

    We have found that the crystals of rubidium dichromate (Rb2Cr2O7) grown in gelatin gel have a rich variety of morphologies such as platelike, spherulitic and diffusion-limited aggregation (DLA)-like shapes. The morphological diagram has been determined in the range of solute and gelatin concentrations from 1.0 to 4.0%, 0.1 to 7.0%, respectively. The diagram corresponds to that of potassium dichromate (K2Cr2O7) which is similar to Rb2Cr2O7 in the crystal structure and physico-chemical properties. However, featherlike crystals have grown in the region of the diagram where the unique helical K2Cr2O7 crystals grow. We discuss the morphological changes of two kinds of dichromate crystals grown in gelatin gel.

    DOI: 10.1143/JPSJ.69.124

    Scopus

  390. Morphological diversity in the crystal growth of potassium. and rubidium dichromates in gelatin gel

    Suda J, Matsushita M, Izumi K

    JOURNAL OF THE PHYSICAL SOCIETY OF JAPAN   Vol. 69 ( 1 ) page: 124 - 129   2000.1

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    Web of Science

  391. Growth of cubic GaN by metal organic molecular beam epitaxy

    Suda J., Kurobe T., Matsunami H.

    Shinku/Journal of the Vacuum Society of Japan   Vol. 43 ( 4 ) page: 512 - 517   2000

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Shinku/Journal of the Vacuum Society of Japan  

    Growth of GaN on sapphire (0001) substrates by metalorganic molecular beam epitaxy (MOMBE) was studied using triethyl gallium (TEGa) and rf plasma excited active nitrogen. A series of samples were grown under various TEGa flow rates while growth temperature (800 °C) and nitrogen supply were fixed. With increasing TEGa flow rate, the dominant polytype of grown layer changed from hexagonal GaN (h-GaN) to cubic GaN (c-GaN). From the dependence of growth rate on TEGa flow rates, it was revealed that a Ga-stabilized growth condition results in the growth of c-GaN. This preferential growth mode was applied to the growth of c-GaN on cubic 3C-SiC (001) substrates.

    DOI: 10.3131/jvsj.43.512

    Scopus

  392. Preferential growth mode of cubic GaN by metalorganic molecular beam epitaxy on sapphire (0001) substrates

    Suda J, Kurobe T, Masuda T, Matsunami H

    PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE   Vol. 176 ( 1 ) page: 503 - 507   1999.11

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  393. Preferential growth mode of cubic GaN by metalorganic molecular beam epitaxy on sapphire (0001) substrates

    Suda J., Kurobe T., Masuda T., Matsunami H.

    Physica Status Solidi (A) Applied Research   Vol. 176 ( 1 ) page: 503 - 507   1999.11

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Physica Status Solidi (A) Applied Research  

    Growth of GaN on sapphire (0001) substrates by metalorganic molecular beam epitaxy (MOMBE) was studied using triethyl gallium (TEGa) and rf-plasma excited active nitrogen. A series of samples were grown under various TEGa flow rates while growth temperature (800 °C) and nitrogen supply were fixed. At a very low TEGa flow rate, the grown layer was polycrystalline. With increasing TEGa flow rate, the dominant polytype of the grown layer changed from hexagonal GaN (h-GaN) to cubic GaN (c-GaN). From the dependence of growth rate on TEGa flow rates, it was revealed that a Ga-stabilized growth condition results in the growth of c-GaN. By increasing growth time, the c-GaN composition became larger, indicating preferential growth of c-GaN.

    DOI: 10.1002/(SICI)1521-396X(199911)176:1<503::AID-PSSA503>3.0.CO;2-1

    Scopus

  394. Growth evolution of cubic-GaN on sapphire (0001) substrate by metalorganic molecular beam epitaxy

    Suda J, Kurobe T, Matsunami H

    JOURNAL OF CRYSTAL GROWTH   Vol. 201   page: 437 - 440   1999.5

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  395. Growth evolution of cubic-GaN on sapphire (0 0 0 1) substrate by metalorganic molecular beam epitaxy

    Suda J., Kurobe T., Matsunami H.

    Journal of Crystal Growth   Vol. 201   page: 437 - 440   1999.5

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Crystal Growth  

    Cubic GaN (c-GaN) could be grown on sapphire (0 0 0 1) substrates by metalorganic molecular beam epitaxy (MOMBE) under a Ga-rich condition at a relatively high growth temperature (800 °C). The growth was carried out with two different substrate treatments, either nitridation or deposition of a GaN buffer layer at a low temperature (400 °C). In both case, the grown layer contains not only c-GaN but also hexagonal GaN (h-GaN). The ratio of h-GaN to c-GaN is greatly reduced by using the buffer layer. Based on the experimental results, a growth model of c-GaN is proposed.

    DOI: 10.1016/S0022-0248(98)01370-0

    Scopus

  396. Preferential growth of cubic GaN on sapphire (0001) substrates by metal organic molecular beam epitaxy

    Kurobe T., Sekiguchi Y., Suda J., Yoshimoto M., Matsunami H.

    Applied Physics Letters   Vol. 73 ( 16 ) page: 2305 - 2307   1998.12

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Letters  

    Preferential growth of high-quality cubic GaN on sapphire (0001) substrates was realized at 800°C under a Ga-rich condition by metal organic molecular beam epitaxy. Hexagonal GaN was grown under a N-rich condition. On the contrary, under the Ga-rich condition, the growing layer changed from a hexagonal phase to a cubic phase as the growth proceeds, which was verified by in situ reflection high-energy electron diffraction. The low-temperature photoluminescence (PL) of this layer was dominated by a sharp and intense excitonic emission of cubic GaN, indicating high crystal quality. The results of PL and x-ray diffraction indicate that the polytype of GaN can be intentionally controlled by changing V/III ratios without serious degradation of crystal quality. In addition, enhancement of cubic phase growth by using a low-temperature grown buffer layer is also discussed. © 1998 American Institute of Physics.

    DOI: 10.1063/1.121805

    Scopus

  397. Preferential growth of cubic GaN on sapphire (0001) substrates by metal organic molecular beam epitaxy

    Kurobe T, Sekiguchi Y, Suda J, Yoshimoto M, Matsunami H

    APPLIED PHYSICS LETTERS   Vol. 73 ( 16 ) page: 2305 - 2307   1998.10

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  398. Optical properties of light-hole excitons in ZnSSe/ZnMgSSe tensile-strained quantum wells

    Suda J, Ogawa M, Sakurai K, Kawakami Y, Fujita S, Fujita S

    JOURNAL OF CRYSTAL GROWTH   Vol. 184   page: 863 - 866   1998.2

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  399. Optical properties of light-hole excitons in ZnSSe/ZnMgSSe tensile-strained quantum wells

    Suda J., Ogawa M., Sakurai K., Kawakami Y., Fujita S., Fujita S.

    Journal of Crystal Growth   Vol. 184-185   page: 863 - 866   1998

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Crystal Growth  

    Optical properties are assessed in the ZnSSe/ZnMgSSe tensile-strained multiple quantum wells (MQWs) which were grown on GaAs (0 0 1) substrates by gas-source molecular-beam epitaxy (GSMBE). Optically pumped lasing was performed at 23 K in the transverse magnetic (TM) mode with the threshold excitation intensity of 80 kW/cm2, indicating that optical gain is contributed from the recombination process of light-hole excitons in the ZnSSe wells. Time-resolved photoluminescence (TRPL) spectroscopy revealed the dynamical behavior of localized excitons. © 1998 Elsevier Science B.V. All rights reserved.

    DOI: 10.1016/s0022-0248(98)80180-2

    Scopus

  400. Hydrogen sulfide treatment of GaAs substrate and its effects on initial stage of ZnSe growth

    Suda J, Tokutome R, Kawakami Y, Fujita S, Fujita S

    JOURNAL OF CRYSTAL GROWTH   Vol. 175   page: 593 - 597   1997.5

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  401. Hydrogen sulfide treatment of GaAs substrate and its effects on initial stage of ZnSe growth

    Suda J., Tokutome R., Kawakami Y., Fujita S., Fujita S.

    Journal of Crystal Growth   Vol. 175-176 ( PART 1 ) page: 593 - 597   1997.5

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Crystal Growth  

    Hydrogen sulfide (H2S) treatment of GaAs substrates was examined by means of in situ reflection high energy electron diffraction (RHEED) and ex situ atomic force microscope (AFM) observations. Its effects on initial stage of molecular beam epitaxy (MBE) of ZnSe were also investigated. According to the H2S treatment conditions, an atomically flat surface with (4 × 3) reconstruction or a faceted surface with (2 × 6) reconstruction was obtained. Clear RHEED intensity oscillations over 40 cycles were observed just after starting the growth on the (4 × 3) surface, showing two-dimensional (2D) nucleation, i.e., layer-by-layer growth of ZnSe. Etch pit density (EPD) of the epilayer was about 2.5 × 106 cm-2, which was much lower than that obtained by the ever applied ammonium-sulfide ((NH4)2Sx) treatment.

    DOI: 10.1016/S0022-0248(96)00997-9

    Scopus

  402. Surface reconstruction and morphology of hydrogen sulfide treated GaAs (001) substrate

    Suda J, Kawakami Y, Fujita S, Fujita S

    CONTROL OF SEMICONDUCTOR SURFACES AND INTERFACES   Vol. 448   page: 15 - 20   1997

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  403. (2x6) surface reconstruction of GaAs (001) obtained by hydrogen sulfide irradiation

    Suda J, Kawakami Y, Fujita S, Fujita S

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS & EXPRESS LETTERS   Vol. 35 ( 11B ) page: L1498 - L1500   1996.11

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  404. (2 × 6) surface reconstruction of GaAs (001) obtained by hydrogen sulfide irradiation

    Suda J., Kawakami Y., Fujita S., Fujita S.

    Japanese Journal of Applied Physics, Part 2: Letters   Vol. 35 ( 11 SUPPL. B )   1996.11

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics, Part 2: Letters  

    Sulfur treatment of GaAs (001) using hydrogen sulfide to obtain a (2 × 6) S-terminated surface is presented. When a GaAs substrate with no epitaxial buffer layer is annealed at up to 580°C in a high vacuum (< 1 × 10-9 Torr) a mixture of (3 × 6) and (4 × 6) Ga-terminated reconstructions is observed. After cooling of the substrate to 300°C and irradiating it with hydrogen sulfide, a clear (2 × 6) S-terminated surface reconstruction appears in a few minutes.

    DOI: 10.1143/jjap.35.l1498

    Scopus

  405. Growth of p-type ZnSe by metalorganic molecular beam epitaxy using metal Zn and dimethylselenide

    Suda J, Tsuka M, Honda D, Funato M, Kawakami Y, Fujita S, Fujita S

    JOURNAL OF ELECTRONIC MATERIALS   Vol. 25 ( 2 ) page: 223 - 227   1996.2

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  406. The role of defects on radiative transitions in nitrogen doped ZnSe

    Hauksson IS, Suda J, Tsuka M, Kawakami Y, Fujita S, Fujita S

    JOURNAL OF CRYSTAL GROWTH   Vol. 159 ( 1-4 ) page: 329 - 333   1996.2

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  407. Dynamics of dense excitonic systems in ZnSe-based single quantum wells

    Yamada Y, Mishina T, Masumoto Y, Kawakami Y, Suda J, Fujita S, Fujita S, Taguchi T

    JOURNAL OF CRYSTAL GROWTH   Vol. 159 ( 1-4 ) page: 814 - 817   1996.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    Web of Science

  408. Dynamics of dense excitonic systems in ZnSe-based single quantum wells

    Yamada Y., Mishina T., Masumoto Y., Kawakami Y., Suda J., Fujita S., Fujita S., Taguchi T.

    Journal of Crystal Growth   Vol. 159 ( 1-4 ) page: 814 - 817   1996.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Crystal Growth  

    Time-resolved nonlinear luminescence of excitons and bi-excitons in ZnSe-based single quantum wells has been studied by means of a sub-picosecond excitation correlation technique. The enhancement in luminescence efficiency of bi-excitons was clearly observed in a time-correlation trace of nonlinear bi-exciton luminescence. The origin of the enhancement was attributed to the higher-order nonlinearity with respect to the density of bi-excitons, and was explained by considering the stimulation of bi-exciton luminescence.

    DOI: 10.1016/0022-0248(95)00700-8

    Scopus

  409. Growth of P-type ZnSe by metalorganic molecular beam epitaxy using metal Zn and dimethylselenide

    Suda J., Tsuka M., Honda D., Funato M., Kawakami Y., Fujita S., Fujita S.

    Journal of Electronic Materials   Vol. 25 ( 2 ) page: 223 - 227   1996.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Electronic Materials  

    This paper describes metalorganic molecular beam epitaxy (MOMBE) of p-type ZnSe using metal zinc, pre-cracked metalorganic dimethylselenide, and microwave-excited nitrogen plasma as sources. Optical, structural, and electrical properties of the p-type ZnSe layers have been investigated. At present, maximum net acceptor concentration Na-Nd is 3 × 1017 cm-3 without any post-growth annealing. This is the highest acceptor concentration ever reported for MOMBE-grown p-type ZnSe doped with nitrogen plasma, but photoluminescence and deep level transient spectroscopy suggest that acceptors are highly compensated and the reduction of compensating defects is a key to further increase the acceptor concentration.

    DOI: 10.1007/BF02666248

    Scopus

  410. The role of defects on radiative transitions in nitrogen doped ZnSe

    Hauksson I., Suda J., Tsuka M., Kawakami Y., Fujita S., Fujita S.

    Journal of Crystal Growth   Vol. 159 ( 1-4 ) page: 329 - 333   1996.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Crystal Growth  

    The radiative emission in nitrogen doped ZnSe grown by molecular beam epitaxy (MBE) and metalorganic molecular beam epitaxy (MOMBE) has been studied by means of time-resolved spectroscopy at 18 K. The lifetime of the free exciton emission and the oscillator strength of the acceptor bound exciton transition decreases with increased nitrogen incorporation. ZnSe:N MOMBE grown samples show different or additional compensation mechanism compared with MBE grown ZnSe:N due to higher defect concentration. The characteristic lifetime of the donor-acceptor pair emission is found to increase with increased incorporation of nitrogen as longer radiative lifetime of more localized carriers is expected as the carriers are trapped in deeper potential wells.

    DOI: 10.1016/0022-0248(95)00585-4

    Scopus

  411. TIME-RESOLVED NONLINEAR LUMINESCENCE OF BIEXCITONS IN ZNSE-ZNXMG1-XSYSE1-Y SINGLE QUANTUM-WELLS

    YAMADA Y, MISHINA T, MASUMOTO Y, KAWAKAMI Y, SUDA J, FUJITA S, FUJITA S

    PHYSICAL REVIEW B   Vol. 52 ( 4 ) page: R2289 - R2292   1995.7

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    Web of Science

  412. GROWTH OF ZNSE/ZNMGSSE QUANTUM-WELL STRUCTURES BY METALORGANIC MOLECULAR-BEAM EPITAXY UNDER IN-SITU OBSERVATION OF REFLECTION HIGH-ENERGY ELECTRON-DIFFRACTION INTENSITY OSCILLATION

    SUDA J, KAWAKAMI Y, FUJITA S, FUJITA S

    JOURNAL OF CRYSTAL GROWTH   Vol. 150 ( 1-4 ) page: 738 - 742   1995.5

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  413. Growth of ZnSe/ZnMgSSe quantum well structures by metalorganic molecular beam epitaxy under in situ observation of reflection high energy electron diffraction intensity oscillation

    Suda J., Kawakami Y., Fujita S., Fujita S.

    Journal of Crystal Growth   Vol. 150   page: 738 - 742   1995

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Journal of Crystal Growth  

    ZnSe/ZnMgSSe quantum well (QW) structures with an abrupt heterointerface have successfully been grown on [100]-oriented GaAs substrates by metalorganic molecular beam epitaxy (MOMBE) under in situ observation of reflection high energy electron diffraction (RHEED). Source materials used were elemental zinc (Zn), selenium (Se), the RHEED specular spot were observed over 10 cycles during the growth of ZnSe well and ZnMgSSe barrier layers. A series of single quantum wells (SQWs) with various well widths were grown and characterized by photoluminescence (PL) measurements at 4.2 K. SQWs with 24 and 33 Å well widths exhibited sharp intense emissions which originated from n=1 heavy-hole free excitons. © 1995, All rights reserved.

    DOI: 10.1016/0022-0248(95)80038-E

    Scopus

  414. Time-resolved nonlinear luminescence of biexcitons in ZnSe-ZnxMg1-xSySe1-y single quantum wells

    Yamada Y., Mishina T., Masumoto Y., Kawakami Y., Suda J., Fujita S., Fujita S.

    Physical Review B   Vol. 52 ( 4 )   1995

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Physical Review B  

    Nonlinear optical properties of dense excitonic systems in ZnSe-ZnxMg1-xSySe1-y single quantum wells have been studied by means of time-resolved nonlinear luminescence spectroscopy, based on an excitation correlation technique. The enhancement in luminescence efficiency of biexcitons was clearly observed in a time-correlation trace of nonlinear biexciton luminescence. The origin of the enhancement was attributed to the stimulation of biexciton luminescence. © 1995 The American Physical Society.

    DOI: 10.1103/PhysRevB.52.R2289

    Scopus

  415. OPTICAL-PROPERTIES OF ZNSE/ZNMGSSE SINGLE QUANTUM-WELLS GROWN BY METALORGANIC MOLECULAR-BEAM EPITAXY

    SUDA J, KAWAKAMI Y, FUJITA S, FUJITA S

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS   Vol. 33 ( 7B ) page: L986 - L989   1994.7

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  416. Optical properties of ZnSe/ZnMgSSe single quantum wells grown by metalorganic molecular beam epitaxy

    Suda J., Kawakami Y., Fujita S., Fujita S.

    Japanese Journal of Applied Physics   Vol. 33 ( 7 ) page: L986 - L989   1994.7

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    In this letter we report optical properties of ZnSe/ZnMgSSe single quantum wells (SQWs) grown by metalorganic molecular beam epitaxy (MOMBE). At 4.2 K, a 10.5 monolayer (30 Å) ZnSe SQW confined in Zn0.90Mg0.10-S0.18Se0.82 layers exhibited intense purplish blue photoluminescence (PL) peaking at 2.865 eV, whose linewidth was as small as 5 meV. This emission was assigned to the n=1 heavy-hole exciton (.Ex1hh)- Another peak appeared on the low-energy side of Ex1hh under high excitation and grew nonlinearly with increasing excitation intensity. We tentatively attribute this line to the recombination of biexcitons. The exciton-longitudinal-optical(LO)-phonon coupling constant was also assessed from the temperature dependence of PL measurements. © 1994 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.33.L986

    Scopus

  417. GAS-SOURCE MOLECULAR-BEAM EPITAXIAL-GROWTH OF (ZN,MG)(S,SE) USING BIS-METHYLCYCLOPENTADIENYL-MAGNESIUM AND HYDROGEN-SULFIDE

    SUDA J, KAWAKAMI Y, FUJITA S, FUJITA S

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS   Vol. 33 ( 3A ) page: L290 - L293   1994.3

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

  418. Gas-source molecular beam epitaxial growth of (zn, mg)(s, se) using bis-methylcyclopentadienyl-magnesium and hydrogen sulfide

    Suda J., Kawakami Y., Fujita S., Fujita S.

    Japanese Journal of Applied Physics   Vol. 33 ( 3 ) page: L290 - L293   1994.3

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    In this letter we report the first successful gas-source molecular beam epitaxy (GSMBE) of ZnMgSSe on (100) GaAs substrates. Source materials used were elemental zinc (Zn), elemental selenium (Se), hydrogen sulfide (H2S) and bis-methylcyclopentadienyl-magnesium ((MeCp)2Mg). It is revealed that the growth by this technique results in good controllability of solid compositions of this quaternary alloy system. Photoluminescence and X-ray diffraction measurements show that the layer coherently grown on GaAs exhibits high quality comparable to that grown by conventional molecular beam epitaxy (MBE). © 1994 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.33.L290

    Scopus

  419. GAS-SOURCE MBE OF ZNMGSSE LAYERS

    FUJITA S, SUDA J, KAWAKAMI Y, FUJITA S

    II-VI BLUE/GREEN LASER DIODES   Vol. 2346   page: 40 - 47   1994

     More details

    Publishing type:Research paper (scientific journal)  

    Web of Science

▼display all

Books 2

  1. Lifetime-Killing Defects in 4H-SiC Epilayers and Lifetime Control by Low-Energy Electron Irradiation

    Kimoto T., Danno K., Suda J.( Role: Sole author)

    Silicon Carbide  2011.4  ( ISBN:9783527409532

     More details

    Language:Japanese

    DOI: 10.1002/9783527629053.ch10

    Scopus

  2. 4H-SiC MISFETs with Nitrogen-Containing Insulators

    Noborio M., Suda J., Beljakowa S., Krieger M., Kimoto T.( Role: Sole author)

    Silicon Carbide  2011.3  ( ISBN:9783527409976

     More details

    Language:Japanese

    DOI: 10.1002/9783527629077.ch10

    Scopus

KAKENHI (Grants-in-Aid for Scientific Research) 20

  1. 炭化珪素基板上へのⅢ族窒化物の超高品質コヒーレント成長の基礎とデバイス応用

    2012.4 - 2016.3

    科学研究費補助金  基盤研究(B)

    須田 淳

      More details

    Authorship:Principal investigator 

    SiC基板上への高Al組成窒化物半導体のコヒーレント成長の基礎を築き、デバイス応用への展開を目指して研究を行った。高Al組成AlGaNの成長として、組成や構造のデジタル的な制御が可能な、AlN/GaN短周期超格子に着目した。さまざまな構造・成長条件のAlN/GaN短周期超格子の臨界膜厚の解明、緩和メカニズムの解明を行い、GaNモル分率20%の規則混晶のコヒーレント成長に成功した。また、3BLのGaNを成長すると格子緩和がはじまることを明らかにした。極薄GaNの格子緩和はゆっくりと生じることを利用して、SiC基板上に圧縮、引っ張り歪みを持つAlNを成長する方法も提案した。

  2. 炭化珪素半導体の欠陥制御と超高耐圧ロバスト素子への応用

    2009.4 - 2014.3

    科学研究費補助金  基盤研究(S)

    木本 恒暢

      More details

    電力系統や高圧電源に用いられる高効率電力変換用パワーデバイスの実現を目指し、炭化珪素(SiC)半導体に関する材料科学と超高耐圧デバイスの基礎研究を遂行した。主な成果として、高純度結晶の作製、拡張欠陥の構造および物性の解明、拡張欠陥の非破壊高速検出、深い準位の物性解明、キャリア寿命キラー欠陥の大幅な低減とキャリア寿命の増大、キャリア寿命制御、超高耐圧を可能とする接合終端構造および設計指針の提示、絶縁破壊機構に関する考察、固体素子として最高の超高耐圧(20kV以上) SiC PiNダイオードおよびバイポーラトランジスタの作製、特性解析と高温動作(300℃)の実証を達成した。

  3. 超高耐圧ロバスト素子を目指した炭化珪素半導体の欠陥制御に関する研究

    2009.4 - 2010.3

    科学研究費補助金  基盤研究(A)

    木本 恒暢

      More details

    Authorship:Coinvestigator(s) 

  4. 新しい結晶構造を持つ窒化アルミニウムの物性制御と深紫外発光デバイスへの展開

    2008.4 - 2011.3

    科学研究費補助金  基盤研究(B)

      More details

    Authorship:Principal investigator 

  5. イオン注入および埋め込み再成長を利用したSiC超接合パワーMOSFETの研究

    2006.4 - 2009.3

    科学研究費補助金  基盤研究(A)

    木本 恒暢

      More details

    Authorship:Coinvestigator(s) 

  6. ヘテロバレント・ヘテロポリタイプSiC上III族窒化物結晶成長の総合的理解と制御

    2004.4 - 2007.3

    科学研究費補助金  若手研究(A)

      More details

    Authorship:Principal investigator 

  7. Dislocation passivation in InGaN by intentinally using immiscible nature during MBE growth by DERI method

    Grant number:26600090  2014.4 - 2017.3

    NANISHI YASUSHI

      More details

    Authorship:Collaborating Investigator(s) (not designated on Grant-in-Aid) 

    InGaN alloys are currently widely used as active layers of blue LEDs. Optical and electronic characteristics are degraded dramatically, however, when we increase In composition to fabricate green, red and infra-red LEDs due to generation of dislocations. In this study, we tried to suppress this dislocation effect by using DERI method, newly developed RF-MBE growth method by us, for high quality InN growth. Intentionally utilizing immiscible nature of InGaN alloys, we grew Ga-rich InGaN surrounding dislocations with wider bandgap. It was found that leakage current due to dislocation was suppressed as expected by conductive AFM measurements.

  8. Coherent growth of high-quality group-III nitirides on SiC substrates and its device applications

    Grant number:24360009  2012.4 - 2016.3

    Suda Jun

      More details

    Authorship:Principal investigator 

    Grant amount:\18590000 ( Direct Cost: \14300000 、 Indirect Cost:\4290000 )

    Coherent Growth of high-Al-content AlGaN on SiC substrates aiming at device applications were investigated. As high-Al-content AlGaN, AlN/GaN short-period superlattices were grown. Various kinds of AlN/GaN Superlattices were grown to assess critical composition as well as critical thickness for coherent growth on SiC substrates. Superlattices with GaN mole fraction of 20% were successfully growth coherently. On the other hand, it was found that growth of 3-bilayer-thick GaN results in lattice relaxation. The relaxation was gradual. By using this nature, strain-controlled AlN were grown on SiC substrates via ultra-thin GaN/AlN multilayer structures.

  9. Temperature independent SiC photodetector operating up to 500 degreeC

    Grant number:24656230  2012.4 - 2014.3

    SUDA Jun

      More details

    Authorship:Principal investigator 

    Grant amount:\4030000 ( Direct Cost: \3100000 、 Indirect Cost:\930000 )

    Ultra-violet photo detectors which can be operated up to 500 degreeC are needed for monitoring system of power plant and chemical plant. Such photo detectors are also expected to be used in engine combustion monitoring for automotive or aerospace field. Silicon (Si) which is mainly used in nowadays electronics cannot be operated at over 200 degreeC due to its small energy bandgap of 1.12 eV. Wide-bandgap semiconductor, silicon carbide (4H-SiC) is promising candidate for such photo detectors. In this study, we investigated optical properties of SiC, which are required for device design. We also found effective suppression method of leakage current which degrades sensitivity of photo detector. Based on these results, we successfully demonstrated operation of SiC photo detectors at 500 degree C.

  10. Defect Engineering in SiC and Application to Robust Devices with Ultrahigh Blocking Voltage

    Grant number:21226008  2009.5 - 2014.3

    KIMOTO Tsunenobu

      More details

    Authorship:Coinvestigator(s) 

    Defect electronics in SiC and ultrahigh-voltage SiC power devices have been studied toward efficient electric power conversion employed for future smart grids. Fast epitaxy of high-purity SiC was developed, and extended defects in SiC epitaxial layers were systematically characterized. Physical properties of the major deep levels were elucidated. The carrier-lifetime killer defects could be eliminated, leading to remarkably enhanced carrier lifetimes. Control of carrier lifetimes was also achieved. Original junction-termination structures were proposed to achieve ultrahigh blocking voltage with SiC, and breakdown mechanism of SiC devices was discussed. By utilizing thick, lightly-doped SiC epitaxial layers and the original device structures, ultrahigh-voltage (> 20 kV) PiN diodes and npn bipolar transistors were realized. The performance was significantly improved by enhancement of carrier lifetimes, and high-temperature operation of SiC devices was demonstrated.

  11. 超高耐圧ロバスト素子を目指した炭化珪素半導体の欠陥制御に関する研究

    Grant number:21246051  2009

    木本 恒暢

      More details

    Authorship:Coinvestigator(s) 

    超高耐圧素子の作製に必要な高純度・厚膜SiCエピタキシャル成長層の形成と欠陥評価について研究を行った。得られた成果は以下のとおりである。
    (1)独自の化学気相堆積法により、70-90μm/hの高速で70-160μmの厚膜SiCエピタキシャル成長層を形成することに成功した。成長層の表面は原子レベルで平坦であり、残留不純物密度が約1E13/cm3という高純度結晶を得た。また、SiCパワーデバイスの信頼性に悪影響を及ぼす基底面転位(基板から伝播)の密度が、成長速度の上昇と共に減少することを見出した。
    (2)フォトルミネッセンス(PL)のマッピング測定により、SiC成長層中に存在するin-grown積層欠陥を高速・非破壊に検出できることを示した。SiC成長層中に存在する主要なin-grown積層欠陥には3種類あり、高分解能断面電子顕微鏡(TEM)観察により、各々の欠陥構造を原子レベルで明らかにした。さらに、成長初期プロセスの改良により、積層欠陥密度を大幅に低減することに成功した。
    (3)n型SiC成長層に存在する点欠陥(深い準位)をショットキー障壁容量の過渡特性解析(DLTS)により評価した。伝導帯底から約0.6eVおよび1.5eVのエネルギー位置に主要な電子トラップが存在すること、およびこれらの点欠陥は1700℃の高温熱処理を施すことにより、密度を大幅に低減できることを明らかにした。

  12. Accurate measurements of the second-order nonlinear-optical coefficients of SiC

    Grant number:20560038  2008 - 2010

    SHOJI Ichiro

      More details

    Authorship:Collaborating Investigator(s) (not designated on Grant-in-Aid) 

    We have accurately measured the second-order nonlinear-optical coefficients of SiC, which is expected to be a material for next-generation high-power frequency-conversion devices. We carried out the measurements with several combinations of different manufacturers, polytypes, surface orientations of the samples, and different measurement techniques at the fundamental wavelength of 1.064 μm. The same accurate values were obtained for the same polytype samples, and d33 of 4H-SiC was found to be 6 % smaller than that of 6H-SiC. The magnitudes of the nonlinear-optical coefficients determined in this research should be useful for precise design of high-power and highly efficient frequency-conversion devices using SiC.

  13. Growth of aluminum nitride with a new crystal structure for deep-ultraviolet light emitting devices

    Grant number:20360008  2008 - 2010

    SUDA Jun

      More details

    Authorship:Principal investigator 

    Grant amount:\18590000 ( Direct Cost: \14300000 、 Indirect Cost:\4290000 )

    Aluminum nitride (AlN) has attracted much attention as a material for deep-ultraviolet light emitting devices. Thermally stable structure of AlN is known to be wurtzite structure. On the other hand, AlN studied in this project has 4H structure. 4H-AlN can be obtained by isopolytypic growth on 4H-SiC. Thanks to isopolytypic growth, 4H-AlN grown on 4H-SiC shows excellent crystalline quality. In this study, growth of AlGaN alloy and AlGaN/AlN quantum well structures were studied. 4H-AlGaN/AlN quantum well structures were successfully grown on 4H-SiC (1-100). We revealed that growth of high-quality 4H-AlGaN on 4H-SiC (11-20) is impossible. We proposed 4H-GaN/AlN short-period super lattice structures instead of 4H-AlGaN. The 4H-GaN/AlN short-period super lattice structures were successfully grown on 4H-SiC (11-20).

  14. 窒化物半導体の非線形光学定数の精密評価と内部電界による制御

    Grant number:19032003  2007 - 2008

    近藤 高志

      More details

    Authorship:Coinvestigator(s) 

    バルク試料を用いた回転型メーカーフリンジ法とウェッジ法とを併用することでSiCとGaNのintrmsicな非線形光学定数(d=x^<(2)>/2)の精密測定をおこなった。また, MBE法によるGaN周期極性反転構造の作製と導波路作製に取り組んだ。
    1. SiCの非線形光学定数精密測定
    GaNエピタキシャル薄膜の非線形光学定数をはかる準備として, 基板材料となるSiCの非線形光学定数評価をおこなった。その結果は以下のとおり。6H-SiCの非線形光学定数は, d_<31>=6.7pm/V, d_<15>=6.5pm/V, d_<33>=-12.5pm/V。4H-SiCの非線形光学定数は, d_<31>=6.5pm/V, d_<15>=6.7pm/V, d_<33>=-11.7pm/V。両者は測定誤差の範囲内で一致しているが, 4H-SiCのd_<33>が若干小さく, 理想的四面体構造に対して予想されるd_<33>/d_<31>=-2からのずれが大きい。これは, 4H-SICが6H-SiCと比較して格子の歪みが大きいことを反映している。
    2. GaNの非線形光学定数精密測定
    液相成長のバルク試料とエピタキシャル成長自立基板を試料として用い, GaNのintrinsicな非線形光学定数の精密測定をおこない, 以下の結果が得られた。d_<31>=2.4pm/V, d_<15>=2.5pm/V, d_<33>=-3.8pm/V。GaNは理想的四面体構造からの歪みが大きく, その結果, d_<33>/d_<31>=-2の関係から大きくずれている。また, この結果は, エピタキシャル薄膜試料を用いて測定された既報の値と比較してかなり小さく, これまでの測定に内部電界と3次非線形光学効果の影響が混入していたことをうかがわせる。
    3. 周期極性反転GaN導波路の作製
    MBEによるGaNエピタキシャル膜の格子極性制御の再現性を確認した上で, 疑似位相整合波長変換デバイス作製に不可欠な周期極性反転GaNの作製をおこなった。また, 導波路デバイス作製プロセス開発の第一段階としてリフトオフによるリブ構造作製が可能であることを示した。

  15. Study on SiC Super-Junction Power MOSFETs Utilizing Ion Implantation and Embedded Epitaxial Growth

    Grant number:18206032  2006 - 2008

    TSUNENOBU Kimoto

      More details

    Authorship:Coinvestigator(s) 

  16. ヘテロバレント・ヘテロポリタイプSiC上III族窒化物結晶成長の総合的理解と制御

    Grant number:16686002  2004 - 2006

    須田 淳

      More details

    Authorship:Principal investigator 

    Grant amount:\28600000 ( Direct Cost: \22000000 、 Indirect Cost:\6600000 )

    ヘテロバレソト・ヘテロポリタイプな系である、AlN/SiCヘテロエピタキシャル成長についての系統的な研究を行った。無極性面方位に関しては、(1-100)面、(11-20)面を対象に研究を行った。4H-SiCを用いた場合に、SiCの4層周期の積層構造がAlNに転写され、AlNは4H構造を持つことが明らかになった。SiC表面の原子レベルの平坦化とAlN成長条件の最適化を行うことで、きわめて欠陥の少ない4H-AlNを成長することに成功した。無極性面方位に関しては、成長条件を適切に設定することで、本来ヘテロポリタイプであるAlN/SiC系をアイソポリタイプ化し、結晶欠陥を大幅に低減できることが明らかになった。このアイソポリタイプAlNをテンプレートとして、デバイス応用上重要なGaNの成長を行った。広範囲な成長条件の検討を行ったが、GaNのポリタイプは熱力学的に安定な2H構造になってしまうことが判明した。AlN/GaNの格子不整合がAlN/SiCの倍以上であることや、GaNそれ自体が4H構造となった場合エネルギー的に不安定になることが原因として考えられるが、現時点では不明である。2H構造GaNは4H構造AlNほどは高品質ではないが、他の無極性基板と同等の品質のものが得られることは確認している。極性面については、SiCのステツプェツジに起因する欠陥の極限までの低減を目指して研究を進めた。ウエハーレベルでのSiCのステップエッジの高さ制御技術をほぼ確立し、6H-SiCにおいてステップ高さ6層に制御した基板を作製し、この表面上にAlNを成長し、結晶欠陥の低減を確認した。

  17. Fundamental Study on Low-loss SiC Power Devices Using Multi pn Junctions

    Grant number:16360153  2004 - 2005

    KIMOTO Tsunenobu

      More details

    Authorship:Coinvestigator(s) 

    In this research project, designing and fabrication of low-loss, high-voltage silicon carbide (SiC) power devices with multi pn junction structures have been investigated. In the multi pn junction structures, two- or three-dimensional extension of space charge regions enables the usage of highly doped semiconductors, by which on-state resistance can be significantly reduced. This is the first investigation on SiC power devices with such structures. As a typical device, lateral high-voltage MOSFETs have been investigated.
    Effects of doping concentration of each region on breakdown voltage and on-resistance of SiC RESURF (Reduced Surface Field) MOSFETs have been analyzed by using a two-dimensional device simulator. Effective charge at the MOS interface influences the space charge region and thereby breakdown voltage. Optimum dose designing and its guideline have been determined. Double RESURF structure with a pnp layer structure is effective to reduce on-resistance. The breakdown voltage can be increased because the electric field inside the oxide is reduced.
    SiC Lateral RESURF MOSFETs have been fabricated on 10 μm-thick p-type epilayers. Ion implantation was employed to form RESURF, top-p, source, and drain regions. The gate oxide was grown by direct oxidation in N_3O at 1300℃. The typical channel length, RESURF length were 2〜3μm and 20μm, respectively. An original self-aigned process has been developed to fabricate double RESURF MOSFETs. A single-zone double RESURF MOSFET fabricated in this study exhibited a breakdown voltage of 750 V and a low on-resistance of 52 mΩcm^2. The original two-zone double RESURF MOSFET showed characteristics of 1380 V - 66mΩcm^2, which is the pest performance among any lateral MOSFETs ever reported.

  18. High-Voltage, High-Efficiency, High-Speed Power MOSFET Using Wide Bandgap Semiconductor SiC

    Grant number:13555094  2001 - 2002

    KIMOTO Tsunenobu

      More details

    Authorship:Coinvestigator(s) 

    Control of MOS interface, device processing, and fabrication of high-voltage MOSFETs have been investigated by using a wide bandgap semiconductor silicon carbide (SiC), which shows high breakdown field and other excellent physical properties. In control of MOS interface, thermal oxidation at high temperature resulted in the improvement of the MOS quality, and high channel mobilities of 78 cm^2/Vs and 22 cm^2/Vs were obtained for 6H-SiC(OOOl) and 4H-SiC(OOOl) MOSFETs, respectively. 4H-SiC(ll20) and (0338) MOSFETs exhibited a high channel mobility of 30-40 cm^2/Vs. In device processing, thick SiO_2 films deposited by plasma CVD could successfully used as an implantation mask. Short-channel MOSFETs with a channel length of 1 μm could be processed. The electrical activation of implanted dopants was significantly improved by increasing annealing temperature after implantation. The structure of lateral SiC MOSFETs with RESURF (Reduced Surface Field) structure was designed by using a 2D device simulation. The RESURF dose, depth, and the drift layer structure were optimized. SiC lateral RESURF MOSFETs were fabricated on 4H-SiC and 6H-SiC which were grown in our group. The MOSFET showed a very high breakdown voltage of 1 kV and a low on-resistance of 0.1 Ωcm^2. This characteristics outperforms the "Si limit" which is theoretically determined from the material properties, demonstrating the much potential of SiC power devices.

  19. ワイドギャップ半導体ヘテロ界面の電子物性制御とパワーデバイスの高性能化への展開

    Grant number:13750010  2001 - 2002

    須田 淳

      More details

    Authorship:Principal investigator 

    Grant amount:\2100000 ( Direct Cost: \2100000 )

    ワイドギャップ半導体六方晶シリコンカーバイド(SiC)は大きな絶縁破壊電界強度を持つため、既存のシリコン(Si)系半導体パワーデバイスでは理論的に実現不可能な超低損失デバイスを実現可能と期待されている。しかし、シリコン酸化膜(SiO_2)/SiC界面におけるチャネル電子移動度がバルクSiCの電子移動度に比べ極めて小さいため、チャネル抵抗がデバイスの抵抗の大半を占め、デバイスの高性能化を阻んでいる。本研究では、SiO_2に代わる新たな絶縁膜としてSiCと同じ六方晶で、しかも、格子定数がほぼ等しい窒化アルミニウム(AlN)を提案している。窒化アルミニウムとSiCの界面を制御することで、デバイスに利用可能なAlN/SiCヘテロ構造を実現することを目指して研究を進めてきた。今年度得られた結果は以下の通りである。
    1.SiC表面を、構造的観点および化学的観点で制御を行うことで、高品質AlN結晶成長を実現した。すなわちステップ高さの制御と、表面に存在する酸素の完全な除去および表面超構造の発現を行ったSiC上にAlNを成長することで、2次元レイヤーバイレイヤー成長を実現すると共に、結晶性の大幅な改善を実現した。この高品質AIN層の応用としてGaN成長層の為のバッファー層として使用したところ、GaN層の結晶性も大きく向上することを明らかにした。GaN系パワーデバイスへの応用が期待される。
    2.従来用いられてきたSiC(0001)面に加え、無極性面であるSiC(11-20)面上へのAlNの結晶成長を試みた。SiCの結晶方位情報をAlNは引き継いで成長する、すなわち、エピタキシャル成長を実現した。しかし、SiCのポリタイプはAlNに引き継がれず、SiCが6Hポリタイプであるのに対して、AlNは2Hポリタイプ(ウルツ鉱構造)であることが判明した。この現象は結成成長学的に新しい知見であると当時に、GaN系光デバイスで必要とされる、無極性面の実現の1方法を新たに提案するものとして意義がある。

  20. 有機金属分子線エピタキシー法による立方晶窒化ガリウムの結晶成長機構の解明と応用

    Grant number:11750014  1999 - 2000

    奨励研究(A)

    須田 淳

      More details

    Authorship:Principal investigator 

    Grant amount:\1000000 ( Direct Cost: \1000000 )

    トリエチルガリウム(TEGa)とrfプラズマ励起活性窒素(N^*)を原料に用いた有機金属分子線エピタキシャル(MOMBE)成長における、窒化ガリウム(GaN)の結晶成長機構を活用して新規な構造を作製することをめざして研究を進めた。
    立方晶シリコンカーバイド(3C-SiC)の(001)面方位を基板として用いて結晶成長を行ったところ、基板による構造引き込み効果により、サファイア基板上の場合よりもより広い成長条件で立方晶GaNが得られることが分かった。また、3C-SiC基板上においてはGaNの核形成が抑制されることが分かり、連続膜を得るためには低温バッファ層が不可欠であることが判明した。そこで、成長初期の核形成を制御すれば、任意の場所に立方晶の結晶を得ることができると考え、選択成長を試みることにした。
    3C-SiC基板を酸素雰囲気中で1000℃以上に加熱し、表面に酸化膜を形成した後、集束イオンビーム描画装置を用いて、酸化膜の一部を極微細な領域に限って除去し、真空トンネルを通じて直ちに結晶成長装置に搬送、GaNの結晶成長を行った。最適化した成長条件で、酸化膜の除去された開口部のみにGaNの核形成、結晶成長を起こすことに成功した。ただし、有機金属化学気相堆積(MOCVD)法で報告されているような横方向成長は確認されず、微小結晶が密集して存在する結晶成長形態であることが分かった。また、微小結晶は立方晶であり、{111}ファセットを側面に有していることが確認された。成長時間や開口部のサイズ調整などを行うことで、幾何学的に対称性を持つ微小結晶を平面に規則的に並べることができると考えられる。このような構造は、電子エミッタやフォトニック結晶などに応用可能と考えられる。

▼display all

 

Teaching Experience (On-campus) 10

  1. 線形代数学I

    2018

  2. 固体電子工学及び演習

    2018

  3. パワーデバイス工学特論

    2018

  4. 量子理論

    2021

  5. パワーデバイス工学特論

    2021

  6. 線形代数学I

    2021

  7. 固体電子工学及び演習

    2021

  8. 固体電子工学及び演習

    2020

  9. 固体電子工学及び演習

    2020

  10. 線形代数学I

    2019

▼display all