2024/03/27 更新

写真a

タナカ ヒロマサ
田中 宏昌
TANAKA Hiromasa
所属
低温プラズマ科学研究センター プラズマバイオ部門 教授
大学院担当
大学院工学研究科
職名
教授

学位 1

  1. 理学博士 ( 2004年3月   東京大学 ) 

研究キーワード 3

  1. プラズマ医療

  2. プラズマバイオ

  3. 低温プラズマ

研究分野 1

  1. その他 / その他  / プラズマ医療科学

現在の研究課題とSDGs 1

  1. 低温プラズマによる細胞応答の分子機構解明

経歴 7

  1. 名古屋大学   低温プラズマ科学研究センター   教授

    2019年10月 - 現在

  2. 名古屋大学   低温プラズマ科学研究センター   准教授

    2019年4月 - 2019年9月

  3. 名古屋大学   未来社会創造機構   特任准教授

    2017年7月 - 2019年3月

  4. 名古屋大学   未来社会創造機構   特任講師

    2014年4月 - 2017年6月

  5. 名古屋大学   工学研究科附属プラズマナノ工学研究センター   研究員

    2011年10月 - 2014年3月

  6. University of Toronto   Researcher

    2010年9月 - 2011年8月

      詳細を見る

    国名:カナダ

  7. University of California, Irvine   Researcher

    2004年4月 - 2010年8月

      詳細を見る

    国名:アメリカ合衆国

▼全件表示

学歴 3

  1. 東京大学   大学院理学系研究科   物理学専攻

    2001年4月 - 2004年3月

  2. 東京大学   大学院理学系研究科   物理学専攻

    1999年4月 - 2001年3月

  3. 東京大学   理学部   物理学科

    1995年4月 - 1999年3月

所属学協会 2

  1. 日本酸化ストレス学会   日本酸化ストレス学会東海支部理事

    2022年6月

  2. 応用物理学会

    2011年10月 - 現在

委員歴 16

  1. ISPlasma2024/IC-PLANTS2024/APSPT-13 Executive Committee Chair  

    2023年4月 - 2024年3月   

  2. ISPlasma2023/IC-PLANTS2023 Program Committee  

    2022年5月 - 2023年3月   

  3. ISPlasma2023/IC-PLANTS2023 Executive Committee Vice-Chair  

    2022年5月 - 2023年3月   

  4. ISPlasma2022/IC-PLANTS2022 Program Committee  

    2021年5月 - 2022年3月   

  5. Plasma Medicine Editorial Board  

    2020年5月 - 現在   

      詳細を見る

    団体区分:学協会

  6. ISPlasma2021/IC-PLANTS2021 Program Committee  

    2020年5月 - 2021年3月   

  7. ISPlasma2020/IC-PLANTS2020 Program Committee  

    2019年5月 - 2020年3月   

      詳細を見る

    団体区分:学協会

  8. ISPlasma2020/IC-PLANTS2020 Program Committee  

    2019年5月 - 2020年3月   

      詳細を見る

    団体区分:学協会

  9. IWPCT2020 Program Committee  

    2019年4月 - 2019年3月   

      詳細を見る

    団体区分:学協会

  10. ISPlasma2019/IC-PLANTS2019 Executive Committee  

    2018年5月 - 2019年3月   

      詳細を見る

    団体区分:学協会

  11. Clinical Plasma Medicine Editorial Board  

    2017年11月 - 現在   

      詳細を見る

    団体区分:学協会

  12. ISPlasma2018/IC-PLANTS2018 Program Committee  

    2017年5月 - 2018年3月   

      詳細を見る

    団体区分:学協会

  13. ISPlasma2018/IC-PLANTS2018 Executive Committee  

    2017年5月 - 2018年3月   

  14. IWPCT2018 Program Committee  

    2017年4月 - 2018年3月   

      詳細を見る

    団体区分:学協会

  15. ISPlasma2016/IC-PLANTS2016 Executive Committee  

    2015年5月 - 2016年3月   

      詳細を見る

    団体区分:学協会

  16. ISPlasma2015/IC-PLANTS2015 Executive Committee  

    2014年8月 - 2015年3月   

      詳細を見る

    団体区分:学協会

▼全件表示

受賞 4

  1. 第23回プラズマ材料科学賞奨励部門賞

    2022年1月  

  2. Young Scientist Award, The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015)

    2015年9月   The 10th Asian-European International Conference on Plasma Surface Engineering (AEPSE2015)  

    Hiromasa Tanaka

     詳細を見る

    受賞区分:国際学会・会議・シンポジウム等の賞 

  3. 第8回わかしゃち奨励賞 優秀賞

    2014年1月   名古屋大学  

     詳細を見る

    受賞国:日本国

  4. Plasma Science Award, 11th Asia-Pacific Conference on Plasma Science and Technology 25th Symposium on Plasma Science for Materials

    2012年10月  

     詳細を見る

    受賞国:日本国

 

論文 104

  1. Elaborate cooperation of poly(rC)-binding proteins 1/2 and glutathione in ferroptosis induced by plasma-activated Ringer's lactate.

    Jiang L, Zheng H, Ishida M, Lyu Q, Akatsuka S, Motooka Y, Sato K, Sekido Y, Nakamura K, Tanaka H, Ishikawa K, Kajiyama H, Mizuno M, Hori M, Toyokuni S

    Free radical biology & medicine   214 巻   頁: 28 - 41   2024年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.freeradbiomed.2024.02.001

    PubMed

  2. Plasma-activated medium ameliorates the chemoresistance of human lung adenocarcinoma cells mediated via downregulation of claudin-2 expression.

    Eguchi H, Yu Y, Yoshino Y, Hara H, Tanaka H, Ikari A

    Archives of biochemistry and biophysics   751 巻   頁: 109846   2024年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.abb.2023.109846

    PubMed

  3. Ferroptosis induced by plasma-activated Ringer's lactate solution prevents oral cancer progression.

    Sato K, Yang M, Nakamura K, Tanaka H, Hori M, Nishio M, Suzuki A, Hibi H, Toyokuni S

    Oral diseases     2023年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1111/odi.14827

    PubMed

  4. Generation and measurement of low-temperature plasma for cancer therapy: a historical review.

    Ishikawa K, Takeda K, Yoshimura S, Kondo T, Tanaka H, Toyokuni S, Nakamura K, Kajiyama H, Mizuno M, Hori M

    Free radical research   57 巻 ( 3 ) 頁: 239 - 270   2023年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1080/10715762.2023.2230351

    PubMed

  5. Efficacy of periodic cold plasma treatment in a paddy to produce white-core grains in brewer's rice cultivar Yamadanishiki.

    Hashizume H, Kitano H, Mizuno H, Abe A, Yuasa G, Tohno S, Tanaka H, Ishikawa K, Matsumoto S, Sakakibara H, Hirosue Y, Maeshima M, Mizuno M, Hori M

    Free radical research   57 巻 ( 3 ) 頁: 161 - 173   2023年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1080/10715762.2023.2215914

    PubMed

  6. Leukocyte Depletion and Size-Based Enrichment of Circulating Tumor Cells Using a Pressure-Sensing Microfiltration Device.

    Onoshima D, Hase T, Kihara N, Kuboyama D, Tanaka H, Ozawa N, Yukawa H, Sato M, Ishikawa K, Hasegawa Y, Ishii M, Hori M, Baba Y

    ACS measurement science au   3 巻 ( 2 ) 頁: 113 - 119   2023年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1021/acsmeasuresciau.2c00057

    PubMed

  7. High-performance glass filters for capturing and culturing circulating tumor cells and cancer-associated fibroblasts. 査読有り

    Tanaka H, Iwata D, Shibata Y, Hase T, Onoshima D, Yogo N, Shibata H, Sato M, Ishikawa K, Nagasawa I, Hasegawa Y, Ishii M, Baba Y, Hori M

    Scientific reports   13 巻 ( 1 ) 頁: 4130   2023年3月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1038/s41598-023-31265-9

    PubMed

  8. Organic decomposition and synthesis reactions in lactated solution exposed to nonequilibrium atmospheric pressure plasma 査読有り

    Y. Liu, K. Ishikawa, H. Tanaka, C. Miron, T. Kondo, K. Nakamura, M. Mizuno, H. Kajiyama, S. Toyokuni, M. Hori

    Plasma Processes and Polymers     2023年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/ppap.202200193

  9. Cancer-specific cytotoxicity of Ringer's acetate solution irradiated by cold atmospheric pressure plasma.

    Miron C, Ishikawa K, Kashiwagura S, Suda Y, Tanaka H, Nakamura K, Kajiyama H, Toyokuni S, Mizuno M, Hori M

    Free radical research   57 巻 ( 2 ) 頁: 91 - 104   2023年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1080/10715762.2023.2201390

    PubMed

  10. Effects of plasma-activated Ringer's lactate solution on cancer cells: evaluation of genotoxicity. 査読有り

    Liu Y, Nakatsu Y, Tanaka H, Koga K, Ishikawa K, Shiratani M, Hori M

    Genes and environment : the official journal of the Japanese Environmental Mutagen Society   45 巻 ( 1 ) 頁: 3   2023年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1186/s41021-023-00260-x

    PubMed

  11. Plasma activated Ringer's lactate solution.

    Tanaka H, Mizuno M, Ishikawa K, Miron C, Okazaki Y, Toyokuni S, Nakamura K, Kajiyama H, Hori M

    Free radical research   57 巻 ( 1 ) 頁: 14 - 20   2023年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1080/10715762.2023.2182663

    PubMed

  12. Exposure of low-temperature plasma after vaccination in tongue promotes systemic IgM induction against spike protein of SARS-CoV-2.

    Sato K, Fujii K, Tanaka H, Hori M, Hibi H, Toyokuni S

    Free radical research   57 巻 ( 1 ) 頁: 30 - 37   2023年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1080/10715762.2023.2190486

    PubMed

  13. Low-temperature plasma as magic wand to differentiate between the good and the evil.

    Toyokuni S, Zheng H, Kong Y, Sato K, Nakamura K, Tanaka H, Okazaki Y

    Free radical research   57 巻 ( 1 ) 頁: 38 - 46   2023年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1080/10715762.2023.2190860

    PubMed

  14. Effects of High-Quality Carbon Nanowalls Ionization-Assisting Substrates on Surface-Assisted Laser Desorption/Ionization Mass Spectrometry Performance. 査読有り

    Sakai R, Kondo H, Ishikawa K, Ohta T, Hiramatsu M, Tanaka H, Hori M

    Nanomaterials (Basel, Switzerland)   13 巻 ( 1 )   2022年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/nano13010063

    PubMed

  15. Indoor Floor Heel Mark Removal Using Spark Discharges and Pressurized Airflow 査読有り

    Y. Sakamoto, T. Tsutsumi, H. Tanaka, K. Ishikawa, H. Hashizume, M. Hori

    Coatings   12 巻   2022年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/coatings12121938

  16. Biocompatibility of conformal silicon carbide on carbon nanowall scaffolds 査読有り

    K. Ono, T. Koide, K. Ishikawa, H. Tanaka, H. Kondo, A. Sugawara-Narutaki, Y. Jin, S. Yasuhara, M. Hori, W. Takeuchi

    Japanese Journal of Applied Physics   62 巻   2022年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/ac9319

  17. Non-thermal plasma elicits ferrous chloride-catalyzed DMPO-OH. 査読有り

    Okazaki Y, Ito N, Tanaka H, Hori M, Toyokuni S

    Free radical research   56 巻 ( 9-10 ) 頁: 595 - 606   2022年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1080/10715762.2022.2157272

    PubMed

  18. Cytotoxicity of plasma-irradiated lactate solution produced under atmospheric airtight conditions and generation of the methyl amino group 査読有り

    D. Ito, N. Iwata, K. Ishikawa, K. Nakamura, H. Hashizume, C. Miron, H. Tanaka, H. Kajiyama, S. Toyokuni, M. Mizuno, M. Hori

    Applied Physics Express     2022年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1882-0786/ac6360

  19. Scaffolds with isolated carbon nanowalls promote osteogenic differentiation through Runt-related transcription factor 2 and osteocalcin gene expression of osteoblast-like cells 査読有り

    T. Ichikawa, K. Ishikawa, H. Tanaka, N. Shimizu, M. Hori

    AIP Advances   12 巻   2022年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/5.0075530

  20. Low Temperature Plasma for Biology, Hygiene, and Medicine: Perspective and Roadmap 招待有り 査読有り 国際共著

    M. Laroussi, S. Bekeschus, M. Keidar, A. Bogaerts, A. Fridman, X.P. Lu, K.K. Ostrikov, M. Hori, K. Stapelmann, V. Miller, S. Reuter, C. Laux, A. Mesbah, J. Walsh, C. Jiang, S.M. Thagard, H. Tanaka, D.W. Liu, D. Yan, M. Yusupov

    IEEE Transactions on Radiation and Plasma Medical Sciences   6 巻 ( 2 )   2022年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE  

    DOI: 10.1109/trpms.2021.3135118

  21. Tetrachloroaurate (III)-induced oxidation increases non-thermal plasma-induced oxidative stress.

    Okazaki Y, Sasaki K, Ito N, Tanaka H, Matsumoto KI, Hori M, Toyokuni S

    Free radical research   56 巻 ( 1 ) 頁: 17 - 27   2022年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1080/10715762.2022.2026348

    PubMed

  22. Low temperature plasma irradiation products of sodium lactate solution that induce cell death on U251SP glioblastoma cells were identified. 査読有り

    Tanaka H, Hosoi Y, Ishikawa K, Yoshitake J, Shibata T, Uchida K, Hashizume H, Mizuno M, Okazaki Y, Toyokuni S, Nakamura K, Kajiyama H, Kikkawa F, Hori M

    Scientific reports   11 巻 ( 1 ) 頁: 18488   2021年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1038/s41598-021-98020-w

    PubMed

  23. Non-thermal plasma-induced DMPO-OH yields hydrogen peroxide. 査読有り

    Okazaki Y, Tanaka H, Matsumoto KI, Hori M, Toyokuni S

    Archives of biochemistry and biophysics   705 巻   頁: 108901   2021年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.abb.2021.108901

    PubMed

  24. Lysosomal nitric oxide determines transition from autophagy to ferroptosis after exposure to plasma-activated Ringer's lactate. 査読有り

    Jiang L, Zheng H, Lyu Q, Hayashi S, Sato K, Sekido Y, Nakamura K, Tanaka H, Ishikawa K, Kajiyama H, Mizuno M, Hori M, Toyokuni S

    Redox biology   43 巻   頁: 101989   2021年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.redox.2021.101989

    PubMed

  25. Differential data on the responsiveness of multiple cell types to cell death induced by non-thermal atmospheric pressure plasma-activated solutions. 査読有り

    Eto K, Ishinada C, Suemoto T, Hyakutake K, Tanaka H, Hori M

    Data in brief   36 巻   頁: 106995   2021年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.dib.2021.106995

    PubMed

  26. Plasma-Treated Solutions (PTS) in Cancer Therapy.

    Tanaka H, Bekeschus S, Yan D, Hori M, Keidar M, Laroussi M

    Cancers   13 巻 ( 7 )   2021年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/cancers13071737

    PubMed

  27. A novel and distinctive mode of cell death revealed by using non-thermal atmospheric pressure plasma: The involvements of reactive oxygen species and the translation inhibitor Pdcd4. 査読有り

    Eto K, Ishinada C, Suemoto T, Hyakutake K, Tanaka H, Hori M

    Chemico-biological interactions   338 巻   頁: 109403   2021年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.cbi.2021.109403

    PubMed

  28. L-Dehydroascorbate efficiently degrades non-thermal plasma-induced hydrogen peroxide. 査読有り

    Okazaki Y, Ishidzu Y, Ito F, Tanaka H, Hori M, Toyokuni S

    Archives of biochemistry and biophysics   700 巻   頁: 108762   2021年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.abb.2021.108762

    Web of Science

    PubMed

  29. Preclinical Verification of the Efficacy and Safety of Aqueous Plasma for Ovarian Cancer Therapy.

    Nakamura K, Yoshikawa N, Mizuno Y, Ito M, Tanaka H, Mizuno M, Toyokuni S, Hori M, Kikkawa F, Kajiyama H

    Cancers   13 巻 ( 5 )   2021年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/cancers13051141

    Web of Science

    PubMed

  30. 大気圧低温プラズマに対する細胞応答とがん治療への展開 、1.はじめに 招待有り 査読有り

    田中 宏昌、堀 勝

    プラズマ・核融合学会誌     頁: 107 - 108   2021年3月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:日本語   掲載種別:論文集(書籍)内論文  

  31. Improvement of yield and grain quality by periodic cold plasma treatment with rice plants in a paddy field 査読有り

    Hashizume Hiroshi, Kitano Hidemi, Mizuno Hiroko, Abe Akiko, Yuasa Genki, Tohno Satoe, Tanaka Hiromasa, Ishikawa Kenji, Matsumoto Shogo, Sakakibara Hitoshi, Nikawa Susumu, Maeshima Masayoshi, Mizuno Masaaki, Hori Masaru

    PLASMA PROCESSES AND POLYMERS   18 巻 ( 1 )   2021年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/ppap.202000181

    Web of Science

  32. Cancer Treatments Using Low-Temperature Plasma.

    Tanaka H, Mizuno M, Ishikawa K, Toyokuni S, Kajiyama H, Kikkawa F, Hori M

    Current medicinal chemistry   28 巻 ( 41 ) 頁: 8549 - 8558   2021年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.2174/0929867328666210629121731

    PubMed

  33. Laser-induced-plasma-activated medium enables killing of HeLa cells 査読有り

    Kurokawa Yukihiro, Takeda Keigo, Ishikawa Kenji, Tanaka Hiromasa, Hori Masaru

    APPLIED PHYSICS EXPRESS   13 巻 ( 10 )   2020年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  34. Adjusted multiple gases in the plasma flow induce differential antitumor potentials of plasma-activated solutions 査読有り

    Nakamura Kae, Yoshikawa Nobuhisa, Yoshihara Masato, Ikeda Yoshiki, Higashida Akihiro, Niwa Akihiro, Jindo Takahiro, Tanaka Hiromasa, Ishikawa Kenji, Mizuno Masaaki, Toyokuni Shinya, Hori Masaru, Kikkawa Fumitaka, Kajiyama Hiroaki

    PLASMA PROCESSES AND POLYMERS   17 巻 ( 10 )   2020年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/ppap.201900259

    Web of Science

  35. Special issue: Advanced applications of plasmas in Life Sciences 2020 招待有り

    Favia Pietro, Sardella Eloisa, Tanaka Hiromasa

    PLASMA PROCESSES AND POLYMERS   17 巻 ( 10 )   2020年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/ppap.202070028

    Web of Science

  36. Hydrogen peroxide in lactate solutions irradiated by non-equilibrium atmospheric pressure plasma 査読有り

    Yang Liu, Kenji Ishikawa, Camelia Miron, Hiroshi Hashizume, Hiromasa Tanaka and Masaru Hori

    Plasma Sources Science and Technology     2020年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6595/abbbd4

  37. Non-thermal plasma-activated lactate solution kills U251SP glioblastoma cells in an innate reductive manner with altered metabolism.

    Ishikawa K, Hosoi Y, Tanaka H, Jiang L, Toyokuni S, Nakamura K, Kajiyama H, Kikkawa F, Mizuno M, Hori M

    Archives of biochemistry and biophysics   688 巻   頁: 108414   2020年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.abb.2020.108414

    Web of Science

    PubMed

  38. Plasma-activated medium promotes autophagic cell death along with alteration of the mTOR pathway.

    Yoshikawa N, Liu W, Nakamura K, Yoshida K, Ikeda Y, Tanaka H, Mizuno M, Toyokuni S, Hori M, Kikkawa F, Kajiyama H

    Scientific reports   10 巻 ( 1 ) 頁: 1614   2020年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1038/s41598-020-58667-3

    Web of Science

    PubMed

  39. Oxidative stress-dependent and -independent death of glioblastoma cells induced by non-thermal plasma-exposed solutions.

    Tanaka H, Mizuno M, Katsumata Y, Ishikawa K, Kondo H, Hashizume H, Okazaki Y, Toyokuni S, Nakamura K, Yoshikawa N, Kajiyama H, Kikkawa F, Hori M

    Scientific reports   9 巻 ( 1 ) 頁: 13657   2019年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1038/s41598-019-50136-w

    Web of Science

    PubMed

  40. Gene Expression of Osteoblast-like Cells on Carbon-Nanowall as Scaffolds during Incubation with Electrical Stimulation.

    Ichikawa T, Kondo H, Ishikawa K, Tsutsumi T, Tanaka H, Sekine M, Hori M

    ACS applied bio materials   2 巻 ( 7 ) 頁: 2698 - 2702   2019年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1021/acsabm.9b00178

    Web of Science

    PubMed

  41. l-Dehydroascorbic acid recycled by thiols efficiently scavenges non-thermal plasma-induced hydroxyl radicals.

    Okazaki Y, Tanaka H, Hori M, Toyokuni S

    Archives of biochemistry and biophysics   669 巻   頁: 87 - 95   2019年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.abb.2019.05.019

    PubMed

  42. Non-thermal plasma specifically kills oral squamous cell carcinoma cells in a catalytic Fe(II)-dependent manner.

    Sato K, Shi L, Ito F, Ohara Y, Motooka Y, Tanaka H, Mizuno M, Hori M, Hirayama T, Hibi H, Toyokuni S

    Journal of clinical biochemistry and nutrition   65 巻 ( 1 ) 頁: 8 - 15   2019年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3164/jcbn.18-91

    Web of Science

    PubMed

  43. Plasma-activated solution alters the morphological dynamics of supported lipid bilayers observed by high-speed atomic force microscopy

    Yamaoka Sotaro, Kondo Hiroki, Hashizume Hiroshi, Ishikawa Kenji, Tanaka Hiromasa, Hori Masaru

    APPLIED PHYSICS EXPRESS   12 巻 ( 6 )   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1882-0786/ab1a58

    Web of Science

  44. A 65-nm CMOS Fully Integrated Analysis Platform Using an On-Chip Vector Network Analyzer and a Transmission-Line-Based Detection Window for Analyzing Circulating Tumor Cell and Exosome.

    Niitsu K, Nakanishi T, Murakami S, Matsunaga M, Kobayashi A, Karim NM, Ito J, Ozawa N, Hase T, Tanaka H, Sato M, Kondo H, Ishikawa K, Odaka H, Hasegawa Y, Hori M, Nakazato K

    IEEE transactions on biomedical circuits and systems   13 巻 ( 2 ) 頁: 470 - 479   2019年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/TBCAS.2018.2882472

    PubMed

  45. Non-thermal plasma-activated medium modified metabolomic profiles in the glycolysis of U251SP glioblastoma.

    Kurake N, Ishikawa K, Tanaka H, Hashizume H, Nakamura K, Kajiyama H, Toyokuni S, Kikkawa F, Mizuno M, Hori M

    Archives of biochemistry and biophysics   662 巻   頁: 83 - 92   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.abb.2018.12.001

    PubMed

  46. Liquid dynamics in response to an impinging low-temperature plasma jet 査読有り

    Brubaker T. R., Ishikawa K., Kondo H., Tsutsumi T., Hashizume H., Tanaka H., Knecht S. D., Bilen S. G., Hori M.

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   52 巻 ( 7 )   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6463/aaf460

    Web of Science

  47. Molecular mechanisms of non-thermal plasma-induced effects in cancer cells.

    Tanaka H, Mizuno M, Ishikawa K, Toyokuni S, Kajiyama H, Kikkawa F, Hori M

    Biological chemistry   400 巻 ( 1 ) 頁: 87 - 91   2018年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1515/hsz-2018-0199

    Web of Science

    PubMed

  48. Cytotoxic effects of plasma-irradiated fullerenol

    Kanno Daiki, Tanaka Hiromasa, Ishikawa Kenji, Hashizume Hiroshi, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   51 巻 ( 37 )   2018年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6463/aad510

    Web of Science

  49. New hopes for plasma-based cancer treatment 査読有り

    Hiromasa Tanaka,Masaaki Mizuno, Kenji Ishikawa, Shinya Toyokuni, Hiroaki Kajiyama, Fumitaka Kikkawa and Masaru Hori

    Plasma   1 巻   頁: 150 - 155   2018年8月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/plasma1010014

  50. Low-autofluorescence fluoropolymer membrane filters for cell filtration

    Kihara Naoto, Kuboyama Daiki, Onoshima Daisuke, Ishikawa Kenji, Tanaka Hiromasa, Ozawa Naoya, Hase Tetsunari, Koguchi Ryohei, Yukawa Hiroshi, Odaka Hidefumi, Hasegawa Yoshinori, Baba Yoshinobu, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 6 )   2018年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.06JF03

    Web of Science

  51. Cytotoxicity of cancer HeLa cells sensitivity to normal MCF10A cells in cultivations with cell culture medium treated by microwave-excited atmospheric pressure plasmas

    Takahashi Yohei, Taki Yusuke, Takeda Keigo, Hashizume Hiroshi, Tanaka Hiromasa, Ishikawa Kenji, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   51 巻 ( 11 )   2018年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6463/aaab09

    Web of Science

  52. Reduced HeLa cell viability in methionine-containing cell culture medium irradiated with microwave-excited atmospheric-pressure plasma

    Takahashi Yohei, Taki Yusuke, Takeda Keigo, Hashizume Hiroshi, Tanaka Hiromasa, Ishikawa Kenji, Hori Masaru

    PLASMA PROCESSES AND POLYMERS   15 巻 ( 3 )   2018年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/ppap.201700200

    Web of Science

  53. Glioblastoma Cell Lines Display Different Sensitivities to Plasma-Activated Medium

    Tanaka Hiromasa, Mizuno Masaaki, Ishikawa Kenji, Takeda Keigo, Hashizume Hiroshi, Nakamura Kae, Utsumi Fumi, Kajiyama Hiroaki, Okazaki Yasumasa, Toyokuni Shinya, Akiyama Shinichi, Maruyama Shoichi, Kikkawa Fumitaka, Hori Masaru

    IEEE TRANSACTIONS ON RADIATION AND PLASMA MEDICAL SCIENCES   2 巻 ( 2 ) 頁: 99 - 102   2018年3月

     詳細を見る

    掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/TRPMS.2017.2721973

    Web of Science

  54. Clinical experience with cold plasma in the treatment of locally advanced head and neck cancer 査読有り 国際共著

    Hans-Robert Metelmann, Christian Seebauer, Vandana Miller, Alexander Fridman, Georg Bauer, David B.Graves, Jean-Michel Pouvesle, Rico Rutkowski, Matthias Schuster, Sander Bekeschus, Kristian Wende, Kai Masur, Sybille Hasse, Torsten Gerling, Masaru Hori, Hiromasa Tanaka, Eun Ha Choi, Klaus-Dieter Weltmann,and Thomas von Woedtke

    Clinical Plasma Medicine   9 巻   頁: 6 - 13   2018年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.cpme.2017.09.001

  55. Effect of Plasma-Activated Lactated Ringer's Solution on Pancreatic Cancer Cells In Vitro and In Vivo.

    Sato Y, Yamada S, Takeda S, Hattori N, Nakamura K, Tanaka H, Mizuno M, Hori M, Kodera Y

    Annals of surgical oncology   25 巻 ( 1 ) 頁: 299 - 307   2018年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1245/s10434-017-6239-y

    Web of Science

    PubMed

  56. Plasma-activated medium (PAM) kills human cancer-initiating cells.

    Ikeda JI, Tanaka H, Ishikawa K, Sakakita H, Ikehara Y, Hori M

    Pathology international   68 巻 ( 1 ) 頁: 23 - 30   2018年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1111/pin.12617

    Web of Science

    PubMed

  57. Dynamic analysis of reactive oxygen nitrogen species in plasma-activated culture medium by UV absorption spectroscopy

    Brubaker Timothy R., Ishikawa Kenji, Takeda Keigo, Oh Jun-Seok, Kondo Hiroki, Hashizume Hiroshi, Tanaka Hiromasa, Knecht Sean D., Bilen Sven G., Hori Masaru

    JOURNAL OF APPLIED PHYSICS   122 巻 ( 21 )   2017年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4999256

    Web of Science

  58. Intracellular responses to reactive oxygen and nitrogen species, and lipid peroxidation in apoptotic cells cultivated in plasma-activated medium

    Furuta Ryo, Kurake Naoyuki, Ishikawa Kenji, Takeda Keigo, Hashizume Hiroshi, Tanaka Hiromasa, Kondo Hiroki, Sekine Makoto, Hori Masaru

    PLASMA PROCESSES AND POLYMERS   14 巻 ( 11 )   2017年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/ppap.201700123

    Web of Science

  59. Crystallization of calcium oxalate dihydrate in a buffered calcium-containing glucose solution by irradiation with non-equilibrium atmospheric pressure plasma

    Kurake Naoyuki, Tanaka Hiromasa, Ishikawa Kenji, Nakamura Kae, Kajiyama Hiroaki, Kikkawa Fumitaka, Mizuno Masaaki, Ikehara Yuzuru, Hori Masaru

    JOURNAL OF APPLIED PHYSICS   122 巻 ( 14 )   2017年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.5006598

    Web of Science

  60. Lipid droplets exhaustion with caspases activation in HeLa cells cultured in plasma-activated medium observed by multiplex coherent anti-Stokes Raman scattering microscopy.

    Furuta R, Kurake N, Takeda K, Ishikawa K, Ohta T, Ito M, Hashizume H, Tanaka H, Kondo H, Sekine M, Hori M

    Biointerphases   12 巻 ( 3 ) 頁: 031006   2017年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1116/1.4997170

    Web of Science

    PubMed

  61. Novel Intraperitoneal Treatment With Non-Thermal Plasma-Activated Medium Inhibits Metastatic Potential of Ovarian Cancer Cells.

    Nakamura K, Peng Y, Utsumi F, Tanaka H, Mizuno M, Toyokuni S, Hori M, Kikkawa F, Kajiyama H

    Scientific reports   7 巻 ( 1 ) 頁: 6085   2017年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1038/s41598-017-05620-6

    Web of Science

    PubMed

  62. State of the art in medical applications using non-thermal atmospheric pressure plasma 招待有り 査読有り 国際共著

    Hiromasa Tanaka, Kenji Ishikawa, Masaaki Mizuno, Shinya Toyokuni, Hiroaki Kajiyama, Fumitaka Kikkawa, Hans-Robert Metelmann and Masaru Hori

    Reviews of Modern Plasma Physics   1 巻   頁: 1 - 89   2017年7月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1007/s41614-017-0004-3

  63. Effect of plasma-activated medium on the decrease of tumorigenic population in lymphoma.

    Wada N, Ikeda JI, Tanaka H, Sakakita H, Hori M, Ikehara Y, Morii E

    Pathology, research and practice   213 巻 ( 7 ) 頁: 773 - 777   2017年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.prp.2017.04.003

    Web of Science

    PubMed

  64. Non-thermal plasma induces a stress response in mesothelioma cells resulting in increased endocytosis, lysosome biogenesis and autophagy.

    Shi L, Ito F, Wang Y, Okazaki Y, Tanaka H, Mizuno M, Hori M, Hirayama T, Nagasawa H, Richardson DR, Toyokuni S

    Free radical biology & medicine   108 巻   頁: 904 - 917   2017年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.freeradbiomed.2017.04.368

    Web of Science

    PubMed

  65. Spatial distributions of O, N, NO, OH and vacuum ultraviolet light along gas flow direction in an AC-excited atmospheric pressure Ar plasma jet generated in open air

    Takeda Keigo, Ishikawa Kenji, Tanaka Hiromasa, Sekine Makoto, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   50 巻 ( 19 )   2017年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6463/aa6555

    Web of Science

  66. Intraperitoneal Administration of Plasma-Activated Medium: Proposal of a Novel Treatment Option for Peritoneal Metastasis From Gastric Cancer.

    Takeda S, Yamada S, Hattori N, Nakamura K, Tanaka H, Kajiyama H, Kanda M, Kobayashi D, Tanaka C, Fujii T, Fujiwara M, Mizuno M, Hori M, Kodera Y

    Annals of surgical oncology   24 巻 ( 5 ) 頁: 1188 - 1194   2017年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1245/s10434-016-5759-1

    Web of Science

    PubMed

  67. Effects of center dot OH and center dot NO radicals in the aqueous phase on H2O2 and NO2- generated in plasma-activated medium

    Kurake Naoyuki, Tanaka Hiromasa, Ishikawa Kenji, Takeda Keigo, Hashizume Hiroshi, Nakamura Kae, Kajiyama Hiroaki, Kondo Takashi, Kikkawa Fumitaka, Mizuno Masaaki, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   50 巻 ( 15 )   2017年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6463/aa5f1d

    Web of Science

  68. Behavior of absolute densities of atomic oxygen in the gas phase near an object surface in an AC-excited atmospheric pressure He plasma jet

    Takeda Keigo, Kumakura Takumi, Ishikawa Kenji, Tanaka Hiromasa, Sekine Makoto, Hori Masaru

    APPLIED PHYSICS EXPRESS   10 巻 ( 3 )   2017年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/APEX.10.036201

    Web of Science

  69. Future perspective of strategic non-thermal plasma therapy for cancer treatment.

    Kajiyama H, Utsumi F, Nakamura K, Tanaka H, Toyokuni S, Hori M, Kikkawa F

    Journal of clinical biochemistry and nutrition   60 巻 ( 1 ) 頁: 33 - 38   2017年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3164/jcbn.16-65

    Web of Science

    PubMed

  70. Medical applications of non-thermal atmospheric pressure plasma.

    Tanaka H, Hori M

    Journal of clinical biochemistry and nutrition   60 巻 ( 1 ) 頁: 29 - 32   2017年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3164/jcbn.16-67

    Web of Science

    PubMed

  71. Plasma-activated medium selectively eliminates undifferentiated human induced pluripotent stem cells.

    Matsumoto R, Shimizu K, Nagashima T, Tanaka H, Mizuno M, Kikkawa F, Hori M, Honda H

    Regenerative therapy   5 巻   頁: 55 - 63   2016年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.reth.2016.07.001

    Web of Science

    PubMed

  72. Microfluidic Transport Through Microsized Holes Treated by Nonequilibrium Atmospheric-Pressure Plasma

    Ito Takumi, Ishikawa Kenji, Onoshima Daisuke, Kihara Naoto, Tatsukoshi Kentaro, Odaka Hidefumi, Hashizume Hiroshi, Tanaka Hiromasa, Yukawa Hiroshi, Takeda Keigo, Kondo Hiroki, Sekine Makoto, Baba Yoshinobu, Hori Masaru

    IEEE TRANSACTIONS ON PLASMA SCIENCE   44 巻 ( 12 ) 頁: 3060-3065   2016年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/TPS.2016.2571721

    Web of Science

  73. Non-thermal atmospheric pressure plasma activates lactate in Ringer's solution for anti-tumor effects.

    Tanaka H, Nakamura K, Mizuno M, Ishikawa K, Takeda K, Kajiyama H, Utsumi F, Kikkawa F, Hori M

    Scientific reports   6 巻   頁: 36282   2016年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1038/srep36282

    Web of Science

    PubMed

  74. Non-thermal plasma prevents progression of endometriosis in mice.

    Ishida C, Mori M, Nakamura K, Tanaka H, Mizuno M, Hori M, Iwase A, Kikkawa F, Toyokuni S

    Free radical research   50 巻 ( 10 ) 頁: 1131 - 1139   2016年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1080/10715762.2016.1211273

    Web of Science

    PubMed

  75. Biphasic effects of l-ascorbate on the tumoricidal activity of non-thermal plasma against malignant mesothelioma cells.

    Shi L, Wang Y, Ito F, Okazaki Y, Tanaka H, Mizuno M, Hori M, Richardson DR, Toyokuni S

    Archives of biochemistry and biophysics   605 巻   頁: 109 - 16   2016年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.abb.2016.05.016

    Web of Science

    PubMed

  76. Cell survival of glioblastoma grown in medium containing hydrogen peroxide and/or nitrite, or in plasma-activated medium.

    Kurake N, Tanaka H, Ishikawa K, Kondo T, Sekine M, Nakamura K, Kajiyama H, Kikkawa F, Mizuno M, Hori M

    Archives of biochemistry and biophysics   605 巻   頁: 102 - 8   2016年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.abb.2016.01.011

    Web of Science

    PubMed

  77. Synthesis of calcium oxalate crystals in culture medium irradiated with non-equilibrium atmospheric-pressure plasma

    Kurake Naoyuki, Tanaka Hiromasa, Ishikawa Kenji, Nakamura Kae, Kajiyama Hiroaki, Kikkawa Fumitaka, Mizuno Masaaki, Yamanishi Yoko, Hori Masaru

    APPLIED PHYSICS EXPRESS   9 巻 ( 9 )   2016年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/APEX.9.096201

    Web of Science

  78. Possible therapeutic option of aqueous plasma for refractory ovarian cancer

    Kajiyama Hiroaki, Utsumi Fumi, Nakamura Kae, Tanaka Hiromasa, Mizuno Masaaki, Toyokuni Shinya, Hori Masaru, Kikkawa Fumitaka

    CLINICAL PLASMA MEDICINE   4 巻 ( 1 ) 頁: 14-18   2016年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.cpme.2015.12.002

    Web of Science

  79. Variable susceptibility of ovarian cancer cells to non-thermal plasma-activated medium.

    Utsumi F, Kajiyama H, Nakamura K, Tanaka H, Mizuno M, Toyokuni S, Hori M, Kikkawa F

    Oncology reports   35 巻 ( 6 ) 頁: 3169 - 77   2016年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3892/or.2016.4726

    Web of Science

    PubMed

  80. Interplay between Theory and Experiment for Ammonia Synthesis Catalyzed by Transition Metal Complexes.

    Tanaka H, Nishibayashi Y, Yoshizawa K

    Accounts of chemical research   49 巻 ( 5 ) 頁: 987-95   2016年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1021/acs.accounts.6b00033

    PubMed

  81. Impact of synergism of nitrite and hydrogen peroxide on cell survivals in Plasma-Activated-Medium (PAM)

    Kurake Naoyuki, Tanaka Hiromasa, Ishikawa Kenji, Nakamura Kae, Kajiyama Hiroaki, Kikkawa Fumiaki, Kondo Takashi, Mizuno Masaaki, Takeda Keigo, Kondo Hiroki, Sekine Makoto, Hori Masaru

    2016 43RD IEEE INTERNATIONAL CONFERENCE ON PLASMA SCIENCE (ICOPS)     頁: .   2016年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  82. PLASMA-ACTIVATED MEDIUM AND ITS MEDICAL AND BIOLOGICAL APPLICATIONS

    Tanaka Hiromasa, Mizuno Masaaki, Kikkawa Fumitaka, Hori Masaru

    2016 43RD IEEE INTERNATIONAL CONFERENCE ON PLASMA SCIENCE (ICOPS)     頁: .   2016年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  83. Cancer therapy using non-thermal atmospheric pressure plasma with ultra-high electron density

    Tanaka Hiromasa, Mizuno Masaaki, Toyokuni Shinya, Maruyama Shoichi, Kodera Yasuhiro, Terasaki Hiroko, Adachi Tetsuo, Kato Masashi, Kikkawa Fumitaka, Hori Masaru

    PHYSICS OF PLASMAS   22 巻 ( 12 )   2015年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4933402

    Web of Science

  84. Plasma with high electron density and plasma-activated medium for cancer treatment

    Tanaka Hiromasa, Mizuno Masaaki, Ishikawa Kenji, Kondo Hiroki, Takeda Keigo, Hashizume Hiroshi, Nakamura Kae, Utsumi Fumi, Kajiyama Hiroaki, Kano Hiroyuki, Okazaki Yasumasa, Toyokuni Shinya, Akiyama Shin'ichi, Maruyama Shoichi, Yamada Suguru, Kodera Yasuhiro, Kaneko Hiroki, Terasaki Hiroko, Hara Hirokazu, Adachi Tetsuo, Iida Machiko, Yajima Ichiro, Kato Masashi, Kikkawa Fumitaka, Hori Masaru

    CLINICAL PLASMA MEDICINE   3 巻 ( 2 ) 頁: 72-76   2015年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.cpme.2015.09.001

    Web of Science

  85. Effectiveness of plasma treatment on pancreatic cancer cells.

    Hattori N, Yamada S, Torii K, Takeda S, Nakamura K, Tanaka H, Kajiyama H, Kanda M, Fujii T, Nakayama G, Sugimoto H, Koike M, Nomoto S, Fujiwara M, Mizuno M, Hori M, Kodera Y

    International journal of oncology   47 巻 ( 5 ) 頁: 1655 - 62   2015年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3892/ijo.2015.3149

    Web of Science

    PubMed

  86. Effectiveness of plasma treatment on gastric cancer cells.

    Torii K, Yamada S, Nakamura K, Tanaka H, Kajiyama H, Tanahashi K, Iwata N, Kanda M, Kobayashi D, Tanaka C, Fujii T, Nakayama G, Koike M, Sugimoto H, Nomoto S, Natsume A, Fujiwara M, Mizuno M, Hori M, Saya H, Kodera Y

    Gastric cancer : official journal of the International Gastric Cancer Association and the Japanese Gastric Cancer Association   18 巻 ( 3 ) 頁: 635 - 43   2015年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1007/s10120-014-0395-6

    Web of Science

    PubMed

  87. Plasma-activated medium induces A549 cell injury via a spiral apoptotic cascade involving the mitochondrial-nuclear network.

    Adachi T, Tanaka H, Nonomura S, Hara H, Kondo S, Hori M

    Free radical biology & medicine   79 巻   頁: 28 - 44   2015年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.freeradbiomed.2014.11.014

    Web of Science

    PubMed

  88. Plasma-activated medium suppresses choroidal neovascularization in mice: a new therapeutic concept for age-related macular degeneration.

    Ye F, Kaneko H, Nagasaka Y, Ijima R, Nakamura K, Nagaya M, Takayama K, Kajiyama H, Senga T, Tanaka H, Mizuno M, Kikkawa F, Hori M, Terasaki H

    Scientific reports   5 巻   頁: 7705   2015年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1038/srep07705

    Web of Science

    PubMed

  89. Plasma Medical Science for Cancer Therapy. ~toward cancer therapy using non-thermal atmospheric pressure plasma~ 査読有り

    H. Tanaka, M. Mizuno, K. Ishikawa, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, Y. Okazaki, S. Toyokuni, S. Maruyama, F. Kikkawa, M. Hori

    IEEE Transactions on Plasma Science   42 巻 ( 12 ) 頁: 3760-3763   2014年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  90. Plasma Medical Science for Cancer Therapy: Toward Cancer Therapy Using Nonthermal Atmospheric Pressure Plasma

    Tanaka Hiromasa, Mizuno Masaaki, Ishikawa Kenji, Takeda Keigo, Nakamura Kae, Utsumi Fumi, Kajiyama Hiroaki, Kano Hiroyuki, Okazaki Yasumasa, Toyokuni Shinnya, Maruyama Shoichi, Kikkawa Fumitaka, Hori Masaru

    IEEE TRANSACTIONS ON PLASMA SCIENCE   42 巻 ( 12 ) 頁: 3760-3764   2014年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/TPS.2014.2353659

    Web of Science

  91. Direct exposure of non-equilibrium atmospheric pressure plasma confers simultaneous oxidative and ultraviolet modifications in biomolecules.

    Okazaki Y, Wang Y, Tanaka H, Mizuno M, Nakamura K, Kajiyama H, Kano H, Uchida K, Kikkawa F, Hori M, Toyokuni S

    Journal of clinical biochemistry and nutrition   55 巻 ( 3 ) 頁: 207 - 15   2014年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3164/jcbn.14-40

    Web of Science

    PubMed

  92. Cell survival and proliferation signaling pathways are downregulated by plasma-activated medium in glioblastoma brain tumor cells 査読有り

    H. Tanaka, M. Mizuno, K. Ishikawa, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, S. Maruyama, F. Kikkawa, M. Hori

      2 巻 ( 4 ) 頁: 207-220   2014年7月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  93. Perspective of strategic plasma therapy in patients with epithelial ovarian cancer: A short review of plasma in cancer treatment

    Kajiyama Hiroaki, Nakamura Kae, Utsumi Fumi, Tanaka Hiromasa, Hori Masaru, Kikkawa Fumitaka

    JAPANESE JOURNAL OF APPLIED PHYSICS   53 巻 ( 5 )   2014年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.53.05FA05

    Web of Science

  94. Selective cytotoxicity of indirect nonequilibrium atmospheric pressure plasma against ovarian clear-cell carcinoma.

    Utsumi F, Kajiyama H, Nakamura K, Tanaka H, Hori M, Kikkawa F

    SpringerPlus   3 巻   頁: 398   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1186/2193-1801-3-398

    Web of Science

    PubMed

  95. A negative genetic interaction map in isogenic cancer cell lines reveals cancer cell vulnerabilities.

    Vizeacoumar FJ, Arnold R, Vizeacoumar FS, Chandrashekhar M, Buzina A, Young JT, Kwan JH, Sayad A, Mero P, Lawo S, Tanaka H, Brown KR, Baryshnikova A, Mak AB, Fedyshyn Y, Wang Y, Brito GC, Kasimer D, Makhnevych T, Ketela T, Datti A, Babu M, Emili A, Pelletier L, Wrana J, Wainberg Z, Kim PM, Rottapel R, O'Brien CA, Andrews B, Boone C, Moffat J

    Molecular systems biology   9 巻   頁: 696   2013年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1038/msb.2013.54

    PubMed

  96. Yeast G-proteins mediate directional sensing and polarization behaviors in response to changes in pheromone gradient direction.

    Moore TI, Tanaka H, Kim HJ, Jeon NL, Yi TM

    Molecular biology of the cell   24 巻 ( 4 ) 頁: 521-34   2013年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1091/mbc.E12-10-0739

    PubMed

  97. Plasma-Activated Medium Selectively Kills Glioblastoma Brain Tumor Cells by Down-Regulating a Survival Signaling Molecule, AKT Kinase 査読有り

    H. Tanaka, M. Mizuno, K. Ishikawa, K. Nakamura, H. Kajiyama, H. Kano, F. Kikkawa, M. Hori

      1 巻 ( 3 ) 頁: 265-277   2013年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  98. Effect of indirect nonequilibrium atmospheric pressure plasma on anti-proliferative activity against chronic chemo-resistant ovarian cancer cells in vitro and in vivo.

    Utsumi F, Kajiyama H, Nakamura K, Tanaka H, Mizuno M, Ishikawa K, Kondo H, Kano H, Hori M, Kikkawa F

    PloS one   8 巻 ( 12 ) 頁: e81576   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1371/journal.pone.0081576

    Web of Science

    PubMed

  99. Real-time in situ electron spin resonance measurements on fungal spores of Penicillium digitatum during exposure of oxygen plasmas

    Ishikawa Kenji, Mizuno Hiroko, Tanaka Hiromasa, Tamiya Kazuhiro, Hashizume Hiroshi, Ohta Takayuki, Ito Masafumi, Iseki Sachiko, Takeda Keigo, Kondo Hiroki, Sekine Makoto, Hori Masaru

    APPLIED PHYSICS LETTERS   101 巻 ( 1 )   2012年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4733387

    Web of Science

  100. Selective killing of ovarian cancer cells through induction of apoptosis by nonequilibrium atmospheric pressure plasma

    Iseki Sachiko, Nakamura Kae, Hayashi Moemi, Tanaka Hiromasa, Kondo Hiroki, Kajiyama Hiroaki, Kano Hiroyuki, Kikkawa Fumitaka, Hori Masaru

    APPLIED PHYSICS LETTERS   100 巻 ( 11 )   2012年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.3694928

    Web of Science

  101. The Effect of Replacing Sst2 with the Heterologous RGS4 on Polarization and Mating in Yeast 査読有り

    Hiromasa Tanaka and Tau-Mu Yi

    Biophysical Journal   99 巻   頁: 1007 - 1017   2010年8月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.bpj.2010.04.078

  102. Reverse engineering a signaling network using alternative inputs 査読有り

      4 巻   頁: e7622/1 - e7622/13   2009年10月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1371/journal.pone.0007622

  103. Synthetic morphology using alternative inputs 査読有り

    Hiromasa Tanaka and Tau-Mu Yi

    PLoS ONE   4 巻   頁: e6946/1-15 - e6946/15   2009年9月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1371/journal.pone.0006946

  104. Formin3 is required for assembly of the F-actin structure that mediates tracheal fusion in Drosophila. 査読有り

    Hiromasa Tanaka, Etsuko Takasu, Toshiro Aigaki, Kagayaki Kato, Shigeo Hayashi, Akinao Nose

    Developmental Biology   274 巻   頁: 413 - 425   2004年10月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.ydbio.2004.07.035

▼全件表示

書籍等出版物 14

  1. Plasma Cancer Therapy 国際共著

    ( 範囲: Chapter 6, Plasma-Activated Solutions in Cancer Treatment)

    Springer International Publishing  2020年8月  ( ISBN:978-3-030-49966-2

     詳細を見る

    担当ページ:143-168   記述言語:英語 著書種別:教科書・概説・概論

  2. Plasma Medical Science

    Hiromasa Tanaka, Masaaki Mizuno, Masaru Hori( 範囲: Chapter 5.7, Plasma Medicine Innovations in Cancer Therapy: Glioblastoma)

    Academic Press  2018年7月  ( ISBN:9780128150054

     詳細を見る

    担当ページ:291-303   記述言語:英語 著書種別:教科書・概説・概論

  3. Comprehensive Clinical Plasma Medicine 国際共著

    Hiromasa Tanaka and Masaru Hori( 担当: 共著 ,  範囲: Chapter 26, Plasma Activated Medium)

    Springer International Publishing  2018年  ( ISBN:978-3-319-67627-2

     詳細を見る

    担当ページ:431-440   記述言語:英語 著書種別:教科書・概説・概論

  4. 大気圧プラズマ処理における殺菌・医療・バイオ分野への応用

    橋爪 博司、田中 宏昌、堀 勝、伊藤 昌文

    「工業材料」誌  2017年10月 

     詳細を見る

    担当ページ:27-30  

  5. 最先端プラズマバイオ技術

    堀 勝、石川 健治、田中宏昌、橋爪 博司、近藤 隆

    日本放射線化学会会誌「放射線化学」  2017年10月 

     詳細を見る

    担当ページ:3-14  

  6. ドライプロセスとプラズマ医療科学

    田中 宏昌、堀 勝

    コロナ社  2016年12月 

     詳細を見る

    担当ページ:272-282  

  7. 大気圧低温プラズマによるがん治療

    田中 宏昌、堀 勝

    放射線生物研究  2016年9月 

     詳細を見る

    担当ページ:305-314  

  8. ドライプロセスと医療

    田中 宏昌、堀 勝

    月刊ケミカルエンジニヤリング  2016年 

     詳細を見る

    担当ページ:272-282  

  9. プラズマ活性溶液の細胞影響

    田中 宏昌、水野 正明、豊國 伸哉、丸山 彰一、小寺 泰弘、足立 哲夫、寺崎 浩子、加藤 昌志、吉川 史隆、堀 勝

    プラズマ・核融合学会誌小特集  2015年12月 

     詳細を見る

    担当ページ:776-779  

  10. プラズマ医療の可能性と今後の展望

    田中 宏昌、堀 勝( 担当: 共著)

    日本薬学会誌ファルマシア  2015年11月 

     詳細を見る

    担当ページ:1053-1057  

  11. 低温大気圧プラズマの医療応用

    田中 宏昌、堀 勝( 担当: 共著)

    週刊医学のあゆみ  2015年7月 

     詳細を見る

    記述言語:日本語

  12. プラズマ活性溶液:作用機序解明と臨床応用・産業化を目指して

    田中 宏昌、水野 正明、豊國 伸哉、丸山 彰一、小寺 泰弘、吉川 史隆、堀 勝( 担当: 共著)

    福岡医学雑誌  2015年4月 

     詳細を見る

    記述言語:日本語

  13. がん細胞へのプラズマ照射の影響

    田中 宏昌( 担当: 単著)

    日本機械学会誌  2014年7月 

     詳細を見る

    記述言語:日本語

  14. 週刊医学のあゆみ・プラズマによるがん治療

    田中 宏昌( 担当: 単著)

    医歯薬出版株式会社  2014年3月 

     詳細を見る

    記述言語:日本語

▼全件表示

講演・口頭発表等 139

  1. Plasma-activated solutions for bio-medical application 招待有り 国際会議

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Hiroaki Kajiyama, Shinya Toyokuni, Masaru Hori

    3rd Asia pacific conference on plasma and terahertz science(APCOPTS)  2023年8月26日 

     詳細を見る

    開催年月日: 2023年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Busan, Korea   国名:大韓民国  

  2. Plasma-bio application using plasma-activated solutions 招待有り 国際会議

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Hiroaki Kajiyama, Shinya Toyokuni2, Masaru Hori

    65th KVS Suumer Annual Conference & IFFM 2023  2023年8月21日 

     詳細を見る

    開催年月日: 2023年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Jeju, Korea   国名:大韓民国  

  3. Activation of strawberry fruit ripening with cold plasma treatment during cultivation 国際会議

    Hiroshi Hashizume, Shogo Matsumoto, Kaoru Sanda, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Mikiko Kojima, Yumiko Takebayashi, Hiromasa Tanaka, Kenji Ishikawa, Masafumi Ito, Hidemi Kitano, Hitoshi Sakakibara, Takayuki Okuma, Yoji Hirosue, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori

    4TH INTERNATIONAL WORKSHOP ON PLASMA AGRICULTURE (IWOPA4)  2023年6月19日 

     詳細を見る

    開催年月日: 2023年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地: Seoul, Korea   国名:大韓民国  

  4. Efficacy of cold plasma for strawberry cultivation on fruit ripening process 国際会議

    Hiroshi Hashizume, Shogo Matsumoto, Hitoshi Sakakibara, Kaoru Sanda, Akiko Abe, Hiroko Mizuno, Kenki Tsubota, Mikiko Kojima, Yumiko Takebayashi, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Masafumi Ito, Hidemi Kitano, Takayuki Okuma, Yuji Hirosue, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori

    25th International Symposium on Plasma Chemistry (ISPC25)  2023年5月23日 

     詳細を見る

    開催年月日: 2023年5月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Kyoto, Japan   国名:日本国  

  5. 非平衡大気圧プラズマがゼブラフィッシュに及ぼす影響とその機構解明

    紅林 佑弥, 石川 健治, 田中 宏昌, 秋山 真一, 橋爪 博司, 堀 勝

    第70回応用物理学会春季学術講演会  2023年3月17日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京都   国名:日本国  

  6. プラズマ活性乳酸リンゲル液によるがん細胞死経路上の オートファジー観察

    山川 太嗣,石川 健治,橋爪 博司,田中 宏昌,堀 勝

    第70回応用物理学会春季学術講演会  2023年3月17日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京都   国名:日本国  

  7. カーボンナノウォール足場上での電気刺激重畳培養における ヒト間葉系幹細胞の形態変化

    小島 悠暉,近藤 博基, 田中 宏昌 ,石川 健治, 橋爪 博司, 堀 勝

    第70回応用物理学会春季学術講演会  2023年3月17日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京都   国名:日本国  

  8. 大気圧プラズマ源の構造の違いが中性ラジカル生成密度に及ぼす影響

    杉江恭輔, 呉準席, 田中宏昌, 堀勝, 伊藤昌文

    第70回応用物理学会春季学術講演会  2023年3月17日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京都   国名:日本国  

  9. イチゴ栽培での低温プラズマ処理による果実硬度への効果の検討

    橋爪博司, 三田 薫, 水野寛子, 阿部明子, タンマウォン マナスィカン, ミロン カメリア, ブリトン ニコライ, 田中宏昌, 嶋津光鑑, 中野浩平, 堀 勝

    第70回応用物理学会春季学術講演会  2023年3月15日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:東京都   国名:日本国  

  10. Chemical analysis of cold atmospheric pressure plasma- activated liquids for cancer treatment 招待有り 国際会議

    Camelia Miron, Hiromasa Tanaka, Kenji Ishikawa, Takashi Kondo, Hiroki Kondo, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno, Masaru Hori

    8TH INTERNATIONAL W ORKSHOP O N P LASMA FOR C ANCER T REATMENT (IWPCT2023)  2023年3月15日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Raleigh, North Carolina   国名:アメリカ合衆国  

  11. Effects of Non-Equilibrium Atmospheric Pressure Plasma on Zebrafish 国際会議

    Yuya Kurebayashi, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Shinichi Akiyama and Masaru Hori

    ISPlasma2023/IC-PLANTS2023  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  12. Mass Spectrometric Study of Ions and Neutral Species Generated by a High-Density Atmospheric-Pressure Plasma Source 国際会議

    Kyosuke Sugie, Jun-Seok Oh, Hiromasa Tanaka, Masaru Hori and Masafumi Ito

    ISPlasma2023/IC-PLANTS2023  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  13. Plasma-Activated Medium Enhances Anticancer Drug-Induced Toxicity Mediated by Reduciton of Claudin-2 Expression in Lung Adenocarcinoma 国際会議

    Hiroaki Eguchi, Yaqing Yu, Yuta Yoshino, Satoshi Endo, Hiromasa Tanaka, Hirokazu Hara and Akira Ikari

    ISPlasma2023/IC-PLANTS2023  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  14. Investigation of Anti-Tumor Effect Mechanism by Plasma Irradiated L-Arginine Solution 国際会議

    Liyin Du, Carmelia Miron, Saitoshi Kashiwagura, Takashi Kondo, Hiromasa Tanaka, Kenji Ishikawa, Masaaki Mizuno, Shinya Toyokuni, Hiroaki Kajiyama and Masaru Hori

    ISPlasma2023/IC-PLANTS2023  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  15. Morphological Control of Human Mesenchymal Stem Cells with Electrical Stimulation on Carbon Nanowalls. 国際会議

    Yuki Kojima, Hiroki Kondo, Hiromasa Tanaka, Kenji Ishikawa, Hiroshi Hashizume and Masaru Hori

    ISPlasma2023/IC-PLANTS2023  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  16. Morphological Effect of Carbon Nanowalls on Exosome Capture 国際会議

    Takumi Hashimoto, Hiroki Kondo, Hiromasa Tanaka, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Takao Yasui, Yoshinobu Baba, Mineo Hiramatsu and Masaru Hori

    ISPlasma2023/IC-PLANTS2023  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  17. Control of Generating White Core in Grains of Brewer's Rice Cultivar with Direct Plasma Irradiation to Spikelet after Flowering 国際会議

    Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Kaoru Sanda, Shih-Nan Hsiao, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Yuji Hirosue, Masayoshi Maeshima, Masaaki Mizuno and Masaru Hori

    ISPlasma2023/IC-PLANTS2023  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  18. Cold Plasma Treatment During Cultivation Affects Flesh Firmness of Strawberry Fruits 国際会議

    Hiroshi Hashizume, Kohei Nakano, Kaoru Sanda, Akiko Abe, Hiroko Mizuno, Manasikan Thammawong, Camelia Miron, Nikolay Britun, Teruaki Shimazu, Hiromasa Tanaka and Masaru Hori

    ISPlasma2023/IC-PLANTS2023  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  19. MEMS Nozzle for Capturing Lily Pollen in Array and Fixing on Culture Media 国際会議

    Sachiko Sugiyama, Gang Han, Takayoshi Tsutsumi, Hiromasa Tanaka and Minoru Sasaki

    ISPlasma2023/IC-PLANTS2023  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  20. Effect of Lactate Activated with Nitrogen and Oxygen Radicals on Inactivation of Breast Cancer Cells 国際会議

    Taiga Nishida, Naoyuki Iwata, Tomiyasu Murata, Hiromasa Tanaka, Masaru Hori and Masafumi Ito

    ISPlasma2023/IC-PLANTS2023  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  21. Analysis of Effect of Neutral-Oxygen-Radical Irradiation on Biodegradation of Polyethylene Terephthalate Using High-Performance Liquid Chromatography 国際会議

    Daichi Goto, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Masafumi Ito and Masaru Hori

    ISPlasma2023/IC-PLANTS2023  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  22. Low Temperature Plasma Chemistry of Aqueous Solutions of Amino Acids. A Spin Trapping Study 国際会議

    Takashi Kondo, Hiroshi Hshizume, Jun Kumagai, Hiromasa Tanaka, Kenji Ishikawa and Masaru Hori

    ISPlasma2023/IC-PLANTS2023  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  23. 生命エレクトロニクスとしてのプラズマ生命科学 招待有り

    田中 宏昌、堀 勝

    第5回電気系コロキウム  2022年12月7日 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋   国名:日本国  

  24. Plasma-activated solutions in plasma life science 招待有り 国際会議

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Camelia Miron, Hiroaki Kajiyama, Shinya Toyokuni, and Masaru Hori

    The 32nd Annual Meeting of MRS-J  2022年12月7日 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Yokohama   国名:日本国  

  25. Plasma-activated solutions for Plasma Bioscience 招待有り 国際会議

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Camelia Miron, Hiroaki Kajiyama, Shinya Toyokuni, and Masaru Hori

    12th International Symposium on Plasma Biosciences (ISPB 2022)  2022年11月24日 

     詳細を見る

    開催年月日: 2022年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  26. Inactivation of Breast Cancer Cells using Nitrogen-Oxygen-Radical-Activated Lactate Ringer's Solution 国際会議

    Taiga Nishida, Naoyuki Iwata, Tomiyasu Murata, Hiromasa Tanaka, Masaru Hori, Masafumi Ito

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022年10月5日 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  27. Comprehensive analysis of gene expression in PAL-treated glioblastoma cells

    Hiromasa Tanaka, Masaaki Mizuno, Ayako Tanaka, Yuki Shibata, Kenji Ishikawa, Hiroki Kondo, Hiroshi Hashizume, Camelia Miron, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaru Hori

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022年10月4日 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  28. Various approaches of cold plasma treatment to brewer’s rice plant for improvement of grain quality 国際会議

    Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Kaoru Sanda, Genki Yuasa, Satoe Tohno, Shih-Nan Hsiao, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Yoji Hirosue, Masayoshi Maeshima, Masaaki Mizuno, Masaru Hori

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022年10月 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  29. Biological effects of the combination with low temperature plasmas and nanoparticles-platinum and gold-Plasma Medical & Agricultural Application II 国際会議

    Kenji Ishikawa, Takashi Kondo, Hiromasa Tanaka, Masaru Hori, Shinya Toyokuni, Masaaki Mizuno

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  2022年10月7日 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  30. Highly efficient exosome capture by carbon nanowalls template 国際会議

    2022年10月4日 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:仙台   国名:日本国  

  31. 細胞運命を制御する応用物理:プラズマ・バイオ研究の融合による革新 招待有り

    田中 宏昌、水野 正明、石川 健治、梶山 広明、豊國 伸哉、吉川 史隆、堀 勝

    第68回応用物理学会秋季学術講演会  2022年9月21日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:仙台   国名:日本国  

  32. 酸化窒素ラジカル活性化乳酸リンゲル液の乳がん細胞に対する選択的不活性化効果

    西田 大河、岩田 直幸、村田 富保、田中 宏昌、堀 勝、伊藤 昌文

    第83回 応用物理学会 秋季学術講演  2022年9月20日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:仙台   国名:日本国  

  33. プラズマ活性乳酸リンゲル液によるマクロファージの形質発現誘導

    出野 雄大, 柏倉 慧史, 田中 宏昌, 石川 健治, 橋爪 博司, 中村 香江, 豊國 伸哉, 水野 正明, 梶山 広明, 堀 勝

    第83回 応用物理学会 秋季学術講演  2022年9月20日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:仙台   国名:日本国  

  34. 非平衡大気圧プラズマを用いた陸上養殖実現に向けた基礎的研究

    紅林 佑弥, 石川 健治, 田中 宏昌, 秋山 真一, 橋爪 博司, 堀 勝

    第83回 応用物理学会 秋季学術講演  2022年9月20日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:仙台   国名:日本国  

  35. Physicochemical Investigation of Plasma Activated Liquids Organically Engineered by Cold Atmospheric Pressure Plasma for Cancer Treatment 国際会議

    Camelia Miron, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Massaki Mizuno, Masaru Hori

    9th International Conference on Plasma Medicine (ICPM9)  2022年6月30日 

     詳細を見る

    開催年月日: 2022年6月 - 2022年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Utrecht   国名:オランダ王国  

  36. Effectiveness of Plasma Treatment for Various Rice Cultivation 国際会議

    Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Kaoru Sanda, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, Masaru Hori

    9th International Conference on Plasma Medicine (ICPM9)  2022年6月27日 

     詳細を見る

    開催年月日: 2022年6月 - 2022年7月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Utrecht   国名:オランダ王国  

  37. Molecular mechanisms of cell death by plasma-activated solutions in glioblastoma cells 招待有り 国際会議

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Camelia Miron, Hiroaki Kajiyama, Shinya Toyokuni, Masaru Hori

    9th International Conference on Plasma Medicine (ICPM9)  2022年6月27日 

     詳細を見る

    開催年月日: 2022年6月 - 2022年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Utrecht   国名:オランダ王国  

  38. The aqueous plasma therapy for ovarian cancer ~Aiming for controlling disseminated peritoneal metastasis~ 招待有り

    Hiroaki Kajiyama, Kae Nakamura, Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Shinya Toyokuni, Masaru Hori

    9th International Conference on Plasma Medicine (ICPM9)  2022年6月30日 

     詳細を見る

    開催年月日: 2022年6月 - 2022年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Utrecht   国名:オランダ王国  

  39. Immunostimulatory Effect of Plasma-Activated Solutions in the Intraperitoneal Environment of Ovarian Cancer 国際会議

    Kae Nakamura, Kazuya Sugiyama, Nobuhisa Yoshikawa, Masato Yoshihara,Tetsuya Matsukawa, Hiromasa Tanaka, Masaaki Mizuno, Shinya Toyokuni, Masaru Hori and Hiroaki Kajiyama

    9th International Conference on Plasma Medicine (ICPM9)  2022年6月28日 

     詳細を見る

    開催年月日: 2022年6月 - 2022年7月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Utrecht   国名:オランダ王国  

  40. Gene Expression Analysis of Plasma Activated Ringer’s Lactate Solution Treated Cells 国際会議

    Hiromasa Tanaka,Masaaki Mizuno,Kenji Ishikawa,Hiroshi Hashizume,Kae Nakamura,Hiroaki Kajiyama,Fumitaka Kikkawa,Yasumasa Okazaki,Shinya Toyokuni,Masaru Hori

    MRS Spring Meetings & Exhibits  2022年5月11日 

     詳細を見る

    開催年月日: 2022年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Honolulu. Hawai   国名:アメリカ合衆国  

  41. 中性酸素ラジカル源を用いたポリエチレンテレフタレートの生分解速度の向上

    五藤 大智、岩田 直幸、石川 健治、橋爪 博司、田中 宏昌、伊藤 昌文、堀 勝

    第69回応用物理学会春季学術講演会  2022年3月24日 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

  42. Measurement of RONS concentration in plasma-irradiated artificial seawater 国際会議

    Takumi Yamauchi, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Shinichi Akiyama, and Masaru Hori

    2022年3月9日 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:口頭発表(一般)  

  43. 高効率エクソソーム解析に向けたカーボンナノウォールテンプレートの表面電位制御

    橋本 拓海、近藤 博基、田中 宏昌、石川 健治、堤 隆嘉、関根 誠、安井 隆雄、馬場 嘉信、平松 美根男、堀 勝

    第69回応用物理学会春季学術講演会  2022年3月24日 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

  44. 稲穂への低温プラズマ照射がもたらす酒造品種玄米の品質向上

    橋爪 博司、北野 英己、水野 寛子、阿部 明子、三田 薫、蕭 世男、湯浅 元気、東野 里江、田中 宏昌、石川 健治、松本 省吾、榊原 均、仁川 進、前島 正義、水野 正明、堀 勝

    第69回応用物理学会春季学術講演会  2022年3月24日 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

  45. 網羅的解析に基づくプラズマ活性溶液による細胞死の機構解明

    田中 宏昌、水野 正明、石川 健治、橋爪 博司、中村 香江、梶山 広明、吉川 史隆、岡崎 康昌、豊國 伸哉、堀 勝

    第69回応用物理学会春季学術講演会  2022年3月24日 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

  46. プラズマ照射乳酸リンゲル液の抗腫瘍成分の評価

    伊藤 大貴、岩田 直幸、石川 健治、橋爪 博司、中村 香江、ミロン カメリア、田中 宏昌、梶山 広明、豊國 伸哉、水野 正明、堀 勝

    第69回応用物理学会春季学術講演会  2022年3月24日 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

  47. 流液への照射によるプラズマ活性溶液の作製と短寿命活性種の測定

    柏倉 慧史、岩田 直幸、石川 健治、橋爪 博司、田中 宏昌、堀 勝

    第69回応用物理学会春季学術講演会  2022年3月24日 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

  48. Evaluation of Selective Anti- Cancer Effect in Plasma- Activated Ringer's Lactate Solution Produced by Regulated Surrounding Atmosphere 国際会議

    Daiki Ito, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Kae Nakamura, Camelia Miron, Hiromasa Tanaka, Hiroaki kajiyama, Shinya Toyokuni, Masaaki Mizuno and Masaru Hori

    ISPlasma2022/ICPLANTS2022  2022年3月9日 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:口頭発表(一般)  

  49. Physicochemical Investigation of Plasma Activated Lactate Solutions 国際会議

    Camelia Miron, Nikolay Britun, Hiroki Kondo, Kae Nakamura, Hiroshi Hashizume, Hiromasa Tanaka, Kenji Ishikawa and Masaru Hori

    ISPlasma2022/ICPLANTS2022  2022年3月9日 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:口頭発表(一般)  

  50. Genotoxic Efects of Plasma Activated Ringer's Lactate Solution on Cancer Cells. 国際会議

    Yang Liu, Yoshimichi Nakatsu, Hiromasa Tanaka, Kazunori Koga, Kenji Ishikawa, Masaharu Shiratani and Masaru Hori

    ISPlasma2022/ICPLANTS2022  2022年3月9日 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:口頭発表(一般)  

  51. Quality-Increasing Effect of Plasma Treatment in a Paddy on Various Rice Cultivars 国際会議

    Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Kaoru Sanda, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno and Masaru Hori

    ISPlasma2022/ICPLANTS2022   2022年3月9日 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:口頭発表(一般)  

  52. プラズマ活性乳酸リンゲル液によるがん細胞死の分子機構

    田中 宏昌、水野 正明、石川 健治、橋爪 博司、中村 香江、岡崎 康昌、豊國 伸哉、梶山 広明、吉川 史隆、堀 勝

    2022年1月24日 

     詳細を見る

    開催年月日: 2022年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

  53. 低温プラズマ処理による高品質イチゴ果実の安定性生産

    橋爪 博司、松本 省吾、坪田 憲紀、三田 薫、水野 寛子、阿部 明子、湯浅 元気、東野 里江、田中 宏昌、石川 健治、伊藤 昌文、北野 英己、榊原 均、仁川 進、大熊 隆之、前島 正義、水野 正明、堀 勝

    2022年1月24日 

     詳細を見る

    開催年月日: 2022年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

  54. 原子状酸素ラジカル処理したポリエチレンテレフタレートの生分解

    五藤 大智、岩田 直幸、石川 健治、橋爪 博司、田中 宏昌、伊藤 昌文、上坂 裕之、堀 勝

    2022年1月24日 

     詳細を見る

    開催年月日: 2022年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

  55. Growth promotion of cultured feed Artemia irradiated with low-temperature plasma 国際会議

    Takumi Yamauchi, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Shin'ichi Akiyama, and Masaru Hori

    31st Material Research Society in Japan (MRS-J)  2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online  

  56. Enhancement of biodegradation technology of polyethylene terephthalate with plasma-pretreatment 国際会議

    Daichi Goto, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Masafumi Ito, and Masaru Hori

    31st Material Research Society in Japan (MRS-J)  2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online  

  57. Cellular Respiration System Affected by Low-temperature Plasma 国際会議

    Hiromasa Tanaka, Shogo Maeda, Shogo Matsumura, Masaaki Mizuno, Kenji Ishikawa, Masafumi Ito, Hiroshi Hashizume, Mikako Ito, Kinji Ohno, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Yasumasa Okazaki, Shinya Toyokuni, and Masaru Hori

    31st Material Research Society in Japan (MRS-J)  2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語  

    開催地:online  

  58. Effects of cold plasma treatment with rice seedlings in a paddy on yield and grain quality for different cultivars 国際会議

    Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Kaoru Sanda, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori

    31st Material Research Society in Japan (MRS-J)  2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online  

  59. Anti-tumor effect of plasma-activated solution produced by the flowing system 国際会議

    Satoshi Kashiwagura, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Camelia Miron, Kae Nakamura, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori

    31st Material Research Society in Japan (MRS-J)  2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online  

  60. Production of plasma-activated Ringer's lactate solution with regulated surrounding atmosphere 国際会議

    Daiki Ito, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Kae Nakamura, Camelia Miron, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori

    31st Material Research Society in Japan (MRS-J)  2021年12月 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online  

  61. Plasma-biological reaction networks and aqueous radical chemistry 招待有り 国際会議

    Kenji Ishkawa, Camelia Miron, Takashi Kondo, Hiromasa Tanaka, and Masaru Hori

    31st Material Research Society in Japan (MRS-J)  2021年12月 

     詳細を見る

    開催年月日: 2021年12月 - 2012年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:online  

  62. Components in plasma-activated Ringer’s lactate solution that induce cell death on U251SP glioblastoma cells 国際会議

    Hiromasa Tanaka, Yugo Hosoi, Kenji Ishikawa, Jun Yoshitake, Takahiro Shibata, Koji Uchida, Hiroshi Hashizume, Masaaki Mizuno, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, and Masaru Hori

    12th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-12)  2021年12月10日 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online  

  63. Low-temperature plasma-activated solutions and metabolic modification 招待有り 国際会議

    Kenji Ishkawa, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori

    5th Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2021)  2021年9月27日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:online  

  64. 酸素ラジカル照射したl-トリプトファン溶液の殺菌効果

    岩田 直幸、石川 健治、橋爪 博司、田中 宏昌、伊藤 昌文、堀 勝

    2021年第82回応用物理学会秋季学術講演会  2021年9月12日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン  

  65. プラズマ活性溶液及びプラズマ照射が細胞呼吸に与える影響

    田中 宏昌、前田 昌吾、松村 翔伍、水野 正明、石川 健治、伊藤 昌文、橋爪 博司、伊藤 美佳子、大野 欽司、中村 香江、梶山 広明、吉川 史隆、岡崎 泰昌、豊國 伸哉、堀 勝

    2021年第82回応用物理学会秋季学術講演会  2021年9月12日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン  

  66. 流液への照射によるプラズマ活性溶液の大量作製と抗腫瘍効果の評価

    柏倉 慧史、岩田 直幸、石川 健治、橋爪 博司、カメリア ミロン、中村 香江、田中 宏昌、梶山 広明、豊國 伸哉、水野 正明、堀 勝

    2021年第82回応用物理学会秋季学術講演会  2021年9月12日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

  67. CNW細胞培養基板上のSiCコートが細胞増殖に与える影響

    小野 浩毅、小出 崇史、石川 健治、田中 宏昌、近藤 博基、鳴瀧 彩絵、金 勇、安原 重雄、堀 勝、竹内 和歌奈

    2021年第82回応用物理学会秋季学術講演会  2021年9月13日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン  

  68. Arパージ下でプラズマ照射した乳酸リンゲル液の抗腫瘍効果の評価

    伊藤 大貴、岩田 直幸、石川 健治、橋爪 博司、中村 香江、ミロン カメリア、田中 宏昌、梶山 広明、豊國 伸哉、水野 正明、堀 勝

    2021年第82回応用物理学会秋季学術講演会  2021年9月13日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン  

  69. ポリエチレンテレフタラートの新しい生分解プラズマ技術の開発

    五藤 大智、岩田 直幸、石川 健治、橋爪 博司、田中 宏昌、伊藤 昌文、堀 勝

    2021年第82回応用物理学会秋季学術講演会  2021年9月12日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン  

  70. 低温プラズマ照射した養殖餌料アルテミアの成長促進

    山内 拓海、石川 健治、田中 宏昌、秋山 真一、橋爪 博司、堀 勝

    2021年第82回応用物理学会秋季学術講演会  2021年9月13日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン  

  71. Development of new biodegradation technology by surface modification of polyethylene terephthalate using atmospheric pressure plasma 国際会議

    Daichi Goto, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, HiromasaTanaka, Masafumi Ito, and Masaru Hori

    Interfinish 2020 World Congress  2021年9月7日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online  

  72. Cell death mechanisms by plasma activated medium and plasma activated Ringer's lactate solution 招待有り 国際会議

    Masaru Hori, Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Yasumasa Okazaki, Shinya Toyokuni, and Fumitaka Kikkawa

    2021年8月6日 

     詳細を見る

    開催年月日: 2021年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:online  

  73. Analysis of Cellular Respiration in Plasma-activated Solutions-treated Cancer Cells 国際会議

    Hiromasa Tanaka, Shogo Maeda, Masaaki Mizuno, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Yasumasa Okazaki, Shinya Toyokuni, M. Ito, K. Ohno, Fumitaka Kikkawa, and Masaru Hori

    8th International Conference on Plasma Medicine  2021年8月6日 

     詳細を見る

    開催年月日: 2021年8月

    記述言語:英語  

    開催地:online  

  74. Efficacy for Rice Plant Growth with Plasma Irradiation to Seeds 国際会議

    Hiroshi Hashizume, HidemiKitano, Hiroko Mizuno,Akiko Abe, Genki Yuasa, SatoeTohno, Hiromasa Tanaka, Kenji Ishikawa,Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno and Masaru Hori

    8th International Conference on Plasma Medicine  2021年8月4日 

     詳細を見る

    開催年月日: 2021年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online  

  75. Plasma-activated Solution Promotes Wound Healing in Diabetic Mouse via Keratinocytes Activation 国際会議

    2021年8月3日 

     詳細を見る

    開催年月日: 2021年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:online  

  76. Indirect plasma application 招待有り 国際会議

    Hiromasa Tanaka and Masaru Hori

    7th International Workshop on Plasma for Cancer Treatment (IWPCT-2021)  2021年6月28日 

     詳細を見る

    開催年月日: 2021年6月

    記述言語:英語   会議種別:公開講演,セミナー,チュートリアル,講習,講義等  

  77. 気相反応制御によるプラズマ活性溶液の反応と抗腫瘍効果の究明

    伊藤 大貴、石川 健治、橋爪 博司、田中 宏昌、堀 勝

    2021年第68回応⽤物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  78. 酸素ラジカル処理肥料溶液を用いた殺菌でのピロール化合物の重要性

    岩田 直幸、石川 健治、橋爪 博司、田中 宏昌、伊藤 昌文、堀 勝

    2021年第68回応⽤物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  79. Dependence of Nitrogen Concentrations on Cytotoxicity of Air-Free Ar-N2 Mixed Atmospheric Pressure Plasma-activeted Lactated Solutions 国際会議

    Daiki Ito, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/ICPLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Conference   国名:日本国  

  80. Dependence of nitrogen concentrations on cytotoxicity of air-free Ar-N2 mixed atmospheric pressure plasma-activeted lactated solutions 国際会議

    Daiki Ito, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, T. Tsutsumi, M. Sekine, and M. Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Conference   国名:日本国  

  81. Quality increase of fruits with plasma treatment on strawberry cultivation 国際会議

    Hiroshi Hashizume, Shogo Matsumoto, Kenki Tsubota, Kaoru Sanda, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Masafumi Ito, Hidemi Kitano, Hitoshi Sakakibara, Susumu Nikawa, Takayuki Okuma, Masayoshi Maeshima,Masaaki Mizuno, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Conference   国名:日本国  

  82. Reversible change in surface morphology of lipid bilayer induced by indirect plasma irradiation 国際会議

    Hiroki Kondo, Takuya Tonami, Sotaro Yamaoka, Hiromasa Tanaka, Kenji Ishikawa, Makoto Sekine, Masafumi Ito and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Conference   国名:日本国  

  83. Efficacy of plasma treatment in a paddy field for yield and grain quality of rice 国際会議

    Kaoru Sanda, Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori.

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Conference   国名:日本国  

  84. Effects of non-equilibrium atmospheric pressure plasma on aquaculture feed 国際会議

    Takumi Yamauchi, Kenji Ishikawa, Hiromasa Tanaka, Shin'ichi Akiyama, Hiroshi Hashizume and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/ICPLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Conference   国名:日本国  

  85. Enhancement of alcohol production of budding yeast by direct irradiation of nonequilibrium atmospheric pressure plasma 国際会議

    Shogo Matsumura, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Masafumi Ito and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Conference   国名:日本国  

  86. Selective killing effects of organics in plasma-activated Ringer’s solutions 国際会議

    Yuki Suda, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Conference   国名:日本国  

  87. Dependency of Bactericidal Effect in Oxygen-Radical Exposed E. Coli Suspension Containing L-Tryptophan on its Concentration 国際会議

    Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Jun-Seok Oh, Masafumi Ito and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Conference   国名:日本国  

  88. Apoptosis-inducing Activity through Caspase-9 of Radical-Activated Lactate Ringers Solution for Melanoma Cells 国際会議

    Yuki Hori, Tomiyasu Murata, Hiromasa Tanaka, Masaru Hori and Masafumi Ito

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/ICPLANTS2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Conference   国名:日本国  

  89. PLASMA APPLICATIONS FOR AGRICULTURE FROM SEEDS TO FIELD 招待有り 国際会議

    Hiroshi Hashizume, Hiroko Mizuno, Akiko Abe, Kenki Tsubota, Genki Yuasa, Satoe Tohno, Mikiko Kojima, Yumiko Takebayashi3, Hiromasa Tanaka, Kenji Ishikawa, Masafumi Ito, Hidemi Kitano, Shogo Matsumoto, Hitoshi Sakakibara, Takayuki Okuma, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori

    3RD INTERNATIONAL WORKSHOP ON PLASMA AGRICULTURE IWOPA3 (2021) 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Virtual Conference  

  90. 扁形動物を用いた低温プラズマによる再生・分化の制御とその機構解明

    田中 宏昌、水野 正明、 堀 勝、梅園 良彦、阿形 清和

    プラズマバイオコンソーシアム研究報告会 

     詳細を見る

    開催年月日: 2021年2月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  91. プラズマ医療科学の最先端 招待有り

    堀 勝、田中宏昌

     詳細を見る

    開催年月日: 2021年1月

    記述言語:日本語   会議種別:口頭発表(基調)  

    国名:日本国  

  92. Antitumor effect of plasma-activated Ringer's acetate solution 国際会議

    Yuki SudaU, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, Masaru Hori

    第30回日本MRS年次大会 国際シンポジウム プラズマサイエンス 

     詳細を見る

    開催年月日: 2020年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Meeting  

  93. Enhancement of alcohol fermentation of budding yeast by direct treatments of non-equilibrium atmospheric pressure plasma 国際会議

    Shogo Matsumura, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Masafumi Ito, Masaru Hori

    第30回日本MRS年次大会 国際シンポジウム プラズマサイエンス 

     詳細を見る

    開催年月日: 2020年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Meeting  

  94. Cell Death Mechanisms of Plasma-Activated Solutions-Treated Cancer Cells 招待有り 国際会議

    Masaru Hori, Hiromasa Tanaka, Kenji Ishikawa, Shinya Toyokuni, Hiroaki Kajiyama, Masaaki Mizuno

    第30回日本MRS年次大会 国際シンポジウム プラズマサイエンス 

     詳細を見る

    開催年月日: 2020年12月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Virtual Meeting  

  95. Apoptosis-inducing activity through caspase pathway in melanoma cells treated by radical-activated lactate Ringer's solution 国際会議

    Yuki Hori, Tomiyasu Murata, Hiromasa Tanaka, Masaru Hori, Masafumi Ito

    73th Annual Gaseous Electronics Virtual Conference 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Conference  

  96. Metabolomic profiles of glioblastoma in plasma-activated solutions 国際会議

    Kenji Ishikawa, Hiromasa Tanaka, Li Jiang, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Camelia Miron, Masaru Hori

    73th Annual Gaseous Electronics Virtual Conference 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Conference  

  97. Plasma activated Ringer's lactate solution affected cellular respiratory system on HeLa cells. 国際会議

    Hiromasa Tanaka, Shogo Maeda, Kae Nakamura, Hiroshi Hashizume, Kenji Ishikawa, Mikako Ito, Kinji Ohno, Masaaki Mizuno, Shinya Toyokuni, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaru Hori

    73th Annual Gaseous Electronics Virtual Conference 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Conference  

  98. Effectiveness of cold plasma treatment during rice cultivation for growth and yield 国際会議

    Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, Masaru Hori

    73rd Annual Gaseous Electronics Virtual Conference 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Conference  

  99. Physicochemical investigation of plasma activated liquids 国際会議

    Camelia Miron, Yang Liu, Naoyuki Iwata, Kenji Ishikawa, Hiromasa Tanaka, Shinya Toyokuni, Masaaki Mizuno, Masaru Hori

    73th Annual Gaseous Electronics Virtual Conference 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Conference  

  100. 酒米品種イネ栽培における低温プラズマ処理の品質への効果

    橋爪 博司、北野 英己、水野 寛子、阿部 明子、湯浅 元気、東野 里江、田中 宏昌、石川 健治、松本 省吾、榊原 均、仁川 進、前島 正義、水野 正明、堀 勝

    第81回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  101. 様々なプラズマ活性溶液による細胞死の作用機序 招待有り

    田中 宏昌、堀 勝

    第81回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン   国名:日本国  

  102. ラジカル活性乳酸リンゲル液で処理されたメラノーマ細胞におけるカスパーゼ依存性アポトーシス

    堀 侑己、村田 富保、田中 宏昌、堀 勝、伊藤 昌文

    第81回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  103. プラズマ活性乳酸処理グリオーマのメタボロミクス解析(2)

    石川 健治、田中 宏昌、橋爪 博司、ミロン カメリア、蒋 麗、豊國 伸哉、中村 香江、梶山 広明、吉川 史隆、水野 正明、堀 勝

    第81回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  104. プラズマ誘起生体反応の機序解明最前線~何が何処まで解ってきたか~「プラズマ活性溶液による細胞死の作用機序」 招待有り

    田中 宏昌、堀 勝

    第67回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  105. Plasma cancer treatments in the current plasma medical science 招待有り 国際会議

    Hiromsasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Shinya Toyokuni, Hiroaki Kajiyama, Fumitaka Kikkawa and Masaru Hori

    29th Annual Meeting of MRS-J 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  106. 低温プラズマ医療科学の最前線 招待有り

    田中 宏昌、堀 勝

    大学共同利用機関法人自然科学研究機構核融合科学研究所と国立大学法人名古屋大学低温プラズマ科学研究センターとの連携・協力に関する協定書調印式・記念講演会 

     詳細を見る

    開催年月日: 2019年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  107. プラズマ照射に対する生体内応答ネットワーク解析 招待有り

    田中 宏昌、堀 勝

    令和元年度 東北大学電気通信研究所 共同プロジェクト研究会応用物理学会プラズマエレクトロニクス分科会 第32回プラズマ新領域研究会物理 化学混成系プラズマにおける情報系機能発現~プラズマ反応場をネットワーク科学として捉える~ 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  108. Gene expression analyses revealed the differences of intracellular molecular mechanisms of PAM- and PAL-induced cell death. 招待有り 国際会議

    H. Tanaka, M. Mizuno, Y. Katsumata, K. Ishikawa, H. Kondo, H. Hashizume, Y. Okazaki, S. Toyokuni, K. Nakamura, N. Yoshikawa, H. Kajiyama, F. Kikkawa, and M. Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  109. プラズマ医療研究の現状と今後の展望 招待有り

    田中 宏昌、堀勝

    プラズマバイオコンソーシアム第2回ワークショップ  2019年8月23日 

     詳細を見る

    開催年月日: 2019年8月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  110. プラズマ活性溶液 招待有り

    田中 宏昌、堀勝

    第2回将来ビジョン・戦略委員会  2019年6月19日 

     詳細を見る

    開催年月日: 2019年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  111. Minicourse: Plasma & Cancer, Plasma activated media for cancer treatment 招待有り 国際会議

    H. Tanaka, M. Hori

    The 6th International Workshop on Plasma for Cancer Treatment 

     詳細を見る

    開催年月日: 2019年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:ベルギー王国  

  112. Effectiveness and Safety of Plasma Activated Medium 招待有り 国際会議

    H. Tanaka, M. Mizuno, K. Ishikawa, S. Toyokuni, H. Kajiyama, F. Kikkawa, M. Hori

    A Meeting of the Materials Research Society 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  113. プラズマ活性溶液の合成・分析の現状と今後の展望 招待有り

    田中 宏昌、堀 勝

    学振136委員会 第138回研究会 『プラズマ誘起による液中化学反応技術の現状と将来展望」  2018年10月25日 

     詳細を見る

    開催年月日: 2018年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  114. Plasma medical science and Plasma-activated medium 招待有り 国際会議

    H. Tanaka, M. Mizuno, K. Ishikawa, S. Toyokuni, H. Kajiyama, F.Kikkawa and M. Hori

    MRSJ2017 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  115. A Challenge of Plasma Activated Medium to the Medical Care 招待有り 国際会議

    H. Tanaka, M. Mizuno, F. Kikkawa, and M. Hori

    28th Annual Conference of the European Society for Biomaterials 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:ギリシャ共和国  

  116. プラズマ癌治療の未来を語る 招待有り

    田中 宏昌

    新学術領域「プラズマ医療科学の創成」若手ワークショップ  2017年5月19日 

     詳細を見る

    開催年月日: 2017年5月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  117. 新規プラズマ活性溶液の合成に向けた将来展望 招待有り

    田中 宏昌

    第4回北陸プラズマ医療応用研究会  2017年1月5日 

     詳細を見る

    開催年月日: 2017年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  118. A new cancer therapy using low temperature atmospheric pressure plasma 招待有り 国際会議

    H. Tanaka, M. Mizuno, F. Kikkawa, and M. Hori

    World Congress on Cancer Research and Therapy 

     詳細を見る

    開催年月日: 2016年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  119. プラズマ科学と生命科学の融合 招待有り

    田中 宏昌

    熊本大学大学院自然科学研究科プロゼミ  2016年9月13日 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  120. Plasma-activated medium and Cancer, 6th international conference on plasma medicine 招待有り 国際会議

    H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, H. Kondo, H. Hashizume, K. Nakamura, H. Kajiyama, H. Kano, Y. Okazaki, S. Toyokuni, S. Maruyama, F. Kikkawa, and M. Hori

    6th international conference on plasma medicine 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:スロバキア共和国  

  121. ドライプロセスと医療 招待有り

    田中 宏昌、堀 勝

    夏季実習セミナー(II)“ドライプロセスの基礎と薄膜作製”  2016年8月25日 

     詳細を見る

    開催年月日: 2016年8月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  122. Plasma-activated medium as a novel cancer therapeutic approach 招待有り 国際会議

    H. Tanaka, M. Mizuno, F. Kikkawa, and M. Hori

    6th International Symposium on Plasma Biosciences (ISPB 2016) 

     詳細を見る

    開催年月日: 2016年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  123. INTRACELLULAR MOLECULAR MECHANISMS OF CANCER CELL DEATH USING PLASMA-ACTIVATED MEDIUM 招待有り 国際会議

    H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, H. Hashizume, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, Y. Okazaki, S. Toyokuni, S. Maruyama, T. Adachi, H. Kaneko, H. Terasaki, Y. Kodera, F. Kikkawa, and M. Hori

    The 3rd International Workshop on Plasma for Cancer Treatment 

     詳細を見る

    開催年月日: 2016年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  124. 非熱大気圧プラズマのがん治療への応用とその細胞内分子機構 招待有り

    田中 宏昌、水野 正明、豊國 伸哉、丸山 彰一、小寺 泰弘、寺崎 浩子、足立 哲夫、加藤 昌志、吉川 史隆 、堀 勝

    第19回 若手科学者によるプラズマ研究会 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  125. Plasma Medical Innovation in Japan 招待有り 国際会議

    H. Tanaka and M. Hori

    Special Lecture by Prof. Zdenko Machala  2016年3月11日 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  126. がん細胞へのプラズマ影響 招待有り

    田中 宏昌 、堀 勝

    153委員会 プラズマスクール---プラズマ医療の基礎と応用  2016年2月8日 

     詳細を見る

    開催年月日: 2016年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  127. 大気圧プラズマを利用したがん治療 招待有り

    田中 宏昌、水野 正明、吉川 史隆、堀 勝

    第18回癌治療増感研究会シンポジウム 

     詳細を見る

    開催年月日: 2016年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  128. Progress of Plasma Medical Science Innovation 招待有り 国際会議

    H. Tanaka, M. Mizuno, F. Kikkawa, and M. Hori

    Sungkyunkwan University (SKKU)& Nagoya University (NU) Joint Symposium 2015 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  129. Interactions between plasma-activated medium and cancer cells 招待有り 国際会議

    H. Tanaka, and M. Hori

    Bioplasmas and Plasmas with Liquids Conference 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:イタリア共和国  

  130. プラズマ医療領域の創成と進展「大気圧プラズマによるがん治療」 招待有り

    田中 宏昌、水野 正明、豊國 伸哉、丸山 彰一、小寺 泰弘、足立 哲夫、寺崎 浩子、吉川 史隆、堀 勝

    第68回日本酸化ストレス学会  2015年6月12日 

     詳細を見る

    開催年月日: 2015年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  131. 低温プラズマの応用~プラズマ活性溶液の医療・農業・水産業への展開 招待有り

    田中 宏昌、水野 正明、吉川 史隆、堀 勝

    幸田町企業立地マスタープラン推進委員会  2015年3月7日 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  132. がん治療に向けたプラズマ照射溶液の研究開発 招待有り

    田中 宏昌、水野 正明、豊國 伸哉、丸山 彰一、小寺 泰弘、足立 哲夫、寺崎 浩子、吉川 史隆、堀 勝

    “未来へのバイオ技術勉強会” プラズマで拓くライフイノベーション  2015年2月5日 

     詳細を見る

    開催年月日: 2015年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  133. プラズマ活性溶液:作用機序解明と臨床応用・産業化を目指して

    田中 宏昌,水野 正明,豊國 伸哉,丸山 彰一,小寺 泰弘,吉川 史隆,堀 勝

    第19回九州拠点医工連携ゼミ 

     詳細を見る

    開催年月日: 2014年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  134. 大気圧プラズマを用いたがん研究に向けた基礎研究

    田中 宏昌,水野 正明,豊國 伸哉,丸山 彰一,小寺 泰弘,吉川 史隆,堀 勝

    第26回腎とフリーラジカル研究会 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  135. INTRACELLULAR MOLECULAR MECHANISMS OF APOPTOSIS IN CANCER CELLS BY PLASMA-ACTIVATED MEDIUM 国際会議

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Shoichi Maruyama, Fumitaka Kikkawa, Masaru Hori

    The 1st International Workshop on Plasma for Cancer Treatment 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  136. Cancer therapy using atmospheric pressure plasma 国際会議

    NU Tech @ NCSU 

     詳細を見る

    開催年月日: 2013年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  137. システム生物学的プラズマ医療科学の幕開け 招待有り

    田中 宏昌、堀 勝、吉川 史孝、水野 正明

    平成24年度 ものづくり交流フォーラム 

     詳細を見る

    開催年月日: 2013年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  138. Selective Killing of Ovarian Cancer Cells through Induction of Apoptosis by a Nonequilibrium Atmospheric Pressure Plasma 招待有り 国際会議

    H. Tanaka, S. Iseki, K. Nakamura, M. Hayashi, H. Kondo, H. Kajiyama, H. Kano, F. Kikkawa, M. Hori

    The 15th Korea- Japan workshop for Advanced Plasma Process and Diagnostics 

     詳細を見る

    開催年月日: 2012年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  139. Nonequiribrium Atmospheric Pressure Plasma (NEAPP): A Promising Tool for Medicine 招待有り 国際会議

    H. Tanaka, S. Iseki, K. Nakamura, M. Hayashi, H. Kondo, H. Kajiyama, H. Kano, F. Kikkawa, M. Hori

    International Workshop on Plasma Science for Bio-Application 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

▼全件表示

科研費 15

  1. 大気圧プラズマが誘導する微小環境免疫トレランス解除機構の解明と新規細胞治療戦略

    研究課題/研究課題番号:23K08884  2023年4月 - 2026年3月

    科学研究費助成事業  基盤研究(C)

    中村 香江, 田中 宏昌, 芳川 修久

      詳細を見る

    担当区分:研究分担者 

    腹膜播種を伴う進行卵巣がんや再発例は治療抵抗性を獲得し難治性となる。がん免疫療法は、一部のがん種では治療成績を劇的に改善したが、腹膜播種に対する効果は限定的であり、免疫抑制的腫瘍微小環境に起因することが指摘されている。本研究において、大気圧プラズマを液体化したプラズマ活性溶液がCAR-T細胞療法の活性や持続性を向上させ、がん免疫療法の課題解決のための新規治療戦略となることを目指す。

  2. Plasma-modified peptides/proteins for multi-target anticancer treatment

    研究課題/研究課題番号:22H01212  2022年4月 - 2027年3月

    科学研究費助成事業  基盤研究(B)

    Attri Pankaj, 田中 宏昌, 栗田 弘史, 竹内 希, 白谷 正治, 古閑 一憲

      詳細を見る

    担当区分:研究分担者 

    The project aims at exploring the potential of atmospheric pressure non-thermal plasma to modify peptides and proteins for use in cancer therapy. The structural modification of peptides and proteins using plasma, by hydroxylation, nitration, sulfonation, disulfide linkage, sulfoxidation, amidation, etc., in the amino acids will improve their binding ability with cellular proteins and can be exploited in cancer therapy.
    We developed steamer discharge plasma working on N2, CO2, and Air feed gas. We also developed reaction chemistry for CO2, N2, and Air plasma to understand the production of short-lived species. Our 0D and 1D models are ready nowadays; we are focused on developing 2D models. 2D models in two different phases took a very long time to standardize due to complications in fluid flow in two distinct phases. Our 2D model is very close to the experimental conditions of the gas and liquid phase. OD and 1D model COMSOL model were helpful in predicting the very short reactive species those were are not possible to detect through the physical parameters. like We also measured the reactive species in the gas and liquid phase. Further, we measured the changes in the reactive species using a chemical analysis Kit and Fluorescence spectroscopy for the liquid phase analysis, and FT-IR used for the gas phase analysis. We analyzed our plasma system on the radish seeds to find out the change in germination index and growth. Also new analyzed the production of the NO3- species inside the seeds. We also checked the production of reactive production in the gas phase like CO, and O3 using FTIR. And detected the NH4+, NO3-, NO2- and H2O2 concentrations in the water using chemical analysis. Later, we also checked the production of OH radical in the water using the Fluorescence spectroscopy. The detection of OH, N2 second positive system, NO, CO, Alpha-H were detected in the gas phase using Optical emission spectroscopy. Our Simulation results are in the good agreement with the experimental results
    According to the plan, we have developed CO2 and N2 streamer plasma to modify the peptides and proteins. Additionally, we also developed reaction chemistry to understand the production of short-lived species. Our 0D and 1D model is ready nowadays we are focused on developing 2D model. 2D models in two different phases took a very long time to standardize due to complications in fluid flow in two different phases.
    We will use the cyclic dipeptides and treat them with plasma using Air, CO2, and N2 plasma. The modification in the peptides will be analysed by the variety of state-of-the-art analytical methods, such as circular dichroism, fluorescence spectroscopy, and mass spectrometry, again supported by computational methods, such as Molecular dynamics simulations, which will be used to understand the change in peptides. We will also use some synthesized organic drugs to interact with the protein and check the interaction using the MD simulation. We also plan to check the effect of catalase protein effect with and without inhibitors on the cancer cells. Later we evaluate the structural modification in the catalase enzyme using CD, fluorescence, and MS spectroscopy.

  3. 感染症拡大抑制に資する低温プラズマ及びプラズマ活性溶液の開発

    研究課題/研究課題番号:21K18616  2021年7月 - 2024年3月

    科学研究費助成事業  挑戦的研究(萌芽)

    田中 宏昌

      詳細を見る

    担当区分:研究代表者 

    配分額:6500000円 ( 直接経費:5000000円 、 間接経費:1500000円 )

    近年、低温でプラズマを発生する技術が進歩したことにより、生命科学への応用が盛んに行われている。WHOが2020年3月11日に新型コロナウイルス感染症はパンデミックであると宣言して以来、感染症対策は世界中で喫緊の課題となっている。本研究では、感染症拡大抑制に資する低温プラズマ及びプラズマ活性溶液の開発を目指す。具体的には豚コロナウイルスや新型コロナウイルスに対する低温プラズマ照射及びプラズマ活性溶液による不活化試験を行う。更に、ウイルスのエンベロープの構造の変化を調べることにより、エンベロープウイルスに対する低温プラズマ及びプラズマ活性溶液による不活化の分子機構を明らかにする。
    一昨年度、ベルリサーチセンター小屋博士と共同で、BSL2で取り扱いが可能なヒトコロナウイルスOC43の実験系を立ち上げたり、受託解析によMild PALの豚コロナウイルス(PVDE)に対する不活化能と新型コロナウイルスSARS-Cov2デルタ株に対する不活化能を調べ、コロナウイルスを不活化するPALの条件を見出したが、昨年度は、更に、ペンタイプのプラズマ装置の実験系を立ち上げたり、PALの成分に関する知見を深めるための基礎的な実験を進めた。ペンタイプのプラズマ装置を使い、照射時間や照射距離を変えながらPALを作製し、pHや過酸化水素濃度、亜硝酸イオン濃度を計測し、どのようなパラメーターセットでどのような条件のPALが作製できるかの基礎的なデータを収集した。また、PALの成分解析の一環として、ESR、NMR、GC-MS、LC-MSを駆使して、これまでにPALの成分として同定されたピルビン酸、酢酸、ギ酸、グリオキシル酸、2,3-ジメチル酒石酸の反応生成メカニズムを明らかにし、Plasma Processes and Polymersに論文公表した。更に、完全密閉プラズマ活性溶液作製装置を用い、アルゴン雰囲気下で、フィードガスとしてアルゴンガス100%、アルゴンガス90%+酸素ガス10%、アルゴンガス90%+窒素ガス10%、アルゴンガス80%+酸素ガス10%+窒素ガス10%の酸素の条件下でPALを作製し、pHや過酸化水素濃度、亜硝酸イオン濃度を計測し、成分がどのように変化するのかの解析を進めた。
    BSL2で取り扱い可能なヒトコロナウイルスOC43の実験系が一昨年度立ち上げられ、昨年度はPALの成分やその反応機構に関する研究が躍進したため、コロナ不活化のためのPALの開発に向けて大きく前進し、おおむね順調に進展していると言える。
    昨年度、PALの成分に関する知見が更に蓄積されたが、亜硝酸イオン濃度が高いことがコロナウイルスの不活化能上昇と関係しているかどうかを調べるために、引き続き、完全閉鎖型のプラズマ活性溶液作製装置を用い、アルゴン雰囲気化でプラズマ活性溶液を作製し、窒素ガス添加によるPALのコロナウイルス不活化能の違いを調べたり、また、アルゴン雰囲気化で、窒素ガスや酸素ガスを 様々な混合比で添加することにより、コロナウイルス不活化能におけるPALの最適化を試みる。また最終年度であるため、これらの成果をまとめて論文を投稿したいと考えている。

  4. プラズマ生成フリーラジカル非平衡反応場の液相時空間解析

    研究課題/研究課題番号:21H04451  2021年4月 - 2024年3月

    科学研究費助成事業  基盤研究(A)

    石川 健治, 田中 宏昌, 古閑 一憲

      詳細を見る

    担当区分:研究分担者 

    放電プラズマによる抗腫瘍作用などのプラズマと生体との相互作用に関する新奇現象においては,プラズマが生成するラジカルが起点となり,逐次連鎖反応により次々と別のラジカルが生じ,それらの作用で細胞内代謝に変動が生じている.本研究は「プラズマ生成ラジカルが誘起する逐次連鎖反応はどのように進んでいるか?」に焦点を絞い,プラズマ生成ラジカルを起点とする逐次連鎖反応で生成する複数のラジカルをμs・mmの時空間分解能で検出・解析する.得られた結果を総括し,プラズマが誘起する液相に生じる非平衡反応場内のラジカルの反応と,逐次連鎖的に進行するラジカル誘起反応について体系的にまとめる.
    放電プラズマによる抗腫瘍作用などのプラズマと生体との相互作用に関する新奇現象においては,「プラズマの生体作用機構は何か」が最重要の学術的問いである.プラズマ中では高速電子が分子と衝突してフリーラジカルを生成する.このラジカルが起点となり,逐次連鎖反応により次々と別のラジカルが生じ,それらの作用で細胞内化学反応(代謝)に変動が生じていると考えられる.本研究は「プラズマ生成ラジカルが誘起する逐次連鎖反応はどのように進んでいるか?」に焦点を絞り,プラズマが溶液内に生成したラジカルを起点とする逐次連鎖反応で生じる複数の『プラズマ誘起活性種』の検出・解析することを始めている.液相ラジカルの反応は有機分子の合成・修飾・分解,Ⅰ)官能基の置換,Ⅱ)C3(炭素原子が三つの化合物)からC4, C5への付加,Ⅲ)C2,C1への分解,に大別される.これらの液相ラジカルの反応は,非熱的に連鎖してラジカル発生する特徴を有する.非平衡に進む化学反応が故に,反応その場で定量的に解析される計測科学が重要である.液相ラジカルの反応を,溶液内の物資の拡散や流れを考慮して,時間と空間を区分し,低温大気圧プラズマでは,10の15乗程度の高いプラズマ密度の環境で発生する有機分子について液相ラジカルの反応の理解を通して進められた.
    ラジカルの検出手法を構築するため,電子スピン共鳴法による液相ラジカルの反応を解析する準備を継続して行った.イメージング用のユニットを使って,静的な系でのラジカル空間分布を4mm×4mmの領域で調べ,溶液中の液相のラジカル反応系を二次元ESR手法を活用した解析を行った.
    今後,液相活性種の時空間分析について二次元ESR手法を活用して実施し,液相中ラジカルの挙動を解明していく.液相ラジカルが関与する素反応については,量子化学計算も活用し,分子内水素結合や遷移状態の解析を行う.スパコン利用(名大不老システム)により計算科学アプローチを加速して実施する計画としている.

  5. 網羅的解析に基づく低温プラズマによる新規分子機構の探索

    研究課題/研究課題番号:21H01072  2021年4月 - 2024年3月

    科学研究費助成事業  基盤研究(B)

    田中 宏昌

      詳細を見る

    担当区分:研究代表者 

    配分額:17420000円 ( 直接経費:13400000円 、 間接経費:4020000円 )

    近年、低温プラズマのライフサイエンスへの応用研究が活発に進められている。我々は低温プラズマによるがん治療研究を活発に進め、プラズマ照射した溶液による抗腫瘍効果を発見し、この溶液をプラズマ活性溶液と名付けた。その後、プラズマ活性溶液による細胞死の分子機構が世界中で研究され、様々な既知のシグナル伝達経路の関与が明らかになってきた。本研究では、マイクロアレイ解析により未知のプラズマ応答遺伝子を探索し、定量リアルタイムPCR(qRT-PCR)法により検証する。更に質量分析に基づくプロテオミクス解析などを行うことにより低温プラズマやプラズマ活性溶液が活性化する新規シグナル伝達経路を探索する。
    これまで、脳腫瘍培養細胞U251SPを主な研究対象としてプラズマ活性培養液(PAM)やプラズマ活性乳酸リンゲル液(PAL)による細胞死の細胞内分子機構の研究を進めてきたが、今年度はプラズマ活性溶液による選択的細胞死のモデルとして、乳がん細胞MCF7と乳腺上皮細胞MCF10Aを主な研究対象として、その細胞内分子機構の研究を進めた。
    まずは、大気雰囲気下で8mLの乳酸リンゲル液を照射距離3mm照射時間5分でプラズマ照射したPALの様々な希釈系列を用意し、MCF10A細胞に対してMCF7細胞を選択的に殺傷するPALの条件を見出した。更に、アルゴン雰囲気下で、フィードガスの条件をアルゴン100%、アルゴン90%+窒素10%、アルゴン90%+酸素10%、アルゴン80%+窒素10%+酸素10%で作成したPALを用意し、各種希釈系列で選択的殺傷効果を示す条件を探索したところ全ての条件で選択的殺傷効果を示す希釈倍率が存在したが、窒素を加えたPALでは選択的殺傷効果のレンジが拡がることが分かった。以上の結果から、酸素が加わることで、PALの強さを制御し、窒素が加わることで更に選択制を制御しうることが分かった。また、これらのPALの過酸化水素濃度、亜硝酸イオン濃度、pHを測定した結果、酸素が加わることで、過酸化水素の濃度が上昇し、窒素が加わることで、亜硝酸イオンの濃度が上昇するが、酸素と窒素が両方加わることで、過酸化水素濃度と亜硝酸イオン濃度は更に上昇することが分かった。
    最後に、選択的殺傷効果を示す条件のPALに加え、MCF7とMCF10Aの両方を殺傷する条件のPAL、両方に対して影響を与えない条件のPALを用意し、マイクロアレイによる網羅的遺伝子発現解析を行った。その結果、各条件で未照射の乳酸リンゲル液に比べPAL投与により2倍以上発現量が上昇する遺伝子がいくつか同定された。
    今年度は、PALの新たな成分を同定した論文、これまで同定していたPALの成分であるピルビン酸、ギ酸、酢酸、グリオキシル酸、2,3-ジメチル酒石酸が生成される反応機構をまとめた論文、PALによる遺伝毒性を評価した論文など10報の論文が学術雑誌に公表され、当初の計画以上に進展していると言える。また、Free Radical ResearchにこれまでのPALの成果をまとめたreview論文を公表することにより本分野における我々のプレゼンスを世界にアピールすることができ、当初の計画以上に進展していると言える。
    今年度のマイクロアレイの結果同定された遺伝子のうちで、選択的殺傷効果を示す条件のPALにおいて、MCF7のみで遺伝子発現が上昇あるいは下降するする遺伝子やMCF10Aのみで遺伝子発現が上昇あるいは下降する遺伝子に着目し、リアルタイムPCR法によりマイクロアレイ実験の妥当性を検証すると共に、PAL投与後の遺伝子発現のダイナミクスを調べる。例えば、選択的殺傷効果を示す条件のPALでMCF7のみで遺伝子発現が上昇する遺伝子としては細胞死を誘導するシグナル伝達経路に関する遺伝子が含まれると考えられることから、これらの遺伝子を明らかにすることによりMCF10Aに対してMCF7を選択的に殺傷するシグナル伝達機構を解明できると考えている。また、選択的殺傷効果を示す条件のPALにおけるMCF7細胞とMCF10A細胞において細胞内ROSを検出したり、アポトーシスを起こしている細胞の割合を調べたり、DNAダメージを検出することにより、多くのプラズマ細胞応答として惹起される酸化ストレス依存的な細胞死の寄与を評価する。更にはEcto-CRT(細胞膜表面のカルレティキュリンタンパク質)のレベルを評価することにより、いくつかのプラズマ細胞応答例として注目されている免疫原性細胞死の寄与を評価する。以上から、PALによる選択的殺傷効果の作用機序を解明したいと考えている。

  6. 大気圧プラズマによる微小環境を標的とした難治性腹膜播種制御機構の解明

    研究課題/研究課題番号:20K09640  2020年4月 - 2023年3月

    科学研究費助成事業  基盤研究(C)

    中村 香江, 田中 宏昌, 芳川 修久

      詳細を見る

    担当区分:研究分担者 

    卵巣がんにおける腹膜播種は難治性・治療抵抗性の象徴的な病態として着目されており、がん細胞と腹膜微小環境に存在する腹膜中皮細胞やその他の免疫系細胞との細胞間相互作用が腹膜播種の形成・進展に重要な役割を担っていることが明らかになっている。本研究において、大気圧プラズマの抗腫瘍活性を液体化したプラズマ活性液が誘導する腹膜微小環境における腫瘍抑制機構を解明し、新規治療技術としての基礎的知見の集積を目指す。
    婦人科悪性腫瘍に特徴的な腹膜播種微小環境では、免疫細胞が本来の機能から変化し、がんの進展に寄与することが明らかにされている。本研究では、腫瘍抑制的かつ細胞活性化効果を有する大気圧プラズマを液体化したプラズマ活性液を用いて、腫瘍微小環境における抗腫瘍免疫活性化効果について検討を行った。その結果、1)腹膜播種巣への抗腫瘍活性を有するM1型マクロファージの浸潤増加、2)がん性腹水により免疫抑制されたT細胞の免疫抑制解除効果、3)TGF-β1により免疫抑制されたT細胞の活性化を明らかにした。
    婦人科悪性腫瘍に特徴的な腹膜播種は、難治性・治療抵抗性の象徴的な病態として着目されている。このような腫瘍微小環境では、恒常性維持に関わる細胞が変化し、難治性・治療抵抗性の基盤となっている。近年、注目されているがん免疫療法は、このような微小環境に対し本来の効果を十分発揮できないことが課題の1つとなっており、プラズマ活性液はこのような難治性となった腹膜播種に対し、複合がん免疫療法として既存の免疫療法のブレークスルーとなる可能性がある。

  7. 大気圧プラズマのケロイド・肥厚性瘢痕に与える影響に関する基礎的研究

    研究課題/研究課題番号:19K10025  2019年4月 - 2024年3月

    科学研究費助成事業  基盤研究(C)

    亀井 譲, 田中 宏昌, 蛯沢 克己, 神戸 未来, 高成 啓介

      詳細を見る

    担当区分:研究分担者 

    ケロイドや肥厚性瘢痕は、拘縮・疼痛や醜状など患者の負担は大きく、また治療難渋する症例も少なくなく、新たな治療法の開発が期待されている。近年、大気圧プラズマ研究の進歩により、細胞増殖・分化などに対する様々な影響が報告され始めた。その中で、筋線維芽細胞への分化やその増殖を、大気圧プラズマが制御できないか、という着想に至った。本研究では、①大気圧プラズマのラジカル分布パターン解析、②大気圧プラズマの皮膚創傷治癒関連細胞に与える影響の解析、③ケロイド・肥厚性瘢痕動物モデルで、大気圧プラズマによる発症・進展予防や治療の効果検討を行い、大気圧プラズマによるケロイド・肥厚性瘢痕に与える影響について検討する。
    昨年までと同様、細胞レベルでのプラズマ活性化乳酸リンゲル液の肥厚性瘢痕・ケロイドに対する影響を調べるため、ケロイド・肥厚性瘢痕組織において重要な挙動を示す線維芽細胞に引き続き焦点を当てた。市販のヒト正常真皮由来およびケロイド由来線維芽細胞の比較では、両細胞とも処理用のプラズマ活性化乳酸リンゲル液を希釈するに従い増殖が亢進し、1/32倍以下の濃度では一定となる結果を得ていた。Covid-19の影響が重なる期間でもあり、現状得られたサンプルでは、両群間に統計劇的有意差は認めるには至っていない。もう少し多くのドナーで検証が必要と考えている。
    昨年度までに難渋していたケロイド・肥厚性瘢痕動物モデルの作成をに関しては、本年度もさまざまなモデルを試行錯誤したが、正常皮膚ですら3ヶ月正着を維持する事ができなかった。そのため、ケロイド・肥厚性瘢痕の移植はできない状態である。
    プラズマ活性化乳酸リンゲル液の生体に与える影響を検討するため、複数の倍率で希釈したプラズマ活性化乳酸リンゲル液を正常皮膚へ塗布し、解析を行った。皮膚所見では、原液から1000倍希釈液まで発赤やびらんなどの皮膚障害を認めなかった。塗布部の皮膚HE染色標本においても、マクロの所見と同様に炎症性細胞浸潤を認めなかった。また、各マウスの脾蔵・肝臓・腎臓を採取し、HE染色標本を作製したところ、各濃度での異常所見を認めなかった。さらに各マウスの採血を行い、血清を分離してサンプルを冷凍保存した。研究チームの医ミーティングで、プラズマ活性化リンゲル液暴露による反応として、酸化ストレスプロファイルの変化を解析すべきとの意見がでてきた。
    本研究で最も重要な正常線維芽細胞とケロイド・肥厚性由来線維芽細胞(または筋線維芽細胞)間で、プラズマ活性化乳酸リンゲル液暴露による細胞増殖の有意差が出ていない。また、プラズマ活性化乳酸リンゲル液暴露を行う動物モデルの作成では壁に当たっているため。
    プラズマ活性化乳酸リンゲル液暴露の条件として、濃度だけでなく、暴露時間に関しても複数の条件で試行を行い、条件の至適化を行う。
    動物モデルに関しては、今まで通り難渋する事が予想されるが、諸家らの報告をさらに施行する予定である。

  8. プラズマ活性溶液による癌細胞選択的殺傷効果に関する細胞内分子機構の解明

    研究課題/研究課題番号:18K03599  2018年4月 - 2021年3月

    科学研究費助成事業  基盤研究(C)

    田中 宏昌

      詳細を見る

    担当区分:研究代表者 

    配分額:4420000円 ( 直接経費:3400000円 、 間接経費:1020000円 )

    近年、低温プラズマの医療応用研究が活発に行われている。我々は低温プラズマによるがん治療研究にフォーカスし、これまでに世界に先駆けてプラズマ活性溶液によるがん治療法を提案してきた。本研究では、特にプラズマ活性培養液(PAM)とプラズマ活性乳酸リンゲル液(PAL)に着目し、それらが及ぼす細胞内分子機構の違いを調べた。その結果、脳腫瘍培養細胞に対してPAMが酸化ストレス依存的な細胞死を誘導するのに対し、PALは酸化ストレス非依存性の細胞死を誘導することが分かった。これらの結果は、抗癌剤耐性のがんに対する治療法としてプラズマ活性溶液が有望である可能性を示唆している。
    近年、低温プラズマの医療応用研究が盛んに行われてきており、低温プラズマによるがん治療は最も魅力的かつ挑戦的な研究分野として世界中の多くの研究者が携わっている。低温プラズマを直接がんに照射するのみならず、低温プラズマを照射した溶液(プラズマ活性溶液)を用いたがん治療法を我々は提案した。本研究で我々は更に様々なプラズマ活性によるがん細胞の細胞死の機構を調べ、プラズマ活性溶液ごとにその機構が異なることを突き止めた。本成果により将来プラズマ活性溶液が抗癌剤に耐性を有するがん治療にも適用できるようになるかもしれない。

  9. カーボンナノウォールシートエッジエレクトロニクスの創成と単一細胞の分化誘導制御

    研究課題/研究課題番号:15H02032  2015年4月 - 2018年3月

    堀 勝

      詳細を見る

    担当区分:連携研究者 

    カーボンナノウォール(CNW)のシートナノエッジから発現する電子物性と細胞との相互作用の体系化な解明による、細胞の接着、形態と分化誘導制御が可能なバイオデバイスとプラズマバイオエレクトロニクスの開拓を目的に、CNW足場上での電気刺激重畳が骨芽細胞の増殖・分化(骨化)に及ぼす効果を解明した。周波数10 Hzの電気刺激を重畳した場合においてのみ、特異的に増殖促進と骨化の抑制が確認された。更に壁密度に対する依存性や、骨化を伴わない細胞塊の形成も見出された。これらはCNW表面のシートエッジと電気刺激とのシナジーによる細胞増殖と分化の変化を示唆し、多様な再生医療での新しい細胞制御技術の確立が期待される。

  10. プラズマ活性溶液による生存・増殖シグナリングの制御と細胞の生死・増殖の制御

    研究課題/研究課題番号:15K13390  2015年4月 - 2017年3月

    田中 宏昌

      詳細を見る

    担当区分:研究代表者 

    配分額:3900000円 ( 直接経費:3000000円 、 間接経費:900000円 )

    プラズマ照射した溶液をプラズマ活性溶液と名付け、プラズマ活性溶液による抗腫瘍効果のメカニズムやプラズマ活性溶液の腹膜播種治療に向けた研究を産婦人科、消化器外科、先端医療臨床研究支援センターなどと進めた。また工学部生物化学工学グループとの共同研究によりプラズマ活性溶液による未分化iPSの分離に成功するなどがん治療から再生医療にいたるまでプラズマ活性溶液の用途を幅広く見出した。

  11. プラズマ活性溶液を用いたがん治療とその作用機序の解明

    研究課題/研究課題番号:15H05430  2015年4月 - 2017年3月

    田中 宏昌

      詳細を見る

    担当区分:研究代表者 

    配分額:16250000円 ( 直接経費:12500000円 、 間接経費:3750000円 )

    近年、大気圧プラズマの医療応用が活発に研究されている。我々は医工連携により、大気圧プラズマのがん治療研究を活発に進めてきた。その過程でプラズマ活性溶液と名付けたプラズマを照射した溶液による抗腫瘍効果を見出した。本研究では更にプラズマ活性乳酸リンゲル液を開発し、プラズマ照射した乳酸ナトリウムが抗腫瘍効果をもたらす物質であることを突き止めた。プラズマ活性溶液の提唱は世界に大きな波及効果をもたらした。

  12. プラズマで生成された生体内フリーラジカルの実時間計測とプラズマ滅菌処理の研究

    研究課題/研究課題番号:26286071  2014年4月 - 2017年3月

    石川 健治

      詳細を見る

    担当区分:連携研究者 

    プラズマ滅菌では理想的には薬品を不要とし,電力供給だけで医療行為を行える.しかしながら放電プラズマにより生成する化学活性なイオン,ラジカル,光などによる放電プラズマの作用は未解明であった。モデル生物としてミドリカビ胞子の生体フリーラジカル観察を行い,殺菌中の実時間その場検出し,そのフリーラジカル信号の同定を行った.さらに,プラズマと相互作用により代謝される生体物質の質量分析法による測定を行い、放電プラズマのイオン,ラジカル,光というような個々の作用とそれらの相乗効果について解明した.新たなプラズマ源を創成し,プラズマ滅菌の装置の要求仕様を示した.

  13. プラズマによるがん培養細胞の細胞死の細胞内分子機構の解明

    研究課題/研究課題番号:25870307  2013年4月 - 2015年3月

    田中 宏昌

      詳細を見る

    担当区分:研究代表者 

    配分額:4290000円 ( 直接経費:3300000円 、 間接経費:990000円 )

    超高電子密度大気圧プラズマを照射した培養液が脳腫瘍培養細胞にアポトーシスを誘導することを発見し、この培養液を「プラズマ活性培養液」と名付けた。プラズマ活性培養液が脳腫瘍培養細胞にアポトーシスを誘導する細胞内分子機構として、生存・増殖シグナリングネットワークを抑制すること、またプラズマ活性培養液が細胞内に活性酸素種(ROS)を上昇させることが関与していることを明らかにした。プラズマ活性溶液の成果はプラズマ医療科学の分野に大きな波及効果をもたらし、研究期間全体を通じて、プラズマ活性溶液に関する10件の論文公表と7件の特許出願を行った。

  14. プラズマ医療のための気相・表界面反応ダイナミクスの計測と体系化

    研究課題/研究課題番号:24108002  2012年6月 - 2017年3月

    新学術領域研究(研究領域提案型)

    堀 勝

      詳細を見る

    担当区分:連携研究者 

    プラズマを実際の医療に安全かつ効果的に適用できるプラズマ医療技術を実現するためには,プラズマ生成条件(装置パラメーター)に対してではなく,供給する活性粒子(粒子パラメーター)に対する生体組織の反応からの理解を進め,プラズマ医療科学を確立が必要であった.プラズマ活性溶液のがん治療の有効性が示され,プラズマと溶液との相互作用の研究が進んだ.粒子パラメーターに基づくプラズマ医療科学の基礎学理の確立,プラズマと生体や生体組織との相互作用の理解に向けて礎を築いた.

  15. プラズマ医療科学の臨床応用論的学術基盤の構築と体系化

    研究課題/研究課題番号:24108008  2012年6月 - 2017年3月

    新学術領域研究(研究領域提案型)

    吉川 史隆

      詳細を見る

    担当区分:その他 

    非平衡大気圧プラズマの医療応用への研究が世界中で進められており、中でもがん治療への有用性が次々と報告されている。我々は現行の治療法では完治が困難な進行性難治癌である、グリオーマ、並びに卵巣癌をターゲットとしたプラズマ癌治療の有用性を明らかにし、中皮腫をモデルにその科学的基盤を構築した。特に、プラズマを照射した溶液にも抗腫瘍効果を見出し、髄腔内或は腹腔内播種を伴う癌腫への効果的なプラズマ治療法としての可能性を示した。一方、細胞活性化において、我々の研究グループで開発した脂肪幹細胞へのプラズマ刺激により高品質の幹細胞が生成され、再生医療分野においてもその有用性が示唆された。

▼全件表示

産業財産権 3

  1. 抗腫瘍水溶液および抗癌剤とそれらの製造方法

    堀 勝,水野 正明,吉川 史隆,梶山 広明,中村 香江,内海 史,石川 健治,竹田 圭吾,田中 宏昌,加納 浩之

     詳細を見る

    出願番号:PCT/JP2013/001139  出願日:2013年3月

    出願国:国内  

  2. プラズマ溶液とその製造方法

    堀 勝,水野 正明,吉川 史隆,梶山 広明,中村 香江,石川 健治,田中 宏昌,加納 浩之

     詳細を見る

    出願番号:特願2012-39645  出願日:2012年2月

    出願国:国内  

  3. 卵巣癌治療装置

    堀 勝,近藤 博基,竹田 圭吾,田中 宏昌,吉川 史隆,梶山 広明,中村 香江,加納 浩之

     詳細を見る

    出願番号:特願2012-17483  出願日:2012年1月

    出願国:国内  

 

担当経験のある科目 (本学) 2

  1. 大学院特別講義 ナノプロセス工学特論 「分子生物学の基礎」

    2019

  2. 大学院特別講義 ナノプロセス工学特論 「分子生物学の基礎」

    2016

担当経験のある科目 (本学以外) 5

  1. 大学院特別講義 ~プラズマ医療からプラズマファーマシーへ~

    2022年9月 岐阜薬科大学)

     詳細を見る

    科目区分:大学院専門科目  国名:日本国

  2. 大阪市立大学医工・生命工学教育研究センター第6回セミナー「プラズマ医療科学概論」

    2019年11月 大阪市立大学)

  3. 大学院集中講義 理学特別講義A10「プラズマの生物への応用」

    2016年9月 熊本大学)

  4. 夏季実習セミナー(II) 「ドライプロセスの基礎と薄膜作製(ドライプロセスと医療)」

    2016年8月 千葉工業大学)

  5. 大学院特別講義 「プラズマ医療科学特論」

    2016年5月 岐阜薬科大学)

 

社会貢献活動 1

  1. プラズマ技術で養殖魚の成長促進

    役割:出演, 取材協力, 情報提供

    NHK「おはよう東海」  2016年12月

メディア報道 1

  1. プラズマ技術で養殖魚の成長促進 テレビ・ラジオ番組

    NHK  NHK「おはよう東海」  2016年12月

     詳細を見る

    執筆者:本人 

学術貢献活動 1

  1. ISPlasma2021/IC-PLANTS2021 国際学術貢献

    役割:パネル司会・セッションチェア等, 審査・評価, 査読

    2021年3月

     詳細を見る

    種別:大会・シンポジウム等