2024/03/22 更新

写真a

エダヒロ マサト
枝廣 正人
EDAHIRO Masato
所属
大学院情報学研究科 情報システム学専攻 情報プラットフォーム論 教授
大学院担当
大学院情報科学研究科
大学院情報学研究科
学部担当
工学部
情報学部 コンピュータ科学科
職名
教授
連絡先
メールアドレス

学位 1

  1. Ph.D (Computer Science) ( 1999年6月   プリンストン大学 ) 

研究分野 1

  1. 情報通信 / 計算機システム

現在の研究課題とSDGs 2

  1. Simulinkモデルからのブロックレベル並列化

  2. マルチ・メニーコア・プロセッサ向けソフトウェア最適化

経歴 14

  1. 名古屋大学   大学院情報学研究科 情報システム学専攻 情報プラットフォーム論   教授

    2017年4月 - 現在

  2. 大同大学情報システム学科 非常勤講師

    2014年4月 - 現在

      詳細を見る

    国名:日本国

  3. 大同大学

    2014年4月 - 現在

  4. 早稲田大学グリーン・コンピューティング・システム研究開発センター 客員教授、非常勤講師

    2011年4月 - 現在

      詳細を見る

    国名:日本国

  5. 早稲田大学グリーン・コンピューティング・システム研究開発センター 客員教授、非常勤講師

    2011年4月 - 現在

  6. 早稲田大学グリーン・コンピューティング・システム研究開発センター 客員教授、非常勤講師

    2011年4月 - 現在

  7. 名古屋大学   大学院情報科学研究科 情報システム学専攻 情報プラットフォーム論/工学部   教授

    2011年4月 - 2017年3月

  8. 東京大学大学院情報理工学系研究科 客員教授、非常勤講師

    2008年4月 - 現在

      詳細を見る

    国名:日本国

  9. 東京大学

    2008年4月 - 現在

  10. 中央大学大学院理工学研究科 非常勤講師

    2003年4月 - 現在

      詳細を見る

    国名:日本国

  11. 中央大学大学院理工学研究科 非常勤講師

    2003年4月 - 現在

  12. 中央大学大学院理工学研究科 非常勤講師

    2003年4月 - 現在

  13. 日本電気株式会社(最終職位 主席研究員)

    1985年4月 - 2011年3月

      詳細を見る

    国名:日本国

  14. 日本電気株式会社(最終職位 主席研究員)

    1985年4月 - 2011年3月

▼全件表示

学歴 8

  1. プリンストン大学

    1991年9月 - 1999年6月

      詳細を見る

    国名: アメリカ合衆国

  2. プリンストン大学   School of Engineering and Applied Science   Department of Computer Science

    1991年9月 - 1999年6月

      詳細を見る

    国名: アメリカ合衆国

  3. プリンストン大学   コンピュータ科学

    - 1993年1月

      詳細を見る

    国名: アメリカ合衆国

  4. プリンストン大学   コンピュータ科学

    - 1993年1月

      詳細を見る

    国名: アメリカ合衆国

  5. 東京大学   工学系研究科   計数工学専門課程

    1983年4月 - 1985年3月

      詳細を見る

    国名: 日本国

  6. 東京大学   工学系研究科   計数工学専門課程

    1983年4月 - 1985年3月

      詳細を見る

    国名: 日本国

  7. 東京大学   工学部   計数工学科

    1979年4月 - 1983年3月

      詳細を見る

    国名: 日本国

  8. 東京大学   工学部   計数工学科

    1979年4月 - 1983年3月

      詳細を見る

    国名: 日本国

▼全件表示

所属学協会 8

  1. IEEE

  2. 日本オペレーションズリサーチ学会

  3. 情報処理学会

  4. 電子情報通信学会

  5. IEEE

  6. 電子情報通信学会

  7. 日本オペレーションズリサーチ学会

  8. 情報処理学会

▼全件表示

委員歴 17

  1. 組込みマルチコアコンソーシアム   会長  

    2014年10月 - 現在   

  2. JEITA マイクロプロセッサ専門委員会   委員  

    2009年4月 - 現在   

  3. 情報処理学会論文誌「組込みシステム工学」特集号編集委員会   編集委員  

    2012年2月 - 現在   

  4. 情報処理学会組込みシステム研究会   運営委員  

    2016年4月 - 現在   

  5. 組込みマルチコアコンソーシアム   会長  

    2014年10月 - 現在   

  6. 情報処理学会論文誌「組込みシステム工学」特集号編集委員会   編集委員長  

    2014年2月 - 2016年8月   

  7. 情報処理学会論文誌「組込みシステム工学」特集号編集委員会   編集委員長  

    2014年2月 - 2016年8月   

  8. 情報処理学会組込みシステム研究会   主査  

    2013年4月 - 2016年3月   

  9. 情報処理学会組込みシステム研究会   主査  

    2013年4月 - 2016年3月   

  10. マルチ・メニーコアプラットフォーム標準化委員会 (NEDO PJ)   委員長  

    2013年1月 - 2015年2月   

  11. マルチ・メニーコアプラットフォーム標準化委員会 (NEDO PJ)   委員長  

    2013年1月 - 2015年2月   

  12. 情報処理学会組込みシステムシンポジウム   実行委員長  

    2012年4月 - 2013年3月   

  13. 情報処理学会組込みシステムシンポジウム   実行委員長  

    2012年4月 - 2013年3月   

  14. 情報処理学会論文誌「組込みシステム工学」特集号編集委員会   編集委員  

    2012年2月 - 現在   

  15. 情報処理学会組込みシステムシンポジウム   プログラム委員長  

    2010年4月 - 2011年3月   

  16. 情報処理学会組込みシステムシンポジウム   プログラム委員長  

    2010年4月 - 2011年3月   

  17. JEITA マイクロプロセッサ専門委員会   委員  

    2009年4月 - 現在   

▼全件表示

受賞 32

  1. ISE President Best Paper Award

    2018年11月   International SoC Design Conference   Model-Based Parallelizer for Embedded Control Systems on Single-ISA Heterogeneous Multicore Processors

    Zhaoqian Zhong and Masato Edahiro

     詳細を見る

    受賞国:大韓民国

  2. ISE President Best Paper Award

    2018年11月   International SoC Design Conference   Model-Based Parallelizer for Embedded Control Systems on Single-ISA Heterogeneous Multicore Processors

    Zhaoqian Zhong, Masato Edahiro

     詳細を見る

    受賞国:大韓民国

  3. 第7回TOPPERS活用アイデア・アプリケーション開発コンテスト 銅賞

    2017年11月   TOPPERSプロジェクト   モデルベース開発におけるクロスレイヤ設計手法のマルチコア上モータ制御実装への適用

    竹松慎弥,鍾 兆前,井上雅理,横山静香,小島流石(大阪大),近藤真己(NECソリューションイノベータ),中本幸一(兵庫県立大),安積卓也(大阪大),道木慎二,本田晋也, 枝廣正人

     詳細を見る

    受賞国:日本国

  4. Best Conference Paper Award

    2017年11月   IEEE Robotics and Automation Society   Localization Based on Multiple Visual-Metric Maps

    Sujiwo Muhammad Adi Puspo, Eijiro Takeuchi, Luis Yoichi Morales Saiki, Naoki Akai, Yoshiki Ninomiya, and Masato Edahiro

     詳細を見る

    受賞国:アメリカ合衆国

  5. Best Conference Paper Award

    2017年11月   IEEE Robotics and Automation Society   Localization Based on Multiple Visual-Metric Maps

    Sujiwo Muhammad Adi Puspo, Eijiro Takeuchi, Luis Yoichi Morales Saiki, Naoki Akai, Yoshiki Ninomiya, Masato Edahiro

     詳細を見る

    受賞国:アメリカ合衆国

  6. 第7回TOPPERS活用アイデア・アプリケーション開発コンテスト 銅賞

    2017年11月   TOPPERSプロジェクト   モデルベース開発におけるクロスレイヤ設計手法のマルチコア上モータ制御実装への適用

    竹松慎弥, 鍾 兆前, 井上雅理, 横山静香, 小島流石, 近藤真己, ECソリューションイノベータ, 中本幸一, 安積卓也, 道木慎二, 本田晋也, 枝廣正人

     詳細を見る

    受賞国:日本国

  7. 2017年度コンピュータサイエンス領域奨励賞

    2017年8月   情報処理学会   モデルベース開発におけるマルチ・メニーコア向け自動並列化

    鍾 兆前,枝廣正人

     詳細を見る

    受賞国:日本国

  8. 優秀ポスター賞

    2017年8月   情報処理学会組込みシステム研究会   モデルベース開発におけるクロスレイヤ設計手法のマルチコア上モータ制御実装への適用

    竹松慎弥,鍾 兆前,井上雅理,横山静香,小島流石(大阪大),近藤真己(NECソリューションイノベータ),中本幸一(兵庫県立大),安積卓也(大阪大),道木慎二,本田晋也, 枝廣正人

     詳細を見る

    受賞国:日本国

  9. 2017年度コンピュータサイエンス領域奨励賞

    2017年8月   情報処理学会   モデルベース開発におけるマルチ・メニーコア向け自動並列化

    鍾 兆前, 枝廣正人

     詳細を見る

    受賞国:日本国

  10. 優秀ポスター賞

    2017年8月   情報処理学会組込みシステム研究会   モデルベース開発におけるクロスレイヤ設計手法のマルチコア上モータ制御実装への適用

    竹松慎弥, 鍾 兆前, 井上雅理, 横山静香, 小島流石, 近藤真己, ECソリューションイノベータ, 中本幸一, 安積卓也, 道木慎二, 本田晋也, 枝廣正人

     詳細を見る

    受賞国:日本国

  11. 優秀ポスター賞

    2015年10月   情報処理学会組込みシステム研究会   Simulinkモデルからのブロックレベル並列化

    山口 滉平,竹松 慎弥,池田 良裕,李 瑞徳,鍾 兆前,近藤 真己,枝廣 正人

     詳細を見る

    受賞国:日本国

  12. 優秀ポスター賞

    2015年10月   情報処理学会組込みシステム研究会   Simulinkモデルからのブロックレベル並列化

    山口 滉平, 竹松 慎弥, 池田 良裕, 李 瑞徳, 鍾 兆前, 近藤 真己, 枝廣 正人

     詳細を見る

    受賞国:日本国

  13. 平成21年度科学技術分野の文部科学大臣表彰 科学技術賞(開発部門)

    2009年4月   文部科学省   LSIクロック配線方式の開発

    枝廣 正人

     詳細を見る

    受賞国:日本国

  14. 第18回地球環境大賞 経済産業大臣賞

    2009年4月   フジサンケイグループ   携帯電話向けLSIの高機能化と低消費電力化の両立

    日本電気株式会社(枝廣は技術代表者)

     詳細を見る

    受賞国:日本国

  15. 平成21年度科学技術分野の文部科学大臣表彰 科学技術賞(開発部門)

    2009年4月   文部科学省   LSIクロック配線方式の開発

    枝廣 正人

     詳細を見る

    受賞国:日本国

  16. 第18回地球環境大賞 経済産業大臣賞

    2009年4月   フジサンケイグループ   携帯電話向けLSIの高機能化と低消費電力化の両立

    日本電気株式会社, 枝廣, 技術

     詳細を見る

    受賞国:日本国

  17. 平成19年度業績賞

    2008年5月   電子情報通信学会   LSIクロック配線方式の研究開発

    枝廣 正人

     詳細を見る

    受賞国:日本国

  18. 平成19年度業績賞

    2008年5月   電子情報通信学会   LSIクロック配線方式の研究開発

    枝廣 正人

     詳細を見る

    受賞国:日本国

  19. 平成19年度優秀論文賞

    2007年8月   情報処理学会SLDM研究会   Map Sort:マルチコアプロセッサに向けたスケーラブルなソートアルゴリズム

    枝廣 正人, 山下 慶子

     詳細を見る

    受賞国:日本国

  20. 平成19年度優秀論文賞

    2007年8月   情報処理学会SLDM研究会   Map Sort:マルチコアプロセッサに向けたスケーラブルなソートアルゴリズム

    枝廣 正人, 山下 慶子

     詳細を見る

    受賞国:日本国

  21. IWIA2007: Best Presentation Award

    2007年1月   Int. Workshop on Innovative Architecture for Future Generation High-Performance Processors (IWIA) Committee   Map Sort: A Scalable Sorting Algorithm for Multi-Core Processors

    Masato Edahiro and Yoshiko Yamashita

     詳細を見る

    受賞国:アメリカ合衆国

  22. IWIA2007: Best Presentation Award

    2007年1月   Int. Workshop on Innovative Architecture for Future Generation High-Performance Processors (IWIA) Committee   Map Sort: A Scalable Sorting Algorithm for Multi-Core Processors

    Masato Edahiro, Yoshiko Yamashita

     詳細を見る

    受賞国:アメリカ合衆国

  23. 平成6年度山下記念研究賞

    1994年9月   情報処理学会   スキューをゼロにする配線における遅延最小化

    枝廣 正人

     詳細を見る

    受賞国:日本国

  24. 平成6年度山下記念研究賞

    1994年9月   情報処理学会   スキューをゼロにする配線における遅延最小化

    枝廣 正人

     詳細を見る

    受賞国:日本国

  25. 平成5年度坂井記念特別賞

    1994年5月   情報処理学会   VLSI配置配線アルゴリズムの理論と実際に関する研究・開発

    枝廣 正人

     詳細を見る

    受賞国:日本国

  26. 平成5年度坂井記念特別賞

    1994年5月   情報処理学会   VLSI配置配線アルゴリズムの理論と実際に関する研究・開発

    枝廣 正人

     詳細を見る

    受賞国:日本国

  27. 第6回元岡賞

    1991年11月   元岡記念会   CADにおける配置アルゴリズムの研究

    枝廣 正人

     詳細を見る

    受賞国:日本国

  28. 第6回元岡賞

    1991年11月   元岡記念会   CADにおける配置アルゴリズムの研究

    枝廣 正人

     詳細を見る

    受賞国:日本国

  29. 平成元年度篠原記念学術奨励賞

    1990年3月   電子情報通信学会   階層クラスタリングを用いたスタンダードセルLSIのための配置アルゴリズム

    枝廣 正人

     詳細を見る

    受賞国:日本国

  30. 平成元年度篠原記念学術奨励賞

    1990年3月   電子情報通信学会   階層クラスタリングを用いたスタンダードセルLSIのための配置アルゴリズム

    枝廣 正人

     詳細を見る

    受賞国:日本国

  31. 第3回学生論文賞

    1985年9月   日本オペレーションズ・リサーチ学会   幾何学的探索算法の研究

    枝廣 正人

     詳細を見る

    受賞国:日本国

  32. 第3回学生論文賞

    1985年9月   日本オペレーションズ・リサーチ学会   幾何学的探索算法の研究

    枝廣 正人

     詳細を見る

    受賞国:日本国

▼全件表示

 

論文 209

  1. Model-based Parallelization for Simulink Models on Multicore CPUs and GPUs 査読有り

    Z. Zhong and M. Edahiro

    International Journal of Computer & Technology   20 巻   頁: 1-13   2020年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: https://doi.org/10.24297/ijct.v20i.8533

  2. Model-Based Parallelizer for Embedded Control Systems on Single-ISA Heterogeneous Multicore Processors 査読有り

    Z. Zhong, M. Edahiro

    International Journal of Computer & Technology   19 巻   頁: 7470-7484   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: https://doi.org/10.24297/ijct.v19i0.8123

  3. モデルベース開発におけるクロスレイヤ設計手法のマルチコア上モータ制御実装への適用 査読有り

    竹松慎弥,鍾 兆前,井上雅理,横山静香,小島流石(大阪大),近藤真己(NECソリューションイノベータ),中本幸一(兵庫県立大),安積卓也(大阪大),道木慎二,本田晋也, 枝廣正人

    組込みシステムシンポジウム(ESS2017)     頁: ポスター(26)   2017年8月

     詳細を見る

    記述言語:日本語  

  4. Parallel Design of Feedback Control Systems Utilizing Dead Time for Embedded Multicore Processors 査読有り

    Y. Suzuki (DENSO), K. Sata (Toyota), J. Kako (Toyota), K. Yamaguchi, F. Arakawa and M. Edahiro

    IEICE TRANSACTIONS on Electronics   E99-C 巻 ( 4 ) 頁: 491-502   2016年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  5. Establishing a standard interface between multi-manycore and software tools - SHIM 査読有り

    M. Gondo, F. Arakawa, and M. Edahiro

    Proceedings of COOL Chips XVII     頁: VI-1   2014年4月

     詳細を見る

    記述言語:英語  

  6. Research on highly parallel embedded control system design and implementation method 招待有り

    Masato Edahiro and Masaki Gondo

    Impact   2019 巻 ( 10 ) 頁: 44-46   2019年12月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語  

    DOI: https://doi.org/10.21820/23987073.2019.10.44

  7. GPU-Accelerated 3D Normal Distributions Transform

    Nguyen, A; Cano, AM; Edahiro, M; Kato, S

    Journal of Robotics and Mechatronics   35 巻 ( 2 ) 頁: 445 - 459   2023年4月

     詳細を見る

    記述言語:英語   出版者・発行元:富士技術出版株式会社  

    DOI: 10.20965/jrm.2023.p0445

    Web of Science

    CiNii Research

  8. An ILP Task Mapping for MIMD Processor with Vector Accelerator in Model-Based Development 査読有り 国際誌

    S. Wu, S. Kumano, K. Marume and M. Edahiro

    2022 International Conference on Electrical, Computer and Energy Technologies (ICECET)     頁: 1 - 7   2022年7月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.1109/ICECET55527.2022.9873044

  9. LLVM Instruction Latency Measurement for Software-Hardware Interface for Multi-many-core 査読有り 国際誌

    H. Mikami, K. Torigoe, M. Inokawa, and M. Edahiro

    INTERNATIONAL JOURNAL OF COMPUTERS & TECHNOLOGY   22 巻   頁: 50 - 63   2022年5月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.24297/ijct.v22i.9231

    その他リンク: https://rajpub.com/index.php/ijct/article/view/9231

  10. Implementation of Vector Control System On Multi-Core Processor by Using Model-Based Parallelization Tool 査読有り

    Kim Jinsoo, Sagae Shota, Edahiro Masato, Honda Shinya, Doki Shinji

    2022 INTERNATIONAL POWER ELECTRONICS CONFERENCE (IPEC-HIMEJI 2022- ECCE ASIA)     頁: 1314 - 1319   2022年5月

     詳細を見る

    記述言語:英語  

    Web of Science

  11. Single-Shot Intrinsic Calibration for Autonomous Driving Applications 査読有り

    Monrroy Cano Abraham, Lambert Jacob, Edahiro Masato, Kato Shinpei

      22 巻 ( 5 )   2022年3月

     詳細を見る

    記述言語:英語  

    DOI: 10.3390/s22052067

    Web of Science

  12. Mapping Method Usable with Clustered Many-core Platforms for Simulink Model 査読有り

    Kobayashi Yutaro, Honda Kentaro, Kojima Sasuga, Fujimoto Hiroshi, Edahiro Masato, Azumi Takuya

    Journal of Information Processing   30 巻 ( 0 ) 頁: 141 - 150   2022年2月

     詳細を見る

    記述言語:英語   出版者・発行元:Information Processing Society of Japan  

    DOI: 10.2197/ipsjjip.30.141

    CiNii Research

  13. 複雑化するSoC上での制御設計を支援するSHIM標準化活動 査読有り

    枝廣 正人, 権藤 正樹

    システム/制御/情報   66 巻 ( 1 ) 頁: 21 - 26   2022年1月

     詳細を見る

    担当区分:筆頭著者, 最終著者, 責任著者   記述言語:日本語   出版者・発行元:一般社団法人 システム制御情報学会  

    DOI: 10.11509/isciesci.66.1_21

    CiNii Research

  14. Vision-Based Sensing Systems for Autonomous Driving: Centralized or Decentralized?

    Hirabayashi Manato, Saito Yukihiro, Murakami Kosuke, Ohsato Akihito, Kato Shinpei, Edahiro Masato

    Journal of Robotics and Mechatronics   33 巻 ( 3 ) 頁: 686 - 697   2021年6月

     詳細を見る

    記述言語:英語   出版者・発行元:富士技術出版株式会社  

    <p>The perception of the surrounding circumstances is an essential task for fully autonomous driving systems, but its high computational and network loads typically impede a single host machine from taking charge of the systems. Decentralized processing is a candidate to decrease such loads; however, it has not been clear that this approach fulfills the requirements of onboard systems, including low latency and low power consumption. Embedded oriented graphics processing units (GPUs) are attracting great interest because they provide massively parallel computation capacity with lower power consumption compared to traditional GPUs. This study explored the effects of decentralized processing on autonomous driving using embedded oriented GPUs as decentralized units. We implemented a prototype system that off-loaded image-based object detection tasks onto embedded oriented GPUs to clarify the effects of decentralized processing. The results of experimental evaluation demonstrated that decentralized processing and network quantization achieved approximately 27 ms delay between the feeding of an image and the arrival of detection results to the host as well as approximately 7 W power consumption on each GPU and network load degradation in orders of magnitude. Judging from these results, we concluded that decentralized processing could be a promising approach to decrease processing latency, network load, and power consumption toward the deployment of autonomous driving systems.</p>

    DOI: 10.20965/jrm.2021.p0686

    Web of Science

    CiNii Research

  15. Fast Euclidean Cluster Extraction Using GPUs

    Anh Nguyen, Cano Abraham Monrroy, Edahiro Masato, Kato Shinpei

    JOURNAL OF ROBOTICS AND MECHATRONICS   32 巻 ( 3 ) 頁: 548 - 560   2020年6月

     詳細を見る

    記述言語:日本語  

    Web of Science

  16. An Open Multi-Sensor Fusion Toolbox for Autonomous Vehicles

    Cano Abraham Monrroy, Takeuchi Eijiro, Kato Shinpei, Edahiro Masato

    IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES   E103.A 巻 ( 1 ) 頁: 252 - 264   2020年1月

     詳細を見る

    記述言語:英語   出版者・発行元:一般社団法人 電子情報通信学会  

    <p>We present an accurate and easy-to-use multi-sensor fusion toolbox for autonomous vehicles. It includes a 'target-less' multi-LiDAR (Light Detection and Ranging), and Camera-LiDAR calibration, sensor fusion, and a fast and accurate point cloud ground classifier. Our calibration methods do not require complex setup procedures, and once the sensors are calibrated, our framework eases the fusion of multiple point clouds, and cameras. In addition we present an original real-time ground-obstacle classifier, which runs on the CPU, and is designed to be used with any type and number of LiDARs. Evaluation results on the KITTI dataset confirm that our calibration method has comparable accuracy with other state-of-the-art contenders in the benchmark.</p>

    DOI: 10.1587/transfun.2019tsp0005

    Web of Science

    CiNii Research

  17. Mapping Method of MATLAB/Simulink Model for Embedded Many-Core Platform

    Honda, K; Kojima, S; Fujimoto, H; Edahiro, M; Azumi, T

    2020 28TH EUROMICRO INTERNATIONAL CONFERENCE ON PARALLEL, DISTRIBUTED AND NETWORK-BASED PROCESSING (PDP 2020)     頁: 182 - 186   2020年

     詳細を見る

    記述言語:日本語  

    DOI: 10.1109/PDP50117.2020.00034

    Web of Science

  18. Similarity Measure for Product Attribute Estimation

    Ortal, P; Edahiro, M

    IEEE ACCESS   8 巻   頁: 179073 - 179082   2020年

     詳細を見る

    記述言語:日本語  

    DOI: 10.1109/ACCESS.2020.3027023

    Web of Science

  19. Switching Hybrid Method Based on User Similarity and Global Statistics for Collaborative Filtering

    Ortal, P; Edahiro, M

    IEEE ACCESS   8 巻   頁: 213401 - 213415   2020年

     詳細を見る

    記述言語:日本語  

    DOI: 10.1109/ACCESS.2020.3040432

    Web of Science

  20. A Study on the Implementation of Vector Control System Using the Model Base Parallelization Tool on Multi-Core Processor

    Kim, J; Kato, S; Honda, S; Edahiro, M; Doki, S

    2020 23RD INTERNATIONAL CONFERENCE ON ELECTRICAL MACHINES AND SYSTEMS (ICEMS)     頁: 1951 - 1956   2020年

     詳細を見る

    記述言語:日本語  

    Web of Science

  21. Traffic light recognition using high-definition map features

    Hirabayashi Manato, Sujiwo Adi, Monrroy Abraham, Kato Shinpei, Edahiro Masato

    ROBOTICS AND AUTONOMOUS SYSTEMS   111 巻   頁: 62 - 72   2019年1月

     詳細を見る

    記述言語:日本語  

    DOI: 10.1016/j.robot.2018.10.004

    Web of Science

  22. Analysis and Evaluation of Current Control System of PMSM with Time-Delay to Improve Parallelization for Implementation on Multi-core Precessors

    Kim, J; Seiya, K; Edahiro, M; Doki, S

    2019 22ND INTERNATIONAL CONFERENCE ON ELECTRICAL MACHINES AND SYSTEMS (ICEMS 2019)     頁: 5459 - 5463   2019年

     詳細を見る

    記述言語:日本語  

    Web of Science

  23. Mode based Parallelization for Simulink Models on Multicore CPUs and GPUs

    Zhong, ZQ; Edahiro, M

    2019 INTERNATIONAL SOC DESIGN CONFERENCE (ISOCC)     頁: 103 - 104   2019年

     詳細を見る

    記述言語:日本語  

    Web of Science

  24. Model-Based Parallelizer for Embedded Control Systems on Single-ISA Heterogeneous Multicore Processors

    Zhong, ZQ; Edahiro, M

    2018 INTERNATIONAL SOC DESIGN CONFERENCE (ISOCC)     頁: 117 - 118   2018年

     詳細を見る

    記述言語:日本語  

    Web of Science

  25. Relational Joins on GPUs: A Closer Look

    Yabuta, M; Nguyen, A; Kato, S; Edahiro, M; Kawashima, H

    IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS   28 巻 ( 9 ) 頁: 2663 - 2673   2017年9月

     詳細を見る

    記述言語:日本語  

    DOI: 10.1109/TPDS.2017.2677451

    Web of Science

  26. Robust and Accurate Monocular Vision-Based Localization in Outdoor Environments of Real-World Robot Challenge

    Sujiwo Adi, Takeuchi Eijiro, Morales Luis Yoichi, Akai Naoki, Darweesh Hatem, Ninomiya Yoshiki, Edahiro Masato

    JOURNAL OF ROBOTICS AND MECHATRONICS   29 巻 ( 4 ) 頁: 685 - 696   2017年8月

     詳細を見る

    記述言語:日本語  

    Web of Science

  27. Localization Based on Multiple Visual-Metric Maps

    Sujiwo, A; Takeuchi, E; Morales, LY; Akai, N; Ninomiya, Y; Edahiro, M

    2017 IEEE INTERNATIONAL CONFERENCE ON MULTISENSOR FUSION AND INTEGRATION FOR INTELLIGENT SYSTEMS (MFI)     頁: 212 - 219   2017年

     詳細を見る

    記述言語:日本語  

    Web of Science

  28. Robust and Accurate Monocular Vision-Based Localization in Outdoor Environments of Real-World Robot Challenge

    Sujiwo Adi, Takeuchi Eijiro, Morales Luis Yoichi, Akai Naoki, Darweesh Hatem, Ninomiya Yoshiki, Edahiro Masato

    Journal of Robotics and Mechatronics   29 巻 ( 4 ) 頁: 685 - 696   2017年

     詳細を見る

    記述言語:英語   出版者・発行元:富士技術出版株式会社  

    <p>This paper describes our approach to perform robust monocular camera metric localization in the dynamic environments of Tsukuba Challenge 2016. We address two issues related to vision-based navigation. First, we improved the coverage by building a custom vocabulary out of the scene and improving upon place recognition routine which is key for global localization. Second, we established possibility of lifelong localization by using previous year's map. Experimental results show that localization coverage was higher than 90% for six different data sets taken in different years, while localization average errors were under 0.2 m. Finally, the average of coverage for data sets tested with maps taken in different years was of 75%.</p>

    DOI: 10.20965/jrm.2017.p0685

  29. Monocular Vision-Based Localization Using ORB-SLAM with LIDAR-Aided Mapping in Real-World Robot Challenge

    Sujiwo Adi, Ando Tomohito, Takeuchi Eijiro, Ninomiya Yoshiki, Edahiro Masato

    Journal of Robotics and Mechatronics   28 巻 ( 4 ) 頁: 479 - 490   2016年8月

     詳細を見る

    記述言語:英語   出版者・発行元:富士技術出版株式会社  

    <p>For the 2015 Tsukuba Challenge, we realized an implementation of vision-based localization based on ORB-SLAM. Our method combined mapping based on ORB-SLAM and Velodyne LIDAR SLAM, and utilized these maps in a localization process using only a monocular camera. We also apply sensor fusion method of odometer and ORB-SLAM from all maps. The combined method delivered better accuracy than the original ORB-SLAM, which suffered from scale ambiguities and map distance distortion. This paper reports on our experience when using ORB-SLAM for visual localization, and describes the difficulties encountered.</p>

    DOI: 10.20965/jrm.2016.p0479

    CiNii Research

  30. Monocular Vision-Based Localization Using ORB-SLAM with LIDAR-Aided Mapping in Real-World Robot Challenge

    Sujiwo Adi, Ando Tomohito, Takeuchi Eijiro, Ninomiya Yoshiki, Edahiro Masato

    JOURNAL OF ROBOTICS AND MECHATRONICS   28 巻 ( 4 ) 頁: 479 - 490   2016年8月

     詳細を見る

    記述言語:日本語  

    Web of Science

  31. Accelerated Deformable Part Models on GPUs

    Hirabayashi Manato, Kato Shinpei, Edahiro Masato, Takeda Kazuya, Mita Seiichi

    IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS   27 巻 ( 6 ) 頁: 1589 - 1602   2016年6月

     詳細を見る

    記述言語:日本語  

    DOI: 10.1109/TPDS.2015.2453962

    Web of Science

  32. A scalability analysis of many cores and on-chip mesh networks on the TILE-Gx platform

    Liu Ye, Sasaki Hiroshi, Kato Shinpei, Edahiro Masato

    2016 IEEE 10TH INTERNATIONAL SYMPOSIUM ON EMBEDDED MULTICORE/MANY-CORE SYSTEMS-ON-CHIP (MCSOC)     頁: 46 - 52   2016年

     詳細を見る

    記述言語:日本語  

    DOI: 10.1109/MCSoC.2016.40

    Web of Science

  33. Pure Pursuit Revisited: Field Testing of Autonomous Vehicles in Urban Areas

    Ohta Hiroki, Akai Naoki, Takeuchi Eijiro, Kato Shinpei, Edahiro Masato

    PROCEEDINGS OF 2016 IEEE 4TH INTERNATIONAL CONFERENCE ON CYBER-PHYSICAL SYSTEMS, NETWORKS, AND APPLICATIONS (CPSNA)     頁: 7 - 12   2016年

     詳細を見る

    記述言語:日本語  

    DOI: 10.1109/CPSNA.2016.10

    Web of Science

  34. System-level Design Method for Control Systems with Hardware-implemented Interrupt Handler

    Yuki Ando, Shinya Honda, Hiroaki Takada, Masato Edahiro

    Journal of information processing   23 巻 ( 5 ) 頁: 532 - 541   2015年9月

     詳細を見る

    記述言語:英語   出版者・発行元:一般社団法人 情報処理学会  

    In this paper, we propose a system-level design method for control systemsthat enables the development of Hardware-implemented interrupt handler. The increasing complexity of control systems has led to a rise in the frequencyof interrupts. As a result, the processor load increases, leading to a deterioration in thelatency of interrupt processing. To solve these problems, we require dedicated hardware that is activated by aninterrupt and can directly access devices during its processing. The proposed method enables control systems with the above dedicatedhardware to be developed using a model that abstracts an interrupt, interruptprocessing, and communication between the control processing and devices. We have developed a system-level design tool which automatically generates thetarget implementation from the model. Case studies on a motor control system show that the proposed methodreduces the processor load, improves the latency of the interrupt processing,and enables the design space exploration for the control system.In this paper, we propose a system-level design method for control systemsthat enables the development of Hardware-implemented interrupt handler. The increasing complexity of control systems has led to a rise in the frequencyof interrupts. As a result, the processor load increases, leading to a deterioration in thelatency of interrupt processing. To solve these problems, we require dedicated hardware that is activated by aninterrupt and can directly access devices during its processing. The proposed method enables control systems with the above dedicatedhardware to be developed using a model that abstracts an interrupt, interruptprocessing, and communication between the control processing and devices. We have developed a system-level design tool which automatically generates thetarget implementation from the model. Case studies on a motor control system show that the proposed methodreduces the processor load, improves the latency of the interrupt processing,and enables the design space exploration for the control system.

    DOI: 10.2197/ipsjjip.23.532

  35. Editor's Message to Special Issue on Embedded Systems Engineering

    Masato Edahiro

    Journal of information processing   23 巻 ( 2 ) 頁: 117 - 117   2015年3月

     詳細を見る

    記述言語:英語   出版者・発行元:一般社団法人 情報処理学会  

    DOI: 10.2197/ipsjjip.23.117

  36. モデル予測制御における非線形漸化式実行の並列化 (コンピュータシステム)

    山田 竜正, 枝廣 正人

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報   114 巻 ( 506 ) 頁: 275 - 280   2015年3月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,制御の分野では制御の高精度化が進んでいる.しかし,高精度な制御はシングルコアで処理するには演算負荷が大きい.そこでマルチ・メニーコアの使用が考えられる.高精度な制御として,モデル予測制御がある.この手法では,制御対象の振る舞いをある一定の範囲先まで計算を行う.この計算は制御対象のモデルを用いて行われ,漸化式となる.この漸化式が非線形の場合,漸化式計算は行列計算として表すことができず,計算負荷が大きい上,並列化が難しい.そこで本研究では,この時間かかる非線形漸化式や,それを含む制御の計算の並列実行を検討する.まず漸化式の計算をSimulinkモデルにより記述し,その構造を元にタスク(関数)に分割されたCコードと,そのタスクグラフを生成する.このタスクグラフに対し,CP/MISF法を使用してスケジューリングを行う.16コアのメニーコアシミュレータ上で評価した結果,CP/MISF法を使用すると,非線形漸化式の計算を16コアで10.5倍高速化できることがわかった.また,Simulated Annealing法と比較したところ,並列性能が良く,スケジューリング時間も6桁程度高速であり,CP/MISF法が,非線形漸化式の並列実行に適していることを示した.

  37. モデル予測制御における非線形漸化式実行の並列化 (ディペンダブルコンピューティング)

    山田 竜正, 枝廣 正人

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報   114 巻 ( 507 ) 頁: 275 - 280   2015年3月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,制御の分野では制御の高精度化が進んでいる.しかし,高精度な制御はシングルコアで処理するには演算負荷が大きい.そこでマルチ・メニーコアの使用が考えられる.高精度な制御として,モデル予測制御がある.この手法では,制御対象の振る舞いをある一定の範囲先まで計算を行う.この計算は制御対象のモデルを用いて行われ,漸化式となる.この漸化式が非線形の場合,漸化式計算は行列計算として表すことができず,計算負荷が大きい上,並列化が難しい.そこで本研究では,この時間かかる非線形漸化式や,それを含む制御の計算の並列実行を検討する.まず漸化式の計算をSimulinkモデルにより記述し,その構造を元にタスク(関数)に分割されたCコードと,そのタスクグラフを生成する.このタスクグラフに対し,CP/MISF法を使用してスケジューリングを行う.16コアのメニーコアシミュレータ上で評価した結果,CP/MISF法を使用すると,非線形漸化式の計算を16コアで10.5倍高速化できることがわかった.また,Simulated Annealing法と比較したところ,並列性能が良く,スケジューリング時間も6桁程度高速であり,CP/MISF法が,非線形漸化式の並列実行に適していることを示した.

  38. モデル予測制御における非線形漸化式実行の並列化

    山田 竜正, 枝廣 正人

    情報処理学会研究報告. SLDM, [システムLSI設計技術]   2015 巻 ( 37 ) 頁: 1 - 6   2015年2月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人情報処理学会  

    近年,制御の分野では制御の高精度化が進んでいる.しかし,高精度な制御はシングルコアで処理するには演算負荷が大きい.そこでマルチ・メニーコアの使用が考えられる.高精度な制御として,モデル予測制御がある.この手法では,制御対象の振る舞いをある一定の範囲先まで計算を行う.この計算は制御対象のモデルを用いて行われ,漸化式となる.この漸化式が非線形の場合,漸化式計算は行列計算として表すことができず,計算負荷が大きい上,並列化が難しい.そこで本研究では,この時間かかる非線形漸化式や,それを含む制御の計算の並列実行を検討する.まず漸化式の計算を Simulink モデルにより記述し,その構造を元にタスク (関数) に分割された C コードと,そのタスクグラフを生成する.このタスクグラフに対し,CP/MISF 法を使用してスケジューリングを行う.16 コアのメニーコアシミュレータ上で評価した結果,CP/MISF法 を使用すると,非線形漸化式の計算を 16 コアで 10.5 倍高速化できることがわかった.また,Simulated Annealing 法と比較したところ,並列性能が良く,スケジューリング時間も 6 桁程度高速であり,CP/MISF 法が,非線形漸化式の並列実行に適していることを示した.

    CiNii Research

  39. モデル予測制御における非線形漸化式実行の並列化

    山田 竜正, 枝廣 正人

    情報処理学会研究報告. EMB, 組込みシステム   2015 巻 ( 37 ) 頁: 1 - 6   2015年2月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人情報処理学会  

    近年,制御の分野では制御の高精度化が進んでいる.しかし,高精度な制御はシングルコアで処理するには演算負荷が大きい.そこでマルチ・メニーコアの使用が考えられる.高精度な制御として,モデル予測制御がある.この手法では,制御対象の振る舞いをある一定の範囲先まで計算を行う.この計算は制御対象のモデルを用いて行われ,漸化式となる.この漸化式が非線形の場合,漸化式計算は行列計算として表すことができず,計算負荷が大きい上,並列化が難しい.そこで本研究では,この時間かかる非線形漸化式や,それを含む制御の計算の並列実行を検討する.まず漸化式の計算を Simulink モデルにより記述し,その構造を元にタスク (関数) に分割された C コードと,そのタスクグラフを生成する.このタスクグラフに対し,CP/MISF 法を使用してスケジューリングを行う.16 コアのメニーコアシミュレータ上で評価した結果,CP/MISF法 を使用すると,非線形漸化式の計算を 16 コアで 10.5 倍高速化できることがわかった.また,Simulated Annealing 法と比較したところ,並列性能が良く,スケジューリング時間も 6 桁程度高速であり,CP/MISF 法が,非線形漸化式の並列実行に適していることを示した.

    CiNii Research

  40. 特集「組込みシステム工学」の編集にあたって

    枝廣 正人

    情報処理学会論文誌   56 巻 ( 2 ) 頁: 714 - 714   2015年2月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人情報処理学会  

  41. Automatic Synthesis of Inter-heterogeneous-processor Communication for Programmable System-on-chip

    Ando Yuki, Ishida Yukihito, Honda Shinya, Takada Hiroaki, Edahiro Masato

    Information and Media Technologies   10 巻 ( 3 ) 頁: 415 - 419   2015年

     詳細を見る

    記述言語:英語   出版者・発行元:Information and Media Technologies 編集運営会議  

    This paper introduces an automatic synthesis technique and tool to implement inter-heterogeneous-processor communication for programmable system-on-chips (PSoCs). PSoCs have an ARM-based hard processor system connected to an FPGA fabric. By implementing the soft processors in the FPGA fabric, PSoCs realize heterogeneous multiprocessors. Since the number and type of soft processors are configurable, PSoCs can be various heterogeneous multiprocessors. However, the inter-heterogeneous-processor communications are not supported by single binary operating systems. Proposed method automatically synthesizes the inter-heterogeneous-processor communications at an application layer from a general model description. The case study shows that automatically generated inter-heterogeneous-processor communication exactly runs the system on heterogeneous multiprocessors.

    DOI: 10.11185/imt.10.415

    CiNii Research

  42. HexaCam: An FPGA-based Multi-view Camera System

    Monrroy Abraham, Hirabayashi Manato, Kato Shinpei, Edahiro Masato, Miyoshi Takefumi, Funada Satoshi

    2015 IEEE 3RD INTERNATIONAL CONFERENCE ON CYBER-PHYSICAL SYSTEMS, NETWORKS, AND APPLICATIONS CPSNA 2015     頁: 48 - 53   2015年

     詳細を見る

    記述言語:日本語  

    DOI: 10.1109/CPSNA.2015.18

    Web of Science

  43. Editor's Message to Special Issue of Embedded Systems Engineering

    Masato Edahiro

    Journal of information processing   23 巻 ( 5 ) 頁: 531 - 531   2015年

     詳細を見る

    記述言語:英語   出版者・発行元:一般社団法人 情報処理学会  

    DOI: 10.2197/ipsjjip.23.531

    CiNii Research

  44. Design Space Exploration of Control System with Hardware-implemented Interrupt Handler

    Ando Yuki, Honda Shinya, Takada Hiroaki, Edahiro Masato

    2015 6TH INTERNATIONAL CONFERENCE OF INFORMATION AND COMMUNICATION TECHNOLOGY FOR EMBEDDED SYSTEMS (IC-ICTES)     2015年

     詳細を見る

    記述言語:日本語  

    Web of Science

  45. Automatic synthesis of inter-heterogeneous-processor communication implementation for programmable system-on-chip

    Ando Yuki, Ishida Yukihito, Honda Shinya, Takada Hiroaki, Edahiro Masato

    2015 INTERNATIONAL CONFERENCE ON VLSI SYSTEMS, ARCHITECTURE, TECHNOLOGY AND APPLICATIONS (VLSI-SATA)     2015年

     詳細を見る

    記述言語:日本語  

    Web of Science

  46. Automatic Synthesis of Inter-heterogeneous-processor Communication for Programmable System-on-chip

    Ando Yuki, Ishida Yukihito, Honda Shinya, Takada Hiroaki, Edahiro Masato

    IPSJ Transactions on System LSI Design Methodology   8 巻 ( 0 ) 頁: 95 - 99   2015年

     詳細を見る

    記述言語:英語   出版者・発行元:一般社団法人 情報処理学会  

    This paper introduces an automatic synthesis technique and tool to implement inter-heterogeneous-processor communication for programmable system-on-chips (PSoCs). PSoCs have an ARM-based hard processor system connected to an FPGA fabric. By implementing the soft processors in the FPGA fabric, PSoCs realize heterogeneous multiprocessors. Since the number and type of soft processors are configurable, PSoCs can be various heterogeneous multiprocessors. However, the inter-heterogeneous-processor communications are not supported by single binary operating systems. Proposed method automatically synthesizes the inter-heterogeneous-processor communications at an application layer from a general model description. The case study shows that automatically generated inter-heterogeneous-processor communication exactly runs the system on heterogeneous multiprocessors.

    DOI: 10.2197/ipsjtsldm.8.95

    CiNii Research

  47. Real-Time Visualization of Moving Objects

    Ortal Patricia, Kato Shinpei, Edahiro Masato

    2015 IEEE 3RD INTERNATIONAL CONFERENCE ON CYBER-PHYSICAL SYSTEMS, NETWORKS, AND APPLICATIONS CPSNA 2015     頁: 60 - 65   2015年

     詳細を見る

    記述言語:日本語  

    DOI: 10.1109/CPSNA.2015.20

    Web of Science

  48. システムレベル設計における制御システム向けプロファイル機構 (VLSI設計技術) -- (デザインガイア2014 : VLSI設計の新しい大地)

    繆 同徳, 安藤 友樹, 本田 晋也, 高田 広章, 枝廣 正人

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報   114 巻 ( 328 ) 頁: 75 - 80   2014年11月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    本論文ではシステムレベル設計における制御システム向けのプロファイル機構を述べる.制御システムを設計する際,センサーやアクチュエータから非同期で通知される割込みと,割込みにより優先的に処理を開始する割込み処理を考慮する必要がある.但し,既存のプロファイル機構は割込みの関連情報を取得できない.本論文では,制御システムの開発を支援するために,割込みの関連情報を取得可能なプロファイル手法を提案する.提案手法を設計事例に適用し,効果を評価した.

  49. 割込みハンドラのハードウェア化を実現するシステムレベル設計手法 (ディペンダブルコンピューティング) -- (デザインガイア2014 : VLSI設計の新しい大地)

    安藤 友樹, 本田 晋也, 高田 広章, 枝廣 正人

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報   114 巻 ( 329 ) 頁: 69 - 74   2014年11月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    本論文は,割込みで駆動する専用HWを設計可能な,制御システム向けのシステムレベル設計ツールについて述べる.制御システムは複雑化,処理の高度化が進み,それに伴い割込み処理の頻度が増加したことで,プロセッサ負荷の増加,消費電力の増加,割込み処理レイテンシの悪化といった問題が生じている.これらの問題を解決するため,割込みにより処理を開始し,処理中はセンサや入出力ハードウェアといったデバイスへ直接アクセスする専用ハードウェアが求められている.提案手法は,処理とデバイス間の通信,割込み,割込み処理を抽象化した制御システムモデルから,割込みで駆動するハードウェアを含む制御システムを設計可能である.モータ制御システムを対象とした評価実験により,提案手法を用いることで,プロセッサ負荷の削減,消費電力の削減,レイテンシの改善が可能なことを示す.

  50. 割込みハンドラのハードウェア化を実現するシステムレベル設計手法 (VLSI設計技術) -- (デザインガイア2014 : VLSI設計の新しい大地)

    安藤 友樹, 本田 晋也, 高田 広章, 枝廣 正人

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報   114 巻 ( 328 ) 頁: 69 - 74   2014年11月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    本論文は,割込みで駆動する専用HWを設計可能な,制御システム向けのシステムレベル設計ツールについて述べる.制御システムは複雑化,処理の高度化が進み,それに伴い割込み処理の頻度が増加したことで,プロセッサ負荷の増加,消費電力の増加,割込み処理レイテンシの悪化といった問題が生じている.これらの問題を解決するため,割込みにより処理を開始し,処理中はセンサや入出力ハードウェアといったデバイスへ直接アクセスする専用ハードウェアが求められている.提案手法は,処理とデバイス間の通信,割込み,割込み処理を抽象化した制御システムモデルから,割込みで駆動するハードウェアを含む制御システムを設計可能である.モータ制御システムを対象とした評価実験により,提案手法を用いることで,プロセッサ負荷の削減,消費電力の削減,レイテンシの改善が可能なことを示す.

  51. システムレベル設計における制御システム向けプロファイル機構 (ディペンダブルコンピューティング) -- (デザインガイア2014 : VLSI設計の新しい大地)

    繆 同徳, 安藤 友樹, 本田 晋也, 高田 広章, 枝廣 正人

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報   114 巻 ( 329 ) 頁: 75 - 80   2014年11月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    本論文ではシステムレベル設計における制御システム向けのプロファイル機構を述べる.制御システムを設計する際,センサーやアクチュエータから非同期で通知される割込みと,割込みにより優先的に処理を開始する割込み処理を考慮する必要がある.但し,既存のプロファイル機構は割込みの関連情報を取得できない.本論文では,制御システムの開発を支援するために,割込みの関連情報を取得可能なプロファイル手法を提案する.提案手法を設計事例に適用し,効果を評価した.

  52. 階層構造を持つメニーコアアーキテクチャへのタスクマッピング

    油谷 創, 枝廣 正人

    情報処理学会研究報告. EMB, 組込みシステム   2014 巻 ( 3 ) 頁: 1 - 8   2014年9月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人情報処理学会  

    近年,半導体技術の進展によって 1 つの LSI 上に複数のプロセッサが搭載されたマルチコアや,数十,数百のプロセッサが搭載されたメニーコアが広く使われている.また,スケーラビリティをさらに高めるために階層構造を持つメニーコアも登場している.階層型メニーコアアーキテクチャは,将来組込みプロセッサにおいても主流になると考えられている.そこで,階層構造を考慮したタスクマッピング手法を提案し,既存手法との比較評価を行った.提案手法は NN Embed 法,Topo-LB 法,Cluster-Based ILP 法と比較してそれぞれ 44%,32%,26%通信コストの少ないマッピング結果を示した.

    CiNii Research

  53. ソフトウェア向けハードウェア性能記述を用いたマルチコアにおける性能見積り (コンピュータシステム 組込み技術とネットワークに関するワークショップETNET2014)

    西村 裕, 中村 陸, 荒川 文男, 枝廣 正人

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報   113 巻 ( 497 ) 頁: 151 - 156   2014年3月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    多種多様なメニーコアアーキテクチャが提案されてきており,今後ますます増える傾向にある.このような状況において,ソフトウェア開発ツール等がアーキテクチャ毎に個別に対応することは非効率である.これをなくすため我々は,マルチ・メニーコア標準プラットフォームの開発を進め,ソフトウェア視点での性能情報を含むハードウェア記述の標準化を提案している.本研究では,組込みメニーコアプロセッサの一つを用い,標準記述による性能見積りと実際の処理時間の差異を評価し,精度を高めるための手法及び課題について述べる.

  54. 単方向1:1高速同期機構を用いたFPGA実装と評価 (ディペンダブルコンピューティング 組込み技術とネットワークに関するワークショップETNET2014)

    溝口 裕哉, 中村 陸, 安藤 友樹, 荒川 文男, 枝廣 正人

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報   113 巻 ( 498 ) 頁: 145 - 150   2014年3月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,組込み制御分野でマルチ・メニーコアの使用が主流となりつつある.複数のコアで制御プログラムを並列に動作させる場合,コア間通信のオーバーヘッドが大きな問題である.そこで,我々は単方向1:1高速同期機構とよぶ高速な通信メカニズムを提案し,その改善を図っている.本稿では,提案機構向けに新たに考案したハードウェア支援手法とFPGAによる評価結果を報告する.モーター制御アプリケーションを用いた評価では,提案手法はマルチコアRTOSの通信APIと比べ,通信時間が約12分の1に短縮された.

  55. 単方向1:1高速同期機構を用いたFPGA実装と評価 (コンピュータシステム 組込み技術とネットワークに関するワークショップETNET2014)

    溝口 裕哉, 中村 陸, 安藤 友樹, 荒川 文男, 枝廣 正人

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報   113 巻 ( 497 ) 頁: 145 - 150   2014年3月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,組込み制御分野でマルチ・メニーコアの使用が主流となりつつある.複数のコアで制御プログラムを並列に動作させる場合,コア間通信のオーバーヘッドが大きな問題である.そこで,我々は単方向1:1高速同期機構とよぶ高速な通信メカニズムを提案し,その改善を図っている.本稿では,提案機構向けに新たに考案したハードウェア支援手法とFPGAによる評価結果を報告する.モーター制御アプリケーションを用いた評価では,提案手法はマルチコアRTOSの通信APIと比べ,通信時間が約12分の1に短縮された.

  56. ソフトウェア向けハードウェア性能記述を用いたマルチコアにおける性能見積り (ディペンダブルコンピューティング 組込み技術とネットワークに関するワークショップETNET2014)

    西村 裕, 中村 陸, 荒川 文男, 枝廣 正人

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報   113 巻 ( 498 ) 頁: 151 - 156   2014年3月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    多種多様なメニーコアアーキテクチャが提案されてきており,今後ますます増える傾向にある.このような状況において,ソフトウェア開発ツール等がアーキテクチャ毎に個別に対応することは非効率である.これをなくすため我々は,マルチ・メニーコア標準プラットフォームの開発を進め,ソフトウェア視点での性能情報を含むハードウェア記述の標準化を提案している.本研究では,組込みメニーコアプロセッサの一つを用い,標準記述による性能見積りと実際の処理時間の差異を評価し,精度を高めるための手法及び課題について述べる.

  57. Establishing a standard interface between multi-manycore and software tools - SHIM

    Gondo Masaki, Arakawa Fumio, Edahiro Masato

    2014 IEEE COOL CHIPS XVII     2014年

     詳細を見る

    記述言語:日本語  

    Web of Science

  58. Parallel Design of Control Systems Utilizing Dead Time for Embedded Multicore Processors

    Suzuki Yuta, Sata Kota, Kako Junichi, Yamaguchi Kohei, Arakawa Fumio, Edahiro Masato

    2014 IEEE COOL CHIPS XVII     2014年

     詳細を見る

    記述言語:日本語  

    Web of Science

  59. 制御システムのマルチ・メニーコアプロセッサ実装

    枝廣 正人

    計測と制御   53 巻 ( 12 ) 頁: 1111 - 1116   2014年

     詳細を見る

    記述言語:日本語   出版者・発行元:公益社団法人 計測自動制御学会  

    DOI: 10.11499/sicejl.53.1111

    CiNii Research

  60. Power and Performance Characterization and Modeling of GPU-Accelerated Systems

    Abe Yuki, Inoue Koji, Sasaki Hiroshi, Edahiro Masato, Kato Shinpei, Peres Martin

    2014 IEEE 28TH INTERNATIONAL PARALLEL AND DISTRIBUTED PROCESSING SYMPOSIUM     2014年

     詳細を見る

    記述言語:日本語  

    DOI: 10.1109/IPDPS.2014.23

    Web of Science

  61. ヘテロマルチプロセッサシステム向けプロセッサ間通信の自動合成 (リコンフィギャラブルシステム)

    石田 薫史, 安藤 友樹, 本田 晋也, 高田 広章, 枝廣 正人

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報   113 巻 ( 325 ) 頁: 63 - 68   2013年11月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    本論文ではヘテロジニアス構成のマルチプロセッサSoC向けのプロセッサ間通信の自動合成手法を述べる.プロセッサコア内臓のFPGAの登場など,ヘテロマルチプロセッサシステムの利用が進む一方で,プロセッサ間通信を設計・実装するコストが問題となっている.我々は,多くのヘテロマルチプロセッサシステムが持つ,共有メモリ,プロセッサ間割込みに着目し,プロセッサ間通信を設計・実装する.さらに,設計効率を向上するために,抽象度の高い記述からターゲット向けの通信実装を自動合成する手法を述べる.実現した通信実装を実際のヘテロマルチプロセッサシステム上に実現し,プロセッサ間通信の動作を確認した.

  62. 割込み処理を考慮したシステムレベル設計手法 (ディペンダブルコンピューティング デザインガイア2013 : VLSI設計の新しい大地)

    安藤 友樹, 石田 薫史, 本田 晋也, 高田 広章, 枝廣 正人

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報   113 巻 ( 321 ) 頁: 119 - 124   2013年11月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    本論文は,割込み処理を抽象化することで,デバイスとの間で入出力がある制御システムをシステムレベルで設計する手法を述べる.制御システムは複雑化,処理の高度化が進んでおり,設計効率を向上するために制御システムの設計抽象度を上げる必要がある.抽象度の高い設計手法としてシステムレベル設計手法があるが,既存のものはマルチメディアシステムが主な設計対象であり,デバイスなど外部との入出力が必要な制御システムを扱うことができない.我々はデバイスとの入出力に加え,割込みと割込み処理を抽象化し,システムレベルにて制御システムを扱えるモデルを提案する.シリアルドライバを対象とした評価実験により,提案する制御システムモデルを利用することで,小さなオーバヘッドで制御システムを抽象度高く設計可能なことを示す.

  63. 割込み処理を考慮したシステムレベル設計手法 (VLSI設計技術 デザインガイア2013 : VLSI設計の新しい大地)

    安藤 友樹, 石田 薫史, 本田 晋也, 高田 広章, 枝廣 正人

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報   113 巻 ( 320 ) 頁: 119 - 124   2013年11月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    本論文は,割込み処理を抽象化することで,デバイスとの間で入出力がある制御システムをシステムレベルで設計する手法を述べる.制御システムは複雑化,処理の高度化が進んでおり,設計効率を向上するために制御システムの設計抽象度を上げる必要がある.抽象度の高い設計手法としてシステムレベル設計手法があるが,既存のものはマルチメディアシステムが主な設計対象であり,デバイスなど外部との入出力が必要な制御システムを扱うことができない.我々はデバイスとの入出力に加え,割込みと割込み処理を抽象化し,システムレベルにて制御システムを扱えるモデルを提案する.シリアルドライバを対象とした評価実験により,提案する制御システムモデルを利用することで,小さなオーバヘッドで制御システムを抽象度高く設計可能なことを示す.

  64. 単方向1:1高速同期機構を用いた組込み制御並列化

    中村陸 , 荒川文男 , 枝廣正人

    情報処理学会研究報告. [システムソフトウェアとオペレーティング・システム]   2013 巻 ( 11 ) 頁: 1 - 6   2013年11月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人情報処理学会  

    組込み制御領域において,マルチ・メニーコア化とこれを活用するための制御ソフトウェアの並列化が進められている.しかし期待される処理性能のボトルネックとして,タスクスケジューリングやプロセッサ間通信のオーバヘッドが存在する.1 コア 1 タスクに静的割付けし,単方向 1:1 高速同期機構による通信を用いることで,モータ制御モデルを用いた性能評価において,メニーコア向け高機能 OS 利用と比較して通信時間を 25 分の 1 とし,実行サイクルを逐次実行よりも 25% 程度低減することができた.

  65. 単方向1:1高速同期機構を用いた組込み制御並列化

    中村陸 , 荒川文男 , 枝廣正人

    情報処理学会研究報告. EMB, 組込みシステム   2013 巻 ( 11 ) 頁: 1 - 6   2013年11月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人情報処理学会  

    組込み制御領域において,マルチ・メニーコア化とこれを活用するための制御ソフトウェアの並列化が進められている.しかし期待される処理性能のボトルネックとして,タスクスケジューリングやプロセッサ間通信のオーバヘッドが存在する.1 コア 1 タスクに静的割付けし,単方向 1:1 高速同期機構による通信を用いることで,モータ制御モデルを用いた性能評価において,メニーコア向け高機能 OS 利用と比較して通信時間を 25 分の 1 とし,実行サイクルを逐次実行よりも 25% 程度低減することができた.

  66. マルチレート制御モデルのイベントドリブンプロセッサ実装

    大川禎 , 枝廣正人

    情報処理学会研究報告. EMB, 組込みシステム   2013 巻 ( 2 ) 頁: 1 - 7   2013年9月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人情報処理学会  

    近年,マルチコア・メニーコアが組込みシステムにおいても主流となりつつある.また,制御システムの大規模複雑化による開発工数の増大が課題となっている.そのため,メニーコアであるイベントドリブンプロセッサを用い,タスクをコアに対応させることで制御モデルの実装を行うことを考える.これにより,同一コア内でのタスクスケジューリングが不要となり,開発工数の削減が期待できる.このとき,複数の制御周期が混在するマルチレートモデル,特に制御周期が動的に変化する場合が課題となる.本論文ではマルチレート制御モデルをイベントドリブンプロセッサに実現する手法を提案し,ベンチマークとして制御モデルを実装することで,提案手法の有効性を評価した.

  67. 差分方程式の解析的な並列化とそのモデリング

    鈴木悠太 , 枝廣正人

    情報処理学会研究報告. EMB, 組込みシステム   2013 巻 ( 1 ) 頁: 1 - 8   2013年9月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人情報処理学会  

    本研究では,組込み制御システムにおいて近年研究が進められているモデルベース並列化に着目し,制御系の離散実装時に多くあらわれる差分方程式に対して,並列化前後の誤差を 0 にする,前進差分型・後退差分型の各並列化手法とそのモデリング手法を提案する.提案手法を用いて MATLAB/Simulink で記述された実際のモータ制御モデルを並列設計し,前進差分型と比較して後退差分型の並列性の性能向上を示すと共に,従来経験的に与えられていた遅延要素の初期値設定に関して,解析的な導出方法を提案する.従来のアプローチを適用できないモデルに対して,提案手法の有効性を実証する.

  68. CSP理論にもとづいた制御モデルのマルチコア実装向けタスク割当て (コンピュータシステム 組込み技術とネットワークに関するワークショップETNET2013)

    大川 禎, 枝廣 正人, 久村 孝寛

    電子情報通信学会技術研究報告 : 信学技報   112 巻 ( 481 ) 頁: 133 - 138   2013年3月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,マルチコア・メニーコアが組込みシステムにおいても主流となりつつある.また,制御処理を記述する上で有効なソフトウェアモデルとして,CSP (Communicating Sequential Processes)があげられる.本論文では,汎用マイクロコントローラベースのマルチコアシステムをターゲットとし,CSPにより記述されたモーター制御モデルの実装を行い,実行時間が最小となるタスク割当てパターンを非線形計画問題によって発見した.また,従来手法から得られたタスク割り当てパターンと性能比較を行った結果,従来手法に比べ15%性能を改善することができた.

  69. CSP理論にもとづいた制御モデルのマルチコア実装向けタスク割当て (ディペンダブルコンピューティング 組込み技術とネットワークに関するワークショップETNET2013)

    大川 禎, 枝廣 正人, 久村 孝寛

    電子情報通信学会技術研究報告 : 信学技報   112 巻 ( 482 ) 頁: 133 - 138   2013年3月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,マルチコア・メニーコアが組込みシステムにおいても主流となりつつある.また,制御処理を記述する上で有効なソフトウェアモデルとして,CSP (Communicating Sequential Processes)があげられる.本論文では,汎用マイクロコントローラベースのマルチコアシステムをターゲットとし,CSPにより記述されたモーター制御モデルの実装を行い,実行時間が最小となるタスク割当てパターンを非線形計画問題によって発見した.また,従来手法から得られたタスク割り当てパターンと性能比較を行った結果,従来手法に比べ15%性能を改善することができた.

  70. サイバーフィジカルシステムとLSI設計技術 (VLSI設計技術)

    加藤 真平, 枝廣 正人

    電子情報通信学会技術研究報告 : 信学技報   112 巻 ( 451 ) 頁: 67 - 69   2013年3月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    我が国が直面する環境負荷や少子高齢化など深刻な社会問題への対応,そして停滞する電子産業の再活性化のためには,社会と情報通信技術の双方に革新を促す統合基盤システムの研究開発が急務である.その1つとしてサイバー技術と実世界の調和を図るサイバーフィジカルシステム(CPS)が注目を集めている.CPSは分野横断型の複合コンピュータシステムであり,未だ体系的モデルをもたない研究分野でも.個々の要素技術においても,今日の最先端技術ではCPSの性能要求や機能要求を満たすことができない場合も少なくない.本稿ではCPSに関する世界の動向といくつかの事例に触れ,プラットフォームとアプリケーションの観点から特に最適化問題に焦点を充ててLSI設計技術活用の可能性について論じる.

  71. A Channel-based Communication/Synchronization Model for SW-HW Multitasking on Dynamically Partially Reconfigurable FPGAs (VLSI設計技術)

    Jozwik Krzystof, Honda Shinya, Edahiro Masato, Tomiyama Hiroyuki, Takada Hiroaki

    電子情報通信学会技術研究報告 : 信学技報   112 巻 ( 375 ) 頁: 135 - 140   2013年1月

     詳細を見る

    記述言語:英語   出版者・発行元:一般社団法人電子情報通信学会  

  72. A Channel-based Communication/Synchronization Model for SW-HW Multitasking on Dynamically Partially Reconfigurable FPGAs (コンピュータシステム)

    Jozwik Krzystof, Honda Shinya, Edahiro Masato, Tomiyama Hiroyuki, Takada Hiroaki

    電子情報通信学会技術研究報告 : 信学技報   112 巻 ( 376 ) 頁: 135 - 140   2013年1月

     詳細を見る

    記述言語:英語   出版者・発行元:一般社団法人電子情報通信学会  

  73. A Channel-based Communication/Synchronization Model for SW-HW Multitasking on Dynamically Partially Reconfigurable FPGAs (リコンフィギャラブルシステム)

    Jozwik Krzystof, Honda Shinya, Edahiro Masato, Tomiyama Hiroyuki, Takada Hiroaki

    電子情報通信学会技術研究報告 : 信学技報   112 巻 ( 377 ) 頁: 135 - 140   2013年1月

     詳細を見る

    記述言語:英語   出版者・発行元:一般社団法人電子情報通信学会  

  74. Data Transfer Matters for GPU Computing

    Fujii Yusuke, Azumi Takuya, Nishio Nobuhiko, Kato Shinpei, Edahiro Masato

    2013 19TH IEEE INTERNATIONAL CONFERENCE ON PARALLEL AND DISTRIBUTED SYSTEMS (ICPADS 2013)     頁: 275 - 282   2013年

     詳細を見る

    記述言語:日本語  

    DOI: 10.1109/ICPADS.2013.47

    Web of Science

  75. GPU Implementations of Object Detection using HOG Features and Deformable Models

    Hirabayashi, M; Kato, S; Edahiro, M; Takeda, K; Kawano, T; Mita, S

    2013 IEEE 1ST INTERNATIONAL CONFERENCE ON CYBER-PHYSICAL SYSTEMS, NETWORKS, AND APPLICATIONS (CPSNA)     頁: 106 - 111   2013年

     詳細を見る

    記述言語:日本語  

    Web of Science

  76. Power and Performance of GPU-accelerated Systems: A Closer Look

    Abe Yuki, Sasaki Hiroshi, Kato Shinpei, Inoue Koji, Edahiro Masato, Peres Martin

    2013 IEEE INTERNATIONAL SYMPOSIUM ON WORKLOAD CHARACTERIZATION (IISWC 2013)     頁: 109 - +   2013年

     詳細を見る

    記述言語:日本語  

    Web of Science

  77. 非対称数字表現に対する平均ハミング重みの最適化

    スッパキットパイサーン ウォラポン, 枝廣 正人, 今井 浩

    電子情報通信学会技術研究報告. COMP, コンピュテーション   112 巻 ( 93 ) 頁: 79 - 86   2012年6月

     詳細を見る

    記述言語:英語   出版者・発行元:一般社団法人電子情報通信学会  

    本研究ではマルチスカラー倍算における非対称数字表現の平均的な効率を定める手法を提案する。マルチスカラー倍算は楕円曲線デジタル署名アルゴリズム(ECDSA)において最も計算時間を要する演算であるため、表現数字集合拡大をはじめ様々な研究がされている。表現数字集合を拡大するとマルチスカラー倍算は高速化されるが、前処理に長い時間を要する。そこで、我々は非対称数字表現を提案し、マルコフ連鎖やグラフ理論を利用して解析を行った。その結果、非対称数字表現は前処理を拡大数字集合ほど必要とせず、効率も高いと確認できた。

  78. 高位合成によるSTPエンジン及びFPGAへのAES/ADPCMの実装と評価

    石田 薫史, 柴田 誠也, 安藤 友樹, 本田 晋也, 高田 広章, 枝廣 正人

    電子情報通信学会技術研究報告. RECONF, リコンフィギャラブルシステム : IEICE technical report   112 巻 ( 70 ) 頁: 77 - 82   2012年5月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    SoCの専用ハードウェアの代替技術として注目を集める再構成技術の性能を確かめるため,動的再構成ハードウェアであるSTPエンジンおよび静的再構成ハードウェアであるFPGAの比較を行った.比較のために両ハードウェアにC言語で記述されたAESおよびADPCMのアプリケーションを高位合成を用いて実装した.実装にはそれぞれのハードウェアで同じ高位合成ツールを利用した統合開発環境を用いた.比較には面積,状態数,サイクル数,周波数,実行時間の5点に着目し,STPとFPGAの性能について評価を行った.

  79. Efficient Algorithms for Extracting Pareto-optimal Hardware Configurations in DEPS Framework

    KAWASHIMA HIROTAKA, ZENG GANG, TAKASE HIDEKI, Masato Edahiro, Hiroaki Takada

    情報処理学会論文誌 論文誌トランザクション   5 巻 ( 0 ) 頁: 133 - 142   2012年

     詳細を見る

    記述言語:英語   出版者・発行元:一般社団法人情報処理学会  

    A dynamic energy performance scaling (DEPS) framework has been proposed as a generalization of dynamic voltage frequency scaling (DVFS). The DEPS framework selects an energy-optimal hardware configuration at runtime. To reduce runtime overhead, Pareto-optimal combinations of hardware configurations should be provided via DEPS profiling during the design phase. The challenge of DEPS profiling lies in extracting the Pareto-optimal combinations efficiently from the exponential search space. We propose two exact algorithms to reduce the number of calculations in DEPS profiling. These algorithms can be used with common search algorithms. We also propose a heuristic algorithm for searching Pareto-optimal configurations efficiently. Extensive experiments are performed, and they demonstrate that the proposed algorithms can complete DEPS profiling within a reasonable amount of time and generate optimal DEPS profiles. It is believed that the proposed algorithms will enable easy application of the DEPS framework in practice.

    DOI: 10.2197/ipsjtsldm.5.133

  80. Special Section on Discrete Mathematics and Its Applications

    CHAO Jinhui, EDAHIRO Masato, FUJITO Toshihiro, HAGIHARA Kenichi, HANDA Keiichi, HIRAISHI Kunihiko, ITO Hiro, MAMBO Masahiro, MATSUI Tomomi, MIYANO Eiji, OKAMOTO Eiji, OSAWA Shingo, OKABE Yasuo, IMAI Keiko

    IEICE transactions on fundamentals of electronics, communications and computer sciences   84 巻 ( 5 ) 頁: 1093   2001年5月

     詳細を見る

    記述言語:英語   出版者・発行元:一般社団法人電子情報通信学会  

    CiNii Research

  81. LLVM Instruction Latency Measurement for Software-Hardware Interface for Multi-many-core 査読有り

    H. Mikami, K. Torigoe, M. Inokawa, and M. Edahiro

    COOL CHIPS 25     2022年4月

     詳細を見る

    担当区分:最終著者   記述言語:英語  

  82. Mapping Method Usable with Clustered Many-core Platforms for Simulink Model 査読有り

    Yutaro Kobayashi, Kentaro Honda, Sasuga Kojima, Hiroshi Fujimoto, Masato Edahiro, and Takuya Azumi

      63 巻 ( 2 )   2022年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  83. Robustness Evaluation of Vehicle Localization in 3D Map Using Convergence of Scan Matching

    Kitsukawa Yuki, Minami Tatsuya, Yamazaki Yudai, Meguro Junich, Takeuchi Eijiro, Ninomiya Yoshiki, Kato Shinpei, Edahiro Masato

    International Journal of Automotive Engineering   13 巻 ( 4 ) 頁: 206 - 213   2022年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Society of Automotive Engineers of Japan, INC  

    ABSTRACT: Ego-vehicle localization is a critical technology in autonomous driving systems, and one of the widely used methods for localization is scan matching between a 3D map and real-time LiDAR scan. This method is known to fail due to factors such as an incorrect initial position and orientation for scan matching. In this paper, we propose a simulator-based localization evaluation framework to verify the robustness of localization. By using a simulator, localization can be evaluated without driving a real vehicle, and can be evaluated by creating disturbances such as traffic jams. Our framework also allows to evaluate the robustness of localization by using multiple particles with random errors of the initial position and orientation for scan matching to simulate dead reckoning errors caused by multiple factors such as road surface conditions and tire diameter. In the evaluation experiments, we confirmed that the robustness of localization can be evaluated by applying this method to factors such as sensor setup, disturbances in the traffic environment, and the amount of 3D features in the environment.

    DOI: 10.20485/jsaeijae.13.4_206

    CiNii Research

  84. Switching Hybrid Method Based on User Similarity and Global Statistics for Collaborative Filtering 査読有り

    Patricia Ortal and Masato Edahiro

    IEEE Access   8 巻   頁: 213401 - 213415   2020年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  85. Similarity Measure for Product Attribute Estimation 査読有り

    Patricia Ortal and Masato Edahiro

    IEEE Access   8 巻   頁: 179073 - 179082   2020年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  86. FPGA混在のHM-SoCに対するモデルベース並列化設計開発環境の検討 査読有り

    山本 椋太, 小川 真彩高, 生沼 正博, 近藤 真己, 本田 晋也, 枝廣 正人

    DAシンポジウム論文集     頁: 81 - 88   2020年9月

     詳細を見る

    記述言語:日本語   掲載種別:論文集(書籍)内論文  

  87. モデルベース並列化ツールを用いたマルチコアシステム開発フローの提案 査読有り

    生沼 正博, 山本 椋太, 竹内 成樹, 権藤 正樹, 本田 晋也, 近藤 真己, 枝廣 正人

    DAシンポジウム論文集     頁: 73 - 80   2020年9月

     詳細を見る

    記述言語:日本語   掲載種別:論文集(書籍)内論文  

  88. Fast Euclidean Cluster Extraction Using GPUs 査読有り

    Anh Nguyen, Abraham Monrroy Cano, Masato Edahiro, and Shinpei Kato

    Journal of Robotics and Mechatronics   32 巻 ( 3 ) 頁: 548 - 560   2020年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  89. Fast Euclidean Cluster Extraction Using GPUs

    Nguyen Anh, Cano Abraham Monrroy, Edahiro Masato, Kato Shinpei

    Journal of Robotics and Mechatronics   32 巻 ( 3 ) 頁: 548 - 560   2020年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:富士技術出版株式会社  

    <p>Clustering is the task of dividing an input dataset into groups of objects based on their similarity. This process is frequently required in many applications. However, it is computationally expensive when running on traditional CPUs due to the large number of connections and objects the system needs to inspect. In this paper, we investigate the use of NVIDIA graphics processing units and their programming platform CUDA in the acceleration of the Euclidean clustering (EC) process in autonomous driving systems. We propose GPU-accelerated algorithms for the EC problem on point cloud datasets, optimization strategies, and discuss implementation issues of each method. Our experiments show that our solution outperforms the CPU algorithm with speedup rates up to 87X on real-world datasets.</p>

    DOI: 10.20965/jrm.2020.p0548

    CiNii Research

  90. An Open Multi-Sensor Fusion Toolbox for Autonomous Vehicles 査読有り

    A. C. Monrroy, E. Takeuchi, M. Edahiro and S. Kato

    IEICE Transastions on Fundamentals   E103-A 巻 ( 1 ) 頁: 252-264   2020年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: https://doi.org/10.1587/transfun.2019TSP0005

  91. An Open Multi-Sensor Fusion Toolbox for Autonomous Vehicles 査読有り

    A. C. Monrroy, E. Takeuchi, M. Edahiro, S. Kato

    IEICE Transastions on Fundamentals   E103-A 巻 ( 1 ) 頁: 252-264   2020年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  92. Model-based Parallelization for Simulink Models on Multicore CPUs and GPUs 査読有り

    Z. Zhong, M. Edahiro

    International Journal of Computer & Technology   20 巻   頁: 1-13   2020年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  93. Research on highly parallel embedded control system design and implementation method 招待有り

    Masato Edahiro, Masaki Gondo

    Impact   2019 巻 ( 10 ) 頁: 44-46   2019年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  94. Model-based Parallelization for Simulink Models on Multicore CPUs and GPUs 査読有り

    Z. Zhong and M. Edahiro

    Proceedings of International SoC Design Conference (ISOCC 2019)     頁: DAS3-1   2019年10月

     詳細を見る

    記述言語:英語  

  95. Model-based Parallelization for Simulink Models on Multicore CPUs and GPUs 査読有り

    Z. Zhong, M. Edahiro

    Proceedings of International SoC Design Conference (ISOCC 2019)     頁: DAS3-1   2019年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)  

  96. Model-Based Parallelizer for Embedded Control Systems on Single-ISA Heterogeneous Multicore Processors 査読有り

    Z. Zhong, M. Edahiro

    International Journal of Computer & Technology   19 巻   頁: 7470-7484   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  97. Traffic light recognition using high-definition map features 査読有り

    M. Hirabayashi, A. Sujiwo, A. Monrroy, S. Kato, M. Edahiro

    Journal of Robotics and Autonomous Systems   111 巻   頁: 62-72   2019年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: https://doi.org/10.1016/j.robot.2018.10.004

  98. Analysis of Memory System of Tiled Many-core Processors 査読有り

    Y. Liu, S. Kato, M. Edahiro

    IEEE Access     2019年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: https://doi.org/10.1109/ACCESS.2019.2895701

  99. Traffic light recognition using high-definition map features 査読有り

    M. Hirabayashi, A. Sujiwo, A. Monrroy, S. Kato, M. Edahiro

    Journal of Robotics and Autonomous Systems   111 巻   頁: 62-72   2019年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.robot.2018.10.004

  100. Analysis of Memory System of Tiled Many-Core Processors 査読有り

    Liu, Y; Kato, S; Edahiro, M

    IEEE ACCESS   7 巻   頁: 18964 - 18977   2019年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/ACCESS.2019.2895701

    Web of Science

  101. Optimization of the Load Balancing Policy for Tiled Many-Core Processors 査読有り

    Liu, Y; Kato, S; Edahiro, M

    IEEE ACCESS   7 巻   頁: 10176 - 10188   2019年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/ACCESS.2018.2883415

    Web of Science

  102. Optimization of the Load Balancing Policy for Tiled Many-core Processors 査読有り

    Y. Liu, S. Kato, M. Edahiro

    IEEE Access   7 巻   頁: 10176 - 10188   2018年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: https://doi.org/10.1109/ACCESS.2018.2883415

  103. Model-Based Parallelizer for Embedded Control Systems on Single-ISA Heterogeneous Multicore Processors 査読有り

    Z. Zhong, M. Edahiro

    Proceedings of International SoC Design Conference (ISOCC 2018)     頁: DAS2-2   2018年11月

     詳細を見る

    記述言語:英語  

  104. Model-Based Parallelizer for Embedded Control Systems on Single-ISA Heterogeneous Multicore Processors 査読有り

    Z. Zhong, M. Edahiro

    Proceedings of International SoC Design Conference (ISOCC 2018)     頁: DAS2-2   2018年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)  

  105. GPU-Accelerated VoltDB: A Case for Indexed Nested Loop Join 査読有り

    N. Anh, M. Edahiro and S. Kato

    Proceedings of the 2018 International Conference on High Performance Computing &#38; Simulation (HPCS 2018)     頁: 204 - 212   2018年7月

     詳細を見る

    記述言語:英語  

    DOI: https://doi.org/10.1109/HPCS.2018.00046

  106. Remapping Method to Minimize Makespan of Simulink Model for Embedded Multi-core Systems 査読有り

    S. Kojima, M. Edahiro, and T. Azumi

    Proceedings of the 33rd International Conference on Computers and Their Applications (CATA2018)     頁: T-P1-1   2018年3月

     詳細を見る

    記述言語:英語  

  107. Remapping Method to Minimize Makespan of Simulink Model for Embedded Multi-core Systems 査読有り

    S. Kojima, M. Edahiro, T. Azumi

    Proceedings of the 33rd International Conference on Computers and Their Applications (CATA2018)     頁: T-P1-1   2018年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)  

  108. 組込み制御システムに対するマルチコア向けモデルレベル自動並列化手法 査読有り

    鍾兆前,枝廣正人

    情報処理学会論文誌   59 巻 ( 2 ) 頁: 735-747   2018年2月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  109. 組込み制御システムに対するマルチコア向けモデルレベル自動並列化手法 査読有り

    鍾兆前, 枝廣正人

    情報処理学会論文誌   59 巻 ( 2 ) 頁: 735-747   2018年2月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  110. GPU-Accelerated VoltDB: A Case for Indexed Nested Loop Join 査読有り

    Nguyen, A; Edahiro, M; Kato, S

    PROCEEDINGS 2018 INTERNATIONAL CONFERENCE ON HIGH PERFORMANCE COMPUTING & SIMULATION (HPCS)     頁: 204 - 212   2018年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)  

    DOI: 10.1109/HPCS.2018.00046

    Web of Science

    その他リンク: https://dblp.uni-trier.de/db/conf/ieeehpcs/ieeehpcs2018.html#NguyenEK18

  111. Is the Heap Manager Important to Many Cores?

    Ye Liu 0003, Shinpei Kato, Masato Edahiro

        頁: 5 - 6   2018年

     詳細を見る

    掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.1145/3217189.3217194

    その他リンク: https://dblp.uni-trier.de/db/conf/hpdc/ross2018.html#LiuKE18

  112. Localization Based on Multiple Visual-Metric Maps 査読有り

    A. Sujiwo, E. Takeuchi, L. Y. Morales, N. Akai, Y. Ninomiya, M. Edahiro

    Proceedings of International Conference on Multisensor Fusion and Integration for Intelligent Systems (MFI 2017)     頁: 212-219   2017年11月

     詳細を見る

    記述言語:英語  

  113. Localization Based on Multiple Visual-Metric Maps 査読有り

    A. Sujiwo, E. Takeuchi, L. Y. Morales, N. Akai, Y. Ninomiya, M. Edahiro

    Proceedings of International Conference on Multisensor Fusion and Integration for Intelligent Systems (MFI 2017)     頁: 212-219   2017年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)  

  114. モデルベース開発におけるクロスレイヤ設計手法のマルチコア上モータ制御実装への適用 査読有り

    竹松慎弥, 鍾 兆前, 井上雅理, 横山静香, 小島流石, 近藤真己, ECソリューションイノベータ, 中本幸一, 安積卓也, 道木慎二, 本田晋也, 枝廣正人

    組込みシステムシンポジウム(ESS2017)     頁: ポスター(26)   2017年8月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)  

  115. Robust and Accurate Monocular Vision-Based Localization in Outdoor Environments of Real-World Robot Challenge 査読有り

    A. Sujiwo, E. Takeuchi, L. Y. Morales, N. Akai, H. Darweesh, Y. Ninomiya, and M. Edahiro

    Journal of Robotics and Mechatronics   29 巻 ( 4 ) 頁: 685-696   2017年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  116. Robust and accurate monocular vision-based localization in outdoor environments of real-world robot challenge 査読有り

    Adi Sujiwo, Eijiro Takeuchi, Luis Yoichi Morales, Naoki Akai, Hatem Darweesh, Yoshiki Ninomiya, Masato Edahiro

    Journal of Robotics and Mechatronics   29 巻 ( 4 ) 頁: 685 - 696   2017年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Fuji Technology Press  

    This paper describes our approach to perform robust monocular camera metric localization in the dynamic environments of Tsukuba Challenge 2016. We address two issues related to vision-based navigation. First, we improved the coverage by building a custom vocabulary out of the scene and improving upon place recognition routine which is key for global localization. Second, we established possibility of lifelong localization by using previous year’s map. Experimental results show that localization coverage was higher than 90% for six different data sets taken in different years, while localization average errors were under 0.2 m. Finally, the average of coverage for data sets tested with maps taken in different years was of 75%.

    DOI: 10.20965/jrm.2017.p0685

    Scopus

  117. Pure Pursuit Revisited: Field Testing of Autonomous Vehicles in Urban Areas 査読有り

    H. Ohta, N. Akai, E. Takeuchi, S. Kato and M. Edahiro

    Proceedings of the 4th IEEE International Conference on Cyber-Physical Systems, Networks, and Applications (CPSNA'16)     頁: 7-12   2016年10月

     詳細を見る

    記述言語:英語  

  118. GPU-accelerated Index Nested Loop Join on VoltDB 査読有り

    N. Anh, S. Kato and M. Edahiro

    Proceedings of the 4th IEEE International Conference on Cyber-Physical Systems, Networks, and Applications (CPSNA'16)   WIP 巻   頁: 6   2016年10月

     詳細を見る

    記述言語:英語  

  119. A Feature Outlier Identification Method for Multi-object Tracking 査読有り

    A. Monrroy, S. Kato and M. Edahiro

    Proceedings of the 4th IEEE International Conference on Cyber-Physical Systems, Networks, and Applications (CPSNA'16)   WIP 巻   頁: 5   2016年10月

     詳細を見る

    記述言語:英語  

  120. A Feature Outlier Identification Method for Multi-object Tracking 査読有り

    A. Monrroy, S. Kato, M. Edahiro

    Proceedings of the 4th IEEE International Conference on Cyber-Physical Systems, Networks, and Applications (CPSNA'16)   WIP 巻   頁: 5   2016年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)  

  121. GPU-accelerated Index Nested Loop Join on VoltDB 査読有り

    N. Anh, S. Kato, M. Edahiro

    Proceedings of the 4th IEEE International Conference on Cyber-Physical Systems, Networks, and Applications (CPSNA'16)   WIP 巻   頁: 6   2016年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)  

  122. A Scalability Analysis of Many Cores and On-chip Mesh Networks on the TILE-Gx Platform 査読有り

    Y. Liu, H. Sasaki, S. Kato, and M. Edahiro

    Proceedings of IEEE 10th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC-16)     頁: 46-52   2016年9月

     詳細を見る

    記述言語:英語  

  123. Monocular Vision-Based Localization Using ORB-SLAM with LIDAR-Aided Mapping in Real-World Robot Challenge 査読有り

    A. Sujiwo, T. Ando, E. Takeuchi, Y. Ninomiya and M. Edahiro

    Journal of Robotics and Mechatronics   28 巻 ( 4 ) 頁: 479-490   2016年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  124. Monocular Vision-Based Localization Using ORB-SLAM with LIDAR-Aided Mapping in Real-World Robot Challenge 査読有り

    A. Sujiwo, T. Ando, E. Takeuchi, Y. Ninomiya, M. Edahiro

    Journal of Robotics and Mechatronics   28 巻 ( 4 ) 頁: 479-490   2016年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  125. Accelerated Deformable Part Models on GPUs 査読有り

    M. Hirabayashi, S. Kato, M. Edahiro, K. Takeda, and S. Mita

    IEEE Transactions on Parallel & Distributed Systems   27 巻 ( 6 ) 頁: 1589-1602   2016年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  126. Accelerated Deformable Part Models on GPUs 査読有り

    Manato Hirabayashi, Shinpei Kato, Masato Edahiro, Kazuya Takeda, Seiichi Mita

    IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS   27 巻 ( 6 ) 頁: 1589 - 1602   2016年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE COMPUTER SOC  

    Object detection is a fundamental challenge facing intelligent applications. Image processing is a promising approach to this end, but its computational cost is often a significant problem. This paper presents schemes for accelerating the deformable part models (DPM) on graphics processing units (GPUs). DPM is a well-known algorithm for image-based object detection, and it achieves high detection rates at the expense of computational cost. GPUs are massively parallel compute devices designed to accelerate dataparallel compute-intensive workload. According to an analysis of execution times, approximately 98 percent of DPM code exhibits loop processing, which means that DPM could be highly parallelized by GPUs. In this paper, we implement DPM on the GPU by exploiting multiple parallelization schemes. Results of an experimental evaluation of this GPU-accelerated DPM implementation demonstrate that the best scheme of GPU implementations using an NVIDIA GPU achieves a speed up of 8.6x over a naive CPU-based implementation.

    DOI: 10.1109/TPDS.2015.2453962

    Web of Science

  127. A scalability analysis of many cores and on-chip mesh networks on the TILE-Gx platform 査読有り

    Ye Liu, Hiroshi Sasaki, Shinpei Kato, Masato Edahiro

    2016 IEEE 10TH INTERNATIONAL SYMPOSIUM ON EMBEDDED MULTICORE/MANY-CORE SYSTEMS-ON-CHIP (MCSOC)     頁: 46 - 52   2016年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    TILE-Gx processors that have emerged in recent years can be considered as the representative of prevailing manycore processors. The available TILE-Gx processors are featured with directory-based cache coherence protocol, two-dimensional mesh networks and up to 72 on-chip cores. In this paper, we study and analyze problems of performance scalability and network collision of many-core processors using the TILE-Gx36 processor.
    We find that most multi-threaded programs from the PARSEC benchmark suite, which aim at shared-memory on-chip processors, cannot scale well on Linux as the number of cores increases. Meanwhile, applications compiled with Pthreads get affected by the approach of task-to-core assignment. The results also show that current multi-threaded applications do not entirely utilize the hardware resources on TILE-Gx36 processor. Moreover, OS designers might need to pay attention to the memory allocation if memory stripping is not supported. Because huge memory accesses to only one memory controller can burden the twodimensional mesh network. This observation appears if cores access the further memory controllers intensively as well.

    DOI: 10.1109/MCSoC.2016.40

    Web of Science

  128. Parallel Design of Feedback Control Systems Utilizing Dead Time for Embedded Multicore Processors

    Suzuki Yuta, Sata Kota, Kako Jun'ichi, Yamaguchi Kohei, Arakawa Fumio, Edahiro Masato

    IEICE TRANSACTIONS ON ELECTRONICS   E99.C 巻 ( 4 ) 頁: 491 - 502   2016年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人 電子情報通信学会  

    This paper presents a parallelization method utilizing dead time to implement higher precision feedback control systems in multicore processors. The feedback control system is known to be difficult to parallelize, and it is difficult to deal with the dead time in control systems. In our method, the dead time is explicitly represented as delay elements. Then, these delay elements are distributed to the overall systems with equivalent transformation so that the system can be simulated or executed in parallel pipeline operation. In addition, we introduce a method of delay-element addition for parallelization. For a spring-mass-damper model with a dead time, parallel execution of the model using our technique achieves 3.4 times performance acceleration compared with its sequential execution on an ideal four-core simulation and 1.8 times on a cycle-accurate simulator of a four-core embedded processor as a threaded application on a real-time operating system.

    DOI: 10.1587/transele.e99.c.491

    Web of Science

    CiNii Research

  129. Pure Pursuit Revisited: Field Testing of Autonomous Vehicles in Urban Areas 査読有り

    Hiroki Ohta, Naoki Akai, Eijiro Takeuchi, Shinpei Kato, Masato Edahiro

    PROCEEDINGS OF 2016 IEEE 4TH INTERNATIONAL CONFERENCE ON CYBER-PHYSICAL SYSTEMS, NETWORKS, AND APPLICATIONS (CPSNA)     頁: 7 - 12   2016年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    In this paper, we aim to explore path following. We implement a path following component by referring to the existing Pure Pursuit algorithm. Using the simulation and field operational test, we identified the problem in the path following component. The main problems identified were with respect to vehicles meandering off the path, turning a corner, and the instability of steering control. Therefore, we apply some modifications to the Pure Pursuit[1] algorithm. We have also conducted the simulation and field operational tests again to evaluate these modifications.

    DOI: 10.1109/CPSNA.2016.10

    Web of Science

  130. Simulinkモデルからのブロックレベル並列化 査読有り

    山口 滉平,竹松 慎弥,池田 良裕,李 瑞徳,鍾 兆前,近藤 真己(NEC情報システムズ),枝廣 正人

    組込みシステムシンポジウム (ESS2015)     頁: ポスター(21)   2015年10月

     詳細を見る

    記述言語:日本語  

  131. Simulinkモデルからのブロックレベル並列化 査読有り

    山口 滉平, 竹松 慎弥, 池田 良裕, 李 瑞徳, 鍾 兆前, 近藤 真己, C情報システムズ, 枝廣 正人

    組込みシステムシンポジウム (ESS2015)     頁: ポスター(21)   2015年10月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)  

  132. System-level design method for control systems with hardware-implemented interrupt handler 査読有り

    Yuki Ando, Shinya Honda, Hiroaki Takada, Masato Edahiro

    Journal of Information Processing   23 巻 ( 5 ) 頁: 532 - 541   2015年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Information Processing Society of Japan  

    In this paper, we propose a system-level design method for control systems that enables the development of Hardware-implemented interrupt handler. The increasing complexity of control systems has led to a rise in the frequency of interrupts. As a result, the processor load increases, leading to a deterioration in the latency of interrupt processing. To solve these problems, we require dedicated hardware that is activated by an interrupt and can directly access devices during its processing. The proposed method enables control systems with the above dedicated hardware to be developed using a model that abstracts an interrupt, interrupt processing, and communication between the control processing and devices. We have developed a system-level design tool which automatically generates the target implementation from the model. Case studies on a motor control system show that the proposed method reduces the processor load, improves the latency of the interrupt processing, and enables the design space exploration for the control system.

    DOI: 10.2197/ipsjjip.23.532

    Scopus

  133. System-level Design Method for Control Systems with Hardware-implemented Interrupt Handler 査読有り

    Y. Ando, S. Honda, H. Takada and M. Edahiro

    Journal of Information Processing   23 巻 ( 5 ) 頁: 532-541   2015年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  134. HexaCam: An FPGA-based Multi-view Camera System 査読有り

    A. Monrroy, M. Hirabayashi, S. Kato, M. Edahiro, T. Miyoshi and S. Funada

    Proceedings of the 3rd IEEE International Conference on Cyber-Physical Systems, Networks, and Applications (CPSNA'15)     頁: 48-53   2015年8月

     詳細を見る

    記述言語:英語  

  135. Real-Time Visualization of Moving Objects 査読有り

    P. Ortal, S. Kato, and M. Edahiro

    Proceedings of the 3rd IEEE International Conference on Cyber-Physical Systems, Networks, and Applications (CPSNA'15)     頁: 60-65   2015年8月

     詳細を見る

    記述言語:英語  

  136. Automatic synthesis of inter-heterogeneous-processor communication for programmable system-on-chip 査読有り

    Y. Ando, Y. Ishida, S. Honda, H. Takada and M. Edahiro

    IPSJ Transactions on System LSI Design Methodology   8 巻   頁: 95-99   2015年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  137. Automatic synthesis of inter-heterogeneous-processor communication for programmable system-on-chip 査読有り

    Y. Ando, Y. Ishida, S. Honda, H. Takada, M. Edahiro

    IPSJ Transactions on System LSI Design Methodology   8 巻   頁: 95-99   2015年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  138. 階層構造を持つメニーコアアーキテクチャへのタスクマッピング 査読有り

    油谷 創, 枝廣 正人

    情報処理学会論文誌   56 巻 ( 8 ) 頁: 1568-1581   2015年8月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  139. 階層構造を持つメニーコアアーキテクチャへのタスクマッピング 査読有り

    油谷 創, 枝廣 正人

    情報処理学会論文誌   56 巻 ( 8 ) 頁: 1568-1581   2015年8月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  140. Design Space Exploration of Control System with Hardware-implemented Interrupt Handler 査読有り

    Y. Ando, S. Honda, H. Takada, M. Edahiro

    Proceedings of International Conference on Information and Communication Technology for Embedded Systems (IC-ICTES)     頁: 1-6   2015年3月

     詳細を見る

    記述言語:英語  

  141. Implementation and evaluation of AES/ADPCM on STP and FPGA with High-level Synthesis 査読有り

    Y. Ando, Y. Ishida, S. Honda, H. Takada, M. Edahiro

    Proceedings of Workshop on Synthesis and System Integration of Mixed Information Technologies (SASIMI)     頁: 415-420   2015年3月

     詳細を見る

    記述言語:英語  

  142. Profiler for Control System in System Level Design 査読有り

    T-D Miaw, Y. Ando, S. Honda, H. Takada, M. Edahiro

    Proceedings of Workshop on Synthesis and System Integration of Mixed Information Technologies (SASIMI)     頁: 46-51   2015年3月

     詳細を見る

    記述言語:英語  

  143. Implementation and evaluation of AES/ADPCM on STP and FPGA with High-level Synthesis 査読有り

    Y. Ando, Y. Ishida, S. Honda, H. Takada, M. Edahiro

    Proceedings of Workshop on Synthesis and System Integration of Mixed Information Technologies (SASIMI)     頁: 415-420   2015年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)  

  144. Profiler for Control System in System Level Design 査読有り

    T-D Miaw, Y. Ando, S. Honda, H. Takada, M. Edahiro

    Proceedings of Workshop on Synthesis and System Integration of Mixed Information Technologies (SASIMI)     頁: 46-51   2015年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)  

  145. Automatic synthesis of inter-heterogeneous-processor communication implementation for programmable system-on-chip 査読有り

    Y. Ando, Y. Ishida, S. Honda, H. Takada, M. Edahiro

    Proceeding. of IEEE International Conference on VLSI Systems, Architecture, Technology and Applications     頁: 1-6   2015年1月

     詳細を見る

    記述言語:英語  

  146. Automatic synthesis of inter-heterogeneous-processor communication implementation for programmable system-on-chip 査読有り

    Y. Ando, Y. Ishida, S. Honda, H. Takada, M. Edahiro

    Proceeding. of IEEE International Conference on VLSI Systems, Architecture, Technology and Applications     頁: 1-6   2015年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)  

  147. Design Space Exploration of Control System with Hardware-implemented Interrupt Handler 査読有り

    Yuki Ando, Shinya Honda, Hiroaki Takada, Masato Edahiro

    2015 6TH INTERNATIONAL CONFERENCE OF INFORMATION AND COMMUNICATION TECHNOLOGY FOR EMBEDDED SYSTEMS (IC-ICTES)     頁: 1-6   2015年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    In this paper, we propose a system-level design tool for control systems that enables the development of hardware-implemented interrupt handler. The increasing complexity of control systems has led to a rise in the frequency of interrupts. As a result, the processor load increases, leading to deterioration in the latency of interrupt processing. To solve these problems, we require dedicated hardware that is activated by an interrupt and can directly access devices during its processing. The proposed method enables control systems with above dedicated hardware to be developed using a model that abstracts an interrupt, interrupt processing, and communication between the control processing and devices. Case studies on a motor control system show that the proposed method enables the designer to explore design space of control system, reduces the processor load and improves the latency of the interrupt processing.

    Web of Science

  148. HexaCam: An FPGA-based Multi-view Camera System 査読有り

    Abraham Monrroy, Manato Hirabayashi, Shinpei Kato, Masato Edahiro, Takefumi Miyoshi, Satoshi Funada

    2015 IEEE 3RD INTERNATIONAL CONFERENCE ON CYBER-PHYSICAL SYSTEMS, NETWORKS, AND APPLICATIONS CPSNA 2015     頁: 48 - 53   2015年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Accurate perception information of the surroundings is a desirable feature in any cyber-physical system for autonomous robotics. Besides, providing a cost-wise solution to this need, is also useful for developing other kinds of applications such as mapping, decision-making, self-localization, among others. In this work, we present and benchmark a cost-effective camera device with six image sensors attached to a custom built FPGA board. The perception information is delivered on the host side, thanks to a HOG based object detector executed on the GPU. Our conclusion is that the low cost prototype is able to acquire and extract the perception data in 9.41 frames per second.

    DOI: 10.1109/CPSNA.2015.18

    Web of Science

  149. Real-Time Visualization of Moving Objects 査読有り

    Patricia Ortal, Shinpei Kato, Masato Edahiro

    2015 IEEE 3RD INTERNATIONAL CONFERENCE ON CYBER-PHYSICAL SYSTEMS, NETWORKS, AND APPLICATIONS CPSNA 2015     頁: 60 - 65   2015年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    The development of visualization tools that help us assimilate the information that surrounds us is essential in business environments and most research fields. Data visualization is a powerful tool that lets us recognize patterns and trends easily, allows us to extract sensible information faster, stimulates hypothesis generation, and helps us make effective decisions. This paper describes the design and implementation of a web application that displays moving objects in real-time using Google Maps. This web application was done as part of an integrated system that addresses issues related to the automated driver assistance field. Moreover, this work seeks to be a reference framework that will provide visual support for researchers in the area of mobility looking to analyze moving object trajectories, evaluate prediction models, or explain their results.

    DOI: 10.1109/CPSNA.2015.20

    Web of Science

  150. 制御システムのマルチ・メニーコアプロセッサ実装 招待有り

    枝廣 正人

    計測と制御   53 巻 ( 12 ) 頁: 1111-1116   2014年12月

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語  

  151. 制御システムのマルチ・メニーコアプロセッサ実装 招待有り

    枝廣 正人

    計測と制御   53 巻 ( 12 ) 頁: 1111-1116   2014年12月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  152. Exploring the Problem of GPU Programming for Data-Intensive Applications: A Case Study of Multiple Expectation Maximization for Motif Elicitation 査読有り

    Y. Kitsukawa, M. Hirabayashi, S. Kato, and M. Edahiro

    Proceedings of the fifth symposium on Information and Communication Technology (SoICT2014)     頁: 256-262   2014年12月

     詳細を見る

    記述言語:英語  

  153. Exploring the problem of GPU programming for data-intensive applications: A case study of multiple expectation maximization for motif elicitation 査読有り

    Yuki Kitsukawa, Manato Hirabayashi, Shinpei Kato, Masato Edahiro

    ACM International Conference Proceeding Series   04-05- 巻   頁: 256 - 262   2014年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:Association for Computing Machinery  

    Recently General-Purpose Computing on Graphics Processing Units (GPGPU) has been used to reduce the processing time of various applications, but the degree of acceleration by the Graphical Processing Unit (GPU) depends on the application. This study focuses on data analysis as an application example of GPGPU, specifically, the design and implementation of GPGPU computation libraries for data-intensive workloads. The effects of efficient memory allocation and high-speed read-only memories on the execution time are evaluated. In addition to employing a single GPU, the scalability using multiple GPUs is also evaluated. Compared to a Central Processing Unit (CPU) alone, the memory allocation method reduces the execution time for memory copies by approximately 60% when a GPU is used, while utilizing read-only memories results in an approximately 20% reduction in the overall program execution time. Moreover, expanding the number of GPUs from one to four reduces the execution time by approximately 10%.

    DOI: 10.1145/2676585.2676616

    Scopus

  154. Simple One-to-one Architecture for Parallel Execution of Embedded Control Systems 査読有り

    R. Nakamura, F. Arakawa, and M. Edahiro

    Proceedings of the 2nd IEEE International Conference on Cyber-Physical Systems, Networks, and Applications (CPSNA'14)     頁: 25-30   2014年8月

     詳細を見る

    記述言語:英語  

  155. GPU-accelerated Point Cloud Mapping for Autonomous Driving 査読有り

    Y. Kitsukawa, S. Kato, and M. Edahiro

    Proceedings of the 2nd IEEE International Conference on Cyber-Physical Systems, Networks, and Applications (CPSNA'14)     頁: WiP Session   2014年8月

     詳細を見る

    記述言語:英語  

  156. Evaluation of GNSS for Autonomous Driving 査読有り

    H. Ohta, S. Kato, and M. Edahiro

    Proceedings of the 2nd IEEE International Conference on Cyber-Physical Systems, Networks, and Applications (CPSNA'14)     頁: WiP Session   2014年8月

     詳細を見る

    記述言語:英語  

  157. Evaluation of GNSS for Autonomous Driving 査読有り

    H. Ohta, S. Kato, M. Edahiro

    Proceedings of the 2nd IEEE International Conference on Cyber-Physical Systems, Networks, and Applications (CPSNA'14)     頁: WiP Session   2014年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)  

  158. GPU-accelerated Point Cloud Mapping for Autonomous Driving 査読有り

    Y. Kitsukawa, S. Kato, M. Edahiro

    Proceedings of the 2nd IEEE International Conference on Cyber-Physical Systems, Networks, and Applications (CPSNA'14)     頁: WiP Session   2014年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)  

  159. Simple One-to-one Architecture for Parallel Execution of Embedded Control Systems 査読有り

    R. Nakamura, F. Arakawa, M. Edahiro

    Proceedings of the 2nd IEEE International Conference on Cyber-Physical Systems, Networks, and Applications (CPSNA'14)     頁: 25-30   2014年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)  

  160. Parallel Design of Control Systems Utilizing Dead Time for Embedded Multicore Processors 査読有り

    Y. Suzuki, K. Sata (Toyota), J. Kako (Toyota), K. Yamaguchi, F. Arakawa, and M. Edahiro

    Proceedings of COOL Chips XVII     頁: VI-2   2014年4月

     詳細を見る

    記述言語:英語  

  161. Power and Performance Characterization and Modeling of GPU-accelerated Systems 査読有り

    Y. Abe, H. Sasaki, S. Kato, K. Inoue, M. Edahiro, and M. Peres

    Proceedings of the 28th IEEE International Parallel and Distributed Processing Symposium (IPDPS'14)     頁: 113-122   2014年3月

     詳細を見る

    記述言語:英語  

  162. Establishing a standard interface between multi-manycore and software tools - SHIM 査読有り

    Masaki Gondo, Fumio Arakawa, Masato Edahiro

    2014 IEEE COOL CHIPS XVII     頁: VI-1   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    The multi core processors are becoming norm and a processor with even more than a hundred of cores are emerging. These inherently require wide range of software tools to help software developers. However, supporting these complex hardware by the tools require significant effort by the tool vendors, and each invest in adapting the new hardware by modifying their tools or creating proprietary configuration files, while often the similar set of hardware architectural information are needed. The SHIM, Software-Hardware Interface for Multi-many-core, is a joint industrial and academic effort to standardize the interface between the multicore hardware and the software tools. This extended abstract introduces SHIM, the overall architecture, the schema used, the use-cases, and a prototype tool to foster the adaption of the interface.

    Web of Science

  163. Parallel Design of Control Systems Utilizing Dead Time for Embedded Multicore Processors 査読有り

    Yuta Suzuki, Kota Sata, Junichi Kako, Kohei Yamaguchi, Fumio Arakawa, Masato Edahiro

    2014 IEEE COOL CHIPS XVII   E99-C 巻 ( 4 ) 頁: 491-502   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    This paper presents a parallelization method utilizing dead time to implement higher precision control systems on multi-core processors. It is known that dead time is hard to handle with in control systems. In our method, the dead time is explicitly represented as delay blocks of models such as Simulink. Then, these delay blocks are distributed to the overall systems with equivalent transformation, so that the system can be simulated or executed in pipeline parallel. With a spring-mass-damper model, our technique accomplishes x3.4 performance acceleration on an ideal four-core simulation, and x1.8 on cycle-accurate simulator of a four-core embedded processor as a threaded application on a real time operating system.

    Web of Science

  164. Power and Performance Characterization and Modeling of GPU-Accelerated Systems 査読有り

    Yuki Abe, Koji Inoue, Hiroshi Sasaki, Masato Edahiro, Shinpei Kato, Martin Peres

    2014 IEEE 28TH INTERNATIONAL PARALLEL AND DISTRIBUTED PROCESSING SYMPOSIUM     頁: 113-122   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Graphics processing units (GPUs) provide an order-of-magnitude improvement on peak performance and performance-per-watt as compared to traditional multicore CPUs. However, GPU-accelerated systems currently lack a generalized method of power and performance prediction, which prevents system designers from an ultimate goal of dynamic power and performance optimization. This is due to the fact that their power and performance characteristics are not well captured across architectures, and as a result, existing power and performance modeling approaches are only available for a limited range of particular GPUs. In this paper, we present power and performance characterization and modeling of GPU-accelerated systems across multiple generations of architectures. Characterization and modeling both play a vital role in optimization and prediction of GPU-accelerated systems. We quantify the impact of voltage and frequency scaling on each architecture with a particularly intriguing result that a cutting-edge Kepler-based GPU achieves energy saving of 75% by lowering GPU clocks in the best scenario, while Fermi-and Tesla-based GPUs achieve no greater than 40% and 13%, respectively. Considering these characteristics, we provide statistical power and performance modeling of GPU-accelerated systems simplified enough to be applicable for multiple generations of architectures. One of our findings is that even simplified statistical models are able to predict power and performance of cutting-edge GPUs within errors of 20% to 30% for any set of voltage and frequency pair.

    DOI: 10.1109/IPDPS.2014.23

    Web of Science

  165. Parallel Design of Control Systems Utilizing Dead Time for Embedded Multicore Processors 査読有り

    Yuta Suzuki, Kota Sata, Junichi Kako, Kohei Yamaguchi, Fumio Arakawa, Masato Edahiro

    2014 IEEE COOL CHIPS XVII     頁: VI-2   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    This paper presents a parallelization method utilizing dead time to implement higher precision control systems on multi-core processors. It is known that dead time is hard to handle with in control systems. In our method, the dead time is explicitly represented as delay blocks of models such as Simulink. Then, these delay blocks are distributed to the overall systems with equivalent transformation, so that the system can be simulated or executed in pipeline parallel. With a spring-mass-damper model, our technique accomplishes x3.4 performance acceleration on an ideal four-core simulation, and x1.8 on cycle-accurate simulator of a four-core embedded processor as a threaded application on a real time operating system.

    Web of Science

  166. Data Transfer Matters for GPU Computing 査読有り

    Y. Fujii, T. Azumi, N. Nishio, and S. Kato, and M. Edahiro

    Proceedings of the 19th IEEE International Conference on Parallel and Distributed Systems (ICPADS'13)     頁: 275 - 282   2013年12月

     詳細を見る

    記述言語:英語  

  167. Rainbow: An Operating System for Software-Hardware Multitasking on Dynamically Partially Reconfigurable FPGAs 査読有り

    Krzysztof Jozwik, Shinya Honda, Masato Edahiro, Hiroyuki Tomiyama, and Hiroaki Takada

    International Journal of Reconfigurable Computing   2013 巻   頁: ID: 789134   2013年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  168. Rainbow: An Operating System for Software-Hardware Multitasking on Dynamically Partially Reconfigurable FPGAs 査読有り

    Krzysztof Jozwik, Shinya Honda, Masato Edahiro, Hiroyuki Tomiyama, Hiroaki Takada

    International Journal of Reconfigurable Computing   2013 巻   頁: ID: 789134   2013年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  169. GPU Implementations of Object Detection using HOG Features and Deformable Models 査読有り

    M. Hirabayashi, S. Kato, M. Edahiro, K. Takeda, T. Kawano, and S. Mita

    Proceedings of the 1st IEEE International Conference on Cyber-Physical Systems, Networks, and Applications (CPSNA'13)     頁: 106-111   2013年8月

     詳細を見る

    記述言語:英語  

  170. Data Transfer Matters for GPU Computing 査読有り

    Yusuke Fujii, Takuya Azumi, Nobuhiko Nishio, Shinpei Kato, Masato Edahiro

    2013 19TH IEEE INTERNATIONAL CONFERENCE ON PARALLEL AND DISTRIBUTED SYSTEMS (ICPADS 2013)     頁: 275 - 282   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Graphics processing units (GPUs) embrace many-core compute devices where massively parallel compute threads are offloaded from CPUs. This heterogeneous nature of GPU computing raises non-trivial data transfer problems especially against latency-critical real-time systems. However even the basic characteristics of data transfers associated with GPU computing are not well studied in the literature. In this paper, we investigate and characterize currently-achievable data transfer methods of cutting-edge GPU technology. We implement these methods using open-source software to compare their performance and latency for real-world systems. Our experimental results show that the hardware-assisted direct memory access (DMA) and the I/O read-and-write access methods are usually the most effective, while on-chip microcontrollers inside the GPU are useful in terms of reducing the data transfer latency for concurrent multiple data streams. We also disclose that CPU priorities can protect the performance of GPU data transfers.

    DOI: 10.1109/ICPADS.2013.47

    Web of Science

  171. GPU implementations of object detection using HOG features and deformable models 査読有り

    Manato Hirabayashi, Shinpei Kato, Masato Edahiro, Kazuya Takeda, Taiki Kawano, Seiichi Mita

    2013 IEEE 1st International Conference on Cyber-Physical Systems, Networks, and Applications, CPSNA 2013     頁: 106 - 111   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE Computer Society  

    Vision-based object detection using camera sensors is an essential piece of perception for autonomous vehicles. Various combinations of features and models can be applied to increase the quality and the speed of object detection. A well-known approach uses histograms of oriented gradients (HOG) with deformable models to detect a car in an image [15]. A major challenge of this approach can be found in computational cost introducing a real-time constraint relevant to the real world. In this paper, we present an implementation technique using graphics processing units (GPUs) to accelerate computations of scoring similarity of the input image and the pre-defined models. Our implementation considers the entire program structure as well as the specific algorithm for practical use. We apply the presented technique to the real-world vehicle detection program and demonstrate that our implementation using commodity GPUs can achieve speedups of 3x to 5x in frame-rate over sequential and multithreaded implementations using traditional CPUs. © 2013 IEEE.

    DOI: 10.1109/CPSNA.2013.6614255

    Scopus

  172. Evaluation of power consumption at execution of multiple automatically parallelized and power controlled media applications on the RP2 low-power multicore 査読有り

    Hiroki Mikami, Shumpei Kitaki, Masayoshi Mase, Akihiro Hayashi, Mamoru Shimaoka, Keiji Kimura, Masato Edahiro, Hironori Kasahara

    Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)   7146 巻   頁: 31 - 45   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    This paper evaluates an automatic power reduction scheme of OSCAR automatic parallelizing compiler having power reduction control capability when multiple media applications parallelized by the OSCAR compiler are executed simultaneously on RP2, a 8-core multicore processor developed by Renesas Electronics, Hitachi, and Waseda University. OSCAR compiler enables the hierarchical multigrain parallel processing and power reduction control using DVFS (Dynamic Voltage and Frequency Scaling), clock gating and power gating for each processor core using the OSCAR multi-platform API. The RP2 has eight SH4A processor cores, each of which has power control mechanisms such as DVFS, clock gating and power gating. First, multiple applications with relatively light computational load are executed simultaneously on the RP2. The average power consumption of power controlled eight AAC encoder programs, each of which was executed on one processor, was reduced by 47%, (to 1.01W), against one AAC encoder execution on one processor (from 1.89W) without power control. Second, when multiple intermediate computational load applications are executed, the power consumptions of an AAC encoder executed on four processors with the power reduction control was reduced by 57% (to 0.84W) against an AAC encoder execution on one processor (from 1.95W). Power consumptions of one MPEG2 decoder on four processors with power reduction control was reduced by 49% (to 1.01W) against one MPEG2 decoder execution on one processor (from 1.99W). Finally, when a combination of a high computational load application program and an intermediate computational load application program are executed simultaneously, the consumed power reduced by 21% by using twice number of cores for each application. This paper confirmed parallel processing and power reduction by OSCAR compiler are efficient for multiple application executions. In execution of multiple light computational load applications, power consumption increases only 12% for one application. Parallel processing being applied to intermediate computational load applications, power consumption of executing one application on one processor core (1.49W) is almost same power consumption of two applications on eight processor cores (1.46W). © 2013 Springer-Verlag.

    DOI: 10.1007/978-3-642-36036-7_3

    Scopus

  173. Efficient Algorithms for Extracting Pareto-optimal Hardware Configurations in DEPS Framework 査読有り

    Hirotaka Kawashima, Gang Zeng, Hideki Takase, Masato Edahiro and Hiroaki Takada

    IPSJ Transactions on System LSI Design Methodology.   5 巻   頁: 133-142   2012年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  174. Comparison of Preemption Schemes for Partially Reconfigurable FPGAs 査読有り

    Krzysztof Jozwik, Hiroyuki Tomiyama, Masato Edahiro, Shinya Honda, Hiroaki Takada

    IEEE Embedded Systems Letters   4 巻 ( 2 ) 頁: 45-48   2012年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  175. Calculating Average Joint Hamming Weight for Minimal Weight Conversion of d Integers 査読有り

    V. Suppakitpaisarn, M. Edahiro and H. Imai

    WALCOM: Algorithms and Computation, Springer, 2012, Lecture Notes in Computer Science   7157 巻   頁: 229-240   2012年2月

     詳細を見る

    記述言語:英語  

  176. Fast Elliptic Curve Cryptography Using Minimal Weight Conversion of d Integers 査読有り

    V. Suppakitpaisarn, M. Edahiro and H. Imai

    Proceedings of the Tenth Australasian Information Security Conference (AISC 2012), ACS, 2012, Conferences in Research and Practice in Information Technology   125 巻   頁: 15-26   2012年1月

     詳細を見る

    記述言語:英語  

  177. Fast Elliptic Curve Cryptography Using Minimal Weight Conversion of d Integers 査読有り

    V. Suppakitpaisarn, M. Edahiro, H. Imai

    Proceedings of the Tenth Australasian Information Security Conference (AISC 2012), ACS, 2012, Conferences in Research and Practice in Information Technology   125 巻   頁: 15-26   2012年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)  

  178. Fast Elliptic Curve Cryptography Using Optimal Double-Base Chains 査読有り

    V. Suppakitpaisarn, M. Edahiro and H. Imai

    Proceedings of the International Conference on Informatics & Applications (ICIA2012)     頁: 190-204   2012年

     詳細を見る

    記述言語:英語  

  179. Fastest Multi-Scalar Multiplication Based on Double-Base Chain 査読有り

    V. Suppakitpaisarn, M. Edahiro and H. Imai

    World Congress on Internet Security (WorldCIS-2012)     頁: 93-98   2012年

     詳細を見る

    記述言語:英語  

  180. Optimal Elliptic Curve Cryptography Using Fibonacci Sequence 査読有り

    V. Suppakitpaisarn, M. Edahiro and H. Imai

    Proceedings of the 5th Thailand-Japan International Academic Conference (TJIA2012)     頁: 未登録   2012年

     詳細を見る

    記述言語:英語  

  181. Optimal Elliptic Curve Scalar Multiplication Using Double-Base Chains 査読有り

    V. Suppakitpaisarn, M. Edahiro and H. Imai

    International Journal of Digital Information and Wireless Communications (IJDIWC)   2 巻 ( 1 ) 頁: 923-942   2012年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  182. Toward GPU-accelerated Traffic Simulation and Its Real-Time Challenge 査読有り

    Manato Hirabayashi, Shinpei Kato, Masato Edahiro and Yuki Sugiyama

    International Workshop on Real-Time and Distributed Computing in Emerging Applications (REACTION2012)     頁: 1-6   2012年

     詳細を見る

    記述言語:英語  

  183. Calculating average joint hamming weight for minimal weight conversion of d integers 査読有り

    Vorapong Suppakitpaisarn, Masato Edahiro, Hiroshi Imai

    Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)   7157 巻   頁: 229 - 240   2012年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    In this paper, we propose an algorithm to calculate the efficiency of number representations in elliptic curve cryptography, average joint Hamming weight. The method uses Markov chains generated from a minimal weight conversion algorithm of d integers using the minimal weight conversion. With redundant representations using digit sets like {0, ±1}, it is possible to reduce computation time of the cryptosystem. Although larger digit sets make the computation time shorter, it requires longer preprocessing time. Therefore, the average joint Hamming weight is useful to evaluate digit sets. The Markov chains to find the average joint Hamming weight are derived automatically from the conversions. However, the number of states in these Markov chains is generally infinite. In [8], we propose an algorithm to reduce the number of states, but it is still unclear which representations the method can be applied for. In this paper, the finiteness of Markov chain with the existence of a stationary distribution is proven in a class of representation whose digit set D S be a finite set such that there exists a natural number Λ where D S ⊆ {0, ±1, ..., ±Λ} and {0,±1, ±Λ} ⊆ D S. The class covers most of the representation practically used in elliptic curve cryptography such as the representation which digit set are {0, ±1} and {0, ±1, ±3}. © 2012 Springer-Verlag.

    DOI: 10.1007/978-3-642-28076-4_23

    Scopus

  184. Fastest Multi-Scalar Multiplication Based on Double-Base Chain 査読有り

    V. Suppakitpaisarn, M. Edahiro, H. Imai

    World Congress on Internet Security (WorldCIS-2012)     頁: 93-98   2012年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)  

  185. Fast Elliptic Curve Cryptography Using Optimal Double-Base Chains 査読有り

    V. Suppakitpaisarn, M. Edahiro, H. Imai

    Proceedings of the International Conference on Informatics & Applications (ICIA2012)     頁: 190-204   2012年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)  

  186. Efficient algorithms for extracting pareto-optimal hardware configurations in DEPS framework 査読有り

    Hirotaka Kawashima, Gang Zeng, Hideki Takase, Masato Edahiro, Hiroaki Takada

    IPSJ Transactions on System LSI Design Methodology   5 巻   頁: 133 - 142   2012年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    A dynamic energy performance scaling (DEPS) framework has been proposed as a generalization of dynamic voltage frequency scaling (DVFS). The DEPS framework selects an energy-optimal hardware configuration at runtime. To reduce runtime overhead, Pareto-optimal combinations of hardware configurations should be provided via DEPS profiling during the design phase. The challenge of DEPS profiling lies in extracting the Pareto-optimal combinations efficiently from the exponential search space. We propose two exact algorithms to reduce the number of calculations in DEPS profiling. These algorithms can be used with common search algorithms. We also propose a heuristic algorithm for searching Pareto-optimal configurations efficiently. Extensive experiments are performed, and they demonstrate that the proposed algorithms can complete DEPS profiling within a reasonable amount of time and generate optimal DEPS profiles. It is believed that the proposed algorithms will enable easy application of the DEPS framework in practice. © 2012 Information Processing Society of Japan.

    DOI: 10.2197/ipsjtsldm.5.133

    Scopus

  187. Comparison of preemption schemes for partially reconfigurable FPGAs 査読有り

    Krzysztof Jozwik, Hiroyuki Tomiyama, Masato Edahiro, Shinya Honda, Hiroaki Takada

    IEEE Embedded Systems Letters   4 巻 ( 2 ) 頁: 45 - 48   2012年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Preemption techniques for hardware (HW) tasks have been studied in order to improve system responsiveness at the task level and improve utilization of the FPGA area. This letter presents a fair comparison of existing state-of-the-art preemption approaches from the point of view of their capabilities and limitations as well as impact on static and dynamic properties of the task. In comparison, we use a set of cryptographic, image, and audio processing HW tasks and perform tests on a common platform based on a Virtex-4 FPGA from Xilinx. Furthermore, we propose the preemption as a method which can effectively increase FPGA utilization in case of HW tasks used as CPU accelerators in systems with memory protection and virtualization. © 2012 IEEE.

    DOI: 10.1109/LES.2012.2193660

    Scopus

  188. Optimal Elliptic Curve Cryptography Using Fibonacci Sequence 査読有り

    V. Suppakitpaisarn, M. Edahiro, H. Imai

    Proceedings of the 5th Thailand-Japan International Academic Conference (TJIA2012)     頁: 未登録   2012年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)  

  189. Toward GPU-accelerated Traffic Simulation and Its Real-Time Challenge 査読有り

    Manato Hirabayashi, Shinpei Kato, Masato Edahiro, Yuki Sugiyama

    International Workshop on Real-Time and Distributed Computing in Emerging Applications (REACTION2012)     頁: 1-6   2012年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)  

  190. Optimal Elliptic Curve Scalar Multiplication Using Double-Base Chains 査読有り

    V. Suppakitpaisarn, M. Edahiro, H. Imai

    International Journal of Digital Information and Wireless Communications (IJDIWC)   2 巻 ( 1 ) 頁: 923-942   2012年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  191. Rainbow - An OS Extension for Hardware Multitasking on Dynamically Partially Reconfigurable FPGAs 査読有り

    Krzysztof Jozwik, Hiroyuki Tomiyama, Masato Edahiro, Shinya Honda and Hiroaki Takada

    IEEE International Conference on ReConFigurable Computing and FPGAs (ReConFig)     頁: 416-421   2011年11月

     詳細を見る

    記述言語:英語  

  192. Evaluation of Power Consumption at Execution of Multiple Automatically Parallelized and Power Controlled Media Applications on the RP2 Low-power Multicore 査読有り

    Hiroki Mikami, Shumpei Kitaki, Masayoshi Mase, Akihiro Hayashi, Mamoru Shimaoka, Keiji Kimura, Masato Edahiro, and Hironori Kasahara

    The 24th International Workshop on Languages and Compilers for Parallel Computing (LCPC 2011)     頁: Session 1   2011年9月

     詳細を見る

    記述言語:英語  

  193. Rainbow: An OS extension for hardware multitasking on dynamically partially reconfigurable FPGAs 査読有り

    Krzysztof Jozwik, Hiroyuki Tomiyama, Masato Edahiro, Shinya Honda, Hiroaki Takada

    Proceedings - 2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011     頁: 416 - 421   2011年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    DPR (Dynamic Partial Reconfiguration) capability found in some of modern FPGAs allows implementation of a concept of a HW (Hardware) task, which similarly to its software counterpart has its state and shares time-multiplexed resources with the other tasks. While the new technology presents many advantages for embedded systems where run-time adaptability is an additional requirement, their efficient and easily portable implementations require a control software or an OS which would manage all the complexities of the underlying technology, providing an abstracted interface for the application programmer. This paper presents a novel and robust hardware multitasking extension for a conventional OS, managing task scheduling and configurations, and providing easy-to-use API (Application Programming Interface) for the application programmer. Scheduling is priority-based and takes advantage of task caching. Moreover, the extension is based on a developed design flow and embedded hardware platform allowing efficient task preemption, which can be utilized whenever it presents any benefits to the application. © 2011 IEEE.

    DOI: 10.1109/ReConFig.2011.73

    Scopus

  194. A Robust Seamless Communication Architecture for Next-Generation Mobile Terminals on Multi-CPU SoCs 査読有り

    Hiroaki Inoue, Junji Sakai, Masato Edahiro

    ACM TRANSACTIONS ON EMBEDDED COMPUTING SYSTEMS   9 巻 ( 3 )   2010年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:ASSOC COMPUTING MACHINERY  

    We propose a robust seamless communication architecture that enables legacy mobile terminal software designed for single-CPU processors to be run on multi-CPU processors without any software modifications. This architecture features two new technologies: proxy processes, which help achieve the design of its user-level system-call hooking and a robust design method, which reduces bandwidth variation by systematic parameter optimization. Our evaluations confirmed that this architecture achieves fundamental features with satisfactory performance, that we have succeeded in getting actual mobile terminal software to run on three CPUs without modifying the software, and that the robust design method reduces bandwidth variation by 21%.

    DOI: 10.1145/1698772.1698777

    Web of Science

  195. Parallelizing Fundamental Algorithms such as Sorting on Multi-core Processors for EDA Acceleration 査読有り

    Masato Edahiro

    PROCEEDINGS OF THE ASP-DAC 2009: ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE 2009     頁: 230 - 233   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Fundamental algorithms should be parallelized to accelerate EDA software on multi-core architecture. In this paper, we introduce scalable algorithms that have scalability on multi-cores. As an example, a sorting algorithm, called Map Sort, is presented. This algorithm uses a map from subsets of input data to intervals on data range. Experimental results show that, in comparison with quick sort on a single CPU, processing time of Map Sort is comparable on a CPU and three times faster on four CPUs.

    Web of Science

  196. FIDES: An Advanced Chip Multiprocessor Platform for Secure Next Generation Mobile Terminals 査読有り

    Hiroaki Inoue, Junji Sakai, Sunao Torii, Masato Edahiro

    ACM TRANSACTIONS ON EMBEDDED COMPUTING SYSTEMS   8 巻 ( 1 )   2008年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:ASSOC COMPUTING MACHINERY  

    We propose a secure platform on a chip multiprocessor, FIDES, in order to enable next generation mobile terminals to execute downloaded native applications for Linux. Its most important feature is the higher security based on multigrained separation mechanisms. Four new technologies support the FIDES platform: bus filter logic, XIP kernels, policy separation, and dynamic access control. With these technologies, the FIDES platform can tolerate both application-level and kernel-level bugs on an actual download subsystem. Thus, the best-suited platform to secure next generation mobile terminals is FIDES.

    DOI: 10.1145/1457246.1457247

    Web of Science

  197. MULTITASKING PARALLEL METHOD FOR HIGH-END EMBEDDED APPLIANCES 査読有り

    Junji Sakai, Inoue Hiroaki, Sunao Torii, Masato Edahiro

    IEEE MICRO   28 巻 ( 5 ) 頁: 54 - 62   2008年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE COMPUTER SOC  

    EMBEDDED APPLIANCES SUCH AS HIGH-END CELL PHONES REQUIRE NOT ONLY HIGH PERFORMANCE BUT ALSO A PERFORMANCE GUARANTEE. THE AUTHORS DEMONSTRATE A PERFORMANCE GUARANTEE FRAMEWORK USING AN ASYMMETRIC MULTIPROCESSING APPROACH. THEY IMPLEMENTED THE PROPOSED METHOD ON A MULTICORE PROCESSOR USING LINUX. EVALUATION RESULTS SHOW THAT THE METHOD IMPROVES THE PERFORMANCE GUARANTEE WHILE MAINTAINING SOFTWARE COMPATIBILITY.

    Web of Science

  198. Processor virtualization for secure mobile terminals 査読有り

    Hiroaki Inoue, Junji Sakai, Masato Edahiro

    ACM TRANSACTIONS ON DESIGN AUTOMATION OF ELECTRONIC SYSTEMS   13 巻 ( 3 )   2008年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:ASSOC COMPUTING MACHINERY  

    We propose a processor virtualization architecture, VIRTUS, to provide a dedicated domain for preinstalled applications and virtualized domains for downloaded native applications. With it, security-oriented next-generation mobile terminals can provide any number of domains for native applications. VIRTUS features three new technologies, namely, VMM asymmetrization, dynamic interdomain communication (IDC), and virtualization-assist logic, and it is first in the world to virtualize an ARM-based multiprocessor. Evaluations have shown that VMM asymmetrization results in significantly less performance degradation and LOC increase than do other VMMs. Further, dynamic IDC overhead is low enough, and virtualization-assist logic can be implemented in a sufficiently small area.

    DOI: 10.1145/1367045.1367057

    Web of Science

  199. Towards scalable and secure execution platform for embedded systems 査読有り

    Junji Sakai, Hiroaki Inoue, Masato Edahiro

    PROCEEDINGS OF THE ASP-DAC 2007     頁: 350 - +   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Reliability of embedded systems can be enhanced by multicore and partitioning approaches. Physical partitioning based on ANW multicore achieves runtime stability of multiple applications in a system and prevents the whole system shutdown as well even when a malicious code creeps in. Combined with logical partitioning by processor virtualization and SMP technologies, the multicore architecture could realize more flexible and more scalable platform for future embedded systems.

    Web of Science

  200. VIRTUS: A new processor virtualization architecture for security-oriented next-generation mobile terminals 査読有り

    Hiroaki Inoue, Akihisa Ikeno, Masaki Kondo, Junji Sakai, Masato Edahiro

    43RD DESIGN AUTOMATION CONFERENCE, PROCEEDINGS 2006     頁: 484 - +   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:ASSOC COMPUTING MACHINERY  

    We propose a new processor virtualization architecture, VIRTUS, to provide a dedicated domain for pre-installed applications and virtualized domains for downloaded native applications. With it security-oriented next-generation mobile terminals can provide any number of domains for native applications. VIRTUS features three new technologies: VMM asymmetrization, dynamic inter-domain communication and virtualization-assist logic, and it is first in the world to virtualize an ARM-based multiprocessor.

    Web of Science

  201. FIDES: An advanced chip multiprocessor platform for secure next generation mobile terminals 査読有り

    Hiroaki, I, A Ikeno, M Kondo, J Sakai, M Edahiro

    2005 INTERNATIONAL CONFERENCE ON HARDWARE/SOFTWARE CODESIGN AND SYSTEM SYNTHESIS     頁: 178 - 183   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:ASSOC COMPUTING MACHINERY  

    We propose a secure platform on a chip multiprocessor, known as FIDES, in order to enable next generation mobile terminals to execute downloaded native applications for Linux. Its most important feature is the higher security based on multi-grained separation mechanisms: coarse-grained processor-level separation of the basic-function domain from other domains for such downloaded applications, medium-grained OS-level separation, and fine-grained process-level separation within SELinux. Four new technologies, which include three enhancements to SELinux, support the FIDES platform: 1) bus filter logic for processor-level separation can be implemented as a small logic, 2) XIP kernels for memory-efficient OS-level separation can reduce memory requirements by 182%, 3) policy separation for enhanced process-level separation can apply policies 2.1 times faster at system boot-up, and 4) dynamic access control can provide secure Inter-Domain Communications (IDCs) with an overhead of only 4% for IDC system calls. We implemented SELinuxes on an ARM-based multiprocessor. Therefore, the best-suited platform to secure next generation mobile terminals is the FIDES platform, which can provide higher security as well as higher performance and lower power consumption on chip multiprocessors leading the current technology trend of microprocessors.

    Web of Science

  202. A new LSI Performance Prediction Model for interconnection analysis of future LSIs 査読有り

    S Takahashi, M Edahiro, Y Hayashi

    PROCEEDINGS OF THE ASP-DAC '98 - ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE 1998 WITH EDA TECHNO FAIR '98     頁: 51 - 56   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    As the interconnection delays control the LSI performance, the LSI performance estimation at higher design level becomes more difficult. In this paper a new LSI performance model for the estimation is described, which is made up by adopting a new clack-skew model to the SUSPENS (Stanford University System Performance Simulator) model. Using the model, it is cleared that a specific block size, where the line delay overcomes the block cycle time, becomes shorter as the LSI generation proceeds.

    Web of Science

  203. AN EFFICIENT ZERO-SKEW ROUTING ALGORITHM 査読有り

    M EDAHIRO

    31ST DESIGN AUTOMATION CONFERENCE - PROCEEDINGS 1994     頁: 375 - 380   1994年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:ASSOC COMPUTING MACHINERY  

    Web of Science

  204. DCT/IDCT PROCESSOR FOR HDTV DEVELOPED WITH DSP SILICON COMPILER 査読有り

    T MIYAZAKI, T NISHITANI, M EDAHIRO, ONO, I, K MITSUHASHI

    JOURNAL OF VLSI SIGNAL PROCESSING   5 巻 ( 2-3 ) 頁: 151 - 158   1993年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:KLUWER ACADEMIC PUBL  

    This article presents a discrete cosine transform (DCI) processor for high definition television (HDTV) by using an extended version of DSP Silicon Compiler. The extension is mainly concerned with module generation functions.A matrix-vector product module composed of multiply-accumulators (MACs) is newly added to the silicon compiler. The compiler accomplishes placement of leaf-cells and routing between the cells, referring to a prototype layout for the MAC. The prototype, which consists of a Booth multiplier and a carry look ahead adder, is carefully designed to attain high operation speed. The processor developed by the silicon compiler carries out 8 x 8 DCT and its inverse transform (IDCT). In order to evaluate the newly extended functions in the compiler, the architecture employed for the processor is based on the matrix-vector product method. By using DSP Silicon Compiler and 0.8 mum triple metal CMOS technology, the DCT processor is easily implemented with error-free environment and achieves a 50MHz data rate, which meets Japanese HDTV base line signal processing. The chip is implemented on a 12.80 x 12.57 mm2 area.

    Web of Science

  205. A CLUSTERING-BASED OPTIMIZATION ALGORITHM IN ZERO-SKEW ROUTINGS 査読有り

    M EDAHIRO

    30TH DESIGN AUTOMATION CONFERENCE : PROCEEDINGS 1993     頁: 612 - 616   1993年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:ASSOC COMPUTING MACHINERY  

    Web of Science

  206. DELAY MINIMIZATION FOR ZERO-SKEW ROUTING 査読有り

    M EDAHIRO

    1993 IEEE/ACM INTERNATIONAL CONFERENCE ON COMPUTER-AIDED DESIGN - DIGEST OF TECHNICAL PAPERS     頁: 563 - 566   1993年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:I E E E, COMPUTER SOC PRESS  

    Web of Science

  207. A BUCKETING ALGORITHM FOR THE ORTHOGONAL SEGMENT INTERSECTION SEARCH PROBLEM AND ITS PRACTICAL EFFICIENCY 査読有り

    M EDAHIRO, K TANAKA, T HOSHINO, T ASANO

    ALGORITHMICA   4 巻 ( 1 ) 頁: 61 - 76   1989年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:SPRINGER VERLAG  

    DOI: 10.1007/BF01553879

    Web of Science

  208. Practical use of Bucketing Techniques in Computational Geometry 査読有り

    Takao Asano, Masato Edahiro, Hiroshi Imai, Masao Iri, Kazuo Murota

    Machine Intelligence and Pattern Recognition   2 巻 ( C ) 頁: 153 - 195   1985年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Techniques for using “buckets” to improve the efficiency of several computational-geometrical algorithms are described, together with examples illustrating the practical importance of the bucketing techniques. Specifically, they are applied to the problems of minimum-weight perfect matchings in the plane, two-dimensional Voronoi diagrams, point location and range search in the plane, and shortest paths in networks. © 1985, Elsevier Inc. All rights reserved.

    DOI: 10.1016/B978-0-444-87806-9.50011-6

    Scopus

  209. A new pointlocation algorithm and its practical efficiency-comparison with existing algorithms

    EDAHIRO M.

    ACM Trans. Graphics   3 巻   頁: 86 - 109   1984年

     詳細を見る

▼全件表示

書籍等出版物 16

  1. 組込みプロセッサ技術

    枝廣 正人, 黒田 一朗, 情報処理学会組込みシステム研究会

    CQ出版  2015年  ( ISBN:9784789852227

     詳細を見る

    記述言語:日本語

    CiNii Books

  2. 組込みプロセッサ技術

    枝廣 正人, 黒田 一朗, 情報処理学会組込みシステム研究会

    CQ出版  2009年  ( ISBN:9784789845496

     詳細を見る

    記述言語:日本語

    CiNii Books

  3. 知識ベース「知識の森」(10群3編「システムオンチップ技術」編とりまとめ)

    電子情報通信学会( 担当: 共著)

    電子情報通信学会  2010年 

     詳細を見る

    記述言語:日本語

  4. マルチコアハンドブック応用編(第4-2章「開発環境」担当)

    JEITAマイクロプロセッサ専門委員会( 担当: 共著)

    JEITA  2010年 

     詳細を見る

    記述言語:日本語

  5. マルチコアハンドブック応用編(第4-2章「開発環境」担当)

    JEITAマイクロプロセッサ専門委員会( 担当: 共著)

    JEITA  2010年 

     詳細を見る

    担当ページ:(第4-2章「開発環境」を担当)   記述言語:日本語

  6. 知識ベース「知識の森」(10群3編「システムオンチップ技術」編とりまとめ)

    電子情報通信学会( 担当: 共著)

    電子情報通信学会  2010年 

     詳細を見る

    担当ページ:(10群3編「システムオンチップ技術」編のとりまとめと一部執筆を担当)   記述言語:日本語

  7. 組込みプロセッサ技術

    枝廣 正人, 黒田 一朗( 担当: 共著)

    CQ出版社「組込みシステム基礎技術全集 vol. 2」  2009年3月  ( ISBN:978-4-7898-4549-6

     詳細を見る

    記述言語:日本語

  8. 組込みプロセッサ技術

    枝廣 正人, 黒田 一朗( 担当: 共著)

    CQ出版社「組込みシステム基礎技術全集 vol. 2」  2009年3月  ( ISBN:9784789845496

     詳細を見る

    担当ページ:(第8章の執筆を除く全体を担当)   記述言語:日本語

  9. 組込みシステム概論(第4章「ハードウェア要素技術」担当)

    戸川 望, 高田 広章, 枝廣 正人, 沢田 篤史, 清水 徹, 中島 達夫, 平山 雅之( 担当: 共著)

    CQ出版社「組込みシステム基礎技術全集 vol. 1」  2008年3月  ( ISBN:978-4-7898-4550-2

     詳細を見る

    記述言語:日本語

  10. 組込みシステム概論(第4章「ハードウェア要素技術」担当)

    戸川 望, 高田 広章, 枝廣 正人, 沢田 篤史, 清水 徹, 中島 達夫, 平山 雅之( 担当: 共著)

    CQ出版社「組込みシステム基礎技術全集 vol. 1」  2008年3月  ( ISBN:9784789845502

     詳細を見る

    担当ページ:(第4章「ハードウェア要素技術」を担当)   記述言語:日本語

  11. 情報システムハンドブック(「幾何学的アルゴリズム」項目担当)

    培風館( 担当: 共著)

    培風館  2000年 

     詳細を見る

    記述言語:日本語

  12. 情報システムハンドブック(「幾何学的アルゴリズム」項目担当)

    培風館( 担当: 共著)

    培風館  2000年 

     詳細を見る

    担当ページ:(「幾何学的アルゴリズム」の項目を担当)   記述言語:日本語

  13. 新編OR事典(「VLSIマルチスキャンチェイン最適化アルゴリズム」項目担当)

    日本オペレーションズ・リサーチ学会( 担当: 共著)

    日本オペレーションズ・リサーチ学会  1989年 

     詳細を見る

    記述言語:日本語

  14. 新編OR事典(「VLSIマルチスキャンチェイン最適化アルゴリズム」項目担当)

    日本オペレーションズ, リサーチ学会( 担当: 共著)

    日本オペレーションズ・リサーチ学会  1989年 

     詳細を見る

    担当ページ:(「VLSIマルチスキャンチェイン最適化アルゴリズム」の項目を担当)   記述言語:日本語

  15. Practical Use of Bucketing Techniques in Computational Geometry, Computational Geometry (G. T. Toussaint, ed.)

    T. Asano, M. Edahiro, H. Imai, M. Iri, K. Murota( 担当: 共著)

    North-Holland  1985年 

     詳細を見る

    記述言語:英語

  16. Practical Use of Bucketing Techniques in Computational Geometry, Computational Geometry (G. T. Toussaint, ed.)

    T. Asano, M. Edahiro, H. Imai, M. Iri, K. Murota( 担当: 共著)

    North-Holland  1985年 

     詳細を見る

    担当ページ:153-195   記述言語:英語

▼全件表示

MISC 67

  1. 複雑化するSoC上での制御設計を支援するSHIM標準化活動 招待有り

    枝廣 正人、権藤 正樹  

    システム/制御/情報66 巻 ( 1 ) 頁: 21 - 26   2022年1月

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語   掲載種別:記事・総説・解説・論説等(学術雑誌)  

  2. Optimization of the Load Balancing Policy for Tiled Many-core Processors 査読有り

    Y. Liu, S. Kato, M. Edahiro  

    IEEE Access   2018年12月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

  3. Model-Based Parallelizer for Embedded Control Systems on Single-ISA Heterogeneous Multicore Processors 査読有り

    Z. Zhong, M. Edahiro  

    Proceedings of International SoC Design Conference (ISOCC 2018)   頁: DAS2-2   2018年11月

     詳細を見る

    記述言語:英語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

  4. Traffic light recognition using high-definition map features 査読有り

    M. Hirabayashi, A. Sujiwo, A. Monrroy, S. Kato, M. Edahiro  

    Journal of Robotics and Autonomous Systems111 巻   頁: 62 - 72   2018年11月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

    DOI: 10.1016/j.robot.2018.10.004

  5. 組込み制御システムに対するマルチコア向けモデルレベル自動並列化手法 査読有り

    鍾兆前, 枝廣正人  

    情報処理学会論文誌59 巻 ( 2 ) 頁: 735-747   2018年2月

     詳細を見る

    記述言語:日本語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

  6. Localization Based on Multiple Visual-Metric Maps 査読有り

    A. Sujiwo, E. Takeuchi, L. Y. Morales, N. Akai, Y. Ninomiya, M. Edahiro  

    Proceedings of International Conference on Multisensor Fusion and Integration for Intelligent Systems (MFI 2017)   頁: 212-219   2017年11月

     詳細を見る

    記述言語:英語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

  7. Relational Joins on GPUs: A Closer Look

    Hideyuki Kawashima, Masato Edahiro, Shinpei Kato, Anh Nguyen, Makoto Yabuta  

    IEEE Transactions on Parallel and Distributed Systems28 巻 ( 9 ) 頁: 2663 - 2673   2017年9月

     詳細を見る

    記述言語:英語   出版者・発行元:IEEE  

  8. モデルベース開発におけるクロスレイヤ設計手法のマルチコア上モータ制御実装への適用 査読有り

    竹松慎弥, 鍾 兆前, 井上雅理, 横山静香, 小島流石, 近藤真己, ECソリューションイノベータ, 中本幸一, 安積卓也, 道木慎二, 本田晋也, 枝廣正人  

    組込みシステムシンポジウム(ESS2017)   頁: ポスター(26)   2017年8月

     詳細を見る

    記述言語:日本語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

  9. Robust and accurate monocular vision-based localization in outdoor environments of real-world robot challenge 査読有り

    Adi Sujiwo, Eijiro Takeuchi, Luis Yoichi Morales, Naoki Akai, Hatem Darweesh, Yoshiki Ninomiya, Masato Edahiro  

    Journal of Robotics and Mechatronics29 巻 ( 4 ) 頁: 685 - 696   2017年8月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:Fuji Technology Press  

    This paper describes our approach to perform robust monocular camera metric localization in the dynamic environments of Tsukuba Challenge 2016. We address two issues related to vision-based navigation. First, we improved the coverage by building a custom vocabulary out of the scene and improving upon place recognition routine which is key for global localization. Second, we established possibility of lifelong localization by using previous year’s map. Experimental results show that localization coverage was higher than 90% for six different data sets taken in different years, while localization average errors were under 0.2 m. Finally, the average of coverage for data sets tested with maps taken in different years was of 75%.

    DOI: 10.20965/jrm.2017.p0685

    Scopus

  10. モデルベース並列化(MBP)におけるマルチレートモデルの車載RTOS向けランタイムとコード生成 (ディペンダブルコンピューティング) -- (組込み技術とネットワークに関するワークショップETNET2017)

    中野 友貴, 本田 晋也, 枝廣 正人, 鈴木 均  

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報116 巻 ( 511 ) 頁: 21 - 26   2017年3月

     詳細を見る

    記述言語:日本語   出版者・発行元:電子情報通信学会  

  11. モデル解析によるマルチレートSimulinkモデルの性能向上 (コンピュータシステム) -- (組込み技術とネットワークに関するワークショップETNET2017)

    池田 良裕, 鈴木 均, 枝廣 正人  

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報116 巻 ( 510 ) 頁: 267 - 272   2017年3月

     詳細を見る

    記述言語:日本語   出版者・発行元:電子情報通信学会  

  12. モデルベース開発におけるデータ並列化に関する検討 (コンピュータシステム) -- (組込み技術とネットワークに関するワークショップETNET2017)

    竹松 慎弥, 枝廣 正人  

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報116 巻 ( 510 ) 頁: 263 - 266   2017年3月

     詳細を見る

    記述言語:日本語   出版者・発行元:電子情報通信学会  

  13. A Feature Outlier Identification Method for Multi-object Tracking 査読有り

    A. Monrroy, S. Kato, M. Edahiro  

    Proceedings of the 4th IEEE International Conference on Cyber-Physical Systems, Networks, and Applications (CPSNA'16)WIP 巻   頁: 5   2016年10月

     詳細を見る

    記述言語:英語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

  14. GPU-accelerated Index Nested Loop Join on VoltDB 査読有り

    N. Anh, S. Kato, M. Edahiro  

    Proceedings of the 4th IEEE International Conference on Cyber-Physical Systems, Networks, and Applications (CPSNA'16)WIP 巻   頁: 6   2016年10月

     詳細を見る

    記述言語:英語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

  15. Monocular Vision-Based Localization Using ORB-SLAM with LIDAR-Aided Mapping in Real-World Robot Challenge 査読有り

    A. Sujiwo, T. Ando, E. Takeuchi, Y. Ninomiya, M. Edahiro  

    Journal of Robotics and Mechatronics28 巻 ( 4 ) 頁: 479-490   2016年8月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

  16. Accelerated Deformable Part Models on GPUs 査読有り

    Manato Hirabayashi, Shinpei Kato, Masato Edahiro, Kazuya Takeda, Seiichi Mita  

    IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS27 巻 ( 6 ) 頁: 1589 - 1602   2016年6月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:IEEE COMPUTER SOC  

    Object detection is a fundamental challenge facing intelligent applications. Image processing is a promising approach to this end, but its computational cost is often a significant problem. This paper presents schemes for accelerating the deformable part models (DPM) on graphics processing units (GPUs). DPM is a well-known algorithm for image-based object detection, and it achieves high detection rates at the expense of computational cost. GPUs are massively parallel compute devices designed to accelerate dataparallel compute-intensive workload. According to an analysis of execution times, approximately 98 percent of DPM code exhibits loop processing, which means that DPM could be highly parallelized by GPUs. In this paper, we implement DPM on the GPU by exploiting multiple parallelization schemes. Results of an experimental evaluation of this GPU-accelerated DPM implementation demonstrate that the best scheme of GPU implementations using an NVIDIA GPU achieves a speed up of 8.6x over a naive CPU-based implementation.

    DOI: 10.1109/TPDS.2015.2453962

    Web of Science

  17. A scalability analysis of many cores and on-chip mesh networks on the TILE-Gx platform 査読有り

    Ye Liu, Hiroshi Sasaki, Shinpei Kato, Masato Edahiro  

    2016 IEEE 10TH INTERNATIONAL SYMPOSIUM ON EMBEDDED MULTICORE/MANY-CORE SYSTEMS-ON-CHIP (MCSOC)   頁: 46 - 52   2016年

     詳細を見る

    記述言語:英語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)   出版者・発行元:IEEE  

    TILE-Gx processors that have emerged in recent years can be considered as the representative of prevailing manycore processors. The available TILE-Gx processors are featured with directory-based cache coherence protocol, two-dimensional mesh networks and up to 72 on-chip cores. In this paper, we study and analyze problems of performance scalability and network collision of many-core processors using the TILE-Gx36 processor.
    We find that most multi-threaded programs from the PARSEC benchmark suite, which aim at shared-memory on-chip processors, cannot scale well on Linux as the number of cores increases. Meanwhile, applications compiled with Pthreads get affected by the approach of task-to-core assignment. The results also show that current multi-threaded applications do not entirely utilize the hardware resources on TILE-Gx36 processor. Moreover, OS designers might need to pay attention to the memory allocation if memory stripping is not supported. Because huge memory accesses to only one memory controller can burden the twodimensional mesh network. This observation appears if cores access the further memory controllers intensively as well.

    DOI: 10.1109/MCSoC.2016.40

    Web of Science

  18. Pure Pursuit Revisited: Field Testing of Autonomous Vehicles in Urban Areas 査読有り

    Hiroki Ohta, Naoki Akai, Eijiro Takeuchi, Shinpei Kato, Masato Edahiro  

    PROCEEDINGS OF 2016 IEEE 4TH INTERNATIONAL CONFERENCE ON CYBER-PHYSICAL SYSTEMS, NETWORKS, AND APPLICATIONS (CPSNA)   頁: 7 - 12   2016年

     詳細を見る

    記述言語:英語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)   出版者・発行元:IEEE  

    In this paper, we aim to explore path following. We implement a path following component by referring to the existing Pure Pursuit algorithm. Using the simulation and field operational test, we identified the problem in the path following component. The main problems identified were with respect to vehicles meandering off the path, turning a corner, and the instability of steering control. Therefore, we apply some modifications to the Pure Pursuit[1] algorithm. We have also conducted the simulation and field operational tests again to evaluate these modifications.

    DOI: 10.1109/CPSNA.2016.10

    Web of Science

  19. Simulinkモデルからのブロックレベル並列化 査読有り

    山口 滉平, 竹松 慎弥, 池田 良裕, 李 瑞徳, 鍾 兆前, 近藤 真己, C情報システムズ, 枝廣 正人  

    組込みシステムシンポジウム (ESS2015)   頁: ポスター(21)   2015年10月

     詳細を見る

    記述言語:日本語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

  20. System-level design method for control systems with hardware-implemented interrupt handler 査読有り

    Yuki Ando, Shinya Honda, Hiroaki Takada, Masato Edahiro  

    Journal of Information Processing23 巻 ( 5 ) 頁: 532 - 541   2015年9月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:Information Processing Society of Japan  

    In this paper, we propose a system-level design method for control systems that enables the development of Hardware-implemented interrupt handler. The increasing complexity of control systems has led to a rise in the frequency of interrupts. As a result, the processor load increases, leading to a deterioration in the latency of interrupt processing. To solve these problems, we require dedicated hardware that is activated by an interrupt and can directly access devices during its processing. The proposed method enables control systems with the above dedicated hardware to be developed using a model that abstracts an interrupt, interrupt processing, and communication between the control processing and devices. We have developed a system-level design tool which automatically generates the target implementation from the model. Case studies on a motor control system show that the proposed method reduces the processor load, improves the latency of the interrupt processing, and enables the design space exploration for the control system.

    DOI: 10.2197/ipsjjip.23.532

    Scopus

  21. 階層構造を持つメニーコアアーキテクチャへのタスクマッピング 査読有り

    油谷 創, 枝廣 正人  

    情報処理学会論文誌56 巻 ( 8 ) 頁: 1568-1581   2015年8月

     詳細を見る

    記述言語:日本語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

  22. Implementation and evaluation of AES/ADPCM on STP and FPGA with High-level Synthesis 査読有り

    Y. Ando, Y. Ishida, S. Honda, H. Takada, M. Edahiro  

    Proceedings of Workshop on Synthesis and System Integration of Mixed Information Technologies (SASIMI)   頁: 415-420   2015年3月

     詳細を見る

    記述言語:英語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

  23. Profiler for Control System in System Level Design 査読有り

    T-D Miaw, Y. Ando, S. Honda, H. Takada, M. Edahiro  

    Proceedings of Workshop on Synthesis and System Integration of Mixed Information Technologies (SASIMI)   頁: 46-51   2015年3月

     詳細を見る

    記述言語:英語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

  24. Automatic synthesis of inter-heterogeneous-processor communication implementation for programmable system-on-chip 査読有り

    Yuki Ando, Yukihito Ishida, Shinya Honda, Hiroaki Takada, Masato Edahiro  

    2015 INTERNATIONAL CONFERENCE ON VLSI SYSTEMS, ARCHITECTURE, TECHNOLOGY AND APPLICATIONS (VLSI-SATA)   頁: 1-6   2015年

     詳細を見る

    記述言語:英語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)   出版者・発行元:IEEE  

    This paper introduces an automatic synthesis technique and tool to implement inter-heterogeneous-processor communication for programmable system-on-chips (PSoCs). PSoCs have an ARM-based hard processor system connected to an FPGA fabric by the high-bandwidth interconnect. By implementing the soft processors in the FPGA fabric, PSoCs realize heterogeneous multiprocessors. Since the number and type of soft processors are configurable, PSoCs can realize various heterogeneous multiprocessors. However, the inter-heterogeneous-processor communications are not supported by operating systems running on different types of processors. The problem is the cost to design and implement such communications. In order to overcome the problem and increase the design efficiency, we propose an automatic synthesis of inter-heterogeneous-processor communications from a general model description. The inter-heterogeneous-processor communications are realized using a shared memory and inter-processor interrupts. The case study shows that automatically generated inter-heterogeneous-processor communication exactly runs on the system with heterogeneous multiprocessors.

    Web of Science

  25. Real-Time Visualization of Moving Objects 査読有り

    Patricia Ortal, Shinpei Kato, Masato Edahiro  

    2015 IEEE 3RD INTERNATIONAL CONFERENCE ON CYBER-PHYSICAL SYSTEMS, NETWORKS, AND APPLICATIONS CPSNA 2015   頁: 60 - 65   2015年

     詳細を見る

    記述言語:英語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)   出版者・発行元:IEEE  

    The development of visualization tools that help us assimilate the information that surrounds us is essential in business environments and most research fields. Data visualization is a powerful tool that lets us recognize patterns and trends easily, allows us to extract sensible information faster, stimulates hypothesis generation, and helps us make effective decisions. This paper describes the design and implementation of a web application that displays moving objects in real-time using Google Maps. This web application was done as part of an integrated system that addresses issues related to the automated driver assistance field. Moreover, this work seeks to be a reference framework that will provide visual support for researchers in the area of mobility looking to analyze moving object trajectories, evaluate prediction models, or explain their results.

    DOI: 10.1109/CPSNA.2015.20

    Web of Science

  26. HexaCam: An FPGA-based Multi-view Camera System 査読有り

    Abraham Monrroy, Manato Hirabayashi, Shinpei Kato, Masato Edahiro, Takefumi Miyoshi, Satoshi Funada  

    2015 IEEE 3RD INTERNATIONAL CONFERENCE ON CYBER-PHYSICAL SYSTEMS, NETWORKS, AND APPLICATIONS CPSNA 2015   頁: 48 - 53   2015年

     詳細を見る

    記述言語:英語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)   出版者・発行元:IEEE  

    Accurate perception information of the surroundings is a desirable feature in any cyber-physical system for autonomous robotics. Besides, providing a cost-wise solution to this need, is also useful for developing other kinds of applications such as mapping, decision-making, self-localization, among others. In this work, we present and benchmark a cost-effective camera device with six image sensors attached to a custom built FPGA board. The perception information is delivered on the host side, thanks to a HOG based object detector executed on the GPU. Our conclusion is that the low cost prototype is able to acquire and extract the perception data in 9.41 frames per second.

    DOI: 10.1109/CPSNA.2015.18

    Web of Science

  27. Design Space Exploration of Control System with Hardware-implemented Interrupt Handler 査読有り

    Yuki Ando, Shinya Honda, Hiroaki Takada, Masato Edahiro  

    2015 6TH INTERNATIONAL CONFERENCE OF INFORMATION AND COMMUNICATION TECHNOLOGY FOR EMBEDDED SYSTEMS (IC-ICTES)   頁: 1-6   2015年

     詳細を見る

    記述言語:英語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)   出版者・発行元:IEEE  

    In this paper, we propose a system-level design tool for control systems that enables the development of hardware-implemented interrupt handler. The increasing complexity of control systems has led to a rise in the frequency of interrupts. As a result, the processor load increases, leading to deterioration in the latency of interrupt processing. To solve these problems, we require dedicated hardware that is activated by an interrupt and can directly access devices during its processing. The proposed method enables control systems with above dedicated hardware to be developed using a model that abstracts an interrupt, interrupt processing, and communication between the control processing and devices. Case studies on a motor control system show that the proposed method enables the designer to explore design space of control system, reduces the processor load and improves the latency of the interrupt processing.

    Web of Science

  28. Automatic synthesis of inter-heterogeneous-processor communication implementation for programmable system-on-chip 査読有り

    Yuki Ando, Yukihito Ishida, Shinya Honda, Hiroaki Takada, Masato Edahiro  

    2015 INTERNATIONAL CONFERENCE ON VLSI SYSTEMS, ARCHITECTURE, TECHNOLOGY AND APPLICATIONS (VLSI-SATA)8 巻   頁: 95-99   2015年

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:IEEE  

    This paper introduces an automatic synthesis technique and tool to implement inter-heterogeneous-processor communication for programmable system-on-chips (PSoCs). PSoCs have an ARM-based hard processor system connected to an FPGA fabric by the high-bandwidth interconnect. By implementing the soft processors in the FPGA fabric, PSoCs realize heterogeneous multiprocessors. Since the number and type of soft processors are configurable, PSoCs can realize various heterogeneous multiprocessors. However, the inter-heterogeneous-processor communications are not supported by operating systems running on different types of processors. The problem is the cost to design and implement such communications. In order to overcome the problem and increase the design efficiency, we propose an automatic synthesis of inter-heterogeneous-processor communications from a general model description. The inter-heterogeneous-processor communications are realized using a shared memory and inter-processor interrupts. The case study shows that automatically generated inter-heterogeneous-processor communication exactly runs on the system with heterogeneous multiprocessors.

    Web of Science

  29. 制御システムのマルチ・メニーコアプロセッサ実装 招待有り

    枝廣 正人  

    計測と制御53 巻 ( 12 ) 頁: 1111-1116   2014年12月

     詳細を見る

    記述言語:日本語   掲載種別:記事・総説・解説・論説等(学術雑誌)  

  30. Exploring the problem of GPU programming for data-intensive applications: A case study of multiple expectation maximization for motif elicitation 査読有り

    Yuki Kitsukawa, Manato Hirabayashi, Shinpei Kato, Masato Edahiro  

    ACM International Conference Proceeding Series04-05- 巻   頁: 256 - 262   2014年12月

     詳細を見る

    記述言語:英語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)   出版者・発行元:Association for Computing Machinery  

    Recently General-Purpose Computing on Graphics Processing Units (GPGPU) has been used to reduce the processing time of various applications, but the degree of acceleration by the Graphical Processing Unit (GPU) depends on the application. This study focuses on data analysis as an application example of GPGPU, specifically, the design and implementation of GPGPU computation libraries for data-intensive workloads. The effects of efficient memory allocation and high-speed read-only memories on the execution time are evaluated. In addition to employing a single GPU, the scalability using multiple GPUs is also evaluated. Compared to a Central Processing Unit (CPU) alone, the memory allocation method reduces the execution time for memory copies by approximately 60% when a GPU is used, while utilizing read-only memories results in an approximately 20% reduction in the overall program execution time. Moreover, expanding the number of GPUs from one to four reduces the execution time by approximately 10%.

    DOI: 10.1145/2676585.2676616

    Scopus

  31. システムレベル設計における制御システム向けプロファイル機構 (VLSI設計技術) -- (デザインガイア2014 : VLSI設計の新しい大地)

    繆 同徳, 安藤 友樹, 本田 晋也, 高田 広章, 枝廣 正人  

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報114 巻 ( 328 ) 頁: 75 - 80   2014年11月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    本論文ではシステムレベル設計における制御システム向けのプロファイル機構を述べる.制御システムを設計する際,センサーやアクチュエータから非同期で通知される割込みと,割込みにより優先的に処理を開始する割込み処理を考慮する必要がある.但し,既存のプロファイル機構は割込みの関連情報を取得できない.本論文では,制御システムの開発を支援するために,割込みの関連情報を取得可能なプロファイル手法を提案する.提案手法を設計事例に適用し,効果を評価した.

    CiNii Books

  32. 割込みハンドラのハードウェア化を実現するシステムレベル設計手法 (VLSI設計技術) -- (デザインガイア2014 : VLSI設計の新しい大地)

    安藤 友樹, 本田 晋也, 高田 広章, 枝廣 正人  

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報114 巻 ( 328 ) 頁: 69 - 74   2014年11月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    本論文は,割込みで駆動する専用HWを設計可能な,制御システム向けのシステムレベル設計ツールについて述べる.制御システムは複雑化,処理の高度化が進み,それに伴い割込み処理の頻度が増加したことで,プロセッサ負荷の増加,消費電力の増加,割込み処理レイテンシの悪化といった問題が生じている.これらの問題を解決するため,割込みにより処理を開始し,処理中はセンサや入出力ハードウェアといったデバイスへ直接アクセスする専用ハードウェアが求められている.提案手法は,処理とデバイス間の通信,割込み,割込み処理を抽象化した制御システムモデルから,割込みで駆動するハードウェアを含む制御システムを設計可能である.モータ制御システムを対象とした評価実験により,提案手法を用いることで,プロセッサ負荷の削減,消費電力の削減,レイテンシの改善が可能なことを示す.

    CiNii Books

  33. システムレベル設計における制御システム向けプロファイル機構

    繆同徳, 安藤友樹, 本田晋也, 高田広章, 枝廣正人  

    研究報告システムとLSIの設計技術(SLDM)2014 巻 ( 6 ) 頁: 1 - 6   2014年11月

     詳細を見る

    記述言語:日本語  

    本論文ではシステムレベル設計における制御システム向けのプロファイル機構を述べる.制御システムを設計する際,センサーやアクチュエータから非同期で通知される割込みと,割込みにより優先的に処理を開始する割込み処理を考慮する必要がある.但し,既存のプロファイル機構は割込みの関連情報を取得できない.本論文では,制御システムの開発を支援するために,割込みの関連情報を取得可能なプロファイル手法を提案する.提案手法を設計事例に適用し,効果を評価した.

    CiNii Books

  34. 割込みハンドラのハードウェア化を実現するシステムレベル設計手法

    安藤友樹, 本田晋也, 高田広章, 枝廣正人  

    研究報告システムとLSIの設計技術(SLDM)2014 巻 ( 5 ) 頁: 1 - 6   2014年11月

     詳細を見る

    記述言語:日本語  

    本論文は,割込みで駆動する専用HWを設計可能な,制御システム向けのシステムレベル設計ツールについて述べる.制御システムは複雑化,処理の高度化が進み,それに伴い割込み処理の頻度が増加したことで,プロセッサ負荷の増加,消費電力の増加,割込み処理レイテンシの悪化といった問題が生じている.これらの問題を解決するため,割込みにより処理を開始し,処理中はセンサや入出力ハードウェアといったデバイスへ直接アクセスする専用ハードウェアが求められている.提案手法は,処理とデバイス間の通信,割込み,割込み処理を抽象化した制御システムモデルから,割込みで駆動するハードウェアを含む制御システムを設計可能である.モータ制御システムを対象とした評価実験により,提案手法を用いることで,プロセッサ負荷の削減,消費電力の削減,レイテンシの改善が可能なことを示す.

    CiNii Books

  35. 階層構造を持つメニーコアアーキテクチャへのタスクマッピング

    油谷 創, 枝廣 正人  

    研究報告組込みシステム(EMB)2014 巻 ( 3 ) 頁: 1 - 8   2014年9月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人情報処理学会  

    近年,半導体技術の進展によって 1 つの LSI 上に複数のプロセッサが搭載されたマルチコアや,数十,数百のプロセッサが搭載されたメニーコアが広く使われている.また,スケーラビリティをさらに高めるために階層構造を持つメニーコアも登場している.階層型メニーコアアーキテクチャは,将来組込みプロセッサにおいても主流になると考えられている.そこで,階層構造を考慮したタスクマッピング手法を提案し,既存手法との比較評価を行った.提案手法は NN Embed 法,Topo-LB 法,Cluster-Based ILP 法と比較してそれぞれ 44%,32%,26%通信コストの少ないマッピング結果を示した.

    CiNii Books

  36. Evaluation of GNSS for Autonomous Driving 査読有り

    H. Ohta, S. Kato, M. Edahiro  

    Proceedings of the 2nd IEEE International Conference on Cyber-Physical Systems, Networks, and Applications (CPSNA'14)   頁: WiP Session   2014年8月

     詳細を見る

    記述言語:英語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

  37. Simple One-to-one Architecture for Parallel Execution of Embedded Control Systems 査読有り

    R. Nakamura, F. Arakawa, M. Edahiro  

    Proceedings of the 2nd IEEE International Conference on Cyber-Physical Systems, Networks, and Applications (CPSNA'14)   頁: 25-30   2014年8月

     詳細を見る

    記述言語:英語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

  38. GPU-accelerated Point Cloud Mapping for Autonomous Driving 査読有り

    Y. Kitsukawa, S. Kato, M. Edahiro  

    Proceedings of the 2nd IEEE International Conference on Cyber-Physical Systems, Networks, and Applications (CPSNA'14)   頁: WiP Session   2014年8月

     詳細を見る

    記述言語:英語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

  39. Establishing a standard interface between multi-manycore and software tools - SHIM 査読有り

    Masaki Gondo, Fumio Arakawa, Masato Edahiro  

    2014 IEEE COOL CHIPS XVII   頁: VI-1   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)   出版者・発行元:IEEE  

    The multi core processors are becoming norm and a processor with even more than a hundred of cores are emerging. These inherently require wide range of software tools to help software developers. However, supporting these complex hardware by the tools require significant effort by the tool vendors, and each invest in adapting the new hardware by modifying their tools or creating proprietary configuration files, while often the similar set of hardware architectural information are needed. The SHIM, Software-Hardware Interface for Multi-many-core, is a joint industrial and academic effort to standardize the interface between the multicore hardware and the software tools. This extended abstract introduces SHIM, the overall architecture, the schema used, the use-cases, and a prototype tool to foster the adaption of the interface.

    Web of Science

  40. Power and Performance Characterization and Modeling of GPU-Accelerated Systems 査読有り

    Yuki Abe, Koji Inoue, Hiroshi Sasaki, Masato Edahiro, Shinpei Kato, Martin Peres  

    2014 IEEE 28TH INTERNATIONAL PARALLEL AND DISTRIBUTED PROCESSING SYMPOSIUM   頁: 113-122   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)   出版者・発行元:IEEE  

    Graphics processing units (GPUs) provide an order-of-magnitude improvement on peak performance and performance-per-watt as compared to traditional multicore CPUs. However, GPU-accelerated systems currently lack a generalized method of power and performance prediction, which prevents system designers from an ultimate goal of dynamic power and performance optimization. This is due to the fact that their power and performance characteristics are not well captured across architectures, and as a result, existing power and performance modeling approaches are only available for a limited range of particular GPUs. In this paper, we present power and performance characterization and modeling of GPU-accelerated systems across multiple generations of architectures. Characterization and modeling both play a vital role in optimization and prediction of GPU-accelerated systems. We quantify the impact of voltage and frequency scaling on each architecture with a particularly intriguing result that a cutting-edge Kepler-based GPU achieves energy saving of 75% by lowering GPU clocks in the best scenario, while Fermi-and Tesla-based GPUs achieve no greater than 40% and 13%, respectively. Considering these characteristics, we provide statistical power and performance modeling of GPU-accelerated systems simplified enough to be applicable for multiple generations of architectures. One of our findings is that even simplified statistical models are able to predict power and performance of cutting-edge GPUs within errors of 20% to 30% for any set of voltage and frequency pair.

    DOI: 10.1109/IPDPS.2014.23

    Web of Science

  41. Parallel Design of Control Systems Utilizing Dead Time for Embedded Multicore Processors 査読有り

    Yuta Suzuki, Kota Sata, Junichi Kako, Kohei Yamaguchi, Fumio Arakawa, Masato Edahiro  

    2014 IEEE COOL CHIPS XVII   頁: VI-2   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)   出版者・発行元:IEEE  

    This paper presents a parallelization method utilizing dead time to implement higher precision control systems on multi-core processors. It is known that dead time is hard to handle with in control systems. In our method, the dead time is explicitly represented as delay blocks of models such as Simulink. Then, these delay blocks are distributed to the overall systems with equivalent transformation, so that the system can be simulated or executed in pipeline parallel. With a spring-mass-damper model, our technique accomplishes x3.4 performance acceleration on an ideal four-core simulation, and x1.8 on cycle-accurate simulator of a four-core embedded processor as a threaded application on a real time operating system.

    Web of Science

  42. Parallel Design of Control Systems Utilizing Dead Time for Embedded Multicore Processors 査読有り

    Yuta Suzuki, Kota Sata, Junichi Kako, Kohei Yamaguchi, Fumio Arakawa, Masato Edahiro  

    2014 IEEE COOL CHIPS XVIIE99-C 巻 ( 4 ) 頁: 491-502   2014年

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:IEEE  

    This paper presents a parallelization method utilizing dead time to implement higher precision control systems on multi-core processors. It is known that dead time is hard to handle with in control systems. In our method, the dead time is explicitly represented as delay blocks of models such as Simulink. Then, these delay blocks are distributed to the overall systems with equivalent transformation, so that the system can be simulated or executed in pipeline parallel. With a spring-mass-damper model, our technique accomplishes x3.4 performance acceleration on an ideal four-core simulation, and x1.8 on cycle-accurate simulator of a four-core embedded processor as a threaded application on a real time operating system.

    Web of Science

  43. ヘテロマルチプロセッサシステム向けプロセッサ間通信の自動合成 (リコンフィギャラブルシステム)

    石田 薫史, 安藤 友樹, 本田 晋也, 高田 広章, 枝廣 正人  

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報113 巻 ( 325 ) 頁: 63 - 68   2013年11月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    本論文ではヘテロジニアス構成のマルチプロセッサSoC向けのプロセッサ間通信の自動合成手法を述べる.プロセッサコア内臓のFPGAの登場など,ヘテロマルチプロセッサシステムの利用が進む一方で,プロセッサ間通信を設計・実装するコストが問題となっている.我々は,多くのヘテロマルチプロセッサシステムが持つ,共有メモリ,プロセッサ間割込みに着目し,プロセッサ間通信を設計・実装する.さらに,設計効率を向上するために,抽象度の高い記述からターゲット向けの通信実装を自動合成する手法を述べる.実現した通信実装を実際のヘテロマルチプロセッサシステム上に実現し,プロセッサ間通信の動作を確認した.

    CiNii Books

  44. 割込み処理を考慮したシステムレベル設計手法 (ディペンダブルコンピューティング デザインガイア2013 : VLSI設計の新しい大地)

    安藤 友樹, 石田 薫史, 本田 晋也, 高田 広章, 枝廣 正人  

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報113 巻 ( 321 ) 頁: 119 - 124   2013年11月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    本論文は,割込み処理を抽象化することで,デバイスとの間で入出力がある制御システムをシステムレベルで設計する手法を述べる.制御システムは複雑化,処理の高度化が進んでおり,設計効率を向上するために制御システムの設計抽象度を上げる必要がある.抽象度の高い設計手法としてシステムレベル設計手法があるが,既存のものはマルチメディアシステムが主な設計対象であり,デバイスなど外部との入出力が必要な制御システムを扱うことができない.我々はデバイスとの入出力に加え,割込みと割込み処理を抽象化し,システムレベルにて制御システムを扱えるモデルを提案する.シリアルドライバを対象とした評価実験により,提案する制御システムモデルを利用することで,小さなオーバヘッドで制御システムを抽象度高く設計可能なことを示す.

    CiNii Books

  45. A Channel-based Communication/Synchronization Model for SW-HW Multitasking on Dynamically Partially Reconfigurable FPGAs (VLSI設計技術)

    Jozwik Krzystof, Honda Shinya, Edahiro Masato, Tomiyama Hiroyuki, Takada Hiroaki  

    電子情報通信学会技術研究報告 : 信学技報112 巻 ( 375 ) 頁: 135 - 140   2013年1月

     詳細を見る

    記述言語:英語   出版者・発行元:一般社団法人電子情報通信学会  

    CiNii Books

  46. A Channel-based Communication/Synchronization Model for SW-HW Multitasking on Dynamically Partially Reconfigurable FPGAs (コンピュータシステム)

    Jozwik Krzystof, Honda Shinya, Edahiro Masato, Tomiyama Hiroyuki, Takada Hiroaki  

    電子情報通信学会技術研究報告 : 信学技報112 巻 ( 376 ) 頁: 135 - 140   2013年1月

     詳細を見る

    記述言語:英語   出版者・発行元:一般社団法人電子情報通信学会  

    CiNii Books

  47. Data Transfer Matters for GPU Computing 査読有り

    Yusuke Fujii, Takuya Azumi, Nobuhiko Nishio, Shinpei Kato, Masato Edahiro  

    2013 19TH IEEE INTERNATIONAL CONFERENCE ON PARALLEL AND DISTRIBUTED SYSTEMS (ICPADS 2013)   頁: 275 - 282   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)   出版者・発行元:IEEE  

    Graphics processing units (GPUs) embrace many-core compute devices where massively parallel compute threads are offloaded from CPUs. This heterogeneous nature of GPU computing raises non-trivial data transfer problems especially against latency-critical real-time systems. However even the basic characteristics of data transfers associated with GPU computing are not well studied in the literature. In this paper, we investigate and characterize currently-achievable data transfer methods of cutting-edge GPU technology. We implement these methods using open-source software to compare their performance and latency for real-world systems. Our experimental results show that the hardware-assisted direct memory access (DMA) and the I/O read-and-write access methods are usually the most effective, while on-chip microcontrollers inside the GPU are useful in terms of reducing the data transfer latency for concurrent multiple data streams. We also disclose that CPU priorities can protect the performance of GPU data transfers.

    DOI: 10.1109/ICPADS.2013.47

    Web of Science

  48. Rainbow: An operating system for software-hardware multitasking on dynamically partially reconfigurable FPGAs 査読有り

    Krzysztof Jozwik, Shinya Honda, Masato Edahiro, Hiroyuki Tomiyama, Hiroaki Takada  

    International Journal of Reconfigurable Computing2013 巻   頁: ID: 789134   2013年

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

    Dynamic Partial Reconfiguration technology coupled with an Operating System for Reconfigurable Systems (OS4RS) allows for implementation of a hardware task concept, that is, an active computing object which can contend for reconfigurable computing resources and request OS services in a way software task does in a conventional OS. In this work, we show a complete model and implementation of a lightweight OS4RS supporting preemptable and clock-scalable hardware tasks. We also propose a novel, lightweight scheduling mechanism allowing for timely and priority-based reservation of reconfigurable resources, which aims at usage of preemption only at the time it brings benefits to the performance of a system. The architecture of the scheduler and the way it schedules allocations of the hardware tasks result in shorter latency of system calls, thereby reducing the overall OS overhead. Finally, we present a novel model and implementation of a channel-based intertask communication and synchronization suitable for software-hardware multitasking with preemptable and clock-scalable hardware tasks. It allows for optimizations of the communication on per task basis and utilizes point-to-point message passing rather than shared-memory communication, whenever it is possible. Extensive overhead tests of the OS4RS services as well as application speedup tests show efficiency of our approach. © 2013 Krzysztof Jozwik et al.

    DOI: 10.1155/2013/789134

    Scopus

  49. GPU implementations of object detection using HOG features and deformable models 査読有り

    Manato Hirabayashi, Shinpei Kato, Masato Edahiro, Kazuya Takeda, Taiki Kawano, Seiichi Mita  

    2013 IEEE 1st International Conference on Cyber-Physical Systems, Networks, and Applications, CPSNA 2013   頁: 106 - 111   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)   出版者・発行元:IEEE Computer Society  

    Vision-based object detection using camera sensors is an essential piece of perception for autonomous vehicles. Various combinations of features and models can be applied to increase the quality and the speed of object detection. A well-known approach uses histograms of oriented gradients (HOG) with deformable models to detect a car in an image [15]. A major challenge of this approach can be found in computational cost introducing a real-time constraint relevant to the real world. In this paper, we present an implementation technique using graphics processing units (GPUs) to accelerate computations of scoring similarity of the input image and the pre-defined models. Our implementation considers the entire program structure as well as the specific algorithm for practical use. We apply the presented technique to the real-world vehicle detection program and demonstrate that our implementation using commodity GPUs can achieve speedups of 3x to 5x in frame-rate over sequential and multithreaded implementations using traditional CPUs. © 2013 IEEE.

    DOI: 10.1109/CPSNA.2013.6614255

    Scopus

  50. Evaluation of power consumption at execution of multiple automatically parallelized and power controlled media applications on the RP2 low-power multicore 査読有り

    Hiroki Mikami, Shumpei Kitaki, Masayoshi Mase, Akihiro Hayashi, Mamoru Shimaoka, Keiji Kimura, Masato Edahiro, Hironori Kasahara  

    Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)7146 巻   頁: 31 - 45   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

    This paper evaluates an automatic power reduction scheme of OSCAR automatic parallelizing compiler having power reduction control capability when multiple media applications parallelized by the OSCAR compiler are executed simultaneously on RP2, a 8-core multicore processor developed by Renesas Electronics, Hitachi, and Waseda University. OSCAR compiler enables the hierarchical multigrain parallel processing and power reduction control using DVFS (Dynamic Voltage and Frequency Scaling), clock gating and power gating for each processor core using the OSCAR multi-platform API. The RP2 has eight SH4A processor cores, each of which has power control mechanisms such as DVFS, clock gating and power gating. First, multiple applications with relatively light computational load are executed simultaneously on the RP2. The average power consumption of power controlled eight AAC encoder programs, each of which was executed on one processor, was reduced by 47%, (to 1.01W), against one AAC encoder execution on one processor (from 1.89W) without power control. Second, when multiple intermediate computational load applications are executed, the power consumptions of an AAC encoder executed on four processors with the power reduction control was reduced by 57% (to 0.84W) against an AAC encoder execution on one processor (from 1.95W). Power consumptions of one MPEG2 decoder on four processors with power reduction control was reduced by 49% (to 1.01W) against one MPEG2 decoder execution on one processor (from 1.99W). Finally, when a combination of a high computational load application program and an intermediate computational load application program are executed simultaneously, the consumed power reduced by 21% by using twice number of cores for each application. This paper confirmed parallel processing and power reduction by OSCAR compiler are efficient for multiple application executions. In execution of multiple light computational load applications, power consumption increases only 12% for one application. Parallel processing being applied to intermediate computational load applications, power consumption of executing one application on one processor core (1.49W) is almost same power consumption of two applications on eight processor cores (1.46W). © 2013 Springer-Verlag.

    DOI: 10.1007/978-3-642-36036-7_3

    Scopus

  51. 高位合成によるSTPエンジン及びFPGAへのAES/ADPCMの実装と評価

    石田 薫史, 柴田 誠也, 安藤 友樹, 本田 晋也, 高田 広章, 枝廣 正人  

    電子情報通信学会技術研究報告. RECONF, リコンフィギャラブルシステム : IEICE technical report112 巻 ( 70 ) 頁: 77 - 82   2012年5月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    SoCの専用ハードウェアの代替技術として注目を集める再構成技術の性能を確かめるため,動的再構成ハードウェアであるSTPエンジンおよび静的再構成ハードウェアであるFPGAの比較を行った.比較のために両ハードウェアにC言語で記述されたAESおよびADPCMのアプリケーションを高位合成を用いて実装した.実装にはそれぞれのハードウェアで同じ高位合成ツールを利用した統合開発環境を用いた.比較には面積,状態数,サイクル数,周波数,実行時間の5点に着目し,STPとFPGAの性能について評価を行った.

    CiNii Books

  52. Checkpoint Selection for DEPS Framework Based on Quantitative Evaluation of DEPS Profile 招待有り 査読有り

    H. Kawashima, G. Zeng, H. Takase, M. Edahiro, H. Takada  

    17th Workshop on Synthesis and System Integration of Mixed Information Technologies   頁: 174-179   2012年3月

     詳細を見る

    記述言語:英語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

  53. Fast Elliptic Curve Cryptography Using Minimal Weight Conversion of d Integers 査読有り

    V. Suppakitpaisarn, M. Edahiro, H. Imai  

    Proceedings of the Tenth Australasian Information Security Conference (AISC 2012), ACS, 2012, Conferences in Research and Practice in Information Technology125 巻   頁: 15-26   2012年1月

     詳細を見る

    記述言語:英語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

  54. Calculating average joint hamming weight for minimal weight conversion of d integers 査読有り

    Vorapong Suppakitpaisarn, Masato Edahiro, Hiroshi Imai  

    Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)7157 巻   頁: 229 - 240   2012年

     詳細を見る

    記述言語:英語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

    In this paper, we propose an algorithm to calculate the efficiency of number representations in elliptic curve cryptography, average joint Hamming weight. The method uses Markov chains generated from a minimal weight conversion algorithm of d integers using the minimal weight conversion. With redundant representations using digit sets like {0, ±1}, it is possible to reduce computation time of the cryptosystem. Although larger digit sets make the computation time shorter, it requires longer preprocessing time. Therefore, the average joint Hamming weight is useful to evaluate digit sets. The Markov chains to find the average joint Hamming weight are derived automatically from the conversions. However, the number of states in these Markov chains is generally infinite. In [8], we propose an algorithm to reduce the number of states, but it is still unclear which representations the method can be applied for. In this paper, the finiteness of Markov chain with the existence of a stationary distribution is proven in a class of representation whose digit set D S be a finite set such that there exists a natural number Λ where D S ⊆ {0, ±1, ..., ±Λ} and {0,±1, ±Λ} ⊆ D S. The class covers most of the representation practically used in elliptic curve cryptography such as the representation which digit set are {0, ±1} and {0, ±1, ±3}. © 2012 Springer-Verlag.

    DOI: 10.1007/978-3-642-28076-4_23

    Scopus

  55. Toward GPU-accelerated Traffic Simulation and Its Real-Time Challenge 査読有り

    Manato Hirabayashi, Shinpei Kato, Masato Edahiro, Yuki Sugiyama  

    International Workshop on Real-Time and Distributed Computing in Emerging Applications (REACTION2012)   頁: 1-6   2012年

     詳細を見る

    記述言語:英語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

  56. Optimal Elliptic Curve Scalar Multiplication Using Double-Base Chains 査読有り

    V. Suppakitpaisarn, M. Edahiro, H. Imai  

    International Journal of Digital Information and Wireless Communications (IJDIWC)2 巻 ( 1 ) 頁: 923-942   2012年

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

  57. Optimal Elliptic Curve Cryptography Using Fibonacci Sequence 査読有り

    V. Suppakitpaisarn, M. Edahiro, H. Imai  

    Proceedings of the 5th Thailand-Japan International Academic Conference (TJIA2012)   頁: 未登録   2012年

     詳細を見る

    記述言語:英語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

  58. Fastest Multi-Scalar Multiplication Based on Double-Base Chain 査読有り

    V. Suppakitpaisarn, M. Edahiro, H. Imai  

    World Congress on Internet Security (WorldCIS-2012)   頁: 93-98   2012年

     詳細を見る

    記述言語:英語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

  59. Fast Elliptic Curve Cryptography Using Optimal Double-Base Chains 査読有り

    V. Suppakitpaisarn, M. Edahiro, H. Imai  

    Proceedings of the International Conference on Informatics & Applications (ICIA2012)   頁: 190-204   2012年

     詳細を見る

    記述言語:英語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

  60. Efficient algorithms for extracting pareto-optimal hardware configurations in DEPS framework 査読有り

    Hirotaka Kawashima, Gang Zeng, Hideki Takase, Masato Edahiro, Hiroaki Takada  

    IPSJ Transactions on System LSI Design Methodology5 巻   頁: 133 - 142   2012年

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

    A dynamic energy performance scaling (DEPS) framework has been proposed as a generalization of dynamic voltage frequency scaling (DVFS). The DEPS framework selects an energy-optimal hardware configuration at runtime. To reduce runtime overhead, Pareto-optimal combinations of hardware configurations should be provided via DEPS profiling during the design phase. The challenge of DEPS profiling lies in extracting the Pareto-optimal combinations efficiently from the exponential search space. We propose two exact algorithms to reduce the number of calculations in DEPS profiling. These algorithms can be used with common search algorithms. We also propose a heuristic algorithm for searching Pareto-optimal configurations efficiently. Extensive experiments are performed, and they demonstrate that the proposed algorithms can complete DEPS profiling within a reasonable amount of time and generate optimal DEPS profiles. It is believed that the proposed algorithms will enable easy application of the DEPS framework in practice. © 2012 Information Processing Society of Japan.

    DOI: 10.2197/ipsjtsldm.5.133

    Scopus

  61. Comparison of preemption schemes for partially reconfigurable FPGAs 査読有り

    Krzysztof Jozwik, Hiroyuki Tomiyama, Masato Edahiro, Shinya Honda, Hiroaki Takada  

    IEEE Embedded Systems Letters4 巻 ( 2 ) 頁: 45 - 48   2012年

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

    Preemption techniques for hardware (HW) tasks have been studied in order to improve system responsiveness at the task level and improve utilization of the FPGA area. This letter presents a fair comparison of existing state-of-the-art preemption approaches from the point of view of their capabilities and limitations as well as impact on static and dynamic properties of the task. In comparison, we use a set of cryptographic, image, and audio processing HW tasks and perform tests on a common platform based on a Virtex-4 FPGA from Xilinx. Furthermore, we propose the preemption as a method which can effectively increase FPGA utilization in case of HW tasks used as CPU accelerators in systems with memory protection and virtualization. © 2012 IEEE.

    DOI: 10.1109/LES.2012.2193660

    Scopus

  62. Hardware Multitasking in Dynamically Partially Reconfigurable FPGA-based Embedded Systems 招待有り 査読有り

    K. Jozwik, H. Tomiyama, M. Edahiro, S. Honda, H. Takada  

    Int'l SoC Design Conference   頁: 183-186   2011年11月

     詳細を見る

    記述言語:英語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

  63. 低消費電力マルチコアRP2上での複数メディアアプリケーション実行時の消費電力評価

    見神 広紀, 北基 俊平, 佐藤 崇文, 間瀬 正啓, 木村 啓二, 石坂 一久, 酒井 淳嗣, 枝廣 正人, 笠原 博徳  

    研究報告計算機アーキテクチャ(ARC)2011 巻 ( 1 ) 頁: 1 - 8   2011年3月

     詳細を見る

    記述言語:日本語   出版者・発行元:情報処理学会  

    組み込み向けマルチコアではユーザーのインタラクティブな操作等で複数の逐次あるいは並列プロセスが動作させる環境においても高い性能を得ることが重要となる.さらに組み込みマルチコアプロセッサを省電力で動作させるためには,動作周波数・電圧を動的に制御することが重要となる.本論文では,OSCAR 自動並列化コンパイラにより自動で電力制御された各アプリケーションを複数同時実行した際の電力性能をルネサスエレクトロニクス/日立/早稲田大学で開発した 8 コアのマルチコア RP2 上で評価した.コンパイラによるデッドライン制御モードにおいて,1 コアでもリアルタイム制約を低周波数動作で満たせる軽負荷の AAC エンコーダの場合には,各アプリケーションに 1 コアを割り当て同時実行したときが 1 アプリケーションあたりの電力が最小になったが,1 プロセッサでは高周波数動作しないとデッドラインを満たせない中負荷の AAC エンコーダでは各 AAC エンコーダを2プロセッサで並列処理しつつ複数実行した場合のアプリケーションあたりの電力が低く,1 アプリのみ実行した場合には 1 プロセッサで 1.95W を要したものが 4 プロセッサで 0.84W に下げられる事がわかった.高負荷の MPEG2 デコーダでは 4 プロセッサで並列処理しつつ複数実行した時が 1 アプリケーションの電力が最小となり,負荷のあるアプリケーションでは並列処理を行いつつ電力制御を行うことが有効であると確かめられた.On embedded multicores, it is important to obtain high performance although multiple sequential or parallel applications run together. In addition, it is important to control frequency and voltage executing application with low power. This paper evaluated OSCAR compiler's power reduction control with media applications on Renesas Electronics / Hitachi / Waseda RP2. Scheduling with "Deadline mode", power consumption is minimum when it allocates 1 application for 1 processor by low-complex long-wait applications. But in middle-complex and high-complex applications, power consumption is minimum when applications are executed by parallel. It is confirmed parallel processing and frequency / voltage control is effective on multicore processors.

    CiNii Books

    その他リンク: http://id.nii.ac.jp/1001/00073084/

  64. Rainbow: An OS extension for hardware multitasking on dynamically partially reconfigurable FPGAs 査読有り

    Krzysztof Jozwik, Hiroyuki Tomiyama, Masato Edahiro, Shinya Honda, Hiroaki Takada  

    Proceedings - 2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011   頁: 416 - 421   2011年

     詳細を見る

    記述言語:英語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

    DPR (Dynamic Partial Reconfiguration) capability found in some of modern FPGAs allows implementation of a concept of a HW (Hardware) task, which similarly to its software counterpart has its state and shares time-multiplexed resources with the other tasks. While the new technology presents many advantages for embedded systems where run-time adaptability is an additional requirement, their efficient and easily portable implementations require a control software or an OS which would manage all the complexities of the underlying technology, providing an abstracted interface for the application programmer. This paper presents a novel and robust hardware multitasking extension for a conventional OS, managing task scheduling and configurations, and providing easy-to-use API (Application Programming Interface) for the application programmer. Scheduling is priority-based and takes advantage of task caching. Moreover, the extension is based on a developed design flow and embedded hardware platform allowing efficient task preemption, which can be utilized whenever it presents any benefits to the application. © 2011 IEEE.

    DOI: 10.1109/ReConFig.2011.73

    Scopus

  65. 組込み向けマルチコア上での複数アプリケーション動作時の自動並列化されたアプリケーションの処理性能

    宮本 孝道, 間瀬 正啓, 木村 啓二, 石坂 一久, 酒井 淳嗣, 枝廣 正人, 笠原 博徳  

    研究報告計算機アーキテクチャ(ARC)2010 巻 ( 9 ) 頁: 1 - 8   2010年2月

     詳細を見る

    記述言語:日本語   出版者・発行元:情報処理学会  

    組込み向けマルチコアではユーザの入力などにより複数の逐次あるいは並列プロセスが動作される環境においても高い性能を得ることが重要となる.複数のアプリケーションが同時に実行される環境では,性能低下への対策として共有リソースの競合を減少させることが重要となる.本論文では,アプリケーションの複数同時実行時の OSCAR 自動並列化コンパイラにより生成されたプログラムの並列処理性能を NEC エレクトロニクス NaviEngine 上で評価した.コンパイラにより最適化された MPEG2 デコードと他アプリケーションを同時実行した場合には MPEG2 デコードは最大で 0.91% の性能低下に抑えられ,SPEC95 CFP 101.tomcatv ではコンパイラによる複数のキャッシュ最適化コードを同時実行した場合においても最大で 1.06% の性能低下に抑えられ,性能低下が起こらないことが確かめられた.On embedded multicores, it is important which high performance is obtained although multiple sequential or parallel applications run together. However, performance degradation is occurred by competing resources of multicores. In this paper, we have evaluated parallel performance of programs generated by OSCAR automatic parallelizing compiler in an environment where multiple applications run on NaviEngine developed by NEC Electronics Corporation. When a MPEG2 decoder and other application run together, a MPEG2 decoder's performance degradation is little, a maximum of 0.91% performance degradation. When some SPEC95 CFP 101.tomcatv with cache optimizations by OSCAR automatic parallelizing compiler run together, it is verified which performance degradation is little, a maximum of 1.06% performance degradation.

    CiNii Books

    その他リンク: http://id.nii.ac.jp/1001/00067851/

  66. マルチコアにおけるソフトウェア:4.組み込みマルチコアプロセッサのソフトウェアプラットフォーム

    酒井 淳嗣, 井上 浩明, 枝廣 正人  

    情報処理47 巻 ( 1 ) 頁: 29 - 33   2006年1月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人情報処理学会  

    プロセッサのマルチコア化が進んでいる.PCやサーバ等でIntel社のデュアルコアCPUが使われ始めているのはよく知られているが,情報家電,ゲーム機,携帯電話など,組み込み機器へのマルチコアCPU導入も検討されつつある.本稿では,組み込み機器向けマルチプロセッサでのソフトウェアプラットフォームについて述べる.

    CiNii Books

    その他リンク: http://id.nii.ac.jp/1001/00065529/

  67. シュリンク設計向けクロック木生成

    井上 浩明, 枝廣 正人  

    電子情報通信学会技術研究報告. VLD, VLSI設計技術100 巻 ( 293 ) 頁: 23 - 28   2000年9月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    本稿では、シュリンク後のクロック木の等遅延性を保証するクロック木生成(CTS)手法について提案を行なった。本手法は、あるスケーリング則に基づきプロセスパラメータを調整し、それを用いて既存のCTSをシュリンク前データに適用すればよい。このため、シュリンクに関する新たな設計工数をほとんど必要としない。本手法を適用した試行回路に対し、シュリンク後の等遅延性が十分な精度で保証できることをSPICEシミュレーションにて確認した。さらに、シュリンクを考慮しないCTSと比較し、シュリンク後にスキューが大きく改善されることを示した。本手法により、シュリンクを想定するLSI設計といった様々な応用が可能となる。

    CiNii Books

▼全件表示

講演・口頭発表等 153

  1. IEEE2804 SHIM: Software-Hardware Interface for Multi-Many-Core 招待有り 国際会議

    枝廣 正人

    2022年6月23日 

     詳細を見る

    開催年月日: 2022年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2. ベクトル演算器を有するマルチコアプロセッサ向けモデルベース並列化におけるタスクマッピングとスケジューリング手法

    ブサンモン, 熊野 聡, 丸目 佳, 枝廣 正人

    ETNET2023 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

  3. RISC-Vベクトル命令を用いた4次元不等間マップ補間処理の並列化

    佐藤創太, 陳雨飛, 枝廣正人

    ETNET2023 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

  4. モデルベース開発における並列性能向上に向けた複数遅延挿入手法

    寒河江翔太, キムジンス, 新田果菜, 道木慎二, 本田晋也, 枝廣正人

    ETNET2023 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

  5. ハードウェア抽象化記述SHIMにおけるDNNを用いたLLVM命令実行時間計測手法

    三上比呂, 岩井星良, 枝廣正人

    ETNET2023 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

  6. マルチコアでソフトウェアはどのように動くのか 招待有り

    枝廣 正人

    組込みマルチコアサミット2022  2022年11月17日 

     詳細を見る

    開催年月日: 2022年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  7. 組込みマルチコアコンソーシアムについて 招待有り

    枝廣 正人

    組込みマルチコアサミット2022  2022年11月17日 

     詳細を見る

    開催年月日: 2022年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  8. パーティショニングOS向けユーザモードTCP/IPプロトコルスタック

    手塚 湧太郎, 本田 晋也, 大谷 寿賀子, 枝廣 正人

    ETNET2022  2022年3月10日 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  9. モデルベース並列化アルゴリズムの定理証明器による形式検証

    岩田 駿, 磯部 祥尚, 枝廣 正人

    ETNET2022  2022年3月11日 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  10. 組込みマルチコアコンソーシアムについて 招待有り

    枝廣 正人

    組込みマルチコアサミット2021 

     詳細を見る

    開催年月日: 2021年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  11. ハードウェア抽象化記述SHIMと性能見積 招待有り

    枝廣 正人

    組込みマルチコアサミット2021 

     詳細を見る

    開催年月日: 2021年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  12. ROMへのアクセスレイテンシが大きいマイコンを対象とした畳み込みニューラルネットワークの最適化

    下平 健太, 本田 晋也, 高田 広章, 枝廣 正人

    ETNET2021 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  13. 車載制御モデルの並列設計におけるランタイム性能解析と効率化

    加藤 聖也, 寒河江 翔太, 山本 椋太, 生沼 正博, キム ジンス, 道木 慎二, 本田 晋也, 枝廣 正人

    ETNET2021 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  14. データ並列性を持つSimulinkモデルからのコード生成

    徐 品, 枝廣 正人

    ETNET2021 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  15. ハードウェア抽象化記述SHIMにおけるLLVM命令実行時間計測手法

    井ノ川 誠, 枝廣 正人

    ETNET2021 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  16. C言語ベースのシステムレベル設計における低コストで高速な協調検証環境

    稲石 日奈子, 山本 椋太, 伊藤 慎治, 本田 晋也, 枝廣 正人

    ETNET2021 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  17. モデルベース並列化ツールを用いたモデルレベルブロック分割手法の検討

    山田亜汰朗, 生沼正博, 木村一臣, 山本椋太, 枝廣正人

    第55回組込みシステム研究会 

     詳細を見る

    開催年月日: 2020年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  18. A DNN implementation on FPGAs from the existing DNN framework using HLS

    Kim Hyunjae, Ryota Yamamoto, Shinya Honda,Masato Edahiro

     詳細を見る

    開催年月日: 2020年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  19. 高位合成によるFPGA向けDNN推論器に対する前処理の検討

    田中彬義, 山本椋太, 伊藤慎治, 本田晋也, 枝廣正人

    第55回組込みシステム研究会 

     詳細を見る

    開催年月日: 2020年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  20. 時間パーティショニング機構を持つリアルタイムOSの性能評価手法

    手塚湧太郎, 本田晋也, 大谷寿賀子, 枝廣正人

    第55回組込みシステム研究会 

     詳細を見る

    開催年月日: 2020年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  21. 組込みマルチコアコンソーシアムについて

    枝廣 正人

    組込みマルチコアサミット2020 

     詳細を見る

    開催年月日: 2020年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  22. SimulinkモデルからCPUとアクセラレータの併用コードの作成手法

    甲斐琢朗, 森裕司(NSITEXE), 枝廣正人

    ETNET2020 

     詳細を見る

    開催年月日: 2020年2月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  23. ハードウェア抽象化記述SHIMによる性能見積のためのLLVM-IR命令実行時間計測手法

    鳥越 敬,枝廣 正人

    ETNET2020 

     詳細を見る

    開催年月日: 2020年2月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  24. 共有メモリ付階層型制御モデルの並列化アルゴリズムのCSPによる形式化とFDRによる検証

    于文博,磯部 祥尚(産業技術総合研究所),枝廣 正人

    ETNET2020 

     詳細を見る

    開催年月日: 2020年2月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  25. 組込みマルチコアコンソーシアムについて

    枝廣 正人

    組込みマルチコアサミット2019 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  26. レガシコードを含むモデルベース開発における並列化手法

    黒柳 彰宏,金森公洋,枝廣 正人

    ETNET2019 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  27. ハードウェア抽象化記述SHIMとSHIMulatorによるソフトウェア動的性能見積手法

    佐合 惇,枝廣 正人

    ETNET2019 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  28. モデルベース並列化アルゴリズムの形式化と正当性の証明

    多門 俊哉,枝廣 正人,磯部 祥尚(産業技術総合研究所)

    ETNET2019 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  29. モデルベース並列化ツールによるマルチコアプロセッサ上へのベクトル制御系実装の検討

    吉田 恭介(日立製作所), 井上 雅理, 井ノ川 誠, 黒柳 彰宏, 本田 晋也, 枝廣 正人, 道木 慎二, 小島 流石(阪大), 安積 卓也(阪大), 中本 幸一(兵庫県立大)

    平成31年電気学会全国大会論文集 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  30. モータ制御系のマルチコア実装時における並列度向上に向けて遅延を導入した電流制御系の解析

    キム ジンス, 井上 雅理, 加藤 聖也, 黒柳 彰宏, 枝廣 正人, 道木 慎二

    平成31年電気学会全国大会論文集 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  31. 組込みマルチコアコンソーシアムについて

    枝廣 正人

    組込みマルチコアサミット2018 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  32. EMC活動の紹介とビジョン

    枝廣 正人

    組込み向け マルチ・メニーコア ソフトウェア開発 テクニカルセミナー 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  33. マルチコアプロセッサ上への実装時における並列度向上に向けたマルチレート電流制御系の解析

    井上 雅理, 黒柳 彰宏, 枝廣 正人, 道木 慎二

    平成30年電気学会産業応用部門大会 

     詳細を見る

    開催年月日: 2018年8月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  34. 制御モデルに内在する遅延を用いた並列化

    池田 良裕,鈴木 悠太(デンソー),峰田 憲一(デンソー),森 裕司(デンソー),井上 雅理,道木 慎二,枝廣 正人

    ETNET2018 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  35. ニューラルネットによるモデル予測制御高速化

    竹松 慎弥,嶋岡 雅浩,道木 慎二,枝廣 正人

    ETNET2018 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  36. モデルベースによる並列化コード自動生成ツールをモータ制御に適用した際の有用性評価

    深谷 周平(日立交通テクノロジー), 井上 雅理, 横山 静香, 竹松 慎弥, 鍾 兆前, 本田 晋也, 枝廣 正人, 道木 慎二, 小島 流石(阪大), 安積 卓也(阪大), 近藤 真己(NECソリューションイノベータ), 中本 幸一(兵庫県立大)

    平成30年電気学会全国大会 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  37. モデルベース並列化における複数周期タスクを混在させたコア割り当て手法

    池田 良裕,枝廣 正人

    VLSI設計技術研究会 

     詳細を見る

    開催年月日: 2018年2月 - 2018年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  38. 組込みマルチコアコンソーシアムについて

    枝廣 正人

    組込みマルチコアサミット2017 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  39. MBP(モデルベース並列化)を用いたクロスレイヤ設計

    枝廣 正人

    組込みマルチコアサミット2017 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  40. Improving Core Allocation of Simulink Model for Embedded Multi-core Systems 国際会議

    S. Kojima, M. Edahiro, and T. Azumi

    IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2017) 

     詳細を見る

    開催年月日: 2017年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:台湾  

  41. 組込みマルチコアコンソーシアムについて

    枝廣 正人

    組込みマルチコアサミット2017West 

     詳細を見る

    開催年月日: 2017年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  42. ソフトのための国際標準ハードウェアモデル記述SHIM 1.0による性能見積とSHIM2.0への方向性

    枝廣 正人

    組込みマルチコアサミット2017West 

     詳細を見る

    開催年月日: 2017年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  43. モデル解析によるマルチレートSimulinkモデル並列化

    池田 良裕,市橋 友樹,仲田 壮佑,枝廣 正人

    情報処理学会全国大会 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  44. 永久磁石同期モータ電流制御系のための予測制御アルゴリズム並列化

    竹松 慎弥,市村 駿太郎,岩間 拓也,嶋岡 雅浩,道木 慎二,枝廣 正人

    情報処理学会全国大会 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  45. モデルベース開発におけるKALRAY MPPA メニーコア向け並列化

    鍾 兆前,枝廣 正人

    情報処理学会全国大会 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  46. モデルベース並列化(MBP)におけるマルチレートモデルの車載RTOS向けランタイムとコード生成

    中野 友貴, 本田 晋也, 枝廣 正人, 鈴木 均(ルネサス エレクトロニクス)

    ETNET2017 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  47. モデルベース開発におけるマルチ・メニーコア向け自動並列化

    鍾 兆前,枝廣 正人

    ETNET2017 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  48. モデル解析によるマルチレートSimulinkモデルの性能向上

    池田 良裕,鈴木 均(ルネサス エレクトロニクス),枝廣 正人

    ETNET2017 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  49. モデルベース開発におけるデータ並列化に関する検討

    竹松 慎弥,枝廣 正人

    ETNET2017 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  50. パス解析を用いた並列実行時メモリ読み書き順序の変化検出

    杉山 由芳,枝廣 正人

    ETNET2017 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  51. モデルベース並列化におけるCSPモデルを利用した形式検証の適用

    山本 尚平, 鈴木 悠太(デンソー), 峰田 憲一(デンソー), 森 裕司(デンソー), 枝廣正人

    ETNET2017 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  52. 組込みマルチコアコンソーシアムについて

    枝廣 正人

    組込みマルチコアサミット2016 

     詳細を見る

    開催年月日: 2016年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  53. ソフトのための国際標準ハードウェアモデル記述SHIM 1.0による性能見積とSHIM2.0への方向性

    枝廣 正人

    組込みマルチコアサミット2016 

     詳細を見る

    開催年月日: 2016年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  54. 永久磁石同期モータ電流制御系のための予測制御アルゴリズム並列化

    竹松 慎弥,道木 慎二,嶋岡 雅浩,枝廣 正人

    第41回組込みシステム研究会 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  55. Simulinkモデルから状態方程式・出力方程式の抽出

    池田 良裕,枝廣 正人

    第41回組込みシステム研究会 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  56. 組込みマルチコアコンソーシアムについて

    枝廣 正人

    組込みマルチコアサミット2015 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  57. Simulinkモデルからのブロックレベル並列化

    枝廣 正人

    組込みマルチコアサミット2015 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  58. 組込みシステムでの マルチ・メニーコアプロセッサ利用に向けて ~ SHIMとモデルベース並列化 ~

    枝廣 正人

    CEATEC 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  59. マルチ・メニーコア向けソフトウェア技術の基礎

    枝廣 正人

    組込みシステム開発技術展 

     詳細を見る

    開催年月日: 2015年5月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  60. モデル予測制御における非線形漸化式実行の並列化

    山田 竜正,枝廣 正人

    ETNET2015 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  61. 組込みマルチコアコンソーシアム概要

    枝廣 正人

    組込みマルチコアサミット2014 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  62. Simulinkモデルベース自動並列化とSHIM

    枝廣 正人

    組込みマルチコアサミット2014 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  63. システムレベル設計における制御システム向けプロファイル機構",情報処理学会研究報告

    繆 同徳, 安藤 友樹, 本田 晋也, 高田 広章, 枝廣 正人

    デザインガイア2014 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  64. 割込みハンドラのハードウェア化を実現するシステムレベル設計手法", 情報処理学会研究報告

    安藤 友樹, 本田 晋也, 高田 広章, 枝廣 正人

    デザインガイア2014 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  65. 階層構造を持つメニーコアアーキテクチャへのタスクマッピング

    油谷 創,枝廣 正人

    第34回組込みシステム研究発表会 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  66. 単方向1:1高速同期機構を用いたFPGA実装と評価

    溝口 裕哉, 中村 陸, 安藤 友樹, 荒川 文男, 枝廣 正人

    ETNET 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  67. ソフトウェア向けハードウェア性能記述を用いたマルチコアにおける性能見積り

    西村 裕, 中村 陸, 荒川 文男, 枝廣 正人

    ETNET 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  68. 組込みマルチコアECU活用に向けた内部モデル制御の効率的な並列実装

    鈴木 悠太,佐多 宏太(トヨタ自動車(株)),加古 純一(トヨタ自動車(株)),枝廣 正人

    制御部門マルチシンポジウム 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:シンポジウム・ワークショップ パネル(公募)  

    国名:日本国  

  69. 単方向1:1高速同期機構を用いた組込み制御並列化

    中村 陸,荒川 文男,枝廣 正人

    情報処理学会組込みシステム研究会 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  70. 割込み処理を考慮したシステムレベル設計手法

    安藤 友樹, 石田 薫史, 本田 晋也, 高田 広章, 枝廣 正人

    デザインガイア2013 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  71. ヘテロマルチプロセッサシステム向けプロセッサ間通信の自動合成

    石田薫史, 安藤友樹, 本田晋也,高田広章, 枝廣正人

    デザインガイア2013 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  72. 単方向1:1高速同期機構を用いた組込み制御並列化

    中村 陸,枝廣 正人

    情報処理学会組込みシステムシンポジウム 

     詳細を見る

    開催年月日: 2013年10月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  73. マルチレート制御モデルのイベントドリブンプロセッサ実装

    大川 禎 , 枝廣 正人

    情報処理学会組込みシステム研究会 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  74. 差分方程式の解析的な並列化とそのモデリング

    鈴木 悠太 , 枝廣 正人

    情報処理学会組込みシステム研究会 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  75. 組込みメニーコアに向けた (OSと)制御アプリ並列化

    枝廣 正人

    SWEST 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:日本語   会議種別:シンポジウム・ワークショップ パネル(指名)  

    国名:日本国  

  76. マルチコア向けソフトウェア開発の基礎と最新動向

    枝廣 正人

    JASA中部支部 技術セミナー 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:日本語   会議種別:シンポジウム・ワークショップ パネル(指名)  

    国名:日本国  

  77. マルチコア向けソフトウェア開発の基礎と最新動向

    枝廣 正人

    組込みシステム開発技術展 

     詳細を見る

    開催年月日: 2013年5月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  78. CSP理論にもとづいた制御モデルのマルチコア実装向けタスク割当て

    大川 禎 , 枝廣 正人 , 久村孝寛(NEC)

    ETNET 

     詳細を見る

    開催年月日: 2013年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  79. マルチ・メニーコアプロセッサを用いた 車載制御システムの実現に向けて

    枝廣 正人

    NCESシンポジウム 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:日本語   会議種別:シンポジウム・ワークショップ パネル(指名)  

    国名:日本国  

  80. マルチ・メニーコア技術の基礎と省電力技術

    枝廣 正人

    STARCアドバンストセミナー 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:シンポジウム・ワークショップ パネル(指名)  

    国名:日本国  

  81. マルチコア向けソフトウェア開発の基礎と最新動向

    枝廣 正人

    組込みシステム開発技術展 

     詳細を見る

    開催年月日: 2012年5月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  82. 高位合成によるSTPエンジン及びFPGAへのAES/ADPCMの実装と評価

    石田 薫史, 柴田 誠也, 安藤 友樹, 本田 晋也, 高田 広章, 枝廣 正人

    電子情報通信学会リコンフィギャラブル研究会 

     詳細を見る

    開催年月日: 2012年5月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  83. 組込みマルチ・メニーコアの現状とソフトウェア

    枝廣 正人

    Embedded Technology 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  84. 組込みマルチコアとソフトウェア

    枝廣 正人

    中部エレクトロニクスショー 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  85. Hardware Multitasking in Dynamically Partially Reconfigurable FPGA-based Embedded Systems 国際会議

    Krzysztof Jozwik, Hiroyuki Tomiyama, Masato Edahiro, Shinya Honda, Hiroaki Takada

    International SoC Design Conference (ISOCC) 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  86. マルチコア向けソフトウェア開発の基礎と最新動向

    枝廣 正人

    JASA近畿支部 技術セミナー 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:日本語   会議種別:シンポジウム・ワークショップ パネル(指名)  

    国名:日本国  

  87. マルチコア向けソフトウェア開発の基礎と最新動向

    枝廣 正人

    組込みシステム開発技術展 

     詳細を見る

    開催年月日: 2011年5月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  88. CSP理論にもとづいた制御モデルのマルチコア実装向けタスク割当て 国際会議

    大川 禎, 枝廣 正人, 久村孝寛

    ETNET  2013年3月6日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  89. モデルベース並列化アルゴリズムの形式化と正当性の証明 国際会議

    多門 俊哉, 枝廣 正人, 磯部 祥尚

    ETNET2019  2019年3月17日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  90. モデルベース並列化における複数周期タスクを混在させたコア割り当て手法 国際会議

    池田 良裕, 枝廣 正人

    VLSI設計技術研究会  2018年2月28日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  91. モデルベース並列化におけるCSPモデルを利用した形式検証の適用 国際会議

    山本 尚平, 鈴木 悠太(デンソ, 峰田 憲一(デンソ, 森 裕司(デンソ, 枝廣正人

    ETNET2017  2017年3月9日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  92. モデルベース並列化(MBP)におけるマルチレートモデルの車載RTOS向けランタイムとコード生成 国際会議

    中野 友貴, 本田 晋也, 枝廣 正人, 鈴木 均(ルネサス, エレクトロニクス

    ETNET2017  2017年3月9日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  93. モデルベースによる並列化コード自動生成ツールをモータ制御に適用した際の有用性評価 国際会議

    深谷 周平, 交通テクノロジー, 井上 雅理, 横山 静香, 竹松 慎弥, 鍾 兆前, 本田 晋也, 枝廣 正人, 道木 慎二, 小島 流石, 安積 卓也, 近藤 真己, Cソリューションイノベータ, 中本 幸一

    平成30年電気学会全国大会  2018年3月5日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  94. マルチ・メニーコア技術の基礎と省電力技術 国際会議

    枝廣 正人

    STARCアドバンストセミナー  2012年9月25日 

     詳細を見る

    記述言語:日本語   会議種別:シンポジウム・ワークショップ パネル(指名)  

  95. マルチ・メニーコア向けソフトウェア技術の基礎 国際会議

    枝廣 正人

    組込みシステム開発技術展  2015年5月13日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  96. マルチ・メニーコアプロセッサを用いた 車載制御システムの実現に向けて 国際会議

    枝廣 正人

    NCESシンポジウム  2012年10月9日 

     詳細を見る

    記述言語:日本語   会議種別:シンポジウム・ワークショップ パネル(指名)  

  97. マルチレート制御モデルのイベントドリブンプロセッサ実装 国際会議

    大川 禎, 枝廣 正人

    情報処理学会組込みシステム研究会  2013年9月10日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  98. マルチコア向けソフトウェア開発の基礎と最新動向 国際会議

    枝廣 正人

    JASA中部支部 技術セミナー  2013年8月5日 

     詳細を見る

    記述言語:日本語   会議種別:シンポジウム・ワークショップ パネル(指名)  

  99. マルチコア向けソフトウェア開発の基礎と最新動向 国際会議

    枝廣 正人

    組込みシステム開発技術展  2013年5月9日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  100. マルチコア向けソフトウェア開発の基礎と最新動向 国際会議

    枝廣 正人

    組込みシステム開発技術展  2012年5月10日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  101. マルチコア向けソフトウェア開発の基礎と最新動向 国際会議

    枝廣 正人

    JASA近畿支部 技術セミナー  2011年10月26日 

     詳細を見る

    記述言語:日本語   会議種別:シンポジウム・ワークショップ パネル(指名)  

  102. マルチコア向けソフトウェア開発の基礎と最新動向 国際会議

    枝廣 正人

    組込みシステム開発技術展  2011年5月13日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  103. マルチコアプロセッサ上への実装時における並列度向上に向けたマルチレート電流制御系の解析 国際会議

    井上 雅理, 黒柳 彰宏, 枝廣 正人, 道木 慎二

    平成30年電気学会産業応用部門大会  2018年8月28日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  104. ヘテロマルチプロセッサシステム向けプロセッサ間通信の自動合成 国際会議

    石田薫史, 安藤友樹, 本田晋也, 高田広章, 枝廣正人

    デザインガイア2013  2013年11月 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  105. パス解析を用いた並列実行時メモリ読み書き順序の変化検出 国際会議

    杉山 由芳, 枝廣 正人

    ETNET2017  2017年3月9日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  106. ハードウェア抽象化記述SHIMによる性能見積のためのLLVM-IR命令実行時間計測手法 国際会議

    鳥越 敬, 枝廣 正人

    ETNET2020  2020年2月28日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  107. ハードウェア抽象化記述SHIMとSHIMulatorによるソフトウェア動的性能見積手法 国際会議

    佐合 惇, 枝廣 正人

    ETNET2019  2019年3月17日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  108. ニューラルネットによるモデル予測制御高速化 国際会議

    竹松 慎弥, 嶋岡 雅浩, 道木 慎二, 枝廣 正人

    ETNET2018  2018年3月7日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  109. ソフトウェア向けハードウェア性能記述を用いたマルチコアにおける性能見積り 国際会議

    西村 裕, 中村 陸, 荒川 文男, 枝廣 正人

    ETNET  2014年3月16日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  110. ソフトのための国際標準ハードウェアモデル記述SHIM 1.0による性能見積とSHIM2.0への方向性 国際会議

    枝廣 正人

    組込みマルチコアサミット2017West  2017年7月13日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  111. ソフトのための国際標準ハードウェアモデル記述SHIM 1.0による性能見積とSHIM2.0への方向性 国際会議

    枝廣 正人

    組込みマルチコアサミット2016  2016年11月17日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  112. システムレベル設計における制御システム向けプロファイル機構",情報処理学会研究報告 国際会議

    繆 同徳, 安藤 友樹, 本田 晋也, 高田 広章, 枝廣 正人

    デザインガイア2014  2014年11月 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  113. Simulinkモデルベース自動並列化とSHIM 国際会議

    枝廣 正人

    組込みマルチコアサミット2014  2014年11月20日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  114. Simulinkモデルから状態方程式・出力方程式の抽出 国際会議

    池田 良裕, 枝廣 正人

    第41回組込みシステム研究会  2016年6月2日 

     詳細を見る

    記述言語:日本語   会議種別:ポスター発表  

  115. Simulinkモデルからのブロックレベル並列化 国際会議

    枝廣 正人

    組込みマルチコアサミット2015  2015年11月19日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  116. SimulinkモデルからCPUとアクセラレータの併用コードの作成手法 国際会議

    甲斐琢朗, 森裕司(NSITEXE, 枝廣正人

    ETNET2020  2020年2月28日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  117. MBP(モデルベース並列化)を用いたクロスレイヤ設計 国際会議

    枝廣 正人

    組込みマルチコアサミット2017  2017年11月16日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  118. Improving Core Allocation of Simulink Model for Embedded Multi-core Systems

    S. Kojima, M. Edahiro, T. Azumi

    IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2017)  2017年8月16日 

     詳細を見る

    記述言語:英語   会議種別:ポスター発表  

  119. Hardware Multitasking in Dynamically Partially Reconfigurable FPGA-based Embedded Systems

    Krzysztof Jozwik, Hiroyuki Tomiyama, Masato Edahiro, Shinya Honda, Hiroaki Takada

    International SoC Design Conference (ISOCC)  2011年11月 

     詳細を見る

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  120. EMC活動の紹介とビジョン 国際会議

    枝廣 正人

    組込み向け マルチ・メニーコア ソフトウェア開発 テクニカルセミナー  2018年9月4日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  121. モデルベース並列化ツールによるマルチコアプロセッサ上へのベクトル制御系実装の検討 国際会議

    吉田 恭介, 井上 雅理, 井ノ川 誠, 黒柳 彰宏, 本田 晋也, 枝廣 正人, 道木 慎二, 小島 流石, 安積 卓也, 中本 幸一

    平成31年電気学会全国大会論文集  2019年3月1日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  122. 高位合成によるSTPエンジン及びFPGAへのAES/ADPCMの実装と評価 国際会議

    石田 薫史, 柴田 誠也, 安藤 友樹, 本田 晋也, 高田 広章, 枝廣 正人

    電子情報通信学会リコンフィギャラブル研究会  2012年5月 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  123. 階層構造を持つメニーコアアーキテクチャへのタスクマッピング 国際会議

    油谷 創, 枝廣 正人

    第34回組込みシステム研究発表会  2014年9月17日  Y. Ando, Shinya Honda, Hiroaki Takada andMasato Edahiro

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  124. 組込みメニーコアに向けた (OSと)制御アプリ並列化 国際会議

    枝廣 正人

    SWEST  2013年8月23日 

     詳細を見る

    記述言語:日本語   会議種別:シンポジウム・ワークショップ パネル(指名)  

  125. 組込みマルチ・メニーコアの現状とソフトウェア 国際会議

    枝廣 正人

    Embedded Technology  2011年11月18日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  126. 組込みマルチコアコンソーシアム概要 国際会議

    枝廣 正人

    組込みマルチコアサミット2014  2014年11月20日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  127. 組込みマルチコアコンソーシアムについて 国際会議

    枝廣 正人

    組込みマルチコアサミット2018  2018年11月15日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  128. 組込みマルチコアコンソーシアムについて 国際会議

    枝廣 正人

    組込みマルチコアサミット2019  2019年11月21日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  129. 組込みマルチコアコンソーシアムについて 国際会議

    枝廣 正人

    組込みマルチコアサミット2015  2015年11月19日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  130. 組込みマルチコアコンソーシアムについて 国際会議

    枝廣 正人

    組込みマルチコアサミット2017West  2017年7月13日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  131. 組込みマルチコアコンソーシアムについて 国際会議

    枝廣 正人

    組込みマルチコアサミット2017  2017年11月16日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  132. 組込みマルチコアコンソーシアムについて 国際会議

    枝廣 正人

    組込みマルチコアサミット2016  2016年11月17日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  133. 組込みマルチコアとソフトウェア 国際会議

    枝廣 正人

    中部エレクトロニクスショー  2011年11月15日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  134. 組込みマルチコアECU活用に向けた内部モデル制御の効率的な並列実装 国際会議

    鈴木 悠太, 佐多 宏太, 加古 純一, 枝廣 正人

    制御部門マルチシンポジウム  2014年3月7日 

     詳細を見る

    記述言語:日本語   会議種別:シンポジウム・ワークショップ パネル(公募)  

  135. 組込みシステムでの マルチ・メニーコアプロセッサ利用に向けて ~ SHIMとモデルベース並列化 ~ 国際会議

    枝廣 正人

    CEATEC  2015年10月9日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  136. 永久磁石同期モータ電流制御系のための予測制御アルゴリズム並列化 国際会議

    竹松 慎弥, 道木 慎二, 嶋岡 雅浩, 枝廣 正人

    第41回組込みシステム研究会  2016年6月2日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  137. 永久磁石同期モータ電流制御系のための予測制御アルゴリズム並列化 国際会議

    竹松 慎弥, 市村 駿太郎, 岩間 拓也, 嶋岡 雅浩, 道木 慎二, 枝廣 正人

    情報処理学会全国大会  2017年3月16日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  138. 差分方程式の解析的な並列化とそのモデリング 国際会議

    鈴木 悠太, 枝廣 正人

    情報処理学会組込みシステム研究会  2013年9月10日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  139. 単方向1:1高速同期機構を用いた組込み制御並列化 国際会議

    中村 陸, 荒川 文男, 枝廣 正人

    情報処理学会組込みシステム研究会  2013年11月26日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  140. 単方向1:1高速同期機構を用いた組込み制御並列化 国際会議

    中村 陸, 枝廣 正人

    情報処理学会組込みシステムシンポジウム  2013年10月17日 

     詳細を見る

    記述言語:日本語   会議種別:ポスター発表  

  141. 単方向1:1高速同期機構を用いたFPGA実装と評価 国際会議

    溝口 裕哉, 中村 陸, 安藤 友樹, 荒川 文男, 枝廣 正人

    ETNET  2014年3月16日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  142. 割込み処理を考慮したシステムレベル設計手法 国際会議

    安藤 友樹, 石田 薫史, 本田 晋也, 高田 広章, 枝廣 正人

    デザインガイア2013  2013年11月 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  143. 割込みハンドラのハードウェア化を実現するシステムレベル設計手法", 情報処理学会研究報告 国際会議

    安藤 友樹, 本田 晋也, 高田 広章, 枝廣 正人

    デザインガイア2014  2014年11月 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  144. 制御モデルに内在する遅延を用いた並列化 国際会議

    池田 良裕, 鈴木 悠太(デンソ, 峰田 憲一(デンソ, 森 裕司(デンソー, 井上 雅理, 道木 慎二, 枝廣 正人

    ETNET2018  2018年3月7日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  145. 共有メモリ付階層型制御モデルの並列化アルゴリズムのCSPによる形式化とFDRによる検証 国際会議

    于文博, 磯部 祥尚, 枝廣 正人

    ETNET2020  2020年2月28日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  146. レガシコードを含むモデルベース開発における並列化手法 国際会議

    黒柳 彰宏, 金森公洋, 枝廣 正人

    ETNET2019  2019年3月17日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  147. モータ制御系のマルチコア実装時における並列度向上に向けて遅延を導入した電流制御系の解析 国際会議

    キム ジンス, 井上 雅理, 加藤 聖也, 黒柳 彰宏, 枝廣 正人, 道木 慎二

    平成31年電気学会全国大会論文集  2019年3月1日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  148. モデル解析によるマルチレートSimulinkモデル並列化 国際会議

    池田 良裕, 市橋 友樹, 仲田 壮佑, 枝廣 正人

    情報処理学会全国大会  2017年3月16日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  149. モデル解析によるマルチレートSimulinkモデルの性能向上 国際会議

    池田 良裕, 鈴木 均(ルネサス, エレクトロニクス, 枝廣 正人

    ETNET2017  2017年3月9日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  150. モデル予測制御における非線形漸化式実行の並列化 国際会議

    山田 竜正, 枝廣 正人

    ETNET2015  2015年3月6日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  151. モデルベース開発におけるマルチ・メニーコア向け自動並列化 国際会議

    鍾 兆前, 枝廣 正人

    ETNET2017  2017年3月9日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  152. モデルベース開発におけるデータ並列化に関する検討 国際会議

    竹松 慎弥, 枝廣 正人

    ETNET2017  2017年3月9日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

  153. モデルベース開発におけるKALRAY MPPA メニーコア向け並列化 国際会議

    鍾 兆前, 枝廣 正人

    情報処理学会全国大会  2017年3月16日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

▼全件表示

Works(作品等) 6

  1. モデルベース並列化のツール体系

    2018年11月
    -
    2019年11月

     詳細を見る

    発表場所:YouTube 動画  

  2. モデルベース並列化のツール体系

    2018年11月
    -
    2019年11月

     詳細を見る

    発表場所:YouTube 動画  

  3. MBP (Model-Based Parallelization)

    2016年11月
    -
    2017年11月

     詳細を見る

    発表場所:YouTube Video  

  4. モデルベース開発からTOPPERS搭載システムへのクロスレイヤ自動設計を利用したマルチコアモータ制御実装

    2016年11月
    -
    2017年11月

     詳細を見る

    発表場所:YouTube 動画  

    ~第7回TOPPERS活用アイデア・アプリ  ケーション開発コンテスト 銅賞
    ~ 組込みシステムシンポジウム2017  優秀ポスター賞

  5. MBP (Model-Based Parallelization)

    2016年11月
    -
    2017年11月

     詳細を見る

    発表場所:YouTube Video  

  6. モデルベース開発からTOPPERS搭載システムへのクロスレイヤ自動設計を利用したマルチコアモータ制御実装

    2016年11月
    -
    2017年11月

     詳細を見る

    発表場所:YouTube 動画  

    ~第7回TOPPERS活用アイデア・アプリ ケーション開発コンテスト 銅賞
    ~ 組込みシステムシンポジウム2017 優秀ポスター賞

▼全件表示

共同研究・競争的資金等の研究課題 4

  1. スケーラブルなエッジHPCを実 現するOS統合型プラットフォー ムの研究開発

    2018年7月 - 2021年2月

    高効率・高速 処理を可能と するAIチップ ・次世代コン ピューティン グの技術開発 

    本村真人

      詳細を見る

    資金種別:競争的資金

  2. モデルベース並列化 MBP(Simulink, C/C++, AMALTHEA)

    2013年4月 - 現在

    国内共同研究 

      詳細を見る

    Simulinkモデルからマルチコアプロセッサ向けに自動的に並列化する.特にSimulinkブロックをプロセッサに割り当てる方式を採っている.その他にAMALTHEAモデル、C/C++の関数レベル(メソッドレベル)並列化も実施している。

  3. 多様なマルチ・メニーコアの高度な活用を可能にする標準プラットフォーム開発とエコシステム構築による省エネルギー技術の実用化

    2013年1月 - 2015年2月

    平成24年度 戦略的省エネルギー技術革新プログラム 

      詳細を見る

    資金種別:競争的資金

  4. マルチ・メニーコア向けソフトウェアプラットフォーム

    2011年4月 - 現在

    国内共同研究 

科研費 7

  1. マルチ・メニーコア向け高並列組み込み制御システム設計・実装手法の研究

    研究課題/研究課題番号:16H02800  2016年4月 - 2019年3月

    科学研究費助成事業  基盤研究(B)

    枝廣 正人, 道木 慎二, 安積 卓也, 中本 幸一, 本田 晋也

      詳細を見る

    担当区分:研究代表者 

    配分額:17160000円 ( 直接経費:13200000円 、 間接経費:3960000円 )

    一つの半導体チップに複数のプロセッサを搭載するマルチ・メニーコアプロセッサ(以下,マルチコア)向け高並列組込み制御システム設計・実装手法の確立を目的とし,以下の4項目を実現した.(ア)高並列化を実現する制御アルゴリズムを確立,(イ)マルチコア活用を目指す制御設計とソフトウェア実装を統合するクロスレイヤ設計手法を確立し,車載制御を意識した実際の環境において実証,(ウ)モデルベース並列化における最大実行時間最小化手法を提案,(エ)PCを用いた組込み制御高速シミュレーション手法を提案.
    IoT社会においては高性能制御を機器に組み込むニーズが増大するが,機器内では電力制約によりプロセッサ動作周波数を上げることができず,マルチコアプロセッサによる高性能化に期待が高まっている.この実現には制御ソフトウェアの並列化が必須であるが,従来の制御アルゴリズムは並列化が困難であり,マルチコアを有効に利用することができなかった.本研究において実現した,制御アルゴリズム,制御-実装協調設計手法および設計自動化技術は,マルチコア向け組込み制御ソフトウェアの設計生産性向上を可能にする.

  2. 階層型組み込みメニーコア向けタスク配置手法の研究

    研究課題/研究課題番号:24500058  2012年4月 - 2015年3月

    科学研究費助成事業  基盤研究(C)

    枝廣 正人, 油谷 創

      詳細を見る

    担当区分:研究代表者 

    配分額:5200000円 ( 直接経費:4000000円 、 間接経費:1200000円 )

    階層型メニーコアアーキテクチャに対し、階層構造を考慮したタスク配置手法を提案した。提案手法はLSI配置向けに提案された階層クラスタリング法をもとにしているが、タスクマッピング問題に適用するため、タスク間通信量や、アーキテクチャ内の配線の使用頻度の偏り等を考慮している。従来手法と比較した結果、通信コスト、アプリケーション完了時間を削減し、通信コストの最小化によってアプリケーション自体の実行時間が削減できるということを示した。

  3. スケーラブルなエッジHPCを実 現するOS統合型プラットフォー ムの研究開発

    2018年7月 - 2021年2月

    NEDO  高効率・高速 処理を可能と するAIチップ ・次世代コン ピューティン グの技術開発 

    本村真人, 権藤正樹(eSOL

      詳細を見る

    資金種別:競争的資金

  4. マルチ・メニーコア向け高並列組み込み制御システム設計・実装手法の研究

    2016年4月 - 2019年3月

    科学研究費補助金  基盤研究(B)

    枝廣 正人

      詳細を見る

    担当区分:研究代表者 

  5. 階層型組み込みメニーコア向けタスク配置手法の研究

    2012年4月 - 2015年3月

    科学研究費補助金  基盤研究(C)

      詳細を見る

    担当区分:研究代表者 

  6. 低消費エネルギー化プロセッサアーキテクチャの創出

    研究課題/研究課題番号:24300012  2012年4月 - 2015年3月

    日本学術振興会  科学研究費助成事業  基盤研究(B)

    本村 真人, 浅井 哲也, 枝廣 正人, 浅井 哲也, 枝廣 正人

      詳細を見る

    担当区分:連携研究者 

    処理の内容が多岐にわたり、かつ低消費電力性が強く求められる組込み用途をターゲットとして、動的再構成を必要最小限にとどめることで柔軟性と低消費電力性の両立を目指した新たなプロセッサアクセラレータのアーキテクチャを提案した。このアーキテクチャをControl-Flow Driven Data-Flow Switching (CDDS)アーキテクチャと名付け、その詳細設計とテストチップ設計を進め、実チップ測定によりその電力低減効果を実証した。評価結果をまとめた論文が半導体分野では大きな国際会議であるA-SSCCに採択され、論文発表並びにデモ公開を行った。

  7. 階層型組み込みメニーコア向けタスク配置手法の研究

    2012年4月 - 2015年3月

    日本学術振興会  科学研究費助成事業  基盤研究(C)

      詳細を見る

    資金種別:競争的資金

▼全件表示

産業財産権 48

  1. CONTROL DEVICE DESIGN METHOD AND CONTROL DEVICE

    佐多宏太(トヨタ自動車) 、加古純一(トヨタ自動車) 、渡邊智(トヨタ自動車) 、鈴木悠太 、枝廣正人

     詳細を見る

    特許番号/登録番号:EP2960727  登録日:2018年5月 

    出願国:外国  

  2. CONTROL DEVICE

    佐多宏太(トヨタ自動車) 、加古純一(トヨタ自動車) 、渡邊智(トヨタ自動車) 、鈴木悠太 、枝廣正人

     詳細を見る

    特許番号/登録番号:EP2960728  登録日:2019年2月 

    出願国:外国  

  3. CONTROL DEVICE DESIGN METHOD AND CONTROL DEVICE

    佐多宏太(トヨタ自動車) 、加古純一(トヨタ自動車) 、渡邊智(トヨタ自動車) 、鈴木悠太 、枝廣正人

     詳細を見る

    特許番号/登録番号:US10241483  登録日:2019年3月 

    出願国:外国  

  4. 制御装置の設計方法及び制御装置

    佐多宏太(トヨタ自動車) 、加古純一(トヨタ自動車) 、渡邊智(トヨタ自動車) 、鈴木悠太 、枝廣正人

     詳細を見る

    特許番号/登録番号:5932128  登録日:2015年3月 

    出願国:国内  

  5. 制御装置

    佐多宏太(トヨタ自動車) 、加古純一(トヨタ自動車) 、渡邊智(トヨタ自動車) 、鈴木悠太 、枝廣正人

     詳細を見る

    特許番号/登録番号:5714622  登録日:2015年3月 

    出願国:国内  

  6. CONTROL DEVICE DESIGN METHOD AND CONTROL DEVICE

    佐多宏太(トヨタ自動車) 、加古純一(トヨタ自動車) 、渡邊智(トヨタ自動車) 、鈴木悠太 、枝廣正人

     詳細を見る

    特許番号/登録番号:ZL201480008525.8  登録日:2017年6月 

    出願国:外国  

  7. CONTROL DEVICE

    佐多宏太(トヨタ自動車) 、加古純一(トヨタ自動車) 、渡邊智(トヨタ自動車) 、鈴木悠太 、枝廣正人

     詳細を見る

    特許番号/登録番号:ZL201480008444.8  登録日:2017年5月 

    出願国:外国  

  8. マルチプロセッサシステム、マルチプロセッサシステムにおけるシステム構成方法及びそのプログラム

    井上浩明 、酒井淳嗣 、阿部剛 、枝廣正人

     詳細を見る

    特許番号/登録番号:5370936  登録日:2013年9月 

    出願国:国内  

  9. 並列ソート装置、方法、およびプログラム

    枝廣正人 、山下慶子

     詳細を見る

    特許番号/登録番号:5304251  登録日:2013年7月 

    出願国:国内  

  10. 経路探索方法および経路探索装置

    枝廣正人 、山下慶子

     詳細を見る

    特許番号/登録番号:5164028  登録日:2012年12月 

    出願国:国内  

  11. 情報通信処理装置、情報通信端末、情報通信システム、機能切替方法及び機能切替プログラム

    井上浩明 、枝廣正人

     詳細を見る

    特許番号/登録番号:5621191  登録日:2014年10月 

    出願国:国内  

  12. 半導体集積回路及びフィルタ制御方法

    井上浩明 、高木将通 、上久保雅規 、鳥居淳 、枝廣正人

     詳細を見る

    特許番号/登録番号:5287718  登録日:2013年6月 

    出願国:国内  

  13. 情報処理装置、実行環境転送方法及びそのプログラム

    井上浩明 、阿部剛 、酒井淳嗣 、枝廣正人

     詳細を見る

    特許番号/登録番号:5273043  登録日:2013年5月 

    出願国:国内  

  14. 半導体集積回路及びフィルタ制御方法

    井上浩明 、高木将通 、上久保雅規 、鳥居淳 、枝廣正人

     詳細を見る

    特許番号/登録番号:5246158  登録日:2013年4月 

    出願国:国内  

  15. マルチプロセッサシステム、マルチプロセッサシステムにおけるシステム構成方法及びそのプログラム

    井上浩明 、酒井淳嗣 、阿部剛 、枝廣正人

     詳細を見る

    特許番号/登録番号:4947441  登録日:2012年3月 

    出願国:国内  

  16. 情報通信装置及びプログラム実行環境制御方法

    井上浩明 、酒井淳嗣 、阿部剛 、枝廣正人

     詳細を見る

    特許番号/登録番号:4811271  登録日:2011年9月 

    出願国:国内  

  17. 情報処理装置、復旧装置、プログラム及び復旧方法

    井上浩明 、酒井淳嗣 、阿部剛 、上久保雅規 、鈴木紀章 、枝廣正人

     詳細を見る

    特許番号/登録番号:4556144  登録日:2010年7月 

    出願国:国内  

  18. 並列処理システム及び並列処理プログラム

    井上浩明 、伊藤義行 、酒井淳嗣 、枝廣正人

     詳細を見る

    特許番号/登録番号:4196333  登録日:2008年10月 

    出願国:国内  

  19. 並列処理システム及び並列処理プログラム

    井上浩明 、伊藤義行 、酒井淳嗣 、枝廣正人

     詳細を見る

    特許番号/登録番号:4171910  登録日:2008年8月 

    出願国:国内  

  20. 並列処理システム及び並列処理プログラム

    井上浩明 、伊藤義行 、酒井淳嗣 、枝廣正人

     詳細を見る

    特許番号/登録番号:4062441  登録日:2008年1月 

    出願国:国内  

  21. シングルプロセッサ向けOSによる並列処理システム、並列処理プログラム

    井上浩明、伊藤義行、酒井淳嗣、枝廣正人

     詳細を見る

    特許番号/登録番号:4051703  登録日:2007年12月 

    出願国:国内  

  22. シングルプロセッサ向けOSによる並列処理システム

    井上浩明、枝廣正人、伊藤義行、酒井淳嗣、皆上徹也

     詳細を見る

    特許番号/登録番号:3969308  登録日:2007年6月 

    出願国:国内  

  23. レーザ加工装置

    尾野間香美、枝廣正人

     詳細を見る

    特許番号/登録番号:3052928  登録日:2000年4月 

    出願国:国内  

  24. クロック分配回路

    枝廣正人

     詳細を見る

    特許番号/登録番号:2778572  登録日:1998年5月 

    出願国:国内  

  25. クロック分配回路

    枝廣 正人

     詳細を見る

    特許番号/登録番号:2699831  登録日:1997年9月 

    出願国:国内  

  26. CONTROL DEVICE DESIGN METHOD AND CONTROL DEVICE

    佐多宏太, トヨタ自動車, 加古純一, トヨタ自動車, 渡邊智(トヨタ自動車, 鈴木悠太, 枝廣正人

     詳細を見る

    特許番号/登録番号:US10241483  発行日:2019年3月

  27. CONTROL DEVICE

    佐多宏太, トヨタ自動車, 加古純一, トヨタ自動車, 渡邊智(トヨタ自動車, 鈴木悠太, 枝廣正人

     詳細を見る

    特許番号/登録番号:EP2960728  発行日:2019年2月

  28. クロック分配回路

    枝廣 正人

     詳細を見る

    特許番号/登録番号:2699831  発行日:1997年9月

  29. CONTROL DEVICE DESIGN METHOD AND CONTROL DEVICE

    佐多宏太, トヨタ自動車, 加古純一, トヨタ自動車, 渡邊智(トヨタ自動車, 鈴木悠太, 枝廣正人

     詳細を見る

    特許番号/登録番号:EP2960727  発行日:2018年5月

  30. クロック分配回路

    枝廣正人

     詳細を見る

    特許番号/登録番号:2778572  発行日:1998年5月

  31. 経路探索方法および経路探索装置

    枝廣正人, 山下慶子

     詳細を見る

    特許番号/登録番号:5164028  発行日:2012年12月

  32. 情報通信装置及びプログラム実行環境制御方法

    井上浩明, 酒井淳嗣, 阿部剛, 枝廣正人

     詳細を見る

    特許番号/登録番号:4811271  発行日:2011年9月

  33. 情報通信処理装置、情報通信端末、情報通信システム、機能切替方法及び機能切替プログラム

    井上浩明, 枝廣正人

     詳細を見る

    特許番号/登録番号:5621191  発行日:2014年10月

  34. 情報処理装置、復旧装置、プログラム及び復旧方法

    井上浩明, 酒井淳嗣, 阿部剛, 上久保雅規, 鈴木紀章, 枝廣正人

     詳細を見る

    特許番号/登録番号:4556144  発行日:2010年7月

  35. 情報処理装置、実行環境転送方法及びそのプログラム

    井上浩明, 阿部剛, 酒井淳嗣, 枝廣正人

     詳細を見る

    特許番号/登録番号:5273043  発行日:2013年5月

  36. 半導体集積回路及びフィルタ制御方法

    井上浩明, 高木将通, 上久保雅規, 鳥居淳, 枝廣正人

     詳細を見る

    特許番号/登録番号:5287718  発行日:2013年6月

  37. 半導体集積回路及びフィルタ制御方法

    井上浩明, 高木将通, 上久保雅規, 鳥居淳, 枝廣正人

     詳細を見る

    特許番号/登録番号:5246158  発行日:2013年4月

  38. 制御装置の設計方法及び制御装置

    佐多宏太, トヨタ自動車, 加古純一, トヨタ自動車, 渡邊智(トヨタ自動車, 鈴木悠太, 枝廣正人

     詳細を見る

    特許番号/登録番号:5932128  発行日:2015年3月

  39. 制御装置

    佐多宏太, トヨタ自動車, 加古純一, トヨタ自動車, 渡邊智(トヨタ自動車, 鈴木悠太, 枝廣正人

     詳細を見る

    特許番号/登録番号:5714622  発行日:2015年3月

  40. 並列処理システム及び並列処理プログラム

    井上浩明, 伊藤義行, 酒井淳嗣, 枝廣正人

     詳細を見る

    特許番号/登録番号:4196333  発行日:2008年10月

  41. 並列処理システム及び並列処理プログラム

    井上浩明, 伊藤義行, 酒井淳嗣, 枝廣正人

     詳細を見る

    特許番号/登録番号:4171910  発行日:2008年8月

  42. 並列処理システム及び並列処理プログラム

    井上浩明, 伊藤義行, 酒井淳嗣, 枝廣正人

     詳細を見る

    特許番号/登録番号:4062441  発行日:2008年1月

  43. 並列ソート装置、方法、およびプログラム

    枝廣正人, 山下慶子

     詳細を見る

    特許番号/登録番号:5304251  発行日:2013年7月

  44. レーザ加工装置

    尾野間香美, 枝廣正人

     詳細を見る

    特許番号/登録番号:3052928  発行日:2000年4月

  45. マルチプロセッサシステム、マルチプロセッサシステムにおけるシステム構成方法及びそのプログラム

    井上浩明, 酒井淳嗣, 阿部剛, 枝廣正人

     詳細を見る

    特許番号/登録番号:5370936  発行日:2013年9月

  46. マルチプロセッサシステム、マルチプロセッサシステムにおけるシステム構成方法及びそのプログラム

    井上浩明, 酒井淳嗣, 阿部剛, 枝廣正人

     詳細を見る

    特許番号/登録番号:4947441  発行日:2012年3月

  47. シングルプロセッサ向けOSによる並列処理システム、並列処理プログラム

    井上浩明, 伊藤義行, 酒井淳嗣, 枝廣正人

     詳細を見る

    特許番号/登録番号:4051703  発行日:2007年12月

  48. シングルプロセッサ向けOSによる並列処理システム

    井上浩明, 枝廣正人, 伊藤義行, 酒井淳嗣, 皆上徹也

     詳細を見る

    特許番号/登録番号:3969308  発行日:2007年6月

▼全件表示

 

担当経験のある科目 (本学) 61

  1. インフォマティックス1

    2022

  2. 計算機アーキテクチャ特論A

    2022

  3. 論理設計及び演習2

    2022

  4. 論理設計及び演習2

    2022

  5. 論理設計及び演習1

    2022

  6. 論理設計及び演習1

    2022

  7. 情報科学入門

    2021

  8. インフォマティックス1

    2021

  9. 計算機アーキテクチャ特論A

    2021

  10. 論理回路及び演習

    2021

  11. 論理設計及び演習2

    2021

  12. 論理設計及び演習2

    2021

  13. 論理設計及び演習1

    2021

  14. 論理設計及び演習1

    2021

  15. 情報科学入門

    2020

  16. 論理回路及び演習

    2020

  17. 論理設計及び演習2

    2020

  18. 論理設計及び演習2

    2020

  19. 論理設計及び演習1

    2020

  20. 論理設計及び演習1

    2020

  21. インフォマティックス1

    2020

  22. 計算機アーキテクチャ特論A

    2020

  23. 情報科学入門

    2019

     詳細を見る

    情報科学に必要な基礎理論.自分自身は確率・統計を担当

  24. インフォマティクス1

    2019

  25. 論理設計及び演習1、2

    2019

     詳細を見る

    論理回路の基本

  26. 基礎セミナー

    2019

  27. 計算機アーキテクチャ特論A

    2019

     詳細を見る

    並列アーキテクチャの基本

  28. 論理設計及び演習1、2

    2018

     詳細を見る

    論理回路の基本

  29. 計算機アーキテクチャ特論A

    2018

     詳細を見る

    並列アーキテクチャの基本

  30. 情報科学入門

    2018

     詳細を見る

    情報科学に必要な基礎理論.自分自身は確率・統計を担当

  31. 情報リテラシ(理系)

    2018

  32. インフォマティクス1

    2018

  33. 情報リテラシ(理系)

    2017

  34. 基礎セミナー

    2017

  35. 情報科学入門

    2017

     詳細を見る

    情報科学に必要な基礎理論.自分自身は確率・統計を担当

  36. 論理回路及び演習

    2017

     詳細を見る

    論理回路の基本

  37. 計算機アーキテクチャ特論A

    2017

     詳細を見る

    並列アーキテクチャの基本

  38. インフォマティクス1

    2017

  39. 基礎セミナー

    2016

  40. 情報科学入門

    2016

     詳細を見る

    情報科学に必要な基礎理論.自分自身は確率・統計を担当

  41. 論理回路及び演習

    2016

     詳細を見る

    論理回路の基本

  42. 計算機アーキテクチャ特論

    2016

     詳細を見る

    並列アーキテクチャの基本

  43. 情報科学入門

    2015

     詳細を見る

    情報科学に必要な基礎理論.自分自身は確率・統計を担当

  44. 情報リテラシ(理系)

    2015

  45. 集積システム設計特論

    2015

     詳細を見る

    特にハードウェアのシステム設計

  46. 計算機アーキテクチャ特論

    2015

     詳細を見る

    並列アーキテクチャの基本

  47. 論理回路及び演習

    2015

     詳細を見る

    論理回路の基本

  48. 情報科学入門

    2014

     詳細を見る

    情報科学に必要な基礎理論.自分自身は確率・統計を担当

  49. 計算機アーキテクチャ特論

    2014

     詳細を見る

    並列アーキテクチャの基本

  50. 論理回路及び演習

    2014

     詳細を見る

    論理回路の基本

  51. 集積システム設計特論

    2013

     詳細を見る

    特にハードウェアのシステム設計

  52. 計算機アーキテクチャ特論

    2013

     詳細を見る

    並列アーキテクチャの基本

  53. 情報リテラシ(理系)

    2013

  54. 基礎セミナー

    2013

  55. 論理回路及び演習

    2013

     詳細を見る

    論理回路の基本

  56. 計算機アーキテクチャ特論

    2012

     詳細を見る

    並列アーキテクチャの基本

  57. 情報リテラシ(理系)

    2012

  58. 基礎セミナー

    2012

  59. 論理回路及び演習

    2012

     詳細を見る

    論理回路の基本

  60. 集積システム設計特論

    2011

     詳細を見る

    特にハードウェアのシステム設計

  61. 論理回路及び演習

    2011

     詳細を見る

    論理回路の基本

▼全件表示

 

社会貢献活動 3

  1. 出前授業

    役割:講師

    愛知県立知立東高等学校  2019年8月

     詳細を見る

    対象: 高校生

    種別:出前授業

  2. 出前授業

    役割:講師

    愛知県立知立東高等学校  2018年8月

     詳細を見る

    対象: 高校生

    種別:出前授業

  3. 模擬授業

    役割:講師

    2016年12月

     詳細を見る

    対象: 中学生

    種別:出前授業

    私立滝中学校向け