2024/03/13 更新

写真a

マキハラ カツノリ
牧原 克典
Katsunori Makihara
所属
大学院工学研究科 電子工学専攻 情報デバイス工学 教授
大学院担当
大学院工学研究科
学部担当
工学部 電気電子情報工学科
職名
教授

学位 1

  1. 博士(工学) ( 2006年3月   広島大学 ) 

研究キーワード 2

  1. Si系量子ドット

  2. 磁性ナノドット

現在の研究課題とSDGs 2

  1. 半導体ナノ構造の形成と量子物性制御に関する研究

  2. 磁性ナノ構造の形成と超低消費電力機能メモリに関する研究

経歴 6

  1. Innovations for High Performance Microelectronics   客員研究員

    2019年5月 - 2019年8月

      詳細を見る

    国名:ドイツ連邦共和国

  2. 名古屋大学   大学院工学研究科 電子工学専攻 情報デバイス工学   准教授

    2017年4月 - 現在

  3. 名古屋大学大学院工学研究科   准教授

    2014年4月 - 現在

      詳細を見る

    国名:日本国

  4. 名古屋大学大学院工学研究科   助教

    2010年12月 - 2014年3月

      詳細を見る

    国名:日本国

  5. 広島大学大学院先端物質科学研究科   研究員

    2009年4月 - 2010年11月

      詳細を見る

    国名:日本国

  6. 日本学術振興会 特別研究員(PD)   研究員

    2006年4月 - 2009年3月

      詳細を見る

    国名:日本国

▼全件表示

学歴 1

  1. 広島大学   先端物質科学研究科   半導体集積科学

    2003年4月 - 2006年3月

      詳細を見る

    国名: 日本国

所属学協会 4

  1. シリコンテクノロジー分科会   表面・界面・シリコン材料研究委員会 幹事

    2017年1月 - 現在

  2. 応用物理学会 東海支部   幹事

  3. 日本表面真空学会 中部支部   幹事

  4. 薄膜・表面物理分科会   会員

委員歴 9

  1. 組織委員会および実行委員会 庶務  

    2022年   

  2. 実行委員会 庶務  

    2019年   

  3. 実行委員 庶務  

    2019年   

  4. 実行委員  

    2018年   

  5. 国際会議プログラム委員  

    2017年   

      詳細を見る

    団体区分:その他

  6. 現地実行委員  

    2014年 - 2016年   

      詳細を見る

    団体区分:その他

  7. 実行委員会 庶務  

    2013年   

  8. Int. Conf. on Plasma Nanotechnology & Science   現地実行委員  

    2011年 - 2016年   

  9. 実行委員  

    2011年 - 2012年   

▼全件表示

受賞 7

  1. ISPlasma2012 Best Presentation Award

    2012年3月   ISPlasma2012 Organizing Committee Chair  

     詳細を見る

    受賞国:日本国

  2. 第31回(2011年秋季)応用物理学会講演奨励賞

    2012年3月   公益社団法人 応用物理学会  

     詳細を見る

    受賞国:日本国

  3. Award for Encouragement of Research in Materials Science : The Materials Research Society of Japan (MRS-J)

    2008年12月   The IUMRS International Conference in Asia 2008 (IUMRS-ICA 2008)  

     詳細を見る

    受賞国:日本国

  4. 広島大学学生表彰

    2006年3月   広島大学  

     詳細を見る

    受賞国:日本国

  5. Award for Encouragement of Research of Materials Science

    2005年12月   Materials Research Society of Japan  

     詳細を見る

    受賞国:日本国

  6. 応用物理学会 支部学術講演会発表奨励賞

    2005年10月   応用物理学会 中国四国支部  

     詳細を見る

    受賞国:日本国

  7. 2005 IMFEDK Best Student Award

    2005年4月   IEEE, The EDS Kansai Chapter  

     詳細を見る

    受賞国:日本国

▼全件表示

 

論文 162

  1. Self-assembling formation of Si-QDs on SiO<sub>2</sub> line patterns

    Tsuji, R; Imai, Y; Baek, J; Makihara, K; Miyazaki, S

    JAPANESE JOURNAL OF APPLIED PHYSICS   63 巻 ( 3 )   2024年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    The lateral growth of Si-quantum dots (QDs) on line-patterned SiO2 from the thermal decomposition of pure monosilane (SiH4) has been systematically examined. We confirmed that the Si-QDs diameter in the line direction of the SiO2 patterns has the same growth rate as the SiO2 thin film surface without the line patterns. Moreover, it has been found that in the growth of Si-QDs in the width direction, a surface migration of Si precursors adsorbed from space regions could contribute to dots growth on line-patterned SiO2, which results in an elliptical growth. Furthermore, we have demonstrated a one-dimensional arrangement of highly dense-elliptical shaped Si-QDs with high uniformity in size by controlling line width, CVD time, and temperature.

    DOI: 10.35848/1347-4065/ad1ca0

    Web of Science

    Scopus

  2. Layer transfer of ultrathin Ge crystal segregated on Al/Ge(111) structure

    Matsushita Keigo, Ohta Akio, Shibayama Shigehisa, Tokunaga Tomoharu, Taoka Noriyuki, Makihara Katsunori, Miyazaki Seiichi

    JAPANESE JOURNAL OF APPLIED PHYSICS   62 巻 ( SG )   2023年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Effects of the surface modification by O2 plasma exposure on the Al/Ge(111) structure have been investigated in order to get an insight into a layer transfer technique of the ultrathin Ge layer segregated on the Al/Ge(111) structure toward the device fabrication, and then the wafer bonding of the Al/Ge(111) structure to the thermally-grown SiO2/Si structure has been demonstrated. The O2 plasma treatment and the subsequent pure water rinse were found to be effective to form the hydrophilic surface of the Al/Ge(111) structure with a suppression of the segregated Ge layer oxidation. The Al/Ge(111) structure with the hydrophilic surface was then bonded to the SiO2/Si substrate, and its bonding strength was enough to perform Ge thinning by the chemical mechanical polishing and the wet-chemical etching using H2O2-based solutions. Ohmic contact of the ring-type device pattern with the segregated Ge/Al stack was achieved by using the remaining p-type Ge substrate as the contact pads.

    DOI: 10.35848/1347-4065/acb65c

    Web of Science

    Scopus

  3. Room Temperature Light Emission from Superatom-like Ge-Core/Si-Shell Quantum Dots

    Makihara, K; Yamamoto, Y; Imai, Y; Taoka, N; Schubert, MA; Tillack, B; Miyazaki, S

    NANOMATERIALS   13 巻 ( 9 )   2023年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Nanomaterials  

    We have demonstrated the high–density formation of super–atom–like Si quantum dots with Ge–core on ultrathin SiO2 with control of high–selective chemical–vapor deposition and applied them to an active layer of light–emitting diodes (LEDs). Through luminescence measurements, we have reported characteristics carrier confinement and recombination properties in the Ge–core, reflecting the type II energy band discontinuity between the Si–clad and Ge–core. Additionally, under forward bias conditions over a threshold bias for LEDs, electroluminescence becomes observable at room temperature in the near–infrared region and is attributed to radiative recombination between quantized states in the Ge–core with a deep potential well for holes caused by electron/hole simultaneous injection from the gate and substrate, respectively. The results will lead to the development of Si–based light–emitting devices that are highly compatible with Si–ultra–large–scale integration processing, which has been believed to have extreme difficulty in realizing silicon photonics.

    DOI: 10.3390/nano13091475

    Web of Science

    Scopus

    PubMed

  4. Characterization of magnesium channeled implantation layers in GaN(0001)

    Suyama Atsushi, Kawanowa Hitoshi, Minagawa Hideaki, Maekawa Junko, Nagamachi Shinji, Aoki Masahiko, Ohta Akio, Makihara Katsunori, Miyazaki Seiichi

    JAPANESE JOURNAL OF APPLIED PHYSICS   62 巻 ( SC )   2023年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    The effect of Mg channeled implantation into epitaxially grown gallium nitride (GaN) was studied using Hall-effect measurements, photoluminescence (PL), transmission electron microscopy (TEM), scanning transmission electron microscopy (STEM) and Rutherford backscattering spectroscopy (RBS). In the channeled implantation, deeper profiles were obtained with lower implantation energy and less damage compared to random implantation. The donor-acceptor pair signal at ∼3.28 eV, suggesting that Mg is activated, was confirmed by PL measurement when the ion dose and implantation energy are 1 × 1014 cm−2 and 20 keV, respectively. However, even with channeled implantation, several types of defects including point defects and oblong defects as seen in the random implantation were observed by TEM/STEM analysis. RBS analysis showed slightly worse crystal qualities in channeled implantation compared to non-implanted samples. Mg channeled implantation is useful to achieve deeper profiles (>1 μm), but further condition tuning of process will be necessary for practical application.

    DOI: 10.35848/1347-4065/acb951

    Web of Science

    Scopus

  5. Formation of ultra-thin NiGe film with single crystalline phase and smooth surface

    Nishimura Shunsuke, Taoka Noriyuki, Ohta Akio, Makihara Katsunori, Miyazaki Seiichi

    JAPANESE JOURNAL OF APPLIED PHYSICS   62 巻 ( SC )   2023年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Formation of an ultra-thin nickel-germanide (Ni-germanide) film on a SiO2 film has been attempted with stacking structures of Ni with various thicknesses formed on Ge films with thicknesses of 4 nm or 20 nm and annealing in an N2 ambient condition. Physical analyses revealed that the ultra-thin Ni-germanide films with smooth surfaces could be formed on the SiO2 film after annealing at 400 °C without depending on the Ni thickness on the 4 nm thick Ge films. In the formation, reductive and oxidative reactions occurred in the films, which are quite important for determining a composition of the Ni-germanide.

    DOI: 10.35848/1347-4065/acac6f

    Web of Science

    Scopus

  6. Evaluation of chemical structure and Si segregation of Al/Si(111)

    Sakai Taiki, Ohta Akio, Matsushita Keigo, Taoka Noriyuki, Makihara Katsunori, Miyazaki Seiichi

    JAPANESE JOURNAL OF APPLIED PHYSICS   62 巻 ( SC )   2023年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Control of diffusion and segregation of Si atoms through a thin metal layer from a stacked Si structure is one of the effective techniques to grow two-dimensional (2D) or ultrathin Si crystals. We have studied the formation of the Al layer with a flat surface and high crystallinity on a wet-cleaned Si(111) wafer by thermal evaporation in order to use it as a growth template for ultrathin Si crystals. Then, the impacts of the annealing in N2 ambient on the surface flatness, the Al crystallinity, and the chemical bonding features for the Al/Si(111) structure were investigated. A formation of a sub-nanometer Si layer on the Al(111) surface using Si segregation with keeping surface flatness was demonstrated by the control of annealing temperature.

    DOI: 10.35848/1347-4065/acb1fd

    Web of Science

    Scopus

  7. Effects of Cl passivation on Al2O3/GaN interface properties

    Nagai Taisei, Taoka Noriyuki, Ohta Akio, Makihara Katsunori, Miyazaki Seiichi

    JAPANESE JOURNAL OF APPLIED PHYSICS   62 巻 ( SA )   2023年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    We investigated the effects of a HCl-based cleaning (SC2) and post-deposition annealing (PDA) on an Al2O3/GaN interface and electrical properties. X-ray photoelectron spectroscopy revealed the existence of the Cl atoms near the interface after the Al2O3 deposition and subsequent PDA, resulting in a band bending at the GaN surface. A C-V curve of a MOS capacitor with the Al2O3/GaN interface with SC2 was shifted toward the positive bias direction compared with that without SC2. It was found that PDA induced negative shifts of the C-V curves, and that the SC2 treatment increases interface trap density at the Al2O3/GaN interface. These results indicate that the Cl termination of the GaN surface has clear impacts on the interface and electrical properties.

    DOI: 10.35848/1347-4065/ac73d9

    Web of Science

    Scopus

  8. Study on Electron Emission from Phosphorus ?-Doped Si-QDs/Undoped Si-QDs Multiple-Stacked Structures

    Makihara, K; Takemoto, T; Obayashi, S; Ohta, A; Taoka, N; Miyazaki, S

    IEICE TRANSACTIONS ON ELECTRONICS   E105C 巻 ( 10 ) 頁: 610 - 615   2022年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEICE Transactions on Electronics  

    We have fabricated two-tiered heterostructures consisting of phosphorus δ-doped Si quantum dots (Si-QDs) and undoped Si-QDs and studied their electron field emission properties. Electron emission was observed from the P-doped Si-QDs stack formed on the undoped Si-QDs stack by applying a forward bias of ~6 V, which was lower than that for pure Si-QDs stack. This result is attributed to electric field concentration on the upper P-doped Si-QD layers beneath the layers of the undoped Si-QDs stack due to the introduction of phosphorus atom into the Si-QDs, which was positively charged due to the ionized P donor. The results lead to the development of planar-type electron emission devices with a low-voltage operation.

    DOI: 10.1587/transele.2021FUP0006

    Web of Science

    Scopus

    CiNii Research

  9. Impact of substrate heating during Al deposition and post annealing on surface morphology, Al crystallinity, and Ge segregation in Al/Ge(111) structure

    Matsushita Keigo, Ohta Akio, Taoka Noriyuki, Hayashi Shohei, Makihara Katsunori, Miyazaki Seiichi

    JAPANESE JOURNAL OF APPLIED PHYSICS   61 巻 ( SH )   2022年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    We have studied the impact of Ge substrate heating during ∼25 nm thick Al deposition and post annealing in N2 ambient on the surface flatness of an Al/Ge(111) structure, the crystallographic structure of the deposited Al layer, and formation of a Ge segregated layer. Surface segregation of Ge atoms on a flat metal surface is an effective means of growing two-dimensional Ge crystals as well as an ultrathin Ge crystalline layer. The surface morphology of the Al/Ge(111) structure becomes flat by substrate heating during Al deposition. The crystallinity of the Al layer on Ge(111) can be improved by both substrate heating and post annealing. Ge segregation on a flat Al(111) surface also occurred by post annealing.

    DOI: 10.35848/1347-4065/ac5fbc

    Web of Science

    Scopus

  10. Study on silicidation reaction of Fe nanodots with SiH<sub>4</sub>

    Furuhata, H; Makihara, K; Shimura, Y; Fujimori, S; Imai, Y; Ohta, A; Taoka, N; Miyazaki, S

    APPLIED PHYSICS EXPRESS   15 巻 ( 5 )   2022年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    We have demonstrated the formation of Fe-silicide nanodots (NDs) on SiO2 by exposing Fe NDs to SiH4. The Fe NDs were formed by exposing ultrathin Fe film deposited on SiO2 to remote H2-plasma. After SiH4 exposure at 400 °C, formation of Fe-silicide NDs with an areal dot density over 1011 cm-2 was confirmed. Photoluminescence from the Fe-silicide NDs was observable at room temperature in the near-infrared, being attributed to radiative recombination between quantized states in the NDs. The results will lead to the development of Si-based light-emitting devices that are highly compatible with Si ultralarge-scale-integration processing.

    DOI: 10.35848/1882-0786/ac6727

    Web of Science

    Scopus

  11. Effect of substrate temperature on plasma-enhanced self-assembling formation of high-density FePt nanodots

    Honda Shunsuke, Makihara Katsunori, Taoka Noriyuki, Furuhata Hiroshi, Ohta Akio, Oshima Daiki, Kato Takeshi, Miyazaki Seiichi

    JAPANESE JOURNAL OF APPLIED PHYSICS   61 巻 ( SA )   2022年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    We formed FePt magnetic nanodots (NDs) by exposing an ultrathin bilayer metal stack on ∼3.0 nm SiO2/Si(100) substrates to a remote H2 plasma (H2-RP) and studied the effect of external heating during the exposure to H2-RP on the formation and magnetic properties of NDs. The ultrathin bilayer with a uniform surface coverage drastically changed to NDs with an areal density as high as ∼3.5 × 1011 cm-2 by exposing to H2-RP with external heating. We also found that NDs formed by the exposure to H2-RP at 400 °C exhibited a perpendicular anisotropy with a perpendicular coercivity of ∼1.5 kOe, reflecting the magneto-crystalline anisotropy of (001)-oriented L10 phase FePt.

    DOI: 10.35848/1347-4065/ac2036

    Web of Science

    Scopus

  12. Segregation control for ultrathin Ge layer in Al/Ge(111) system

    Ohta, A; Kobayashi, M; Taoka, N; Ikeda, M; Makihara, K; Miyazaki, S

    JAPANESE JOURNAL OF APPLIED PHYSICS   61 巻 ( SA )   2022年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    An impact of the vacuum anneal of Al/Ge(111) structure on the Ge segregation has been investigated to get an insight into the precise control of ultrathin Ge crystalline growth. The Al/Ge(111) structure was prepared by thermal evaporation of Al on wet-cleaned Ge(111) and then vacuum annealed without air exposure to promote Ge formation on the Al surface. The Ge formation and its chemical bonding features were evaluated by X-ray photoelectron spectroscopy analysis. In addition, changes in the average Ge thickness depending on annealing temperature and time were crudely estimated. We found that the annealing temperature had a greater effect than time on the control of sub-nanometer scale Ge growth.

    DOI: 10.35848/1347-4065/ac19ff

    Web of Science

    Scopus

  13. Characterization of Light Emission Properties of Impurity Doped Ge/Si Core-Shell Quantum Dots

    Miyazaki S., Imai Y., Makihara K.

    ECS Transactions   109 巻 ( 4 ) 頁: 335 - 341   2022年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:ECS Transactions  

    We have fabricated valency-controlled Si-QDs with Ge-core with an areal density as high as ~1011 cm-2 on ultrathin SiO2 and studied the effect of phosphorus- and boron-doping on Ge-core from their PL properties. During the Ge deposition, delta doping of phosphorus or boron atoms in QDs was carried out by pulse injection using 1% PH3 or B2H6 diluted with He, respectively. No changes in dot size and density with either P- or B-doping were confirmed by AFM topographic images. Under photoexcitation of undoped QDs with a 976-nm line from a semiconductor laser, broad PL spectra consisting of four Gaussian components originating from radiative recombination through quantized states in QDs were observed in the energy range from 0.62 to 0.85 eV without impurity doping even at room temperature. In the doped QDs, relatively-narrow components peaked at ~0.68 eV and ~0.64eV were observed with P-doping and with B-doping to Ge-core, respectively, in addition to the four components seen in undoped QDs. It is interesting noted that, with an increase in B2H6 pulse injection from 1 to 4 times, the integrated PL intensity was enhanced by a factor of 1.4 to 2.4 compared to that of the undoped QDs while no significant change in spectral shape was observable. This can be interpreted in terms of an increase in the number of holes with B-doping to the Ge core since the carrier recombination rates is proportional to the product of the number of electrons and holes confined in QD under weak photoexcitation.

    DOI: 10.1149/10904.0335ecst

    Scopus

  14. Surface flattening and Ge crystalline segregation of Ag/Ge structure by thermal anneal 査読有り 国際誌

    Ohta Akio, Yamada Kenzo, Sugawa Hibiki, Taoka Noriyuki, Ikeda Mitsuhisa, Makihara Katsunori, Miyazaki Seiichi

    JAPANESE JOURNAL OF APPLIED PHYSICS   60 巻 ( SB )   2021年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    DOI: 10.35848/1347-4065/abdad0

    Web of Science

    Scopus

  15. Energy band diagram for SiO2/Si system as evaluated from UPS analysis under vacuum ultraviolet with variable incident photon energy 査読有り 国際誌

    Ohta Akio, Imagawa Takuya, Taoka Noriyuki, Ikeda Mitsuhisa, Makihara Katsunori, Miyazaki Seiichi

    JAPANESE JOURNAL OF APPLIED PHYSICS   60 巻 ( SA )   2021年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  16. Energy band diagram for SiO<inf>2</inf>/Si system as evaluated from UPS analysis under vacuum ultraviolet with variable incident photon energy

    Ohta A., Imagawa T., Taoka N., Ikeda M., Makihara K., Miyazaki S.

    Japanese Journal of Applied Physics   60 巻   2021年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    We have studied the energy band diagram for the Si surface and SiO2/Si system by using ultraviolet photoelectron spectroscopy (UPS) measurements. In the UPS measurements, monochromatized vacuum ultraviolet with variable incident photon energies below 10.50 eV was used in order to increase the detection limit of the depth from the surface and to understand the electronic states not only at the surface but also in the region near the interface of the stacked structure. From the incident photon energy dependence of the UPS spectral width, the energy level of the valence band top of the H-terminated Si surface and the electrical potential change in the SiO2/Si structure has been evaluated. Also, the vacuum work function value of the hetero-epitaxial Ag(111) surface has been investigated to check this measurement technique.

    DOI: 10.35848/1347-4065/abb75b

    Scopus

  17. Effect of B-doping on photoluminescence properties of Si quantum dots with Ge core 査読有り 国際誌

    Makihara Katsunori, Fujimori Shuntaro, Ikeda Mitsuhisa, Ohta Akio, Miyazaki Seiichi

    MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING   120 巻   2020年12月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Materials Science in Semiconductor Processing  

    DOI: 10.1016/j.mssp.2020.105250

    Web of Science

    Scopus

  18. Characterization of photoluminescence from Si quantum dots with B delta-doped Ge core 査読有り 国際誌

    Maehara Takuya, Fujimori Shuntaro, Ikeda Mitsuhisa, Ohta Akio, Makihara Katsunori, Miyazaki Seiichi

    MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING   119 巻   2020年11月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Materials Science in Semiconductor Processing  

    DOI: 10.1016/j.mssp.2020.105215

    Web of Science

    Scopus

  19. Complex dielectric function of Si oxide as evaluated from photoemission measurements 査読有り 国際誌

    Ohta Akio, Ikeda Mitsuhisa, Makihara Katsunori, Miyazaki Seiichi

    JAPANESE JOURNAL OF APPLIED PHYSICS   59 巻   2020年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    DOI: 10.35848/1347-4065/ab8c99

    Web of Science

    Scopus

  20. Formation of ultrathin segregated-Ge crystal on Al/Ge(111) surface 査読有り

    Kobayashi Masato, Ohta Akio, Kurosawa Masashi, Araidai Masaaki, Taoka Noriyuki, Simizu Tomohiro, Ikeda Mitsuhisa, Makihara Katsunori, Miyazaki Seiichi

    JAPANESE JOURNAL OF APPLIED PHYSICS   59 巻   2020年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/ab69de

    Web of Science

  21. Characterization of magnetic-field dependent electron transport of Fe3Si nanodots by using a magnetic AFM probe 査読有り 国際共著 国際誌

    Wu J.

    ECS Transactions   98 巻 ( 5 ) 頁: 493 - 498   2020年

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:ECS Transactions  

    DOI: 10.1149/09805.0493ecst

    Scopus

  22. Electron field emission from multiply-stacked Si quantum dots structures with graphene top-electrode 査読有り 国際誌

    Niibayashi T.

    ECS Transactions   98 巻 ( 5 ) 頁: 429 - 434   2020年

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:ECS Transactions  

    DOI: 10.1149/09805.0429ecst

    Scopus

  23. Crystallization of Ge thin films on sapphire(0001) by thermal annealing 査読有り 国際誌

    Sugawa H.

    ECS Transactions   98 巻 ( 5 ) 頁: 505 - 511   2020年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:ECS Transactions  

    DOI: 10.1149/09805.0505ecst

    Scopus

  24. Comparative study of photoluminescence properties obtained from SiO2/GaN and Al2O3/GaN structures

    Takada Noriharu, Taoka Noriyuki, Ohta Akio, Yamamoto Taishi, Nguyen Xuan Truyen, Yamada Hisashi, Takahashi Tokio, Ikeda Mitsuhisa, Makihara Katsunori, Shimizu Mitsuaki, Miyazaki Seiichi

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻   2019年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/ab26ac

    Web of Science

  25. Impact of surface pre-treatment on Pt-nanodot formation induced by remote H-2-plasma exposure

    Fujimori Shuntaro, Makihara Katsunori, Ikeda Mitsuhisa, Ohta Akio, Miyazaki Seiichi

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻   2019年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/ab23f9

    Web of Science

  26. Effect of H-2-dilution in Si-cap formation on photoluminescence intensity of Si quantum dots with Ge core

    Fujimori Shuntaro, Nagai Ryo, Ikeda Mitsuhisa, Makihara Katsunori, Miyazaki Seiichi

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻   2019年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/ab0c7a

    Web of Science

  27. Impact of remote plasma oxidation of a GaN surface on photoluminescence properties

    Takada Noriharu, Taoka Noriyuki, Yamamoto Taishi, Ohta Akio, Nguyen Xuan Truyen, Yamada Hisashi, Takahashi Tokio, Ikeda Mitsuhisa, Makihara Katsunori, Shimizu Mitsuaki, Miyazaki Seiichi

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/ab09c9

    Web of Science

  28. Characterization of Electron Field Emission from Multiple-Stacking Si-Based Quantum Dots

    Futamura Yuto, Makihara Katsunori, Ohta Akio, Ikeda Mitsuhisa, Miyazaki Seiichi

    IEICE TRANSACTIONS ON ELECTRONICS   E102C 巻 ( 6 ) 頁: 458-461   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1587/transele.2018FUP0007

    Web of Science

  29. Evaluation of the potential distribution in a multiple stacked Si quantum dots structure by hard X-ray photoelectron spectroscopy

    Futamura Yuto, Nakashima Yuta, Ohta Akio, Ikeda Mitsuhisa, Makihara Katsunori, Miyazaki Seiichi

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻 ( SA )   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/aaeb38

    Web of Science

  30. Characterization of electron charging and transport properties of Si-QDs with phosphorus doped Ge core

    Nagai Ryo, Yamada Kentaro, Fujimori Shuntaro, Ikeda Mitsuhisa, Makihara Katsunori, Ohta Akio, Miyazaki Seiichi

    SEMICONDUCTOR SCIENCE AND TECHNOLOGY   33 巻 ( 12 )   2018年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6641/aaebbc

    Web of Science

  31. Energy band structure and electrical properties of Ga-oxide/GaN interface formed by remote oxygen plasma

    Yamamoto Taishi, Taoka Noriyuki, Ohta Akio, Nguyen Xuan Truyen, Yamada Hisashi, Takahashi Tokio, Ikeda Mitsuhisa, Makihara Katsunori, Nakatsuka Osamu, Shimizu Mitsuaki, Miyazaki Seiichi

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 6 )   2018年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.06KA05

    Web of Science

  32. Total photoelectron yield spectroscopy of energy distribution of electronic states density at GaN surface and SiO2/GaN interface

    Ohta Akio, Nguyen Xuan Truyen, Fujimura Nobuyuki, Ikeda Mitsuhisa, Makihara Katsunori, Miyazaki Seiichi

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 6 )   2018年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.06KA08

    Web of Science

  33. Low-temperature formation of Ga-oxide/GaN interface with remote oxygen plasma and its interface properties

    Yamamoto Taishi, Taoka Noriyuki, Ohta Akio, Nguyen Xuan Truyen, Yamada Hisashi, Takahashi Tokio, Ikeda Mitsuhisa, Makihara Katsunori, Shimizu Mitsuaki, Miyazaki Seiichi

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 6 )   2018年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.06JE01

    Web of Science

  34. Interface properties of SiO2/GaN structures formed by chemical vapor deposition with remote oxygen plasma mixed with Ar or He

    Nguyen Xuan Truyen, Taoka Noriyuki, Ohta Akio, Makihara Katsunori, Yamada Hisashi, Takahashi Tokio, Ikeda Mitsuhisa, Shimizu Mitsuaki, Miyazaki Seiichi

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 6 )   2018年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.06KA01

    Web of Science

  35. Growth of two-dimensional Ge crystal by annealing of heteroepitaxial Ag/Ge(111) under N-2 ambient

    Ito Koichi, Ohta Akio, Kurosawa Masashi, Araidai Masaaki, Ikeda Mitsuhisa, Makihara Katsunori, Miyazaki Seiichi

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 6 )   2018年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.06HD08

    Web of Science

  36. Evaluation of resistive switching properties of Si-rich oxide embedded with Ti nanodots by applying constant voltage and current

    Ohta Akio, Kato Yusuke, Ikeda Mitsuhisa, Makihara Katsunori, Miyazaki Seiichi

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 6 )   2018年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.06HD05

    Web of Science

  37. Direct evaluation of electrical dipole moment and oxygen density ratio at high-k dielectrics/SiO2 interface by X-ray photoelectron spectroscopy analysis

    Fujimura Nobuyuki, Ohta Akio, Ikeda Mitsuhisa, Makihara Katsunori, Miyazaki Seiichi

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 4 )   2018年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.04FB07

    Web of Science

  38. High thermal stability of abrupt SiO2/GaN interface with low interface state density

    Nguyen Xuan Truyen, Taoka Noriyuki, Ohta Akio, Makihara Katsunori, Yamada Hisashi, Takahashi Tokio, Ikeda Mitsuhisa, Shimizu Mitsuaki, Miyazaki Seiichi

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 4 )   2018年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.04FG11

    Web of Science

  39. Segregated SiGe ultrathin layer formation and surface planarization on epitaxial Ag(111) by annealing of Ag/SiGe(111) with different Ge/(Si plus Ge) compositions

    Ito Koichi, Ohta Akio, Kurosawa Masashi, Araidai Masaaki, Ikeda Mitsuhisa, Makihara Katsunori, Miyazaki Seiichi

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 4 )   2018年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.04FJ05

    Web of Science

  40. Characterization of remote O-2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements

    Nguyen Xuan Truyen, Ohta Akio, Makihara Katsunori, Ikeda Mitsuhisa, Miyazaki Seiichi

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 1 )   2018年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.01AD02

    Web of Science

  41. Electroluminescence of superatom-like Ge-core/Si-shell quantum dots by alternate field-effect-induced carrier injection

    Makihara Katsunori, Ikeda Mitsuhisa, Fujimura Nobuyuki, Yamada Kentaro, Ohta Akio, Miyazaki Seiichi

    APPLIED PHYSICS EXPRESS   11 巻 ( 1 )   2018年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/APEX.11.011305

    Web of Science

  42. Formation of Mn-germanide nanodots on ultrathin SiO2 induced by remote hydrogen plasma

    Wen Yinghui, Makihara Katsunori, Ohta Akio, Ikeda Mitsuhisa, Miyazaki Seiichi

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 1 )   2018年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.01AF05

    Web of Science

  43. Electroluminescence of superatom-like Ge-core/Si-shell quantum dots by alternate field-effect-induced carrier injection 査読有り

    K. Makihara, M. Ikeda, N. Fujimura, K. Yamada, A. Ohta, and S. Miyazaki

    Applied Physics Express   11 巻   頁: 011305 (4pages)   2018年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  44. Segregated SiGe ultrathin layer formation and surface planarization on epitaxial Ag(111) by annealing of Ag/SiGe(111) with different Ge/(Si+Ge) compositions 査読有り

    K. Ito, A. Ohta, M. Kurosawa, M. Araidai, M. Ikeda, K. Makihara, and S. Miyazaki

    Japanese Jounal of Applied Physics   57 巻   頁: 04FJ05   2018年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  45. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements 査読有り

    N. X. Truyen, A. Ohta, K. Makihara, M. Ikeda, and S. Miyazaki

    Japanese Jounal of Applied Physics   57 巻   頁: 01AD02   2018年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  46. Carrier Conduction in SiO2/GaN Structure with Abrupt Interface

    Nguyen Xuan Truyen, Taoka Noriyuki, Ohta Akio, Yamada Hisashi, Takahashi Tokio, Ikeda Mitsuhisa, Makihara Katsunori, Shimizu Mitsuaki, Miyazaki Seiichi

    2018 INTERNATIONAL SYMPOSIUM ON VLSI TECHNOLOGY, SYSTEMS AND APPLICATION (VLSI-TSA)     頁: .   2018年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  47. High Density Formation and Magnetoelectronic Transport Properties of Fe3Si Nanodots 査読有り

    Hai Zhang, Katsunori Makihara, Mitsuhisa Ikeda, Akio Ohta, and Seiichi Miyazaki

    ECS Trans.   86 巻 ( 7 ) 頁: 131-138   2018年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/08607.0131ecst

  48. Impact of phosphorus doping to multiple-stacked Si quantum dots on electron emission properties

    Takeuchi Daichi, Makihara Katsunori, Ohta Akio, Ikeda Mitsuhisa, Miyazaki Seiichi

    MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING   70 巻   頁: 183-187   2017年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.mssp.2016.12.015

    Web of Science

  49. リモートプラズマCVD SiO<sub>2</sub>/GaN界面の熱安定性

    グェン スァン チュン, 田岡 紀之, 大田 晃生, 山田 永, 高橋 言緒, 池田 弥央, 牧原 克典, 清水 三聡, 宮崎 誠一

    応用物理学会学術講演会講演予稿集   2017.2 巻 ( 0 ) 頁: 3024 - 3024   2017年8月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2017.2.0_3024

    CiNii Research

  50. Magnetoelectronic transport of double stack FePt nanodots

    Makihara Katsunori, Kawase Taiga, Ohta Akio, Ikeda Mitsuhisa, Miyazaki Seiichi

    APPLIED PHYSICS LETTERS   111 巻 ( 5 )   2017年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4985603

    Web of Science

  51. Evaluation of energy distribution of filled defects of Si oxide thin films from total photoelectron yield spectroscopy

    Ohta Akio, Ikeda Mitsuhisa, Makihara Katsunori, Miyazaki Seiichi

    MICROELECTRONIC ENGINEERING   178 巻   頁: 85-88   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.mee.2017.05.001

    Web of Science

  52. Potential changes and chemical bonding features for Si-MOS structure as evaluated from HAXPES analysis

    Ohta Akio, Murakami Hideki, Ikeda Mitsuhisa, Makihara Katsunori, Ikenaga Eiji, Miyazaki Seiichi

    MICROELECTRONIC ENGINEERING   178 巻   頁: 80-84   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.mee.2017.05.002

    Web of Science

  53. Low-temperature formation of crystalline Si:H/Ge:H heterostructures by plasma-enhanced CVD in combination with Ni-nanodots seeding nucleation

    Lu Yimin, Makihara Katsunori, Takeuchi Daichi, Ikeda Mitsuhisa, Ohta Akio, Miyazaki Seiichi

    JAPANESE JOURNAL OF APPLIED PHYSICS   56 巻 ( 6 )   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.56.06GG07

    Web of Science

  54. Embedding of Ti Nanodots into SiOx and Its Impact on Resistance Switching Behaviors

    Kato Yusuke, Ohta Akio, Ikeda Mitsuhisa, Makihara Katsunori, Miyazaki Seiichi

    IEICE TRANSACTIONS ON ELECTRONICS   E100C 巻 ( 5 ) 頁: 468-474   2017年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1587/transele.E100.C.468

    Web of Science

  55. Photoemission study on electrical dipole at SiO2/Si and HfO2/SiO2 interfaces

    Fujimura Nobuyuki, Ohta Akio, Ikeda Mitsuhisa, Makihara Katsunori, Miyazaki Seiichi

    JAPANESE JOURNAL OF APPLIED PHYSICS   56 巻 ( 4 )   2017年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.56.04CB04

    Web of Science

  56. Effects of remote hydrogen plasma on chemical bonding features and electronic states of 4H-SiC(0001) surface

    Truyen Nguyen Xuan, Ohta Akio, Makihara Katsunori, Ikeda Mitsuhisa, Miyazaki Seiichi

    JAPANESE JOURNAL OF APPLIED PHYSICS   56 巻 ( 1 )   2017年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.56.01AF01

    Web of Science

  57. High-density formation of Ta nanodot induced by remote hydrogen plasma

    Wang Yaping, Takeuchi Daichi, Ohta Akio, Ikeda Mitsuhisa, Makihara Katsunori, Miyazaki Seiichi

    JAPANESE JOURNAL OF APPLIED PHYSICS   56 巻 ( 1 )   2017年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.56.01AE01

    Web of Science

  58. Magnetoelectronic transport of double stack FePt nanodots 査読有り

    K. Makihara, T. Kawase, A. Ohta, M. Ikeda, and S. Miyazaki

    Appl. Phys. Lett.   111 巻   頁: 052403 (4pages)   2017年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  59. Embedding of Ti Nanodots into SiOx and Its Impact on Resistance Switching Behaviors 査読有り

    Y. Kato, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki

    IEICE TRANSACTIONS on Electronics   E100-C 巻   頁: 468-474   2017年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  60. Low Temperature Formation of Crystalline Si:H/Ge:H Heterostructures by Plasma Enhanced CVD in Combination with Ni-NDs Seeding Nucleation 査読有り

    Y. Lu, K. Makihara, D. Takeuchi, M. Ikeda, A. Ohta, and S. Miyazaki

    Japanese Journal of Applied Physics   56 巻   頁: 06GG07 (4page)   2017年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  61. Potential Changes and Chemical Bonding Features for Si-MOS Diode as Evaluated from HAXPES Analysis 査読有り

    A. Ohta, H. Murakami, M. Ikeda, K. Makihara, E. Ikenaga, and S. Miyazaki

    Microelectronic Engineering   178 巻   頁: 80-84   2017年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  62. Evaluation of Energy Distribution of Filled Defects of Si Oxide Thin Films from Total Photoelectron Yield Spectroscopy 査読有り

    A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki

    Microelectronic Engineering   178 巻   頁: 85-88   2017年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  63. Impact of Phosphorus Doping to Multiple-Stacked Si Quantum Dots on Electron Emission Properties 査読有り

    D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda, S. Miyazaki

    Materials Science in Semiconductor Processing   70 巻   頁: 183-187   2017年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  64. Photoemission Study on Electrical Dipole at SiO2/Si and HfO2/SiO2 Interfaces 査読有り

    N. Fujimura, A. Ohta, M. Ikeda, K. Makihara, S. Miyazaki

    Jpn. J. Appl. Phys.   56 巻   頁: 4CB04 (6pages)   2017年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  65. Processing and Characterization of High Density Si/Ge Quantum Dots for Electroluminescent Devices

    Miyazaki Seiichi, Yamada Kentaro, Makihara Katsunori, Ikeda Mitsuhisa

    SEMICONDUCTOR PROCESS INTEGRATION 10   80 巻 ( 4 ) 頁: 167-172   2017年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/08004.0167ecst

    Web of Science

  66. Evaluation of Dielectric Function of Thermally-grown SiO2 and GeO2 from Energy Loss Signals for XPS Core-line Photoelectrons 査読有り

    T. Yamamoto, A. Ohta, M. Ikeda, K. Makihara and S. Miyazaki

    ECS Trans.   75 巻 ( 8 ) 頁: 777-783   2016年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  67. Nano spin-diodes using FePt-NDs with huge on/off current ratio at room temperature 査読有り

    K. Makihara, T. Kato, Y. Kabeya, Y. Mitsuyuki, A. Ohta, D. Oshima, S. Iwata, Y. Darma, M. Ikeda and S. Miyazaki

    Scientific Reports   6 巻   頁: 33409 (7 pages)   2016年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  68. Formation and characterization of high-density FeSi nanodots on SiO2 induced by remote H2 plasma 査読有り

    H. Zhang, K. Makihara, A. Ohta, M. Ikeda and S. Miyazaki

    Jpn, J. Appl. Phys.   55 巻   頁: 01AE20   2016年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  69. Evaluation of field emission properties from multiple-stacked Si quantum dots 査読有り

    D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda and S. Miyazaki

    Thin Solid Films   602 巻   頁: 68-71   2016年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  70. Study on electroluminescence from multiply-stacking valency controlled Si quantum dots 査読有り

    T. Yamada, K. Makihara, A. Ohta, M. Ikeda and S. Miyazaki

    Thin Solid Films   602 巻   頁: 48-51   2016年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  71. Effect of Ge Core Size on Photoluminescence from Si Quantum Dots with Ge Core 査読有り

    K. Yamada, K. Kondo, K. Makihara, M. Ikeda, A. Ohta and S. Miyazaki

    ECS Trans.   75 巻 ( 8 ) 頁: 695-700   2016年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  72. Processing and Characterization of Si/Ge Quantum Dots 査読有り

    S. Miyazaki, K. Makihara, A. Ohta, and M. Ikeda

    Technical Digest of Int. Electron Devices Meeting     頁: 826-830   2016年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  73. Photoluminescence study of high density Si quantum dots with Ge core 査読有り

    K. Kondo, K. Makihara, M. Ikeda, and S. Miyazaki

    Journal of Applied Physics   19 巻   頁: 033103 (5pages)   2016年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  74. Effects of remote hydrogen plasma on chemical bonding features and electronic states of 4H-SiC(0001) surface 査読有り

    N. X. Truyen, A. Ohta, K. Makihara, M. Ikeda and S. Miyazaki

    Jpn. J. of Appl. Phys.   56 巻   頁: 01AF01/5pages   2016年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  75. High-density formation of Ta nanodot induced by remote hydrogen plasma 査読有り

    Y. Wang, D. Takeuchi, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki

    Jpn. J. of Appl. Phys.   56 巻   頁: 01AE01/4pages   2016年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  76. Impact of Embedded Mn Nanodots on Resistive Switching Characteristics of Si-rich Oxides as Measured in Ni-Electrodes MIM Diodes 査読有り

    T. Arai, A. Ohta, K. Makihara, and S. Miyazaki

    Jpn. J. of Appl. Phys.   55 巻   頁: 06GH07/5pages   2016年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  77. Evaluation of Valence Band Top and Electron Affinity of SiO2 and Si-based Semiconductors Using XPS 査読有り

    N. Fujimura, A. Ohta, K. Makihara, and S. Miyazaki

    Jpn. J. of Appl. Phys.   55 巻   頁: 08PC06/5pages   2016年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  78. Photoemission Study on Chemical Bonding Features and Electronic Defect States of Thermally-Grown SiO2/4H-SiC Structure 査読有り

    H. Watanabe, A. Ohta, K. Makihara and S. Miyazaki

    ECS Trans.   69 巻 ( 10 ) 頁: 179-186   2015年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  79. High Density Formation of FePt Alloy Nanodots Induced by Remote Hydrogen Plasma and Characterization of their Magnetic Properties 査読有り

    R. Fukuoka, K. Makihara, H. Zhang, A. Ohta, T. Kato, S. Iwata, M. Ikeda and S. Miyazaki

    Trans. Mat. Res. Sco. Japan   40 巻 ( 4 ) 頁: 347-350   2015年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  80. Electronic defect states in thermally-grown SiO2/4H-SiC structure measured by total photoelectron yield spectroscopy 査読有り

    A. Ohta, K. Makihara and S. Miyazaki

    Microelectronic Engineering   147 巻   頁: 264-268   2015年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  81. Progress in determination method for ultrathin Si-based oxide bandgaps from analysis of energy loss signals for photoelectrons 査読有り

    A. Ohta, H. Murakami, K. Makihara and S. Miyazaki

    Jpn, J. Appl. Phys.   54 巻   頁: 06FH08   2015年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  82. Increase in the work function of W/WO3 by helium plasma irradiation 査読有り

    S. Kajita, A. Ohta, T. Ishida, K. Makihara, T. Yoshida and N. Ohno

    Jpn, J. Appl. Phys.   54 巻   頁: 126201   2015年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  83. Resistive Switching Characteristics of Si-Rich Oxides with Embedding Ti Nanodots 査読有り

    Y. Kato, T. Arai, A. Ohta, K. Makihara and S. Miyazaki

    ECS Trans.   69 巻 ( 10 ) 頁: 291-298.   2015年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  84. Resistance-Switching Characteristics of Si-rich Oxide Evaluated by Using Ni Nanodots as Electrodes in Conductive AFM Measurements 査読有り

    A. Ohta, C. Liu, T. Arai, D. Takeuchi, H. Zhang, K. Makihara, and S. Miyazaki

    IEICE TRANSACTIONS on Electronics   E98-C 巻   頁: 406-410   2015年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  85. High-Sensitive Detection of Electronic Emission through Si-Nanocrystals/Si-Nanocolumnar Structures by Conducting-Probe Atomic Force Microscopy 査読有り

    D. Takeuchi,K. Makihara,M. Ikeda,S. Miyazaki,H. Kaki and T. Hayashi

    IEICE Trans. on Electronics   E97-C 巻 ( 5 ) 頁: 397-400   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  86. Selective Growth of Self-Assembling Si and SiGe Quantum Dots 査読有り

    K. Makihara,M. Ikeda and S. Miyazaki

    IEICE Trans. on Electronics   E97-C 巻 ( 5 ) 頁: 393-396   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  87. Effect of electric field concentration using nanopeak structures on the current-voltage characteristics of resistive switching memory 査読有り

    S. Otsuka, T. Shimizu, S. Shingubara, K. Makihara, S. Miyazaki, A. Yamasaki, Y. Tanimoto and K. Takase

    AIP Advances   4 巻   頁: 087110 (7 pages)   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  88. Characterization of Electron Emission from High Density Self-Aligned Si-Based Quantum Dots by Conducting-Probe Atomic Force Microscopy 査読有り

    D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda and S. Miyazaki

    ECS Trans.   64 巻 ( 6 ) 頁: 923-928   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  89. Photoluminescence Study of Si Quantum Dots with Ge Core 査読有り

    K. Makihara, K. Kondo, M. Ikeda, A. Ohta and S. Miyazaki

    ECS Trans.   64 巻 ( 6 ) 頁: 365-370   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  90. Characterization of Chemical Bonding Features and Interfacial Reactions in Ge-MIS Structure with HfO2/TaGexOy Dielectric Stack 査読有り

    A. Ohta, H. Murakami, K. Hashimoto, K. Makihara and S. Miyazaki

    ECS Trans.   64 巻 ( 6 ) 頁: 241-248   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  91. Application of remote hydrogen plasma to selective processing for Ge-based devices: Crystallization, etching, and metallization 査読有り

    K. Makihara, M. Ikeda, T. Okada, and S. Miyazaki

    Jpn. J. Appl. Phys.   53 巻   頁: 11RA02   2014年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.53.11RA02

  92. X-ray Photoemission Study of SiO2/Si/Si0.55Ge0.45/Si Heterostructures 査読有り

    A. Ohta. K. Makihara, S. Miyazaki, M. Sakuraba and J. Murota

    IEICE TRANSACTIONS on Electronics   96-C 巻 ( 5 ) 頁: 680-685   2013年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  93. Evaluation of Chemical Composition and Bonding Features of Pt/SiOx/Pt MIM Diodes and Its Impact on Resistance Switching Behavior 査読有り

    A. Ohta, K. Makihara, M. Ikeda, H. Murakamis, S. Higashi and S. Miyazaki

    IEICE TRANSACTIONS on Electronics   96-C 巻 ( 5 ) 頁: 702-707   2013年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  94. Characterization of Resistive Switching of Pt/Si- rich Oxide/TiN System 査読有り

    M. Fukushima, A. Ohta, K. Makihara and S. Miyazaki

    IEICE TRANSACTIONS on Electronics   96-C 巻 ( 5 ) 頁: 708-713   2013年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  95. Characterization of Local Electronic Transport through Ultrathin Au/Highly-dense Si Nanocolumar Structures by Conducting-Probe Atomic Force Microscopy 査読有り

    D. Takeuchi, K. Makihara, M. Ikeda, S. Miyazaki, H. Kaki and T. Hayashi

    IEICE TRANSACTIONS on Electronics   96-C 巻 ( 5 ) 頁: 718-721   2013年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  96. Photoexcited Carrier Transfer in a NiSi-Nanodots/Si-Quantum-Dots Hybrid Floating Gate in MOS Structures 査読有り

    M. Ikeda, K. Makihara and S. Miyazaki,

    IEICE TRANSACTIONS on Electronics   96-C 巻 ( 5 ) 頁: 694-698   2013年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  97. Characterization of Electroluminescence from One-dimensionally Self-Aligned Si-based Quantum Dots 査読有り

    H. Takami, K. Makihara, M. Ikeda and S. Miyazaki

    Japanese Journal of Applied Physics   52 巻 ( 4 ) 頁: 04CG08   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  98. High Density Formation of Iron Nanodots on SiO2 Induced by Remote Hydrogen Plasma 査読有り

    H. Zhang, R. Fukuoka, Y. Kabeya, K. Makihara and S. Miyazaki

    Advanced Materials Research   750-752 巻   頁: 1011-1015   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  99. Temporal Changes of Charge Distribution in High Density Self-aligned Si-based Quantum Dots as Evaluated by AFM/KFM 査読有り

    N. Tsunekawa K. Makihara, M. Ikeda and S. Miyazaki

    Trans. of MRS-J   38 巻 ( 3 ) 頁: 393-396   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  100. Characterization of Resistive Switching Behaviors of RF Sputtered Si Oxide ReRAMs with Ti-based Electrodes 査読有り

    A. Ohta, M. Fukusima, K. Makihara, H. Murakami, S. Higashi and S. Miyazaki

    Jpn. J. Appl. Phys.   52 巻   頁: 11NJ06   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  101. Highly-crystallized Ge:H Film Growth from GeH4 VHF-ICP -Crystalline Nucleation Initiated by Ni-nanodots- 査読有り

    K. Makihara, J. Gao, K. Sakaike, S. Hayashi, H. Deki, M. Ikeda, S. Higashi and S. Miyazak

    Jpn. J. Appl. Phys.   52 巻 ( 9 ) 頁: 11NA04   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  102. Study on Charge Storage and Optical Response of Hybrid Nanodots Floating Gate MOS Devices for Their Optoelectronic Application 査読有り

    S. Miyazaki, M. Ikeda and K. Makihara

    ECS Trans   58 巻 ( 9 ) 頁: 231-237   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  103. Resistive Switching Properties of SiOx/TiO2 Multi-Stack in Ti-electrode MIM Diodes 査読有り

    A. Ohta, K. Makihara, M. Fukusima, H. Murakami, S. Higashi and S. Miyazaki

    ECS Trans   58 巻 ( 9 ) 頁: 293-300   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  104. Electroluminescence from One-dimensionally Self-Aligned Si-based Quantum Dots with High Areal Dot Density 査読有り

    K. Makihara, H. Deki, M Ikeda and S, Miyazaki

    Japanese Journal of Applied Physics   51 巻 ( 4 ) 頁: 04DG08   2012年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.51.04DG08

  105. Evaluation of Charge Trapping Properties of Microcrystalline Germanium Thin Films by Kelvin Force Microscopy 査読有り

    K. Makihara, H. Deki, M Ikeda and S, Miyazaki

    Journal of Non-Crystalline Solids   358 巻 ( 17 ) 頁: 2086-2089   2012年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: http://dx.doi.org/10.1016/j.jnoncrysol.2011.12.035

  106. Characterization of Resistance-Switching Properties of SiOx Films Using Pt Nanodots Electrodes 査読有り

    K. Makihara, M. Fukushima, A. Ohta, M. Ikeda and S. Miyazaki

    ECS TRANSACTIONS   50 巻 ( 9 ) 頁: 459-464   2012年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  107. Study of Electron Transport Characteristics Through Self-Aligned Si-Based Quantum Dots 査読有り

    K. Makihara, M. Ikeda and S. Miyazaki

    Journal of Applied Physics   112 巻   頁: 104301   2012年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: http://dx.doi.org/10.1063/1.4766383

  108. Study on Native Oxidation of Ge (111) and (100) Surfaces 査読有り

    S. K. Sahari, H. Murakami, T. Fujioka, T. Bando, A. Ohta, K. Makihara, S. Higashi and S. Miyazaki

    Japanese Journal of Applied Physics   50 巻 ( 4 ) 頁: 04DA12   2011年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  109. Collective Tunneling Model in Charge Trap Type NVM Cell 査読有り

    M. Muraguchi, Y. Sakurai, Y. Takada, Y. Shigeta, M. Ikeda, K. Makihara, S. Miyazaki, S. Nomura, K. Shiraishi, and T. Endoh

    Japanese Journal of Applied Physics   50 巻 ( 4 ) 頁: 04DD04   2011年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  110. Light Induced Carrier Transfer in NiSi-Nanodots/Si-Quantum-Dots Hybrid FG in MOS Structures 査読有り

    N. Morisawa, M. Ikeda, S. Nakanishi, A. Kawanami, K. Makihara and S. Miyazaki

    Japanese Journal of Applied Physics   49 巻   頁: 04DJ04   2011年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  111. Characterization of Electronic Charged States of Impurity Doped Si Quantum Dots Using AFM/Kelvin Probe Technique 査読有り

    K. Makihara and S. Miyazaki

    Japanese Journal of Applied Physics   49 巻 ( 2 ) 頁: 065002   2011年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  112. High Density Formation of Ge Quantum Dots on SiO2 査読有り

    K. Makihara, M. Ikeda, A. Ohta, S. Takeuchi, Y. Shimura, S. Zaima and S. Miyazaki

    Solid State Electronics   60 巻   頁: 65-69   2011年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  113. Optical Response of Si-Quantum-Dots/NiSi-Nanodots Stack Hybrid Floating Gate in MOS Structures 査読有り

    N. Morisawa, M. Ikeda, K. Makihara and S. Miyazaki

    Key Engineering Materials   470 巻   頁: 135-139   2011年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  114. Study on Collective Electron Motion in Si-Nano Dot Floating Gate MOS Capacitor 査読有り

    M. Muraguchi, Y. Sakurai, Y. Takada, S. Nomura, K. Shiraishi, M. Ikeda, K. Makihara, S. Miyazaki, Y. Shigeta, T. Endoh

    IEICE TRANSACTIONS on Electronics   94-C 巻 ( 5 ) 頁: 730-736   2011年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  115. The Impact of H2 Anneal on Resistive Switching in Pt/TiO2/Pt Structure 査読有り

    G. Wei, Y. Goto, A. Ohta, K. Makihara, H. Murakami, S. Higashi and S. Miyazaki

    IEICE TRANSACTIONS on Electronics   94-C 巻 ( 5 ) 頁: 699-704   2011年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  116. Formation of High Density Pt Nanodots on SiO2 Induced by Millisecond Rapid Thermal Annealing using Thermal Plasma Jet for Floating Gate Memory 査読有り

    K. Makihara, K. Matsumoto, M. Yamane, T. Okada, N. Morisawa, M. Ikeda, S. Higashi and S. Miyazaki

    Japanese Journal of Applied Physics   50 巻 ( 8 ) 頁: 08KE06   2011年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  117. Collective Electron Tunneling Model in Si-Nano Dot Floating Gate MOS Structure 査読有り

    M. Muraguchi, Y. Sakurai, Y. Takada, Y. Shigeta, M. Ikeda, K. Makihara, S. Miyazaki, S. Nomura, K. Shiraishi and T. Endoh

    Key Engineering Materials   470 巻   頁: 48-53   2011年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  118. Self-Align Formation of Si Quantum Dots 査読有り

    K. Makihara, M. Ikeda, H. Deki, A. Ohta and S. Miyazaki

    ECS TRANSACTIONS   33 巻 ( 6 ) 頁: 661-667   2010年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  119. Temperature Dependence of Electron Tunneling between Two Dimensional Electron Gas and Si Quantum Dots 査読有り

    Y. Sakurai, J. Iwata, M. Muraguchi, Y. Shigeta, Y. Takada, S. Nomura, T. Endoh, S. Saito, K. Shiraishi, M. Ikeda, K. Makihara and S. Miyazaki

    Japanese Journal of Applied Physics   49 巻 ( 1 ) 頁: 014001   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  120. Formation and Characterization of Hybrid Nanodot Stack Structure for Floating Gate Application

    S. Miyazaki, K. Makihara, M. Ikeda

    Thin Solid Films   518 巻   頁: S30-S34   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  121. Formation of High Density Metal Silicide Nanodots on Ultrathin SiO2 for Floating Gate Memory Application 査読有り

    S. Miyazaki, M. Ikeda, K. Makihara, K. Shimanoe and R. Matsumoto

    Journal of of Materials Science Forum   638-642 巻   頁: 1725-1730   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  122. Anomalous temperature dependence of electron tunneling between a two-dimensional electron gas and Si dots 査読有り

    Y. Sakurai, S. Nomura, Y. Takada, K. Shiraishi, M. Muraguchi, T. Endoh, Y. Shigeta, M. Ikeda, K. Makihara, S. Miyazaki

    Physica E   42 巻 ( 4 ) 頁: 918–921   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  123. Formation Mechanism of Metal nanodots Induced by Remote Plasma Exposure 査読有り

    K. Makihara, K. Shimanoe, A. Kawanami, M. Ikeda, S. Higashi and S. Miyazaki

    Journal of Optoelectronics and Advanced Materials   12 巻 ( 3 ) 頁: 626-630   2010年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  124. Electron Tunneling between Si Quantum dots and Tow Dimensional Electron Gas under Optical Excitation at Low Temperatures 査読有り

    Y. Sakurai, Y. Takada, J-I Iwata, K. Shiraishi, S. Nomura, M. Muraguchi, T. Endoh, Y. Shigeta, M. Ikeda, K. Makihara, S. Miyazaki

    ECS TRANSACTIONS   28 巻 ( 1 ) 頁: 369-374   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  125. Effect of Chemical Composition of SiOx Films on Rapid Formation of Si Nanocrystals Induced by Thermal Plasma Jet Irradiation 査読有り

    T. Okada, S. Higashi, H. Kaku, K. Makihara, H. Furukawa, Y. Hiroshige and S. Miyazaki

    Physica Status Solidi C   7 巻 ( 3-4 ) 頁: 732-734   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  126. Random Telegraph Signals in Two-Dimensional Array of Si Quantum Dots 査読有り

    K. Makihara, M. Ikeda, A. Kawanami and S. Miyazaki

    IEICE TRANSACTIONS on Electronics   93-C 巻 ( 5 ) 頁: 569-572   2010年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  127. Formation of Cobalt and Cobalt-silicide Nanodots on Ultrathin SiO2 Induced by Remote Hydrogen Plasma 査読有り

    A. Kawanami, K. Makihara, M. Ikeda and S. Miyazaki

    Japanese Journal of Applied Physics   49 巻   頁: 08JA04   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  128. Importance of Electronic State of Two-Dimensional Electron Gas for Electron Injection Process in Nano-Electronic Devices 査読有り

    M. Muraguchi, T. Endoh, Y. Takada, Y. Sakurai, S. Nomura, K. Shiraishi, M. Ikeda, K. Makihara, S. Miyazaki, and Y. Shigeta

    Physica E   43 巻 ( 10 ) 頁: 2602–2605   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  129. Formation of Pseudo-Expitaxial Ge Films on Si(100) by Droplet of Ge Microliquid 査読有り

    T. Matsumoto, S. Higashi, K. Makihara, M. Akazawa and Seiichi Miyazaki

    ECS TRANSACTIONS   33 巻 ( 6 ) 頁: 165-170   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  130. Electroluminescence from Si Quantum Dots/SiO2 Multilayers with Ultrathin Oxide Layers due to Bipolar Injection 査読有り

    J. Xu, K. Makihara, H. Deki and S. Miyazaki

    Solid State Communications   149 巻   頁: 739-742   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  131. Temperature Dependence of Capacitance of Si Quantum Dot Floating Gate MOS Capacitor 査読有り

    Y. Sakurai, S. Nomura, K. Shiraishi, M. Ikeda, K. Makihara and S.Miyazaki

    Journal of Physics: Condensed Matter   150 巻   頁: 022071   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  132. Formation of Pd Nanodots Induced by Remote Hydrogen Plasma Treatment and Its Application to Floating Gate MOS Memories 査読有り

    K. Shimanoe, K. Makihara, M. Ikeda, R. Matsumoto, S. Higashi and S. Miyazaki

    IEICE TRANSACTIONS on Electronics   92-C 巻 ( 5 ) 頁: 616-619   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  133. Formation of Metal Silicide Nanodots on Ultrathin SiO2 for Floating Gate Application 査読有り

    S. Miyazaki, M. Ikeda, K. Makihara, K. Shimanoe and R. Matsumoto

    Solid State Phenomena   154 巻   頁: 95-100   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  134. Electronic Charged States of Pt-silicide Nanodots as Evaluated by Using an AFM/Kelvin Probe Technique 査読有り

    K. Makihara, K. Shimanoe, M. Ikeda, A. Ohta, S. Higashi and S. Miyazaki

    Transaction of MRS-J   34 巻 ( 2 ) 頁: 309-312   2009年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  135. Charge Storage Characteristics of Hybrid Nanodots Floating Gate 査読有り

    S. Miyazaki, K. Makihara and M. Ikeda

    ECS TRANSACTIONS   25 巻 ( 7 ) 頁: 433-439   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  136. Physics of Nano-contact Between Si Quantum Dots and Inversion Layer 査読有り

    Y. Sakurai, S. Nomura, Y. Takada, J. Iwata, K. Shiraishi, M. Muraguchi, T. Endoh, Y. Shigeta, M. Ikeda, K. Makihara and S. Miyazaki

    ECS TRANSACTIONS   25 巻 ( 7 ) 頁: 463-469   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  137. Surface Potential Changes Induced by Physisorption of Si-tagged Protein A on HF-last Si(100) and Thermally Grown SiO2 Surface 査読有り

    S. Mahboob, K. Makihara, A. Ohta, S. Higashi, Y. Hata, A. Kuroda and S. Miyazaki

    ECS TRANSACTIONS   19 巻 ( 22 ) 頁: 35-43   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  138. Self-Assembling Formation of Ninanodots on SiO2 Induced by Remote H2-plasma Treatment and Their Electrical Charging Characteristics 査読有り

    K. Makihara, K. Shimanoe, Y. Kawaguchi, M. Ikeda, S. Higashi and S. Miyazaki

    Japanese Journal of Applied Physics   47 巻 ( 4 ) 頁: 3099-3102   2008年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  139. Low Temperature High-rate Growth of Crystalline Ge Films on Quartz and Crystalline Si Substrates from VHF Inductively-Coupled Plasma of GeH4 査読有り

    T. Sakata, K. Makihara, H. Deki, S. Higashi and S. Miyazaki

    Thin Solid Films   517 巻 ( 1 ) 頁: 216-218   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  140. Interface Properties and Effective Work Function of Sb-Predoped Fully Silicided NiSi Gate 査読有り

    T. Hosoi, K. Sano, A. Ohta, K. Makihara, H. Kaku, S. Miyazaki and K. Shibahara

    Surface and Interface Analysis   40 巻   頁: 1126-1130   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  141. Control of Electronic Charged States of Si-Based Quantum Dots for Floating Gate Application 査読有り

    S. Miyazaki, K. Makihara and M. Ikeda

    Thin Solid Films   517 巻 ( 1 ) 頁: 41-44   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  142. Impact of impurity Doping into Si Quantum Dots with Ge Core on Their Electrical Charging Characteristics 査読有り

    K. Makihara, M. Ikeda, S. Higashi and S. Miyazaki

    Thin Solid Films   517 巻 ( 1 ) 頁: 306-308   2008年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  143. Nucleation Control for High Density Formation of Si-based Quantum Dots on Ultrathin SiO2 査読有り

    K. Makihara, A. Kawanami, M. Ikeda, S. Higashi and S. Miyazaki

    ECS TRANSACTIONS   16 巻 ( 10 ) 頁: 255-260   2008年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  144. Progress on Charge Distribution in Multiply-Stacked Si Quantum Dots/SiO2 Structure as Evaluated by AFM/KFM 査読有り

    K. Makihara, M. Ikeda, S. Higashi and S. Miyazaki,

    IEICE TRANSACTIONS on Electronics   E91-C 巻 ( 5 ) 頁: 712-715   2008年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  145. Light Emitting Diode with MOS Structures Containing Multiple-Stacked Si Quantum Dots 査読有り

    J. Xu, K. Makihara, H. Deki, Y. Kawaguchi, H. Murakami, S. Higashi and S. Miyazaki

    Solid State Phenomena   121-123 巻   頁: 557-560   2007年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  146. High Rate Growth of Highly-Crystallized Ge Films on Quartz from VHF Inductively-Coupled Plasma of GeH4 + H2

    T. Sakata, K. Makihara, H. Deki, S. Higashi and S. Miyazaki

    Materials Science Forum   561-565 巻   頁: 1209-1212   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  147. Characterization of chemical bonding features and defect state density in HfSiOxNy/SiO2 gate stack 査読有り

    A. Ohta, Y. Munetaka, A. Tsugou, K. Makihara, H. Murakami, S. Higashi, S. Miyazaki, S. Inumiya and Y. Nara

    Microelectronic Engineering   84 巻   頁: 2386-2389   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  148. Characterization of Electronic Charged States of Si-Based Quantum Dots for Floating Gate Application 査読有り

    S. Miyazaki, M. Ikeda and K. Makihara

    ECS TRANSACTIONS   11 巻 ( 6 ) 頁: 233-243   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  149. Characterization of Electronic Charged States of Nickel Silicide Nanodots Using AFM/Kelvin Probe Technique 査読有り

    R. Nishihara, K. Makihara, Y. Kawaguchi, M. Ikeda, H. Murakami, S. Higashi and S. Miyazaki

    Materials Science Forum   561-565 巻   頁: 1213-1216   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  150. Characterization of Electronic Charged States of P-doped Si Quantum Dots Using AFM/Kelvin Probe 査読有り

    K. Makihara, J. Xu, M. Ikeda, H. Murakami, S. Higashi and S. Miyazaki

    Thin Solid Films   508 巻 ( 1-2 ) 頁: 186-189   2006年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  151. Growth of Crystallized Ge Films from VHF-Inductively Coupled Plasma of H2-Diluted GeH4 査読有り

    T. Sakata, K. Makihara, S. Higashi and S. Miyazaki

    Thin Solid Films   515 巻 ( 12 ) 頁: 4971-4974   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  152. Study of Charged States of Si Quantum Dots with Ge Core 査読有り

    K. Makihara, M. Ikeda, S. Higashi and S. Miyazaki

    ECS TRANSACTIONS   3 巻 ( 7 ) 頁: 257-262   2006年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  153. Characterization of Electronic Charged States of Si-Based Quantum Dots and Their Application to Floating Gate Memories 査読有り

    S. Miyazaki, M. Ikeda and K. Makihara

    ECS TRANSACTIONS   2 巻 ( 1 ) 頁: 157-164   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  154. Fabrication of Multiply-Stacked Si Quantum Dots for Floating Gate MOS Devices 査読有り

    K. Makihara, M. Ikeda, T. Nagai, H. Murakami, S. Higashi and S. Miyazaki

    Transaction of MRS-J   31 巻 ( 1 ) 頁: 133-136   2006年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  155. Decay Characteristics of Electronic Charged States of Si Quantum Dots as Evaluated by an AFM/Kelvin Probe Technique 査読有り

    J. Nishitani, K. Makihara, M. Ikeda, H. Murakami, S. Higashi and S. Miyazaki

    Thin Solid Films   508 巻 ( 1-2 ) 頁: 190-194   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  156. Control of the Nucleation Density of Si Quantum Dots by Remote Hydrogen Plasma Treatment 査読有り

    K. Makihara, H. Deki, H. Murakami, S. Higashi and S. Miyazaki

    Applied Surface Science   244 巻 ( 1-4 ) 頁: 75-78   2005年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  157. Characterization of Germanium Nanocrystallites Grown on SiO2 by a Conductive AFM Probe Technique 査読有り

    K. Makihara, Y. Okamoto, H. Murakami, S. Higashi and S. Miyazaki

    IEICE TRANSACTIONS on Electronics   E88-C 巻 ( 4 ) 頁: 705-708   2005年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  158. Formation of Microcrystalline Germanium (mc-Ge:H) Films From Inductively-Coupled Plasma CVD 査読有り

    Y. Okamoto, K. Makihara, H. Murakami, S. Higashi and S. Miyazaki

    Applied Surface Science   244 巻 ( 1-4 ) 頁: 12-15   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  159. Electrical Characterization of Ge Microcrystallites by Atomic Force Microscopy Using a Conducting Probe 査読有り

    K. Makihara, Y. Okamoto, H. Nakagawa, M. Ikeda, H. Murakami, S. Higashi and S. Miyazaki

    Thin Solid Films   457 巻   頁: 103-108   2004年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  160. Structural Defects effect on Ferromagnetism of Layered Oxysulfide (La1-xCaxO)Cu1-xNixS 査読有り

    K. Takase, T. Shimizu, K. Makihara, Y. Takahashi, Y. Takano, K. Sekizawa, Y. Kuroiwa, S. Aoyagi, and A. Utsumi

    Physica B   329-333 巻 ( 2 ) 頁: 961-962   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  161. Electrical Resistivity and Photoemission Spectra of Layered Oxysulfide (La1-xCaxO)Cu1-xNixS 査読有り

    K. Takase, T. Shimizu, K. Makihara, H. Sato, H. Negishi, Y. Takahashi, Y. Takano, K. Sekizawa, Y. Kuroiwa, S. Aoyagi, A. Utsumi, A. Wada, A. Ino, H. Namatame, M. Taniguchi

    Physica B   329-333 巻 ( 2 ) 頁: 898-899   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  162. Electrical Resistivity and Photoluminescence Spectrum of Layered Oxysulfide (LaO)CuS 査読有り

    K. Takase, M. Koyano, T. Shimizu, K. Makihara, Y. Takahashi, Y. Takano, and K. Sekizawa

    Solid State Communications   123 巻   頁: 531-534   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

▼全件表示

MISC 1

  1. 定電圧および定電流印加によるSi酸化薄膜の電気抵抗変化特性評価 (シリコン材料・デバイス)

    大田 晃生, 加藤 祐介, 池田 弥央, 牧原 克典, 宮崎 誠一  

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報117 巻 ( 101 ) 頁: 25 - 29   2017年6月

     詳細を見る

    記述言語:日本語   出版者・発行元:電子情報通信学会  

    CiNii Books

講演・口頭発表等 689

  1. Fabrication of Impurity Doped Si Quantum Dots with Ge Core for Light Emission Devices 招待有り 国際会議

    K. Makihara, and S. Miyazaki

    2nd International Workshop on Advanced Nanomaterials for Future Electron Devices 2021 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  2. ナノドットによる量子物性制御デバイスの開発 招待有り

    牧原 克典、宮崎 誠一

    令和3年度「放射線科学とその応用第186委員会」第38回研究会  2021年5月18日 

     詳細を見る

    開催年月日: 2021年5月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン   国名:日本国  

  3. Si-Ge系ナノドットの高密度集積と光・電子物性制御 招待有り

    牧原 克典、宮崎 誠一

    阪大CSRN 第二回異分野研究交流会 ~半導体ナノカーボン系~  2020年8月28日 

     詳細を見る

    開催年月日: 2020年8月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン   国名:日本国  

  4. Fabrication of Impurity Doped Si Quantum Dots with Ge Core for Light Emission Devices 招待有り 国際会議

    K. Makihara, M. Ikeda, and S. Miyazaki

    8th International Symposium on Control of Semiconductor Interfaces 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  5. Formation and Characterization of Si Quantum Dots with Ge Core for Electroluminescent Devices 招待有り 国際会議

    Makihara Katsunori, Ikeda Mitsuhisa, Ohta Akio, Miyazaki Seiichi

    2019 COMPOUND SEMICONDUCTOR WEEK (CSW) 

     詳細を見る

    開催年月日: 2019年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  6. Si-Geナノ構造制御で展開する電子デバイス開発 招待有り

    牧原 克典、宮崎 誠一

    2018年日本表面真空学会中部支部研究会 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:静岡大学   国名:日本国  

  7. ナノ構造制御で展開する電子デバイス開発と機能進化・高度化への挑戦 招待有り

    牧原 克典、宮崎 誠一

    応用物理学会東海支部 第3回ニューフロンティアリサーチワークショップ 

     詳細を見る

    開催年月日: 2018年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:岐阜大学   国名:日本国  

  8. Luminescence Studies of Multiply Stacked Si Quantum Dots with Ge Core 国際会議

    11th International WorkShop on New Group IV Semiconductor Nanoelectronics 

     詳細を見る

    開催年月日: 2018年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  9. Luminescence Studies of High Density Si-based Quantum Dots 国際会議

    K. Makihara, T. Yamada, K. Kondo and S. Miyazaki

    JSPS Core-to-Core Program Workshop "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:ベルギー王国  

  10. Plasma-enhanced Self-assembling Formation of High-density Metallic Nanodots on Ultrathin SiO2 招待有り 国際会議

    K. Makihara and S. Miyazaki

    Nagoya University (NU) & Sungkyunkwan University (SKKU) Joint Symposium 2014 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  11. Formation of One-Dimensionally Self-Aligned Si-Based Quantum Dots and Its Application to Light Emitting Diodes 招待有り 国際会議

    K. Makihara and S. Miyazaki

    26th International Microprocesses and Nanotechnology Conference 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  12. High-density Formation and Characterization of Nanodots for Their Electron Device Application 招待有り 国際会議

    K. Makihara and S. Miyazaki

    6th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2013年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  13. 一次元縦積みシリコン系量子ドットの形成と発光ダイオードへの応用 招待有り

    牧原克典、池田弥央、宮崎誠一

    ED/CPM/SDM研究会 

     詳細を見る

    開催年月日: 2012年5月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:豊橋技術科学大学   国名:日本国  

  14. 熱プラズマジェットを用いたミリ秒熱処理によるPt およびPt シリサイドナノドットの形成とフローティングゲートメモリ応用 招待有り

    牧原克典、山根雅人、池田弥央、東清一郎、宮崎誠一

    第59回春季応用物理学会 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:早稲田大学   国名:日本国  

  15. 金属合金化反応制御による強磁性ナノドットの高密度・自己組織化形成

    牧原 克典、宮崎 誠一

    名古屋大学ナノテクノロジープラットフォーム第1回合同シンポジウム~中部ものづくりは名大から~ 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋大学   国名:日本国  

  16. Study on Silicidation Reaction of Fe-NDs with SiH4 国際会議

    34th International Microprocesses and Nanotechnology Conference 

     詳細を見る

    開催年月日: 2021年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  17. Characterization of Electronic Charged States of High Density Self-aligned Si-based Quantum Dots Evaluated with AFM/Kelvin Probe Technique 国際会議

    34th International Microprocesses and Nanotechnology Conference 

     詳細を見る

    開催年月日: 2021年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  18. Impact of Boron Doping and H2 Annealing on Light Emission from Ge/Si Core-Shell Quantum Dots 招待有り 国際会議

    S. Miyazaki, and K. Makihara

    240th ECS Meeting 

     詳細を見る

    開催年月日: 2021年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  19. Study on Silicidation Reaction of Fe-NDs with SiH4 for Light Emission Devices 国際会議

    2nd International Workshop on Advanced Nanomaterials for Future Electron Devices 2021 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  20. Remote Hydrogen Plasma-Assisted Formation and Characterization of High-Density Fe-Silicide Nanodots 国際会議

    2nd International Workshop on Advanced Nanomaterials for Future Electron Devices 2021 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  21. Study on Electron Emission from Phosphorus delta-Doped Si-QDs/Undoped Si-QDs Multiple-Stacked Structures 国際会議

    2021 International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  22. Magnetic-Field Dependent Electron Transport of Fe3Si Nanodots 国際会議

    Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 2021 

     詳細を見る

    開催年月日: 2021年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  23. Magnetoelectronic Transport Characteristics of Fe3Si Nanodots on Ultrathin SiO2 Induced by Remote Hydrogen Plasma 国際会議

    13th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/14th International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  24. High-Density Formation of FeSi2 Nanodots on Ultrathin SiO2 Induced by Remote Hydrogen Plasma 国際会議

    13th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/14th International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  25. Influence of Substrate Temperature on Plasma-Enhanced Self Assembling Formation of High Density FePt Nanodot 国際会議

    13th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/14th International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  26. リモート水素プラズマ支援FePt合金ナノドット自己組織化形成プロセスにおける基板温度が磁化特性に与える影響

    本田俊輔, 古幡裕志, 大田 晃生, 池田 弥央,大島大輝, 加藤剛志, 牧原 克典 宮﨑 誠一

    第68回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2021年

    記述言語:日本語  

    国名:日本国  

  27. 高密度FeナノドットへのSiH4照射によるシリサイド化反応制御

    古幡 裕志、牧原 克典、大田 晃生、田岡 紀之、宮﨑 誠一

    第82回応用物理学会秋季学術講演 

     詳細を見る

    開催年月日: 2021年

    記述言語:日本語  

    国名:日本国  

  28. 基板加熱がAl/Ge(111)構造の表面平坦化とGe偏析に及ぼす影響

    松下 圭吾、大田 晃夫、田岡 紀之、林 将平、牧原 克典、宮﨑 誠一

    第82回応用物理学会秋季学術講演 

     詳細を見る

    開催年月日: 2021年

    記述言語:日本語  

    国名:日本国  

  29. 後酸化によって形成したHf酸化物の結晶構造に基板面方位が与える影響

    安田航, 田岡 紀之, 大田 晃生, 牧原 克典, 宮﨑 誠一

    第82回応用物理学会秋季学術講演 

     詳細を見る

    開催年月日: 2021年

    記述言語:日本語  

    国名:日本国  

  30. Si量子ドット多重集積構造へのP添加による内部ポテンシャル変調と電子放出特性評価

    尾林 秀治、牧原 克典、竹本 竜也、田岡 紀之、大田 晃夫、宮﨑誠一

    第82回応用物理学会秋季学術講演 

     詳細を見る

    開催年月日: 2021年

    記述言語:日本語  

    国名:日本国  

  31. Magnetic-Field Dependent Electron Transport of Fe3Si Nanodots

    J. Wu、K. Makihara、H. Zhang、H. Furuhata、N. Taoka、A. Ohta、S. Miyazaki

    第82回応用物理学会秋季学術講演 

     詳細を見る

    開催年月日: 2021年

    記述言語:日本語  

    国名:日本国  

  32. AFM/KFMによる超高密度一次元連結Si系量子ドットの局所帯電電荷計測

    今井 友貴、牧原 克典、田岡 紀之、大田 晃生、宮﨑 誠一

    第82回応用物理学会秋季学術講演 

     詳細を見る

    開催年月日: 2021年

    記述言語:日本語  

    国名:日本国  

  33. リモート H2 プラズマ支援による高密度 Fe 系シリサイドナノドットの 高密度一括形成

    何 智雪, 武 嘉麟, 牧原 克典, 張 海, 古幡 裕志 ,田岡 紀之, 大田 晃生, 宮崎 誠一

    第82回応用物理学会秋季学術講演 

     詳細を見る

    開催年月日: 2021年

    記述言語:日本語  

    国名:日本国  

  34. Electron Field Emission from Multiply-Stacked Si Quantum Dots Structures with Graphene Top-Electrode 国際会議

    PRiME 2020 (ECS, ECSJ, & KECS Joint Meeting) 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  35. Crystallization of Ge Thin Films on Sapphire(0001) by Thermal Annealing 国際会議

    PRiME 2020 (ECS, ECSJ, & KECS Joint Meeting) 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  36. Characterization of Magnetic-Field Dependent Electron Transport of Fe3Si Nanodots By Using a Magnetic AFM Probe 国際会議

    PRiME 2020 (ECS, ECSJ, & KECS Joint Meeting) 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  37. Growth of Ultrathin Ge Crystal Layer by Surface Segregation and Flattening of Ag/Ge Structure 国際会議

    2020 International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  38. Study on Electron Field Emission from Si-Quantum-Dots with Ge-Core/Si-Quantum-Dots Hybrid Stacked Structures 国際会議

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  39. Evaluation of Valence Band Top of Si Surface by Vacuum Ultraviolet Photoelectron Spectroscopy with Variable Incident Photon Energy 国際会議

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  40. Al/Ge(111)の表面偏析制御による極薄Ge結晶形成

    小林 征登、大田 晃生、田岡 紀之、池田 弥央、牧原 克典、宮﨑 誠一

    第67回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年

    記述言語:日本語  

    国名:日本国  

  41. Reduced-Pressure CVDによるGeコアSi量子ドットの高密度一括形成と発光特性評価

    牧原 克典、Yamamoto Yuji、藤森 俊太郎、前原 拓哉、池田 弥央、Tillack Bernd、宮崎 誠一

    第67回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年

    記述言語:日本語  

    国名:日本国  

  42. グラフェン電極を用いたSi量子ドット多重集積構造からの電界電子放出

    新林 智文、牧原 克典、大田 晃生、池田 弥央、宮崎 誠一

    第67回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年

    記述言語:日本語  

    国名:日本国  

  43. Sapphire(0001)上にスパッタ形成したGe薄膜の結晶化

    須川 響、大田 晃生、小林 征登、田岡 紀之、池田 弥央、牧原 克典、宮﨑 誠一

    第67回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年

    記述言語:日本語  

    国名:日本国  

  44. Ge量子ドット像のXANAMによるX線エネルギー依存性測定

    鈴木 秀士、向井 慎吾、田 旺帝、野村 昌治、藤森 俊太郎、池田 弥央、牧原 克典、宮﨑 誠一、朝倉 清高

    第67回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年

    記述言語:日本語  

    国名:日本国  

  45. Formation of High Density Fe-silicide Nanodots Induced by Remote Hydrogen Plasma and Characterization of Their Magnetic Properties 国際会議

    8th International Symposium on Control of Semiconductor Interfaces 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  46. Application of Surface Chemical Imaging by XANAM to Ge Surfaces 国際会議

    8th International Symposium on Control of Semiconductor Interfaces 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  47. Characterization of Photoluminescence from Si-QDs with B δ-Doped Ge Core 国際会議

    8th International Symposium on Control of Semiconductor Interfaces 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  48. High Density Formation and Magnetoelectronic Transport Properties of Magnetic Fe-silicide Nanodots 国際会議

    8th International Symposium on Control of Semiconductor Interfaces 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  49. Formation of High Density PtAl Nanodots Induced by Remote Hydrogen Plasma Exposure 国際会議

    41st International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  50. Operand Study of Multiple Stacked Si Quantum Dots by Hard X-ray Photoelectron Spectroscopy 国際会議

    International Conference on Materials and Systems for Sustainability 2019 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  51. Determination of Complex Dielectric Function of Oxide Film from Photoemission Measurements 国際会議

    International Conference on Materials and Systems for Sustainability 2019 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  52. Impact of Boron Doping into Si Quantum Dots with Ge Core on Their Photoluminescence Properties 国際会議

    International Conference on Materials and Systems for Sustainability 2019 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  53. Impact of Boron Doping into Si Quantum Dots with Ge Core on Their Photoluminescence Properties 国際会議

    32nd International Microprocesses and Nanotechnology Conference 

     詳細を見る

    開催年月日: 2019年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  54. Characterization of Ni/GaN(0001) Interfaces by Photoemission Measurements 国際会議

    32nd International Microprocesses and Nanotechnology Conference 

     詳細を見る

    開催年月日: 2019年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  55. Growth of Hetero-epitaxial Al on Ge(111) and Segregation of Ge Crystal by Annealing 国際会議

    32nd International Microprocesses and Nanotechnology Conference 

     詳細を見る

    開催年月日: 2019年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  56. 光電子エネルギー損失信号によるSi系材料の複素誘電関数評価

    大田 晃生、田岡 紀之、池田 弥央、牧原 克典、宮﨑 誠一

    第80回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  57. Ge試料表面構造のXANAM像の取得

    鈴木 秀士,向井 慎吾,田旺帝,野村 昌治,藤森 俊太郎,池田 弥央,牧原 克典,宮﨑 誠一,朝倉 清高

    第80回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  58. GeコアSi 量子ドットにおけるGe選択成長温度が発光特性に及ぼす影響

    藤森 俊太郎, 前原 拓哉, 今井 友貴, 池田 弥央, 牧原 克典,宮崎 誠一

    第80回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  59. リモート水素プラズマ支援による磁性合金FeSiナノドットの高密度・一括形成

    橋本 靖司、牧原 克典、大田 晃生、池田 弥央、香野 淳、宮崎 誠一

    第80回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  60. B添加がGeコアSi量子ドットのPL特性に及ぼす影響

    前原 拓哉、藤森 俊太郎、池田 弥央、大田 晃生、牧原 克典、宮崎 誠一

    第80回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  61. Impact of Post Deposition Annealing on Chemical Bonding Features and Filled Electronic Defects of AlSiO/GaN(0001) Structure 国際会議

    2019 International Conference of Solid State of Device and Materials 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  62. Characterization of Electron Field Emission from Si Quantum Dots with Ge Core/Si Quantum Dots Hybrid Stacked Structures 国際会議

    2019 International Conference of Solid State of Device and Materials 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  63. Growth of Ultrathin Segregated-Ge Crystal on Al/Ge(111) Surface 国際会議

    2019 International Conference of Solid State of Device and Materials 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  64. Formation of high density Fe-silicide nanodots induced by remote H2 plasma and their magnetic properties 国際会議

    The 5th Asia-Pacific Conference on Semiconducting Silicides and Related Materials, 2019 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  65. Characterization of Electron Field Emission of Multiply-Stacked Si-QDs/SiO2 Structures 国際会議

    2019 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  66. HfSiOx/GaN(0001)の化学構造および電子状態分析

    大田 晃生、牧原 克典、生田目 俊秀、塩﨑 宏司、宮﨑 誠一

    シリコンテクノロジー分科会 6月度合同研究会 

     詳細を見る

    開催年月日: 2019年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  67. 熱処理によるAl/Ge(111)上の極薄Ge層形成

    小林 征登、大田 晃生、黒澤 昌志、洗平 昌晃、田岡 紀之、池田 弥央、牧原 克典、宮﨑 誠一

    シリコンテクノロジー分科会 6月度合同研究会 

     詳細を見る

    開催年月日: 2019年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  68. Effect of B-doping on Photoluminescence Properties of Si-QDs with Ge Core 国際会議

    2nd Joint ISTDM / ICSI 2019 Conference; 10th International SiGe Technology and Device Meeting (ISTDM)/ 12th International Conference on Silicon Epitaxy and Heterostructures (ICSI) 

     詳細を見る

    開催年月日: 2019年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  69. Electron Field Emission from MultiplyStacked Structures consisting of Ge-Core Si Quantum Dots and Si Quantum Dots 国際会議

    11th Anniversary International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2019)/12th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2019) 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  70. Study of GaN(0001) Surface Cleaning Using HCl-based Solutions 国際会議

    11th Anniversary International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2019)/12th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2019) 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  71. Impact of Boron Doping into Si Quantum Dots with Ge Core on Their Photoluminescence Properties 国際会議

    11th Anniversary International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2019)/12th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2019) 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  72. Study on HCl-based Wet Chemical Cleaning of Epitaxial GaN(0001) Surfaces

    Yue Xu, Akio Ohta, Noriyuki Taoka, Mitsuhisa Ikeda, Katsunori Makihara, Tetsuo Narita, Daigo Kikuta, Koji Shiozaki, Tetsu Kachi, Seiichi Miyazaki

    第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  73. Hf/(Si+Hf)組成の異なるHfSiOx/GaN(0001)の光電子分光分析

    大田 晃生、牧原克典、生田目 俊秀、塩﨑 宏司、宮崎誠一

    第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  74. Si量子ドット多重連結構造からの電界電子放出特性 -積層数依存性

    竹本竜也, 二村湧斗、牧原克典、大田晃生、池田弥央、宮崎誠一

    第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  75. リモートO2プラズマ支援MOCVDによるHf酸化物ナノ ドットの高密度・一括形成

    長谷川遼介、牧原克典、大田晃生、池田弥央、宮崎誠一

    第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  76. ヘテロエピタキシャルAl/Ge(111)上に偏析した極薄Ge の化学分析

    小林征登、大田晃生、黒澤昌志、洗平昌晃、田岡紀之、池田弥央、牧原克典、宮崎誠一

    第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  77. B添加がGeコアSi量子ドットのPL特性に及ぼす影響

    永井僚, 藤森俊太郎, 前原拓哉, 池田弥央, 牧原克典, 大田晃生, 宮崎誠一

    第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  78. GeコアSi 量子ドット/Si 量子ドット多重連結構造からの電界電子放出特性および電子放出エネルギー評価

    二村湧斗、牧原克典、大田晃生、池田弥央、宮崎誠一

    第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  79. 光電子分光法による絶縁酸化膜の誘電関数・光学定数の決定

    大田 晃生、池田 弥央、牧原 克典、宮﨑 誠一

    電子デバイス界面テクノロジー研究会―材料・プロセス・デバイス特性の物理―(第24回) 

     詳細を見る

    開催年月日: 2019年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  80. 熱酸化SiO2/Si(111)の真空紫外光によるUPS分析

    今川 拓哉、大田 晃生、田岡 紀之、池田 弥央、牧原 克典、宮﨑 誠一

    電子デバイス界面テクノロジー研究会―材料・プロセス・デバイス特性の物理―(第24回) 

     詳細を見る

    開催年月日: 2019年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  81. Characterization of Electron Field Emission from Multiple-Stacked Ge Core Si-QDs 国際会議

    12th International WorkShop on New Group IV Semiconductor Nanoelectronics 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  82. Formation and Magnetic Characterization of High Density FePt Nanodots Induced by Remote H2 Plasma 国際会議

    12th International WorkShop on New Group IV Semiconductor Nanoelectronics 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  83. Selective Growth of Si for the Formation of Si-QDs with Ge Core and Their Photoluminescence Properties 国際会議

    12th International WorkShop on New Group IV Semiconductor Nanoelectronics 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  84. P添加GeコアSi量子ドットの帯電および局所電気特性評価

    永井僚, 藤森俊太郎, 池田弥央, 牧原克典, 大田晃生, 宮崎誠一

    第6回応用物理学会名古屋大学スチューデントチャプター東海地区学術講演会 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  85. GeコアSi量子ドット/Si量子ドット多重集積構造からの弾道電子放出

    二村湧斗、牧原克典、大田晃生、池田弥央、宮崎誠一

    第6回応用物理学会名古屋大学スチューデントチャプター東海地区学術講演会 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  86. エピタキシャルAl/Ge(111)の形成と真空中熱処理による表面平坦化およびGe析出

    小林征登、大田晃生、黒澤昌志、洗平昌晃、田岡紀之、池田弥央、牧原克典、宮崎誠一

    第6回応用物理学会名古屋大学スチューデントチャプター東海地区学術講演会 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  87. リモート水素プラズマ支援によって高密度形成されたFePt合金ナノドットの磁化特性評価

    橋本靖司, 牧原克典, 大田晃生, 池田弥央, 宮崎誠一

    第6回応用物理学会名古屋大学スチューデントチャプター東海地区学術講演会 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  88. Ge/Si量子ドット上へのSi選択成長および発光特性評価

    藤森俊太郎, 山田健太郎, 永井僚, 牧原克典, 池田弥央, 宮崎誠一

    第6回応用物理学会名古屋大学スチューデントチャプター東海地区学術講演会 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  89. High Density Formation of FePt Nanodots and Their Magnetic Properties 国際会議

    40th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  90. Impact of Remote Plasma Oxidation of GaN Surface on Photoluminescence Properties 国際会議

    40th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  91. Ge 2D Crystal Growth on Hetero-epitaxial Ag/Ge(111) by N2 Annealing 招待有り 国際会議

    49th IEEE Semiconductor Interface Specialists Conference 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  92. Relationships between Al2O3/GaN Interface Properties near Conduction Band Edge and Post-Deposition Annealing Temperatures 国際会議

    48th IEEE Semiconductor Interface Specialists Conference 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  93. Study on photoluminescence from Si quantum dots with Ge core 国際会議

    International Conference of Atomic Control Surface and Interface-14 

     詳細を見る

    開催年月日: 2018年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  94. Vacuum Ultraviolet Photoelectron Spectroscopy Study of GaN(0001) Surfaces 国際会議

    International Conference of Atomic Control Surface and Interface-14 

     詳細を見る

    開催年月日: 2018年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  95. Photoluminescence from insulator/GaN structures formed with remote plasma 国際会議

    International Conference of Atomic Control Surface and Interface-14 

     詳細を見る

    開催年月日: 2018年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  96. Impact of Surface Pre-Treatment on Metal Migration Induced by Remote H2-Plasma Treatment 国際会議

    International Conference of Atomic Control Surface and Interface-14 

     詳細を見る

    開催年月日: 2018年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  97. High Density Formation and Magnetoelectronic Transport Properties of Fe3Si Nanodots 国際会議

    AiMES 2018 Meeting, ECS and SMEQ Joint International Meeting 

     詳細を見る

    開催年月日: 2018年9月 - 2018年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:メキシコ合衆国  

  98. リモート水素プラズマ支援によるFePt合金ナノドットの高密度形成と磁化特性評価

    橋本靖司, 牧原克典, 大田晃生, 池田弥央, 宮崎誠一

    第79回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  99. リモートプラズマによる表面洗浄とSiO2/GaN構造のin-situ形成

    田岡 紀之、グェンスァン チュン、山本 泰史、大田 晃生、山田 永、高橋 言緒、池田 弥央、牧原 克典、宮崎 誠一、清水 三聡

    第79回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  100. 光電子エネルギー損失信号による絶縁酸化膜の誘電関数評価

    大田 晃生、池田 弥央、牧原 克典、宮﨑 誠一

    第79回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  101. リモートプラズマを用いて形成したSiO2/Ga2O3/GaN構造のPL特性

    高田 昇治、田岡 紀之、大田 晃生、山本 泰史、グェンスァン チュン、山田 永、高橋 言緒、池田 弥央、牧原 克典、清水 三聡、宮崎 誠一

    第79回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  102. GeコアSi量子トドット/Si量子トドット多重集積構造からの電界電子放出

    二村湧斗、牧原克典、大田晃生、池田弥央、宮崎誠一

    第79回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  103. 真空蒸着によるGe(111)上のAlヘテロエピタキシャル成長

    小林征登、大田晃生、黒澤昌志、洗平昌晃、田岡紀之、池田弥央、牧原克典、宮崎誠一

    第79回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  104. Wet-chemical Cleaning of Epitaxial GaN(0001) Surfaces 国際会議

    2018 International Conference of Solid State of Device and Materials 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  105. XPS Study of Chemical Bonding Features and Inner Potential at Y2O3/SiO2 Interfaces 国際会議

    2018 International Conference of Solid State of Device and Materials 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  106. Characterization of Electron Charging and Local Electron Transport Properties of Si-QDs with Phosphorus Doped Ge Core

    Japan Student Chapter Meeting 2018 in Osaka 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  107. Formation and Characterization of Si/Ge Quantum Dots for Optoelectronic Application 招待有り 国際会議

    International Conference on Processing & Manufacturing of Advanced Materials 

     詳細を見る

    開催年月日: 2018年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:フランス共和国  

  108. Characterization of Electron Field Emission from Multiple-Stacking Si Quantum Dots with Ge Core 国際会議

    2018 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 

     詳細を見る

    開催年月日: 2018年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  109. Modulation of GaN MOS Interface Properties with Excess Ozone Exposure During Atomic Layer Deposition 国際会議

    2018 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 

     詳細を見る

    開催年月日: 2018年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  110. ArまたはHe希釈リモート酸素プラズマCVDによって形成したSiO2/GaN界面の構造・特性比較

    グェン スァン チュン、田岡 紀之、大田 晃生、山田 永、高橋 言緒、池田 弥央、牧原 克典、清水 三聡、宮崎 誠一

    シリコン材料・デバイス研究会 

     詳細を見る

    開催年月日: 2018年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  111. リモートプラズマ支援CVDにより形成したSiO2/GaN界面の化学結合状態および熱的安定性評価

    松田亮平、大田晃生、田岡紀之、池田弥央、牧原克典、清水三聡、宮崎誠一

    シリコン材料・デバイス研究会 

     詳細を見る

    開催年月日: 2018年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  112. X線光電子分光法によるY2O3/SiO2界面におけるシリケート化反応およびダイポールの評価

    藤村 信幸、大田 晃生、池田 弥央、牧原 克典、宮﨑 誠一

    シリコン材料・デバイス研究会 

     詳細を見る

    開催年月日: 2018年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  113. Formation Mechanism of SiO2/GaN Interface without Significant Ga -oxidation 国際会議

    1st Joint ISTDM / ICSI 2018 Conference; 9th International SiGe Technology and Device Meeting (ISTDM)/11th International Conference on Silicon Epitaxy and Heterostructures (ICSI)  

     詳細を見る

    開催年月日: 2018年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ドイツ連邦共和国  

  114. Characterization of Electron Charging and Transport Properties of Si-QDs with Phosphorus Doped Ge Core 国際会議

    1st Joint ISTDM / ICSI 2018 Conference; 9th International SiGe Technology and Device Meeting (ISTDM)/11th International Conference on Silicon Epitaxy and Heterostructures (ICSI)  

     詳細を見る

    開催年月日: 2018年5月

    記述言語:英語   会議種別:ポスター発表  

    国名:ドイツ連邦共和国  

  115. Electroluminescence from Multiply Stacked Si Quantum Dots with Ge Core by Alternate Carrier Injection 国際会議

    1st Joint ISTDM / ICSI 2018 Conference; 9th International SiGe Technology and Device Meeting (ISTDM)/11th International Conference on Silicon Epitaxy and Heterostructures (ICSI)  

     詳細を見る

    開催年月日: 2018年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ドイツ連邦共和国  

  116. 熱処理によるエピタキシャルAg/Ge(111)構造の表面平坦化とGe析出量制御

    伊藤公一、大田晃生、黒澤昌志、洗平昌晃、池田弥央、牧原克典、宮崎誠一

    第65回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2018年

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  117. GaN(0001)面上へのHe希釈リモート酸素プラズマ支援によるSiO2 CVD ― Ar希釈リモート酸素プラズマ支援との違い

    グェンスァンチュン、田岡紀之、大田晃生、山田永、高橋言緒、池田弥央、牧原克典、清水三聡、宮崎誠一

    第65回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2018年

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  118. Ge/Si量子ドット上へのSi選択成長と室温PL特性

    藤森俊太郎、山田健太郎、永井僚、池田弥央、牧原克典、宮崎誠一

    第65回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2018年

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  119. P添加GeコアSi量子ドットの帯電および電子輸送特性評価

    永井僚、山田健太郎、藤森俊太郎、池田弥央、牧原克典、大田晃生、宮崎誠一

    第65回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2018年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  120. XPSによるY2O3/SiO2界面の化学結合状態および内部電位評価

    藤村信幸、大田晃生、池田弥央、牧原克典、宮崎誠一

    第65回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2018年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  121. 光電子収率分光法による熱酸化SiO2/Si構造の電子状態計測

    大田晃生、今川拓哉、池田弥央、牧原克典、宮崎誠一

    第65回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2018年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  122. 電子・正孔交互注入によるGeコアSi量子ドット多重集積構造からのエレクトロルミネッセンス

    牧原克典、池田弥央、藤村信幸、大田晃生、宮崎誠一

    第65回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2018年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  123. SiO2/Si構造の真空紫外光電子分光分析

    今川拓哉、大田晃生、田岡紀之、藤村信幸、池田弥央、牧原克典、宮崎誠一

    第65回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2018年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  124. XPSによるY2O3/SiO2界面の化学結合状態および内部電位評価

    藤村信幸、大田晃生、池田弥央、牧原克典、宮崎誠一

    第65回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2018年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  125. リモートプラズマによって酸化されたn-GaNのPL特性

    高田昇治、山本泰史、田岡紀之、大田晃生、グェンスァンチュン、山田永、高橋言緒、池田弥央、牧原克典、清水三聡、宮崎誠一

    第65回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2018年

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  126. Evaluation of Potential Distribution in Multiply-Stacked Si Quantum Dots Structure by Hard X-ray Photoelectron Spectroscopy 国際会議

    11th International WorkShop on New Group IV Semiconductor Nanoelectronics 

     詳細を見る

    開催年月日: 2018年

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  127. Insulator/GaN Interface Control for Intelligent Power Integrated Circuit 国際会議

    11th International WorkShop on New Group IV Semiconductor Nanoelectronics 

     詳細を見る

    開催年月日: 2018年

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  128. Control of Surface Segregated Ultrathin Ge Layer Formation on Ag Surface 国際会議

    10th Anniversary International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2018)/11th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2018) 

     詳細を見る

    開催年月日: 2018年

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  129. Operand Study of Multiple Stacked Si Quantum Dots by Hard X-ray Photoelectron Spectroscopy 国際会議

    10th Anniversary International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2018)/11th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2018) 

     詳細を見る

    開催年月日: 2018年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  130. Electroluminescence from Si-QDs with Ge Core 国際会議

    11th International WorkShop on New Group IV Semiconductor Nanoelectronics 

     詳細を見る

    開催年月日: 2018年

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  131. Insights into Growth of Two-Dimensional Ge Crystal on Epitaxial Ag/Ge(111) by Thermal Annealing 国際会議

    11th International WorkShop on New Group IV Semiconductor Nanoelectronics 

     詳細を見る

    開催年月日: 2018年

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  132. XPS Study on High-k/SiO2 Interface -Correlation between Electrical Dipole and Oxygen Density - 国際会議

    11th International WorkShop on New Group IV Semiconductor Nanoelectronics 

     詳細を見る

    開催年月日: 2018年

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  133. Carrier Conduction in SiO2/GaN Structure with Abrupt Interface 国際会議

    The 2018 International Symposium on VLSI Technology, Systems and Applications 

     詳細を見る

    開催年月日: 2018年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:中華人民共和国  

  134. 磁性AFM探針を用いたFe3Siナノドットの電子輸送特性評価

    武 嘉麟、張 海、古幡 裕志、牧原 克典、池田 弥央、大田 晃生、宮崎 誠一

    第67回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2018年

    記述言語:日本語  

    国名:日本国  

  135. リモート酸素プラズマ支援CVD SiO2/GaNの化学構造及び電気特性評価

    グェンスァン チュン, 田岡紀之, 大田晃生, 山田永, 高橋言緒, 池田弥央, 牧原克典, 清水三聡, 宮崎誠一

    電子情報通信学会 電子デバイス研究会 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  136. リモート酸素プラズマによって酸化したGaNの表面構造

    山本泰史、田岡紀之、大田 晃生、グェンスァン チュン、山田永、高橋言緒、池田弥央、牧原克典、清水三聡、宮崎誠一

    先進パワー半導体分科会第4回講演会 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  137. 高誘電率絶縁膜/SiO2積層構造の光電子分光分析-界面ダイポールと酸素密度の相関-

    藤村 信幸、大田 晃生、池田 弥央、牧原 克典、宮崎 誠一

    応用物理学会SC東海地区学術講演会2017 

     詳細を見る

    開催年月日: 2017年10月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  138. 硬X線光電子分光法によるSi量子ドット多重集積構造のオペランド分析

    中島 裕太、牧原 克典、大田 晃生、池田 弥央、宮崎 誠一

    応用物理学会SC東海地区学術講演会2017 

     詳細を見る

    開催年月日: 2017年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  139. GeコアSi量子ドットのEL特性評価

    山田健太郎、池田弥生、牧原克典、大田晃生、宮崎誠一

    応用物理学会SC東海地区学術講演会2017 

     詳細を見る

    開催年月日: 2017年10月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  140. 入射エネルギー可変の真空紫外光電子分光による固体表面の価電子帯上端位置の計測

    今川 拓哉、大田 晃生、田岡 紀之、藤村 信幸、グェン スァン チュン、池田 弥央、牧原 克典、宮崎 誠一

    応用物理学会SC東海地区学術講演会2017 

     詳細を見る

    開催年月日: 2017年10月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  141. リモートプラズマ酸化したGaNの表面構造と電子状態

    山本泰史、田岡紀之、大田 晃生、グェンスァン チュン、山田永、高橋言緒、池田弥央、牧原克典、清水三聡、宮崎誠一

    応用物理学会SC東海地区学術講演会2017 

     詳細を見る

    開催年月日: 2017年10月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  142. 熱処理によるエピタキシャルAg上へのGe二次元結晶の合成指針の構築

    伊藤公一、大田晃生、黒澤昌志、洗平昌晃、池田弥央、牧原克典、宮崎誠一

    応用物理学会SC東海地区学術講演会2017 

     詳細を見る

    開催年月日: 2017年10月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  143. 熱処理がリモートプラズマ CVD SiO2/GaN 構造の化学結合状態及び電気特性に与える影響

    グェンスァン チュン, 田岡紀之, 大田晃生, 山田永, 高橋言緒, 池田弥央, 牧原克典, 清水三聡, 宮崎誠一

    応用物理学会SC東海地区学術講演会2017 

     詳細を見る

    開催年月日: 2017年10月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  144. XPSによるHigh-k/SiO2界面のダイポール定量と酸素密度比との相関

    藤村 信幸、大田 晃生、池田 弥央、牧原 克典、宮崎 誠一

    第78回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  145. 電子・正孔交互注入によるGeコアSi量子ドット多重集積構造の発光特性

    牧原 克典、池田 弥央、藤村 信幸、大田 晃生、宮崎 誠一

    第78回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  146. Study of Wet Chemical Treatments of Epitaxial GaN(0001) Surface

    L. Peng, A. Ohta, N. X. Truyen, M. Ikeda, K. Makihara, N. Taoka, T. Narita,, K. Itoh, D. Kikuta, K. Shiozaki, T. Kachi, and S. Miyazaki

    第78回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  147. 真空紫外光電子分光によるGaNの電子親和力評価

    今川 拓哉、大田 晃生、藤村 信幸、グェン スァン チュン、池田 弥央、牧原 克典、加地 徹、塩崎 宏司、宮崎 誠一

    第78回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  148. リモート酸素プラズマで形成したGa酸化物/GaN構造のエネルギーバンド構造と電気的特性

    山本泰史、田岡紀之、大田 晃生、グェンスァン チュン、山田永、高橋言緒、池田弥央、牧原克典、清水三聡、宮崎誠一

    第78回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  149. 熱処理によるAg/Ge構造の表面平坦化とGe析出量制御

    伊藤公一、大田晃生、黒澤昌志、洗平昌晃、池田弥央、牧原克典、宮崎誠一

    第78回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  150. リモート酸素プラズマ支援CVD SiO2/GaNの熱安定性

    グェンスァン チュン, 田岡紀之, 大田晃生, 山田永, 高橋言緒, 池田弥央, 牧原克典, 清水三聡, 宮崎誠一

    第78回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  151. 高誘電率絶縁膜の電子親和力の決定およびSiO2との界面で生じる電位変化の定量

    藤村 信幸、大田 晃生、池田 弥央、牧原 克典、宮崎 誠一

    2017 年真空・表面科学合同講演会 第37 回表面科学学術講演会・第58 回真空に関する連合講演会 

     詳細を見る

    開催年月日: 2017年8月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  152. リモート酸素プラズマ支援CVDによる急峻SiO2/GaN界面の形成とその電気的特性

    グェンスァン チュン, 田岡紀之, 大田晃生, 山田永, 高橋言緒, 池田弥央, 牧原克典, 清水三聡, 宮崎誠一

    2017 年真空・表面科学合同講演会 第37 回表面科学学術講演会・第58 回真空に関する連合講演会 

     詳細を見る

    開催年月日: 2017年8月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  153. XPSによるHigh-k/SiO2界面の化学構造およびダイポールの評価

    藤村 信幸、大田 晃生、池田 弥央、牧原 克典、宮崎誠一

    電気通信情報学会(SDM) [シリコン材料・デバイス] シリコンテクノロジー分科会 6月度合同研究会 

     詳細を見る

    開催年月日: 2017年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  154. エピタキシャルAg(111)上の極薄IV族結晶形成

    伊藤 公一、大田 晃生、黒澤 昌志、洗平 昌晃、池田 弥央、牧原 克典、宮崎 誠一

    電気通信情報学会(SDM) [シリコン材料・デバイス] シリコンテクノロジー分科会 6月度合同研究会 

     詳細を見る

    開催年月日: 2017年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  155. 定電圧および定電流印加によるSi酸化薄膜の電気抵抗変化特性評価

    大田 晃生、加藤 祐介、池田 弥央、牧原 克典、宮崎 誠一

    電気通信情報学会(SDM) [シリコン材料・デバイス] シリコンテクノロジー分科会 6月度合同研究会 

     詳細を見る

    開催年月日: 2017年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  156. Total Photoelectron Yield Spectroscopy of Electronic States of Oxide Thin Films and Wide Bandgap Semiconductors 国際会議

    10th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2017年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  157. Luminescence Studies of High Density Si Quantum Dots with Ge core 国際会議

    K. Yamada, M. Ikeda, K. Makihara, and S. Miyazaki

    10th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2017年

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  158. Evaluation of Dielectric Function of Oxide Thin Films from Photoemission Measurements 国際会議

    T. Yamamoto, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki

    10th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2017年

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  159. Characterization of Field Electron Emission from Multiply-Stacking Si Quantum Dots 国際会議

    Y. Nakashima, D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    10th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2017年

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  160. Chemical Analysis of Epitaxial Ag(111) Surface formed on Group-IV Semiconductors 国際会議

    K. Ito, A. Ohta, M. Kurosawa, M. Araidai, M. Ikeda, K. Makihara, and S. Miyazaki

    10th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2017年

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  161. Characterization of Remote Plasma CVD SiO2 on GaN(0001) 国際会議

    N. Truyen, A. Ohta, M. Ikeda, K. Makihara and S. Miyazaki

    10th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2017年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  162. Potential Change and Electrical Dipole at Ultrathin Oxide/Semiconductor Interfaces as Evaluated by XPS 国際会議

    N. Fujimura, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki

    10th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2017年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  163. Formation of Si-based Quantum Dots on Sub-micron patterned Si Substrates 国際会議

    M. Ikeda, L. Gao, K. Yamada, K. Makihara, A. Ohta, and S. Miyazaki

    10th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2017年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  164. Formation of Mn-germanide Nanodots on Ultrathin SiO2 Induced by Remote Hydrogen Plasma 国際会議

    Y. Wen, K. Makihara, A. Ohta, M. Ikeda and S. Miyazaki

    8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2016)/9th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2016) 

     詳細を見る

    開催年月日: 2017年

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  165. Impact of Thermal Annealing on Mophology and Chemical Bonding Features at Epitaxial Ag(111) Surface Grown on Ge(111) 国際会議

    K. Ito, A. Ohta, M. Kurosawa, M. Araidai, M. Ikeda, K. Makihara, and S. Miyazaki

    8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2016)/9th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2016) 

     詳細を見る

    開催年月日: 2017年

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  166. PYS Study on Energy Distributions of Defect States in Remote O2 Plasma Enhanced CVD SiO2/GaN Structure 国際会議

    N. Truyen, A. Ohta, K. Makihara, M. Ikeda and S. Miyazaki

    International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2016)/9th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2016) 

     詳細を見る

    開催年月日: 2017年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  167. Total Photoelectron Yield Spectroscopy of Electronic States of GaN Surface 国際会議

    A. Ohta, N. Truyen, N. Fujimura, M. Ikeda, K. Makihara, and S. Miyazaki

    8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2016)/9th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2016) 

     詳細を見る

    開催年月日: 2017年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  168. Ge上にエピタキシャル成長したAg(111)表面の平坦化および化学構造評価

    伊藤 公一、大田 晃生、黒澤 昌志、洗平 昌晃、池田 弥央、牧原 克典、宮崎 誠一

    第64回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2017年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  169. Si 細線構造への高密度 Si 量子ドット形成と発光特性

    高 磊、池田 弥央、山田 健太郎、牧原 克典、大田 晃生、宮崎 誠一

    第64回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2017年

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  170. リモートプラズマ支援 CVD SiO2/GaN の界面特性

    グェンスァンチュン、田岡 紀之、大田 晃生、山本 泰史、山田 永、高橋 言緒、池田 弥央、牧原 克典、清水 三聡、宮崎 誠一

    第64回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2017年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  171. リモート酸素プラズマによるGaN表面酸化

    山本 泰史、田岡 紀之、大田 晃生、グェンスァンチュン、山田 永、高橋 言緒、池田 弥央、牧原 克典、清水 三聡、宮崎 誠一

    第64回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2017年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  172. XPSによるHfO2の電子親和力と界面ダイポールの定量

    藤村 信幸、大田 晃生、池田 弥央、牧原 克典、宮崎 誠一

    第64回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2017年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  173. HfO2/SiO2/Si構造の光電子分光分析-界面ダイポールの定量-

    藤村信幸、大田晃生、池田弥生、牧原克典、宮崎誠一

    第16回日本表面科学会中部支部学術講演会 

     詳細を見る

    開催年月日: 2017年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  174. 光電子分光法によるリモートプラズマCVD SiO2/GaNの化学結合状態および電子占有欠陥評価

    グェンチュンスァン、大田晃生、牧原克典、池田弥央、宮崎誠一

    電子デバイス界面テクノロジー研究会―材料・プロセス・デバイス特性の物理― (第22回研究会) 

     詳細を見る

    開催年月日: 2017年

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  175. X線光電子分光法による極薄酸化物積層構造の電位変化・ダイポール評価

    藤村信幸、大田晃生、池田弥央、牧原克典、宮崎誠一

    電子デバイス界面テクノロジー研究会―材料・プロセス・デバイス特性の物理― (第22回研究会) 

     詳細を見る

    開催年月日: 2017年

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  176. ドライおよびN2O酸化により形成したSiO2/4H-SiCの電子占有欠陥評価

    渡辺 浩成、大田 晃生、池田 弥央、牧原 克典、森 大輔、寺尾 豊、宮崎 誠一

    第64回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2017年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  177. 硬X線光電子分光を用いたSi量子ドット多重集積構造の電位分布評価

    中島 裕太、竹内 大智、牧原 克典、大田 晃生、池田 弥央、宮崎 誠一

    第64回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2017年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  178. Ge コアSi 量子ドットの発光特性評価

    山田 健太郎、牧原 克典、池田 弥央、大田 晃生、宮崎 誠一

    第17回日本表面科学会中部支部学術講演会 

     詳細を見る

    開催年月日: 2017年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  179. Tiナノドットを埋め込んだSiOx膜の電気抵抗変化特性-定電圧および定電流印加による特性制御-

    加藤 祐介、大田 晃生、池田 弥央、牧原 克典、宮崎 誠一

    第64回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2017年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  180. 硬X線光電子分光法によるSi-MOSダイオードのオペランド分析 -電位変化および化学結合状態評価-

    大田 晃生、村上 秀樹、池田 弥央、牧原 克典、池永 英司、宮崎 誠一

    第64回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2017年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  181. Abrupt SiO2/GaN Interface Properties Formed by Remote Plasma Assisted CVD 国際会議

    2017 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 

     詳細を見る

    開催年月日: 2017年

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Gyeongju, Korea   国名:大韓民国  

  182. High Density Formation of and Light Emission from Si-Quantum Dots with Ge core 国際会議

    S. Miyazaki, K. Yamada, M. Ikeda, and K. Makihara

    MRS spring Meeting 

     詳細を見る

    開催年月日: 2017年

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Phoenix   国名:アメリカ合衆国  

  183. Potential Changes and Chemical Bonding Features for Si-MOS Diodes as Evaluated from HAXPES Analysis 国際会議

    A. Ohta, H. Murakami, M. Ikeda, K. Makihara, E. Ikenaga, S. Miyazaki

    20th Conference on Insulating Films on Semiconductors 

     詳細を見る

    開催年月日: 2017年

    記述言語:英語   会議種別:ポスター発表  

    開催地:Potsdam   国名:ドイツ連邦共和国  

  184. Evaluation of Energy Distribution of Filled Defects of Si Oxide Thin Films from Total Photoelectron Yield Spectroscopy 国際会議

    A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki

    20th Conference on Insulating Films on Semiconductors 

     詳細を見る

    開催年月日: 2017年

    記述言語:英語   会議種別:ポスター発表  

    開催地:Potsdam   国名:ドイツ連邦共和国  

  185. Characterization of Electroluminescence from Si-QDs with Ge Core 国際会議

    K. Yamada, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    The 10th International Conference on Silicon Epitaxy and heterostructures 

     詳細を見る

    開催年月日: 2017年

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Coventry, UK   国名:グレートブリテン・北アイルランド連合王国(英国)  

  186. Evaluation of Potential Distribution in Multiple Stacked Si Quantum Dots Structure by Hard X-ray Photoelectron Spectroscopy 国際会議

    Y. Nakashima, D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    The 10th International Conference on Silicon Epitaxy and heterostructures 

     詳細を見る

    開催年月日: 2017年

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Coventry, UK   国名:グレートブリテン・北アイルランド連合王国(英国)  

  187. Fabrication and Magnetoelectronic Transport Fe3Si-Nanodots on Ultrathin SiO2 国際会議

    H. Zhang, K. Makihara, A. Ohta, M. Ikeda, and S, Miyazaki

    The 10th International Conference on Silicon Epitaxy and heterostructures 

     詳細を見る

    開催年月日: 2017年

    記述言語:英語   会議種別:ポスター発表  

    開催地:Coventry, UK   国名:グレートブリテン・北アイルランド連合王国(英国)  

  188. Magnetoelectronic Transport of Double Stack FePt Nanodots 国際会議

    2017 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 

     詳細を見る

    開催年月日: 2017年

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Gyeongju, Korea   国名:大韓民国  

  189. High Density Formation of Fe-silicide Nanodots and Their Magnetic Properties 国際会議

    S. Ishida, K. Makihara, A. Ohta, M. Ikeda and S. Miyazaki

    IUMRS-ICAM 2017 

     詳細を見る

    開催年月日: 2017年

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Phoenix   国名:日本国  

  190. Growth of 2D Crystal of Group-IV Elements on Epitaxial Ag(111) 国際会議

    K. Ito, A. Ohta, M. Kurosawa, M. Araidai, M. Ikeda, K. Makihara, and S. Miyazaki

    2017 International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2017年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  191. Electroluminescence of Super-atom-like Si-Ge based Quantum Dots Floating Gate 国際会議

    K. Makihara, M. Ikeda, N. Fujimura, A. Ohta, and S. Miyazaki

    2017 International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2017年

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  192. リモートプラズマ支援CVDによる低欠陥密度SiO2/GaN界面の形成

    グェンスァンチュン, 田岡紀之, 大田晃生, 山田永, 高橋言緒, 池田弥央, 牧原克典, 清水三聡, 宮崎誠一

    第17回日本表面科学会中部支部学術講演会 

     詳細を見る

    開催年月日: 2017年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  193. 高誘電率絶縁膜/SiO2界面のダイポール形成と化学構造の関係

    藤村信幸、大田晃生、池田弥央、牧原克典、宮崎誠一

    第17回日本表面科学会中部支部学術講演会 

     詳細を見る

    開催年月日: 2017年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  194. 高密度GeコアSi量子ドットの室温EL特性評価

    山田健太郎、牧原克典、池田弥央、大田晃生、宮崎誠一

    第17回日本表面科学会中部支部学術講演会 

     詳細を見る

    開催年月日: 2017年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  195. 二次元結晶合成に向けたAg上Ge極薄膜の形成

    伊藤公一、大田晃生、黒澤昌志、洗平昌晃、池田弥央、牧原克典、宮崎誠一

    電子デバイス界面テクノロジー研究会 (第23回研究会) 

     詳細を見る

    開催年月日: 2017年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  196. XPSによる極薄high-k/SiO2ゲートスタック構造の電子状態および化学結合状態評価

    藤村信幸、大田晃生、池田弥央、牧原克典、宮崎誠一

    電子デバイス界面テクノロジー研究会 (第23回研究会) 

     詳細を見る

    開催年月日: 2017年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  197. ALD-Al2O3/GaN界面における伝導帯端近傍の界面準位密度の低減

    田岡紀之, 小林貴之, 中村昌幸, 佐川達郎, グェンスァンチュン, 大田晃生, 山田永, 高橋言緒, 池田弥央, 牧原克典, 久保俊晴, 山田寿一, 江川孝志, 宮崎誠一, 本山愼一, 清水三聡

    電子デバイス界面テクノロジー研究会 (第23回研究会) 

     詳細を見る

    開催年月日: 2017年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  198. プラズマ酸化で形成したGa酸化物薄膜/GaN構造のエネルギーバンド構造と電気的界面特性

    山本泰史, 田岡紀之, 大田晃生, グェンスァンチュン, 山田永, 高橋言緒, 池田弥央, 牧原克典, 清水三聡, 宮崎誠一

    電子デバイス界面テクノロジー研究会 (第23回研究会) 

     詳細を見る

    開催年月日: 2017年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  199. Relationships between Al2O3/GaN Interface Properties near Conduction Band Edge and Post-Deposition Annealing Temperatures 国際会議

    N. Taoka, T. Kobayashi, M. Nakamura, T. Sagawa, N. X. Truyen, A. Ohta, H. Yamada, T. Takahashi, M. Ikeda, K. Makihara, T. Kubo, T. Yamada, T. Egawa, S. Miyazaki, and M. Shimizu

    48th IEEE Semiconductor Interface Specialists Conference 

     詳細を見る

    開催年月日: 2017年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  200. XPS Study on Evaluation of Electrical Dipole and Atomic Density Ratio at Ultrathin High-k Dielectrics/SiO2 Interface 国際会議

    N. Fujimura, A. Ohta, M. Ikeda, K. Makihara, S. Miyazaki

    2017 International Workshop on Dielectric Thin Films for Future Electron Devices: Science and Technology (IWDTF) 

     詳細を見る

    開催年月日: 2017年

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  201. Electrical properties of SiO2/GaN interfaces formed by remote oxygen plasma mixed with He or Ar 国際会議

    N. X. Truyen, N. Taoka, A. Ohta, K. Makihara, H. Yamada, T. Takahashi, M. Ikeda, M. Shimizu, and S. Miyazaki

    2017 International Workshop on Dielectric Thin Films for Future Electron Devices: Science and Technology (IWDTF) 

     詳細を見る

    開催年月日: 2017年

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  202. Energy Band Structure of Ga-oxide/GaN Interface Formed by Remote O2 Plasma 国際会議

    T. Yamamoto, N. Taoka, A. Ohta1, N. X. Truyen, H. Yamada, T. Takahashi, M. Ikeda, K. Makihara, M. Shimizu, and S. Miyazaki

    2017 International Workshop on Dielectric Thin Films for Future Electron Devices: Science and Technology (IWDTF) 

     詳細を見る

    開催年月日: 2017年

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  203. Oxidation of GaN surface by remote oxygen plasma 国際会議

    T. Yamamoto, N. Taoka, A. Ohta1, N. X. Truyen, H. Yamada, T. Takahashi, M. Ikeda, K. Makihara, M. Shimizu, and S. Miyazaki

    39th International Symposium on Dry Process (DPS) 

     詳細を見る

    開催年月日: 2017年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  204. Ultrathin Ge Growth on Flat Ag Surface in Hetero-Epitaxial Ag/Ge Structure by Annealing 国際会議

    K. Ito, A. Ohta, M. Kurosawa, M. Araidai, M. Ikeda, K. Makihara, and S. Miyazaki

    30th International Microprocesses and Nanotechnology Conference 

     詳細を見る

    開催年月日: 2017年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  205. Direct Observation of Electrical Dipole and Atomic Density at High-k Dielectrics/SiO2 Interface 国際会議

    N. Fujimura, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki

    2017 International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2017年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  206. High thermal stability of abrupt SiO2/GaN interface with low interface state density 国際会議

    N. X. Truyen, N. Taoka, A. Ohta, K. Makihara, H. Yamada, T. Takahashi, M. Ikeda, M. Shimizu, and S. Miyazaki

    2017 International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2017年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  207. 光電子分光分析によるSiO2/4H-SiCの電子状態評価

    渡辺浩成、大田晃生、池田弥生、牧原克典、宮崎誠一

    第4回応用物理学会SC東海地区学術講演会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  208. GeコアSi量子ドット/Si量子ドット多重集積構造のEL特性

    竹内大智、山田健太郎、牧原克典、池田弥央、大田晃生、宮崎誠一

    第77回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  209. リモートプラズマCVD SiO2/GaN界面の光電子分光分析

    グェンスァン チュン,大田 晃生,牧原 克典,池田 弥央,宮崎 誠一

    第77回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  210. GeコアSi量子ドットのエレクトロルミネッセンス特性

    山田 健太郎、池田 弥央、牧原 克典、宮崎 誠一

    第77回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  211. HfO2/SiO2/Si(100)構造における内部電位分布、界面ダイポールの定量評価

    藤村信幸、大田晃生、池田弥生、牧原克典、宮崎誠一

    第77回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  212. FePtナノドットスタック構造における磁場印加後の電気伝導特性評価

    河瀨平雅、牧原克典、大田晃生 、池田弥央、宮崎誠一

    第77回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  213. Ta酸化物ナノドットの高密度・一括形成 (II)

    王亜萍、竹内大智、池田弥央、大田晃生、牧原克典、宮崎誠一

    第77回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  214. X線光電子分光法による熱酸化SiO2およびGeO2薄膜の誘電関数評価

    山本泰史、大田晃生、池田弥央、牧原克典、宮崎誠一

    第77回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  215. リモートプラズマCVD SiO2/GaN界面の光電子分光分析

    グェンスァン チュン,大田 晃生,牧原 克典,池田 弥央,宮崎 誠一

    第77回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  216. Processing and Characterization of Si/Ge Quantum Dots 招待有り 国際会議

    S. Miyazaki, K. Makihara, A. Ohta, and M. Ikeda

    Int. Electron Devices Meeting 2016 (IEDM) 

     詳細を見る

    開催年月日: 2016年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  217. Magnetotransport Properties of FePt Alloy-NDs Stacked Structures 国際会議

    K. Makihara, T. Kawase, A. Ohta, M. Ikeda, and S. Miyazaki

    2016 International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2016年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  218. Evaluation of Potential Change and Electrical Dipole in HfO2/SiO2/Si Structure 国際会議

    2016 International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2016年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  219. Evaluation of Dielectric Function of Thermally-grown SiO2 and GeO2 from Energy Loss Signals for XPS Core-line Photoelectrons 国際会議

    T. Yamamoto, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki

    230th Meeting of The Electrochemical Society (ECS) 

     詳細を見る

    開催年月日: 2016年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  220. Effect of Ge Core Size on Photoluminescence from Si Quantum Dots with Ge Core 国際会議

    K. Yamada, K. Kondo, M. Ikeda, K. Makihara, and S. Miyazaki

    230th Meeting of The Electrochemical Society (ECS) 

     詳細を見る

    開催年月日: 2016年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  221. Low Temperature Formation of Crystalline Si:H/Ge:H Heterostructures by Plasma Enhanced CVD in Combination with Ni-NDs Seeding Nucleation 国際会議

    Y. Lu, K. Makihara, D. Takeuchi, M. Ikeda, A. Ohta, and S. Miyazaki

    29th International Microprocesses and Nanotechnology Conference 

     詳細を見る

    開催年月日: 2016年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  222. High Density Formation of Ta/Ta-Oxide Core-Shell Nanodots 国際会議

    Y. Wang, D. Takeuchi, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki

    29th International Microprocesses and Nanotechnology Conference 

     詳細を見る

    開催年月日: 2016年

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  223. Characterization of Electrical Dipole Formed at HfO2/SiO2 and SiO2/Si Interfaces Using by XPS 国際会議

    N. Fujimura, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki

    JSPS Meeting 2016 : Workshop on Atomically Controlled Processing for Ultra-large Scale Integration 

     詳細を見る

    開催年月日: 2016年

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  224. XPS Study on Dielectric Function of Thermally-grown SiO2 国際会議

    T. Yamamoto, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki

    JSPS Meeting 2016 Workshop on Atomically Controlled Processing for Ultra-large Scale Integration 

     詳細を見る

    開催年月日: 2016年

    記述言語:英語   会議種別:ポスター発表  

    国名:ドイツ連邦共和国  

  225. Effects of Hydrogen Plasma Treatment on Optical Properties of LSAT ((LaAlO3)0.3-(Sr2AlTaO6)0.7 国際会議

    D. R. Purba, K. Makihara, A. Rusydi, S. Miyazaki, and Y. Darma

    The 2nd Materials Research Society of Indonesia (MRS-Id) Meeting 

     詳細を見る

    開催年月日: 2016年

    記述言語:英語   会議種別:ポスター発表  

    国名:インドネシア共和国  

  226. Formation and Characterization of Si Quantum Dots with Ge Core for Functional Devices 招待有り 国際会議

    S. Miyazaki, D. Takeuchi, M. Ikeda, and K. Makihara

    2016 International Conference on Solid State Devices and Materials  

     詳細を見る

    開催年月日: 2016年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  227. Formation of Fe3Si-Nanodots on Ultrathin SiO2 Induced by H2-plasma Treatment and Their Magnetic-Field Dependent Electron Transport Properties 国際会議

    H. Zhang, K. Makihara, M. Ikeda, A. Ohta, and S. Miyazaki

    Asia-Pacific Conference on Semiconducting Silicides and Related Materials  

     詳細を見る

    開催年月日: 2016年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  228. Study of Electron Field Emission from Multiply-Stacking Si Quantum Dots 国際会議

    D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda, S. Miyazaki

    9th International Workshop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar" Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2016年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  229. Determination of Electron Affinity of Si-based Materials using by X-ray Photoelectron Spectroscopy 国際会議

    N. Fujimura, A. Ohta, K. Makihara and S. Miyazaki

    9th International Workshop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar" Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2016年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  230. Impact of Ge Capping Layer on Ta Nanodots Formation Induced by Remote Hydrogen Plasma 国際会議

    Y. Wang, D. Takeuchi, M. Ikeda, K. Makihara, A. Ohta, and S. Miyazaki

    9th International Workshop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar" Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2016年

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  231. Evaluation of Electronic States of Thermally-grown SiO2/4H-SiC 国際会議

    H. Watanabe, A. Ohta, N, Fujimura, K. Makihara, and S. Miyazaki

    9th International Workshop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar" Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2016年

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  232. Characterization of Electronic Charged States of FePt-NDs Stacked Structures by Kelvin Force Microscopy 国際会議

    T. Kawase, Y. Mitsuyuki, A. Ohta, K. Makihara, T. Kato, S. Iwata and S. Miyazaki

    9th International Workshop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar" Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2016年

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  233. High Density Ti Nanodots Formation and Improvement of ReRAM Characteristics by Embedding Ti Nanodots 国際会議

    Y. Kato, A. Ohta, K. Makihara and S. Miyazaki

    9th International Workshop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar" Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2016年

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  234. Impact of Phosphorus Doping to Multiply-Stacking Si Quantum Dots on Electron Emission Properties 国際会議

    D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda, S. Miyazaki

    7th International Symposium on Control of Semiconductor Interfaces and 8th International SiGe Technology and Device Meeting joint meeting (ISCSI-VII/ISTDM 2016)  

     詳細を見る

    開催年月日: 2016年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  235. Determination of Energy Band Profile of Thermally-grown SiO2/4H-SiC Structure Using XPS 国際会議

    H. Watanabe, A. Ohta, K. Makihara, and S. Miyazaki

    7th International Symposium on Control of Semiconductor Interfaces and 8th International SiGe Technology and Device Meeting joint meeting (ISCSI-VII/ISTDM 2016)  

     詳細を見る

    開催年月日: 2016年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  236. Electron Transport Properties of High Density FePt-NDs Stacked Structures 国際会議

    T. Kawase, Y. Mitsuyuki, K. Makihara, A. Ohta, M. Ikeda and S. Miyazaki

    7th International Symposium on Control of Semiconductor Interfaces and 8th International SiGe Technology and Device Meeting joint meeting (ISCSI-VII/ISTDM 2016)  

     詳細を見る

    開催年月日: 2016年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  237. Formation and Electron Transport Properties of Fe3Si Nanodots on Ultrathin SiO2 国際会議

    Hai Zhang, Mitsuhisa Ikeda, Katsunori Makihara, Akio Ohta and Seiichi Miyazaki

    2016 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 

     詳細を見る

    開催年月日: 2016年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  238. Embedding of Ti Nanodots into SiOx and Its Impact on Resistance Switching Behaviors 国際会議

    Yusuke Kato, Akio Ohta, Mitsuhisa Ikeda, Katsunori Makihara, and Seiichi Miyazaki

    2016 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 

     詳細を見る

    開催年月日: 2016年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  239. 熱酸化SiO2/4H-SiC Si面およびC面の電子占有欠陥および化学構造評価

    渡辺浩成、大田晃生、池田弥生、牧原克典、森大輔、寺尾豊、宮崎誠一

    第16回日本表面科学会中部支部学術講演会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  240. Ta酸化物ナノドットの高密度一括形成

    王亜萍、竹内大智、大田晃生、牧原克典、宮崎誠一

    第76回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  241. ウェット酸化により形成したSiO2/4H-SiCの電子状態評価

    渡辺浩成、大田晃生、藤村信幸、牧原克典、宮崎誠一

    第76回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  242. 外部磁場が高密度FePtナノドットスタック構造の電子輸送特性に及ぼす影響

    河瀨平雅、満行優介、大田晃生 、牧原克典 、宮崎誠一

    第76回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  243. Ni/SiOx/Ti Nanodots/SiOx/Niダイオードの抵抗変化特性評価

    加藤祐介、荒井崇、大田晃生、牧原克典、宮崎誠一

    第76回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  244. KFMによるFePtナノドットスタック構造の局所帯電評価

    満行優介、大田晃央、牧原克典、宮崎誠一

    第76回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  245. GeコアSi量子ドットからの発光スペクトル―温度依存性

    近藤圭悟、牧原克典、宮崎誠一

    第76回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  246. X線光電子分光法によるSiおよびSiO2の価電子帯上端位置の決定

    藤村信幸、大田晃生、牧原克典、宮崎誠一

    第76回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  247. P添加Si量子ドット多重集積構造の電界電子放出特性評価

    竹内大智、牧原克典、大田晃生、宮崎誠一

    第76回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  248. リモート水素プラズマ支援による高密度形成したFeシリサイドナノドットの構造および磁化特性評価

    張海、牧原克典、大田晃生、壁谷悠希、宮崎誠一

    第76回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  249. X線光電子分光法によるSiO2/4H-SiCエネルギーバンドプロファイルの決定

    渡辺浩成、大田晃生、藤村信幸、牧原克典、宮崎誠一

    応用物理学会SC東海地区学術講演会2015 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  250. iナノドットの高密度一括形成とその埋め込みによる抵抗変化特性の向上

    加藤祐介、大田晃生、牧原克典、宮崎誠一

    応用物理学会SC東海地区学術講演会2015 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  251. GeコアSi量子ドットからのフォトルミネッセンス特性―温度依存性

    近藤圭悟、牧原克典、宮崎誠一

    応用物理学会SC東海地区学術講演会2015 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  252. XPSによるSi系材料の電子親和力決定手法の検討

    藤村信幸、大田晃生、牧原克典、宮崎誠一

    応用物理学会SC東海地区学術講演会2015 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  253. リモート水素プラズマ支援による高密度形成したFe3Siナノドットの結晶構造および磁化特性評価

    張海、牧原克典、大田晃生、壁谷悠希、宮崎誠一

    応用物理学会SC東海地区学術講演会2015 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  254. Si量子ドット多重集積構造からの電子放出特性評価

    竹内大智、牧原克典、大田晃生、池田弥央、宮崎誠一

    第15回日本表面科学会中部支部研究会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  255. SiO2/4H-SiC構造の電子障壁高さの決定と欠陥準位密度の深さ方向分析

    渡辺浩成、大田晃生、牧原克典、宮崎誠一

    電子デバイス界面テクノロジー研究会 (第21回研究会) 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  256. SiOx膜へのTiナノドットの埋め込みがその抵抗変化特性に与える影響

    加藤祐介、大田晃生、牧原克典、宮崎誠一

    電子デバイス界面テクノロジー研究会 (第21回研究会) 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  257. Si, 4H-SiCおよびSiO2の価電子帯上端位置と電子親和力の評価

    藤村信幸、大田晃生、牧原克典、宮崎誠一

    電子デバイス界面テクノロジー研究会 (第21回研究会) 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  258. リモート水素プラズマ照射による4H-SiC(0001)の表面改質

    グェンスァンチュン, 竹内大智, 大田晃生, 池田弥央, 牧原克典, 宮崎誠一

    電子デバイス界面テクノロジー研究会 (第21回研究会) 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  259. Ti系薄膜およびTiナノドットを埋め込んだ SiOx膜の抵抗変化特性評価

    加藤祐介、大田晃生、牧原克典、宮崎誠一

    第63回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  260. 磁性AFM探針を用いたFe3Siナノドットの電子輸送特性評価

    張海、満行優介、牧原克典、池田弥央、大田晃生、宮崎誠一

    第63回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  261. ウェット酸化により形成したSiO2/4H-SiCの電子状態評価 (II)

    渡辺浩成、大田晃生、藤村信幸、牧原克典、宮崎誠一

    第63回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  262. FePt ナノドットスタック構造における磁気伝導特性

    満行優介、河瀬平雅、牧原克典、大田晃生、池田弥央、宮崎誠一

    第63回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  263. 磁性AFM探針を用いたFe3Siナノドットの電子輸送特性評価

    張海、満行優介、牧原克典、池田弥央、大田晃生、宮崎誠一

    第63回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  264. GeコアSi量子ドットにおけるGeコアサイズがPL特性に及ぼす影響

    山田健太郎、近藤圭悟、池田弥央、牧原克典、宮崎誠一

    第63回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  265. GeコアSi量子ドットの発光メカニズム

    近藤圭悟、池田弥央、牧原克典、宮崎誠一

    第63回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  266. XPSによるSiO2/半導体界面の電位変化およびダイポールの定量

    藤村信幸、大田晃生、渡辺浩成、牧原克典、宮崎誠一

    電子情報通信学会(SDM) [シリコン材料・デバイス] 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  267. リモート酸素プラズマ支援CVDによる低温SiO2薄膜形成

    グェンスァンチュン, 藤村信幸, 竹内大智, 大田晃生, 牧原克典, 池田弥央, 宮崎誠一

    シリコン材料・デバイス研究会(SDM) 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  268. 4H-SiC Si面およびC面上に成長した熱酸化膜の光電子収率分光法による電子占有欠陥評価

    渡辺浩成、大田晃生、牧原克典、池田弥央、宮崎誠一

    第77回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  269. Ti薄膜およびTiナノドットを埋め込んだNi/SiOx/Niの電気抵抗スイッチング

    加藤祐介、大田晃生、池田弥央、牧原克典、宮崎誠一

    第4回応用物理学会SC東海地区学術講演会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  270. XPSを用いたSiO2およびGeO2の誘電関数・光学定数の評価手法の検討

    山本泰史、大田晃生、池田弥央、牧原克典、宮崎誠一

    第4回応用物理学会SC東海地区学術講演会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  271. SiおよびSiGe上に形成したAg表面の化学分析

    伊藤公一、大田晃生、黒澤昌志、洗平昌晃、池田弥央、牧原克典、宮崎誠一

    第4回応用物理学会SC東海地区学術講演会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  272. XPSによるSiO2/SiおよびHfO2/SiO2界面のダイポールの定量

    藤村信幸、大田晃生、池田弥生、牧原克典、宮崎誠一

    第4回応用物理学会SC東海地区学術講演会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  273. 光電子収率分光法によるリモートプラズマCVD SiO2/GaN界面の電子占有欠陥評価

    グェン スァンチュン, 大田晃生, 牧原克典, 池田弥央, 宮崎誠一

    第4回応用物理学会SC東海地区学術講演会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  274. シリコン酸化薄膜の電気抵抗スイッチングおよび欠陥準位密度評価

    加藤祐介、大田晃生、池田弥央、牧原克典、宮崎誠一

    第36回 表面科学学術講演会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  275. IV 族半導体上に蒸着したAg 薄膜の化学構造評価と反応制御

    伊藤公一、大田晃生、黒澤昌志、洗平昌晃、池田弥央、牧原克典、宮崎誠一

    第36回 表面科学学術講演会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  276. Si細線構造への高密度Si量子ドット形成

    高磊、竹内大智、牧原克典、池田弥央、大田晃生、宮崎誠一

    2016真空・表面科学合同講演会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  277. Si系量子ドット多重集積構造からの電界電子放出特性

    中島裕太、大田晃生、竹内大智、牧原克典、大田晃生、池田弥央、宮崎誠一

    2016真空・表面科学合同講演会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  278. HAXPES によるSi-MOS キャパシタの化学結合状態および内部電位の深さ方向分析

    大田晃生、村上秀樹、池田弥央、牧原克典、池永英司、宮崎誠一

    2016真空・表面科学合同講演会 

     詳細を見る

    開催年月日: 2016年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  279. A Study of Magnetoelectronic Transport in Double Stack FePt Nanodots on Ultrathin SiO2/c-Si for Functional Memories 国際会議

    Seiichi Miyazaki, Yusuke Mitsuyuki, Taiga Kawase, Mitsuhisa Ikeda, and Katsunori Makihara

    E-MRS 2016 Fall Meeting 

     詳細を見る

    開催年月日: 2016年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  280. Si量子ドット多重集積構造の電界電子放出特性評価

    竹内大智、牧原克典、大田晃生、池田弥央、宮崎誠一

    第62回春季応用物理学会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  281. 光電子収率分光法によるSiO2/SiC構造の電子状態計測(2)

    大田晃生、渡邊浩成、グェンスァンチュン、牧原克典、宮崎誠一

    第62回春季応用物理学会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  282. リモート水素プラズマ支援によるTaナノドットの高密度一括形成

    王亜萍、牧原克典、大田晃生、竹内大智、宮崎誠一

    第62回春季応用物理学会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  283. リモート水素プラズマ処理した4H-SiC表面の化学構造および電子状態分析

    グェンスァンチュン、大田晃生、竹内大智、張海、牧原克典、宮崎誠一

    第62回春季応用物理学会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  284. 高密度FePtナノドットスタック構造の電子輸送特性

    壁谷悠希、満行優介、張海、大田晃生、牧原克典、宮崎誠一

    第62回春季応用物理学会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  285. リモート水素プラズマ支援によるFeシリサイドナノドットの高密度一括形成と磁化特性評価

    張海、牧原克典、大田晃生、壁谷悠希、宮崎誠一

    第62回春季応用物理学会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  286. P添加がGeコアSi量子ドットのPL特性に及ぼす影響

    近藤圭悟、牧原克典、宮崎誠一

    第62回春季応用物理学会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  287. 不純物添加がSi量子ドット多重集積構造のEL特性に及ぼす影響

    山田敬久、牧原克典、池田弥央、宮崎誠一

    第62回春季応用物理学会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  288. 外部磁場がFePt合金ナノドットへの電子注入特性に及ぼす影響

    満行優介、壁谷悠希、張海、大田晃生、牧原克典、宮崎誠一

    第62回春季応用物理学会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  289. Photoluminescence Properties of Si Quantum Dots with Ge Core 国際会議

    K. Kondo, K. Makihara and S. Miyazaki

    8th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  290. Characterization of Electroluminescence from Multiply-Stack of Doped Si Quantum Dots 国際会議

    T. Yamada, K. Makihara, M. Ikada and S. Miyazaki

    8th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  291. Characterization of Electron Field Emission from High Density Self-aligned Si-based Quantum Dots 国際会議

    D. Takeuchi, K. Makihara, A. Ohta, M. Ikada and S. Miyazaki

    8th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  292. Impact of Post Metallization Annealing on Chemical Bonding Features and Interfacial Reactions in Ge-MIS Structure with HfO2/TaGexOy Dielectric Stack 国際会議

    A. Ohta, H. Murakami, K. Makihara and S. Miyazaki

    8th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  293. High Density Formation of Fe-Silicide Nanodots Induced by Remote Hydrogen Plasma 国際会議

    H. Zhang, K. Makihara, A. Ohta, M. Ikada and S. Miyazaki

    8th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  294. High Density Formation of Mn-Ge Nanodots Induced by Remote Hydrogen Plasma 国際会議

    Y. Wen, K. Makihara, A. Ohta and S. Miyazaki

    8th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  295. Impact of Magnetic-Field Application on Electron Transport Through FePt Alloy Nanodots 国際会議

    Y. Kabeya, H. Zhang, A. Ohta, K. Makihara and S. Miyazaki

    8th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  296. Formation and Characterization of High Density FePt Alloy Nanodots Induced by Remote Hydrogen Plasma 国際会議

    Y. Mitsuyuki, Y. Kabeya, K. Makihara, T. Kato, S. Iwata and S. Miyazaki

    8th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  297. Impact of Remote Hydrogen Plasma on Micro-roughness and Electronic States at 4H-SiC(0001) Surface 国際会議

    T. Nguyen, A. Ohta, D. Takeuchi, H. Zhang, K. Makihara and S. Miyazaki

    8th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  298. Si-rich酸化膜へのMnナノドット埋め込みが抵抗変化特性へ及ぼす影響

    荒井崇、大田晃生、牧原克典、宮崎誠一

    ゲートスタック研究会 (第20回研究会) 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:東レ研修センター   国名:日本国  

  299. Magnetic-Field Dependence of Electron Transport Through FePt Alloy-NDs on Ultrathin SiO2 国際会議

    K. Makihara, Y. Kabeya, Y. Mitsuyuki, A. Ohta, Y. Darma, and S. Miyazaki

    8th International Conference on Materials for Advanced Technologies of the Materials Research Society of Singapore & 16th IUMRS-International Conference in Asia 

     詳細を見る

    開催年月日: 2015年

    記述言語:英語   会議種別:ポスター発表  

    国名:シンガポール共和国  

  300. Characterization of Electron Field Emission from High Density Self-Aligned Si-Based Quantum Dots 国際会議

    D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda and S. Miyazaki

    7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nano materials / 8th International Conference on Plasmas-Nano Technology & Science (ISPlasma2015 / IC-PLANTS2015) 

     詳細を見る

    開催年月日: 2015年

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  301. Formation and Characterization of High Density FeSi Nanodots on SiO2 Induced by Remote H2 Plasma 国際会議

    H. Zhang, K. Makihara, A. Ohta and S. Miyazaki

    7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nano materials / 8th International Conference on Plasmas-Nano Technology & Science (ISPlasma2015 / IC-PLANTS2015) 

     詳細を見る

    開催年月日: 2015年

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  302. Study on Electroluminescence from Multiply-Stacking Valencey Controlled Si Quantum Dots 国際会議

    T. Yamada, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    9th International Conference On Silicon Epitaxy And Heterostructures (ICSI-9) 

     詳細を見る

    開催年月日: 2015年

    記述言語:英語   会議種別:ポスター発表  

    国名:カナダ  

  303. Impact of Phosphorus Doping to Multiply-Stacking Si Quantum Dots on Electron Field Emission Properties 国際会議

    D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda and S. Miyazaki

    The 9th International Conference on Silicon Epitaxy and Heterostructures (ICSI-9) 

     詳細を見る

    開催年月日: 2015年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:カナダ  

  304. Electronic Defect States in Thermally-grown SiO2/4H-SiC Structure Measured by Total Photoelectron Yield Spectroscopy 国際会議

    A. Ohta, K. Makihara, and S. Miyazaki

    19th biannual Conference on Insulating Films on Semiconductors 2015 (INFOS2015) 

     詳細を見る

    開催年月日: 2015年

    記述言語:英語   会議種別:ポスター発表  

    国名:イタリア共和国  

  305. Electron Transport Properties of High Density FePt-NDs Stacked Structures 国際会議

    Y. Mitsuyuki, K. Makihara, A. Ohta and S. Miyazaki

    2015 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2015) 

     詳細を見る

    開催年月日: 2015年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  306. Effect of P-doping on Photoluminescence Properties of Si Quantum Dots with Ge Core 国際会議

    K. Kondo, K. Makihara, A. Ohta and S. Miyazaki

    2015 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2015) 

     詳細を見る

    開催年月日: 2015年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  307. Impact of Magnetic-Field Application on Electron Transport Through FePt-NDs Stacked Structures 国際会議

    Y. Mitsuyuki, K. Makihara, A. Ohta and S. Miyazaki

    JSPS International Core-to-Core Program Workshop, Atomically Controlled Processing for Ultra-large Scale Integration 

     詳細を見る

    開催年月日: 2015年

    記述言語:英語   会議種別:ポスター発表  

    国名:フランス共和国  

  308. Characterization of Field Emission Properties from Multiply-Stacking Si Quantum Dots 国際会議

    D. Takeuchi, K. Makihara, A. Ohta and S. Miyazaki

    JSPS Core-to-Core Program Workshop "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2015年

    記述言語:英語   会議種別:ポスター発表  

    国名:フランス共和国  

  309. Impact of Remote H2 Plasma on Surface and Electronic Structures of 4H-SiC(0001) 国際会議

    T. Nguyen, A. Ohta, D. Takeuchi, H. Zhang, K. Makihara and S. Miyazaki

    The 21st Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & The Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     詳細を見る

    開催年月日: 2015年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  310. High Density Formation of Fe silicide-nanodots on SiO2 Induced by Remote H2-plasma 国際会議

    H. Zhang, A. Ohta, K. Makihara and S. Miyazaki

    The 21st Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & The Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     詳細を見る

    開催年月日: 2015年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  311. High Density Formation of Ta Nanodots Induced by Remote Hydrogen Plasma 国際会議

    Y. Wang, D. Takeuchi, K. Makihara, A. Ohta, and S. Miyazaki

    68th Annual Gaseous Electronics Conferences/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing 

     詳細を見る

    開催年月日: 2015年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  312. High Density Formation of Fe3Si-nanodots on ultrathin SiO2 Induced by Remote Hydrogen Plasma 国際会議

    H. Zhang, A. Ohta, K. Makihara and S. Miyazaki

    The 17th Annual Conference and 6thInternational Conference of the Chinese Society of Micro-Nano Technology(CSMNT2015) 

     詳細を見る

    開催年月日: 2015年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:中華人民共和国  

  313. Photoemission Study on Chemical Bonding Features and Electronic Defect States of Thermally-Grown SiO2/4H-SiC Structure 国際会議

    H. Watanabe, A. Ohta, K. Makihara, and S. Miyazaki

    228th Meeting of The Electrochemical Society (ECS) 

     詳細を見る

    開催年月日: 2015年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  314. Resistive Switching Characteristics of Si-rich Oxides with Embedding Ti Nanodots 国際会議

    Y. Kato, T. Arai, A. Ohta, K. Makihara and S. Miyazaki

    228th Meeting of The Electrochemical Society (ECS) 

     詳細を見る

    開催年月日: 2015年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  315. Photoemission Study on Chemical Bonding Features of Thermally-grown SiO2/4H-SiC Structure 国際会議

    H. Watanabe, A. Ohta, K. Makihara, and S. Miyazaki

    2015 International Workshop on Dielectric Thin Films for Future Electron Devices (IWDTF) 

     詳細を見る

    開催年月日: 2015年

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  316. Formation of High Density Ti Nanodots and Evaluation of Resistive Switching Properties of SiOx-ReRAMs with Ti Nanodots 国際会議

    Y. Kato, A. Ohta, K. Makihara and S. Miyazaki

    2015 International Workshop on Dielectric Thin Films for Future Electron Devices (IWDTF) 

     詳細を見る

    開催年月日: 2015年

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  317. Evaluation of Valence Band Maximum and Electron Affinity of SiO2 and Si-based Semiconductors Using XPS 国際会議

    N. Fujimura, A. Ohta, K. Makihara and S. Miyazaki

    2015 International Workshop on Dielectric Thin Films for Future Electron Devices (IWDTF) 

     詳細を見る

    開催年月日: 2015年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  318. High Density Formation of Fe-silicide Nanodots Induced by Remote H2 Plasma and Characterization of Their Crystalline Structure and Magnetic Properties 国際会議

    H. Zhang, A. Ohta, K. Makihara and S. Miyazaki

    The 37th International Symposium on Dry Process (DPS2015) 

     詳細を見る

    開催年月日: 2015年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  319. Formation of Mn-Ge Nanodots Induced by Remote Hydrogen Plasma 国際会議

    Y. Wen, K. Makihara, A. Ohta and S. Miyazaki

    28th International Microprocesses and Nanotechnology Conference (MNC) 

     詳細を見る

    開催年月日: 2015年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  320. Impact of Embedded Mn-Nanodots on Resistive Switching Properties of Si-rich Oxides 国際会議

    T. Arai, A. Ohta, K. Makihara and S. Miyazaki

    28th International Microprocesses and Nanotechnology Conference (MNC) 

     詳細を見る

    開催年月日: 2015年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  321. Formation and Characterization of High Density FePt Nanodots on SiO2 Induced by Remote Hydrogen 国際会議

    K. Makihara, Y. Kabeya, A. Ohta, T. Kato, A. Iwata and S. Miyazaki

    2015 International Conference on Solid State Devices and Materials (SSDM 2015) 

     詳細を見る

    開催年月日: 2015年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  322. Cleaning of 4H-SiC(0001) Surface by using Remote Hydrogen Plasma 国際会議

    T. Nguyen, D. Takeuchi, A. Ohta, K. Makihara, and S. Miyazaki

    8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2016) / 9th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2016) 

     詳細を見る

    開催年月日: 2015年

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  323. Self-assembling Formation of Ta Nanodots Induced by Remote Hydrogen Plasma from Ge/Ta Bi-layer Stack 国際会議

    Y. Wang, D. Takeuchi, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki

    8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2016) / 9th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2016) 

     詳細を見る

    開催年月日: 2015年

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  324. Impact of Magnetic-Field Application on Electron Charging Characteristics of FePt Nanodots 国際会議

    T. Kawase, Y. Mitsuyuki, A. Ohta, K. Makihara, T. Kato, S. Iwata, and S. Miyazaki

    8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2016) / 9th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2016) 

     詳細を見る

    開催年月日: 2015年

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  325. Formation of High Density Ta Oxide Nanodots 国際会議

    Y. Wang, D. Takeuchi, A. Ohta, K. Makihara, and S. Miyazaki

    8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2016) / 9th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2016) 

     詳細を見る

    開催年月日: 2015年

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  326. Effect of Ge Stacked Layer on Ti Nanodots Formation From Metal Thin Films by Remote Hydrogen Plasma Exposure 国際会議

    Y. Kato, A. Ohta, K. Makihara, and S. Miyazaki

    8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2016) / 9th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2016) 

     詳細を見る

    開催年月日: 2015年

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  327. Tiナノドットを埋め込んだSiリッチ酸化膜の抵抗変化特性

    加藤祐介、荒井崇、大田晃生、牧原克典、宮崎誠一

    電子情報通信学会(SDM) [シリコン材料・デバイス] 

     詳細を見る

    開催年月日: 2015年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  328. 光電子分光法による熱酸化SiO2/4H-SiCの化学結合状態および欠陥準位密度評価

    渡辺浩成、大田晃生、牧原克典、宮崎誠一

    電子情報通信学会(SDM) [シリコン材料・デバイス] 

     詳細を見る

    開催年月日: 2015年

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  329. A Study of Magnetoelectronic Transport in Double Stack FePt Nanodots on Ultrathin SiO2/c-Si for Functional Memories 国際会議

    S. Miyazaki, Y. Kabeya, Y. Mitsuyuki and K. Makihara

    MRS 2015 Fall Meeting 

     詳細を見る

    開催年月日: 2015年

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  330. Mnナノドットを埋め込んだSiOx-Ni電極MIMダイオードの抵抗変化特性

    荒井崇、大田晃生、牧原克典、宮崎誠一

    第14回日本表面科学会中部支部研究会 

     詳細を見る

    開催年月日: 2014年12月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  331. リモート水素プラズマ支援によるSiO2上へのFeシリサイドナノドットの高密度一括形成

    張海、牧原克典、大田晃生、池田弥央、宮崎誠一

    第14回日本表面科学会中部支部研究会 

     詳細を見る

    開催年月日: 2014年12月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  332. High Density Formation of Fe-Silicide Nanodots on SiO2 Induced by Remote H2 Plasma 国際会議

    H. Zhang, K. Makihara, A. Ohta, M. Ikeda and S. Miyazaki

    27th International Microprocesses and Nanotechnology Conference 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  333. リモート水素プラズマ支援によるSiO2上へのFeシリサイドドットの高密度形成

    張海、牧原克典、大田晃生、池田弥央、宮崎誠一

    応用物理学会SC東海地区学術講演会2014 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  334. FePt合金ナノドットの高密度形成と磁化特性評価

    満行優介、張海、牧原克典、大田晃生、徳岡良浩、加藤剛志、岩田聡、宮崎誠一

    応用物理学会SC東海地区学術講演会2014 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  335. Niナノドットを電極に用いたSiOx-ReRAMの抵抗変化特性

    加藤祐介、劉冲、荒井崇、大田晃生、竹内大智、張海、牧原克典、宮崎誠一

    応用物理学会SC東海地区学術講演会2014 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  336. Mnナノドットを埋め込んだSiリッチ酸化膜の抵抗変化特性

    荒井崇、劉冲、大田晃生、牧原克典、宮崎誠一

    応用物理学会SC東海地区学術講演会2014 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  337. Study of Electron Field Emission from High Density Self-aligned Si-based Quantum Dots 国際会議

    D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda and S. Miyazaki

    JSPS Core-to-Core Program Workshop "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:ベルギー王国  

  338. 不純物添加Si量子ドット多重集積構造のエレクトロルミネッセンス特性評価

    山田敬久、牧原克典、池田弥央、宮崎誠一

    応用物理学会SC東海地区学術講演会2014 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  339. Si量子ドット多重集積構造からの電界電子放出特性評価

    竹内大智、牧原克典、大田晃生、池田弥央、宮崎誠一

    応用物理学会SC東海地区学術講演会2014 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学   国名:日本国  

  340. CoPt合金ナノドット/極薄SiO2層における電子輸送特性の外部磁場依存性

    壁谷悠希、張海、福岡諒、大田晃生、牧原克典、宮崎誠一

    応用物理学会SC東海地区学術講演会2014 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学   国名:日本国  

  341. リモートH2プラズマ処理が4H-SiC(0001)の表面マイクロラフネス及び欠陥準位密度に与える影響

    グェンスァンチュン、大田晃生、竹内大智、牧原克典、宮崎誠一

    応用物理学会SC東海地区学術講演会2014 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学   国名:日本国  

  342. GeコアSi量子ドットのフォトルミネッセンス特性評価

    近藤圭悟、牧原克典、池田弥央、宮崎誠一

    応用物理学会SC東海地区学術講演会2014 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  343. Characterization of Electron Emission from High Density Self-aligned Si-Based Quantum Dots by Conducting-Probe Atomic Force Microscopy 国際会議

    D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    226th Meeting of The Electrochemical Society 

     詳細を見る

    開催年月日: 2014年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:メキシコ合衆国  

  344. Characterization of Chemical Bonding Features and Interfacial Reactions in Ge-MIS Structure with HfO2/TaGexOy Dielectric Stack 国際会議

    A. Ohta, H. Murakami, K. Hashimoto, K. Makihara and S. Miyazaki

    226th Meeting of The Electrochemical Society 

     詳細を見る

    開催年月日: 2014年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:メキシコ合衆国  

  345. Photoluminescence Study of Si Quantum Dots with Ge Core 国際会議

    K. Makihara, K. Kondo, M. Ikeda, A. Ohta and S. Miyazaki

    226th Meeting of The Electrochemical Society 

     詳細を見る

    開催年月日: 2014年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:メキシコ合衆国  

  346. FePtナノドット/極薄SiO2層における電子輸送特性の外部磁場依存性

    壁谷悠希、大田晃生、牧原克典、宮崎誠一

    第75回秋季応用物理学会 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地: 北海道大学   国名:日本国  

  347. 光電子収率分光法によるSiO2/SiC界面の電子状態計測

    大田晃生、竹内大智、グェンスァンチュン、牧原克典、宮崎誠一

    第75回秋季応用物理学会 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地: 北海道大学   国名:日本国  

  348. 不純物添加Si量子ドット多重集積構造のエレクトロルミネッセンス

    山田敬久、牧原克典、池田弥央、宮崎誠一

    第75回秋季応用物理学会 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地: 北海道大学   国名:日本国  

  349. リモート水素プラズマ支援によるMn-Ge系ナノドットの高密度一括形成

    温映輝、牧原克典、大田晃生、宮崎誠一

    第75回秋季応用物理学会 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地: 北海道大学   国名:日本国  

  350. Mnナノドット埋め込みSiリッチ酸化膜の抵抗変化特性

    荒井崇、大田晃生、牧原克典、宮崎誠一

    第75回秋季応用物理学会 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地: 北海道大学   国名:日本国  

  351. P添加Si量子ドット多重集積構造の電界電子放出特性

    竹内大智、牧原克典、大田晃生、池田弥央、宮崎誠一

    第75回秋季応用物理学会 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地: 北海道大学   国名:日本国  

  352. Impact of Remote H2 Plasma on Surface Roughness of 4H-SiC(0001) 国際会議

    T. Nguyen, H. Zhang, D. Takeuchi, A. Ohta, K. Makihara, H. Murakami, and S. Miyazaki

    International Union Material Research Society - International Conference in Asia 2014 

     詳細を見る

    開催年月日: 2014年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  353. Electroluminescence from Multiply-Stack of Doped Si Quantum Dots 国際会議

    T. Yamada, K. Makihara, M. Ikeda and S. Miyazaki

    2014 International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2014年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  354. Characterization of Resistance-Switching of Ni Nano-dot/SiOx/Ni Diodes 国際会議

    A. Ohta, C. Liu, T. Arai, D. Takeuchi, H. Zhang, K. Makihara and S. Miyazaki

    International Union Material Research Society - International Conference in Asia 2014 

     詳細を見る

    開催年月日: 2014年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  355. High Density Formation of Mn and Mn-germanide Nanodots Induced by Remote Hydrogen Plasma 国際会議

    Y. Wen, K. Makihara, A. Ohta, M. Ikeda and S. Miyazaki

    International Union Material Research Society - International Conference in Asia 2014 

     詳細を見る

    開催年月日: 2014年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  356. Impact of Magnetic-Field Application on Electron Transport Through CoPt Alloy Nanodots 国際会議

    Y. Kabeya, H. Zhang, R. Fukuoka, A. Ohta, K. Makihara and S. Miyazaki

    International Union Material Research Society - International Conference in Asia 2014 

     詳細を見る

    開催年月日: 2014年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  357. Crystalline Structure and Magnetic Properties of FePt Alloy Nanodots 国際会議

    K. Makihara, R. Fukuoka, H. Zhang, A. Ohta, Y. Tokuda, T. Kato, S. Iwata, and S. Miyazaki

    International Union Material Research Society - International Conference in Asia 2014 

     詳細を見る

    開催年月日: 2014年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  358. Local Electrical Properties of Si-rich Oxides with Embedding Mn-nanodots by Atomic Force Microscopy Using Conducting-Probe 国際会議

    T. Arai, C. Liu, A. Ohta, K. Makihara and S. Miyazaki

    International Union Material Research Society - International Conference in Asia 2014 

     詳細を見る

    開催年月日: 2014年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  359. Characterization of electronic charged states of self-aligned coupled Si quantum dots by AFM/KFM Probe Technique 国際会議

    K. Makihara, N. Tsunekawa, M. Ikeda and S. Miyazaki

    2014 International SiGe Technology and Device Meeting 

     詳細を見る

    開催年月日: 2014年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:シンガポール共和国  

  360. Mnナノドットを埋め込んだNi/SiOx/Ni構造の抵抗変化特性

    荒井崇、大田晃生、牧原克典、宮崎誠一

    電気通信情報学会(SDM) [シリコン材料・デバイス] 

     詳細を見る

    開催年月日: 2014年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学   国名:日本国  

  361. ナノドットを電極に用いたNi/SiOx/Niダイオードの抵抗変化特性評価

    大田晃生、劉冲、荒井崇、竹内大智、張海、牧原克典、宮崎誠一

    電気通信情報学会(SDM) [シリコン材料・デバイス] 

     詳細を見る

    開催年月日: 2014年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学   国名:日本国  

  362. Resistance-Switching Characteristics of Si-rich Oxide as Evaluated by Using Ni Nanodots as Electrodes in Conductive AFM Measurements 国際会議

    A. Ohta, C. Liu, T. Arai, D. Takeuchi, H. Zhang, K. Makihara and S. Miyazaki

    2014 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 

     詳細を見る

    開催年月日: 2014年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  363. Impact of Embedded Mn-Nanodots on Resistive Switching in Si-rich Oxides 国際会議

    T. Arai, C. Liu, A. Ohta, K. Makihara and S. Miyazaki

    2014 International SiGe Technology and Device Meeting 

     詳細を見る

    開催年月日: 2014年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:シンガポール共和国  

  364. Study on Formation of High Density Fe-Nanodots on Ultrathin SiO2 Induced by Remote H2 Plasma Exposure 国際会議

    H. Zhang, K. Makihara, R. Fukuoka, Y. Kabeya and S. Miyazaki

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2014) 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  365. Selective Crystallization and Metallizatioin of a-Ge:H Thin Films by Pt-coating and Exposing to Remote H2 Plasma 国際会議

    K. Makihara, M. Ikeda, S. Higashi and S. Miyazaki

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2014) 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  366. Study on Si/Ge Heterodtructures Formed by PECVD in Combination with Ni-Nds Seeding Nucleation 国際会議

    Y. Lu, K. Makihara, D. Takeuchi, K. Sakaike, M. Akazawa, S. Higashi and S. Miyazaki

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2014) 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  367. 導電性AFM探針による高密度一次元連結Si系量子ドットからの電子放出特性評価(Ⅱ)

    竹内大智、牧原克典、大田晃生、池田弥央、宮崎誠一

    第61回春季応用物理学会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学   国名:日本国  

  368. 磁性AFM探針を用いたCoPt合金ナノドットの電子輸送特性評価-外部磁場依存性

    壁谷悠希、張海、福岡諒、牧原克典、宮崎誠一

    第61回春季応用物理学会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学   国名:日本国  

  369. FePt合金ナノドットの構造および磁化特性評価

    福岡諒、張海、牧原克典、大田晃生、徳岡良浩、加藤剛志、岩田聡、宮崎誠一

    第61回春季応用物理学会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学   国名:日本国  

  370. Niナノドット電極を用いたSiOx薄膜の抵抗変化特性

    劉冲、荒井崇、大田晃生、竹内大智、張海、牧原克典、宮崎誠一

    第61回春季応用物理学会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:青山学院大学   国名:日本国  

  371. Mnナノドットを埋め込んだSiOx MIM構造の局所電気伝導解析

    荒井崇、劉冲、大田晃生、牧原克典、宮崎誠一

    第61回春季応用物理学会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:青山学院大学   国名:日本国  

  372. AFM/KFMによる自己整合一次元連結Si量子ドットの局所帯電評価

    恒川直輝、牧原克典、池田弥央、宮崎誠一

    第61回春季応用物理学会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学   国名:日本国  

  373. リモート水素プラズマ支援によるMnおよびMnジャーマナイドナノドットの高密度一括形成

    温映輝、牧原克典、大田晃生、池田弥央、宮崎誠一

    第61回春季応用物理学会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学   国名:日本国  

  374. P添加GeコアSi量子ドットのフォトルミネッセンス特性評価

    近藤圭悟、鈴木善久、牧原克典、池田弥央、小山剛志、岸田英夫、宮崎誠一

    第61回春季応用物理学会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学   国名:日本国  

  375. Mnナノドットを埋め込んだSiOx 膜の抵抗変化特性

    荒井崇、劉冲、大田晃生、牧原克典、宮崎誠一

    第61回春季応用物理学会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学   国名:日本国  

  376. リモート水素プラズマ支援によるFeシリサイドナノドットの高密度形成

    張海、牧原克典、大田晃生、池田弥央、宮崎誠一

    第61回春季応用物理学会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学   国名:日本国  

  377. P/N制御Si量子ドット多重集積構造のエレクトロルミネッセンス

    山田敬久、牧原克典、鈴木善久、池田弥央、宮崎誠一

    第61回春季応用物理学会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学   国名:日本国  

  378. Alignment Control and Electrical Coupling of Si-based Quantum Dots 国際会議

    K. Makihara and S. Miyazaki

    7th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  379. High Density Formation of FePt Alloy Nanodots Induced by Remote Hydrogen Plasma and Characterization of Their Magnetic Properties 国際会議

    R. Fukuoka, H. Zhang, K. Makihara, Y. Tokuoka, T. Kato, S. Iwata and S. Miyazaki

    7th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  380. Characterization of Local Electronic Transport through Si-Nanocrystals/ Si-Nanocolumnar Structures by Non-contact Conductive Atomic Force Microscopy 国際会議

    D. Takeuchi, K. Makihara, M. Ikeda, S. Miyazaki

    7th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  381. Impact of Pulsed Bias Application on Electroluminescence Properties from One-dimensionally Self-Aligned Si-based Quantum Dots 国際会議

    Y. Suzuki, K. Makihara, M. Ikeda and S. Miyazaki

    7th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  382. Evaluation of Chemical Bonding Features and Resistive Switching in TiOx/SiOx Stack in Ti Electrode MIM Diode 国際会議

    T. Arai, C. Liu, A. Ohta, K. Makihara and S. Miyazaki

    7th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  383. Electroluminescence from Multiply-Stacking B-doped Si Quantum Dots 国際会議

    T. Yamada, K. Makihara, Y. Suzuki, M. Ikeda and S. Miyazaki

    7th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  384. Formation of High-Density Magnetic Nanodots on Ultrathin SiO2 Induced by Remote H2 Plasma 国際会議

    Y. Kabeya, H. Zhang, R. Fukuoka, K. Makihara and S. Miyazaki

    7th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  385. 光電子エネルギー損失信号による極薄酸化物のエネルギーバンドギャップの決定手法の再検討

    大田 晃生、村上 秀樹、牧原 克典、宮崎 誠一

    ゲートスタック研究会 (第19回研究会) 

     詳細を見る

    開催年月日: 2014年1月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋工業大学   国名:日本国  

  386. Progress In Determination Method of Ultrathin Si-based Oxide Bandgaps From Analysis of Energy Loss Signals for Photoelectrons 国際会議

    A. Ohta, K. Makihara, H. Murakami, and S. Miyazaki

    27th International Microprocesses and Nanotechnology Conference (MNC 2014) 

     詳細を見る

    開催年月日: 2014年

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  387. High density formation of FePt alloy nanodots on SiO2 induced by remote hydrogen plasma 国際会議

    R. Fukuoka, H. Zhang, K. Makihara, Y. Tokuoka, T. Kato, S. Iwata and S. Miyazaki

    Magnetics and Optics Research International Symposium (MORIS2013) 

     詳細を見る

    開催年月日: 2013年12月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  388. SiOx/TiO2積層したTi電極MIMダイオードの抵抗スイッチング

    荒井 崇、大田 晃生、福嶋 太紀、牧原 克典、宮崎 誠一

    第13回日本表面科学会中部支部研究会 

     詳細を見る

    開催年月日: 2013年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋工業大学   国名:日本国  

  389. リモート水素プラズマ支援によるSiO2上へのFeナノドットの高密度・一括形成

    張 海、福岡 諒、壁谷 悠希、牧原 克典、宮崎 誠一

    第13回日本表面科学会中部支部研究会 

     詳細を見る

    開催年月日: 2013年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋工業大学   国名:日本国  

  390. Characterization of Electron Emission from Si-Nanocrystals/Si-Nanocolumnar Structures by Non-contact Conductive Atomic Force Microscopy 国際会議

    D. Takeuchi, K. Makihara, M. Ikeda, S. Miyazaki, H. Kaki and T. Hayashi

    12th International Conference on Atomically Controlled Surfaces, Interfaces and Nanostructures (ACSIN-12) and 21st International Colloquium on Scanning Probe Microscopy (ICSPM21) 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  391. B添加Si量子ドット多重集積構造のエレクトロルミネッセンス

    山田 敬久、牧原 克典、鈴木 善久、宮崎 誠一

    応用物理学会SC東海地区学術講演会2013 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学   国名:日本国  

  392. 外部磁場印加がCoPt合金ナノドットの電気伝導特性に及ぼす影響

    壁谷 悠希、張 海、福岡 諒、牧原 克典、宮崎 誠一

    応用物理学会SC東海地区学術講演会2013 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学   国名:日本国  

  393. 一次元連結Si系量子ドットの電界発光減衰特性

    鈴木 善久、牧原 克典、池田 弥央、宮崎 誠一

    応用物理学会SC東海地区学術講演会2013 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  394. AFM/KFMによる一次元連結・高密度Si系量子ドットにおける帯電電荷の経時変化計測

    恒川 直輝、牧原 克典、池田 弥央、宮崎 誠一

    応用物理学会SC東海地区学術講演会2013 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  395. 導電性AFM探針によるSiナノ結晶/柱状Siナノ構造からの電子放出特性評価

    竹内 大智、牧原 克典、池田 弥央、宮崎 誠一、可貴 裕和、林 司

    応用物理学会SC東海地区学術講演会2013 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  396. リモート水素プラズマ支援によるSiO2上へのFeナノドットの高密度・一括形成

    張 海、福岡 諒、壁谷 悠希、牧原 克典、宮崎 誠一

    応用物理学会SC東海地区学術講演会2013 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  397. リモート水素プラズマ支援によるFePt合金ナノドットの高密度・一括形成と磁化特性評価

    福岡 諒、張 海、牧原 克典、宮崎 誠一

    応用物理学会SC東海地区学術講演会2013 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  398. Resistive Switching Properties of SiOx/TiO2 Multi-Stack in Ti-electrode MIM Diodes 国際会議

    A. Ohta, K. Makihara, M. Fukusima, H. Murakami, S. Higashi and S. Miyazaki

    224th Electrochemical Society (ECS) Meeting : Dielectric and Semiconductor Materials, Devices, and Processing 

     詳細を見る

    開催年月日: 2013年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  399. Study on Electronic Emission through Si-Nanocrystals / Si-Nanocolumnar Structures by Conductive-Probe Atomic Force Microscopy 国際会議

    D. Takeuchi, K. Makihara, M. Ikeda, S. Miyazaki, H. Kaki and T. Hayashi

    JSPS Core-to-Core Program Workshop "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2013年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ドイツ連邦共和国  

  400. Characterization of Charge Storage and Optical Response of Hybrid Nanodots Floating Gate MOS Devices for Their Optoelectronic Application 国際会議

    S. Miyazaki, K. Makihara and M. Ikeda

    JSPS Core-to-Core Program Workshop "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2013年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ドイツ連邦共和国  

  401. Study on Charge Storage and Optical Response of Hybrid Nanodots Floating Gate MOS Devices for Their Optoelectronic Application 国際会議

    S. Miyazaki, M. Ikeda and K. Makihara

    224th Electrochemical Society (ECS) Meeting : Dielectric and Semiconductor Materials, Devices, and Processing 

     詳細を見る

    開催年月日: 2013年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  402. リモート水素プラズマ支援によるFePt合金ナノドットの高密度形成と磁化特性評価

    福岡諒、張海、壁谷悠希、恒川直輝、牧原克典、大田晃生、宮崎誠一

    第74回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:同志社大学   国名:日本国  

  403. Transient Characteristics of Electroluminescence from Self-aligned Si-based Quantum Dots 国際会議

    Y. Suzuki, K. Makihara M. Ikeda and S. Miyazaki

    2013 International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  404. Characterization of Electron Transport Through Ultra High Density Array of One-dimensionally Self-Aligned Si-based Quantum Dots 国際会議

    H. Niimi, K. Makihara, M. Ikeda and S. Miyazaki

    2013 International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  405. 導電性AFM探針による高密度一次元連結Si系量子ドットからの電子放出特性評価

    竹内大智、牧原克典、池田弥央、宮崎誠一

    第74回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:同志社大学   国名:日本国  

  406. バイアス印加が一次元連結Si系量子ドットのPL特性に及ぼす影響

    鈴木善久、牧原克典、池田弥央、宮崎誠一

    第74回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:同志社大学   国名:日本国  

  407. リモート水素プラズマ支援によるSiO2上へのFeナノドットの高密度形成

    張海、福岡諒、壁谷悠希、牧原克典、宮崎誠一

    第74回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:同志社大学   国名:日本国  

  408. 外部磁場印加がCoPt合金ナノドットの電子輸送特性に及ぼす影響

    壁谷悠希、福岡諒、張海、牧原克典、宮崎誠一

    第74回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:同志社大学   国名:日本国  

  409. Formation of High Density Fe-Nanodots on Ultrathin SiO2 Induced by Remote H2 Plasma 国際会議

    H. Zhang, R. Fukuoka, Y. Kabeya, K. Makihara and and S. Miyazaki

    35th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  410. Low Temperature Formation of Crystalline Si/Ge Heterostructures by Plasma Enhanced CVD in Combination with Ni-NDs Seeding Nucleation 国際会議

    Y. Lu, K. Makihara, D. Takeuchi, K. Sakaike, M. Akazawa, M. Ikeda, S. Higashi and S, Miyazaki

    25th International Conference on Amorphous and Nanocrystalline Semiconductor 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:カナダ  

  411. Formation and Characterization of Hybrid Nanodots Floating Gate for Optoelectronic Devices 国際会議

    S. Miyazaki, K. Makihara and M. Ikeda

    JSPS Core-to Core Program Seminar "Atomically Controlled Processing for Ultralarge Scale Integration 

     詳細を見る

    開催年月日: 2013年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  412. SiOx/TiO2積層したMIMダイオードにおける抵抗変化特性評価

    大田晃生、福嶋太紀、牧原克典、村上秀樹、東清一郎、宮崎誠一

    電気通信情報学会(SDM) [シリコン材料・デバイス] 

     詳細を見る

    開催年月日: 2013年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:機械振興会館   国名:日本国  

  413. リモートH2プラズマ支援によるCoPtナノドットの高密度形成と帯電・帯磁特性評価

    牧原克典、福岡諒、張海、壁谷悠希、大田晃生、宮崎誠一

    電気通信情報学会(SDM) [シリコン材料・デバイス] 

     詳細を見る

    開催年月日: 2013年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:機械振興会館   国名:日本国  

  414. High Density Formation of CoPt Alloy Nanodots Induced by Remote H2 Plasma 国際会議

    R. Fukuoka, H. Zhang, Y. Kabeya, K. Makihara, A. Ohta, M. Ikeda and S. Miyazaki

    The 8th International Conference on Silicon Epitaxy and Heterostructures (ICSI-8) and the 6th International Symposium on Control of Semiconductor Interfaces (ISCSI-VI) 

     詳細を見る

    開催年月日: 2013年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  415. Characterization of Electroluminescence from Multiply-Stacked B-doped Si Quantum Dots 国際会議

    T. Yamada, K. Makihara, H. Takami, Y. Suzuki, M. Ikeda and S. Miyazaki

    The 8th International Conference on Silicon Epitaxy and Heterostructures (ICSI-8) and the 6th International Symposium on Control of Semiconductor Interfaces (ISCSI-VI) 

     詳細を見る

    開催年月日: 2013年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  416. Characterization of Electroluminescence from Self-Aligned Si-Based Quantum Dots Stack by Intermittent Bias Application 国際会議

    K. Makihara, H. Takami, Y. Suzuki, M. Ikeda, S. Miyazaki

    The 8th International Conference on Silicon Epitaxy and Heterostructures (ICSI-8) and the 6th International Symposium on Control of Semiconductor Interfaces (ISCSI-VI) 

     詳細を見る

    開催年月日: 2013年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  417. Selective Growth of Self-Assembling Si and SiGe Quantum Dots 国際会議

    K. Makihara, M. Ikeda and S. Miyazaki

    2013 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 

     詳細を見る

    開催年月日: 2013年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  418. High-Sensitive Detection of Electronic Emission through Si-Nanocrystals/Si-Nanocolumnar Structures by Conducting-Probe Atomic Force Microscopy 国際会議

    D. Takeuchi, K. Makihara, M. Ikeda, S. Miyazaki, H. Kaki and T. Hayashi

    2013 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 

     詳細を見る

    開催年月日: 2013年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  419. High Density Formation of Iron Nanodots on SiO2 Induced by Remote Hydrogen Plasma 国際会議

    H. Zhang, R. Fukuoka, Y. Kabeya, K. Makihara and S. Miyazaki

    3rd International Conference on Advanced Engineering Materials and Technology (AEMT 2013) 

     詳細を見る

    開催年月日: 2013年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:中華人民共和国  

  420. 導電性AFM探針を用いたSiナノ結晶/柱状Siナノ構造の電子放出特性評価

    竹内大智、牧原克典、池田弥央、宮崎誠一、可貴裕和、林司

    第60回春季応用物理学会 

     詳細を見る

    開催年月日: 2013年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  421. 多重集積したB 添加量子ドットのエレクトロルミネッセンス特性評価

    山田 敬久、牧原 克典、高見 弘貴、鈴木 善久、池田 弥央、宮崎 誠一

    第60回春季応用物理学会 

     詳細を見る

    開催年月日: 2013年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  422. 縦積み連結 Si 系量子ドットの超高密度集積構造における電子輸送特性

    新美博久、 牧原克典、 池田弥央、 宮崎誠一

    第60回春季応用物理学会 

     詳細を見る

    開催年月日: 2013年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  423. 自己組織化形成Si 系量子ドットの選択成長

    牧原 克典、池田 弥央、宮崎 誠一

    第60回春季応用物理学会 

     詳細を見る

    開催年月日: 2013年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  424. パルスバイアス印加が一次元連結 Si 系量子ドットの電界発光に及ぼす影響

    鈴木善久、牧原克典、高見弘貴、池田弥央、宮崎誠一

    第60回春季応用物理学会 

     詳細を見る

    開催年月日: 2013年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  425. 非接触AFMによるSiナノ結晶/柱状Siナノ構造からの電子放出メカニズム解析

    竹内大智、牧原克典、池田弥央、宮崎誠一、可貴裕和、林司

    第60回春季応用物理学会 

     詳細を見る

    開催年月日: 2013年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  426. Niナノドットによる初期核発生制御を活用した高結晶性Si:H/Ge:Hヘテロ結合の低温堆積

    盧義敏、高金、牧原克典、酒池耕平、藤田悠二、池田弥央、大田晃生、東清一郎、宮崎誠一

    第60回春季応用物理学会 

     詳細を見る

    開催年月日: 2013年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  427. Ti電極MIMダイオードにおけるSiOx/TiO2多重積層の抵抗変化特性評価

    福嶋太紀、大田晃生、牧原克典、宮崎誠一

    第60回春季応用物理学会 

     詳細を見る

    開催年月日: 2013年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  428. CoPt合金ナノドットの帯磁特性評価

    壁谷悠希、張海、福岡諒、牧原克典、宮崎誠一

    第60回春季応用物理学会 

     詳細を見る

    開催年月日: 2013年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  429. リモート水素プラズマ支援によるCoPt合金ナノドットの高密度形成

    福岡諒、張海、壁谷悠希、牧原克典、大田晃生、宮崎誠一

    第60回春季応用物理学会 

     詳細を見る

    開催年月日: 2013年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  430. Electronic and Optoelectronic Response of Hybrid Nanodots Floating Gate MOS Devices 国際会議

    S. Miyazaki, K. Makihara, M. Ikeda and H. Murakami

    6th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  431. Evaluation of Resistance-Switching Behaviors and Chemical Bonding Features of Si-rich Oxide ReRAMs with TiN Electrode 国際会議

    M. Fukusima, A. Ohta, K. Makihara and S. Miyazaki

    The 6th International Conference on Plasma-Nano Technology & Science (IC-PLANTS 2013) 

     詳細を見る

    開催年月日: 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  432. Study on Electronic Emission through Ultrathin Au/High-Dense Si-Nanocolumnar Structures Accompanied with Si-Nanocrystals by Conductive Atomic Force Microscopy 国際会議

    D. Takeuchi, K. Makihara, M. Ikeda, S. Miyazaki, H. Kaki and T. Hayashi

    The 6th International Conference on Plasma-Nano Technology & Science (IC-PLANTS 2013) 

     詳細を見る

    開催年月日: 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  433. Characterization of Electron Emission from Si-Nanocrystals/Si-Nanocolumnar Structures by Conductive-Probe Atomic Force Microscopy 国際会議

    D. Takeuchi, K. Makihara, M. Ikeda, S. Miyazaki, H. Kaki and T. Hayashi

    6th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  434. Characterization of Resistive Switching of Si-rich Oxides 国際会議

    M. Fukusima, A. Ohta, K. Makihara and S. Miyazaki

    6th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  435. High Density Formation and Characterization of CoPt Alloy Nanodots as Memory Nodes 国際会議

    R. Fukuoka, H. Zhang, Y. Kabeya, K. Makihara, A. Ohta and S. Miyazaki

    6th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  436. Spatially-controlled Charge Storage and Charge Dispersion in High Density Self-aligned Si-based Quantum Dots 国際会議

    N. Tsunekawa, K. Makihara, M. Ikeda and S. Miyazaki

    6th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  437. Transient Characteristics of Electroluminescence from Self-aligned Si-based Quantum Dots 国際会議

    Y. Suzuki, K. Makihara, H. Takami, M. Ikeda and S. Miyazaki

    6th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  438. Electroluminescence Study of Self-aligned Si-based Quantum Dots 国際会議

    H. Takami, K. Makihara, M. Ikeda and S. Miyazaki

    6th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  439. Highly-crystallized Ge:H Film Growth from GeH4 Very High Frequency Inductively-coupled Plasma -Crystalline Nucleation Initiated by Ni-nanodots- 国際会議

    K. Makihara, J. Gao, D. Takeuchi, K. Sakaike, S. Hayashi, M. Ikeda, S. Higashi, and S. Miyazaki

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013) 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  440. Resistive Switching of Si-rich Oxide Dielectric with Ti based Electrodes 国際会議

    A. Ohta, M. Fukusima, K. Makihara, S. Higashi ands. Miyazaki

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013) 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  441. Charging and Magnetizing Characteristics of Co Nanodots Formed by Remote H2-Plasma Induced Migration 国際会議

    R. Fukuoka, K. Makihara, M. Ikeda and S. Miyazaki

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013) 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  442. Characterization of Electronic Emission Through Au/Si-Nanocolumnar Structures by Conductive-Probe Atomic Force Microscopy 国際会議

    D. Takeuchi, K. Makihara, M. Ikeda, S. Miyazaki, H. Kaki and T. Hayashi

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013) 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  443. 導電性AFM探針を用いたSiナノ結晶/柱状Siナノ構造からの電子放出検出

    竹内大智、牧原克典、池田弥央、宮崎誠一、可貴裕和、林司

    第12回日本表面科学会中部支部研究会 

     詳細を見る

    開催年月日: 2012年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名城大学   国名:日本国  

  444. Characterization of Resistance-Switching Properties of SiOx Films Using Pt Nanodots Electrodes 国際会議

    K. Makihara, M. Fukushima, A. Ohta, M. Ikeda and S. Miyazaki

    222nd Electrochemical Society (ECS) Meeting : SiGe & Ge Materials, Processing and Device Symposium 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  445. Impact of Ni-nanodots on Crystalline Ge:H Film Growth from GeH4 Very High Frequency Inductively-Coupled Plasma 国際会議

    11th Asia-Pacific Conference on Plasma Science and Technology and 25th Symposium on Plasma Science for Materials (APCPST & SPSM) 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  446. Ti系電極を用いたSiリッチ酸化層の抵抗変化特性評価

    福嶋太紀、太田晃生、牧原克典、宮崎誠一

    第73回秋季応用物理学会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:愛媛大学   国名:日本国  

  447. Temporal Changes of Charge Distribution in High Density Self-Aligned Si-Based Quantum Dots as Evaluated by AFM/KFM 国際会議

    N. Tsunekawa, K. Makihara, M. Ikeda and S. Miyazaki

    International Union Materials Research Societies - International Conference on Electronic Materials 2012 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Yokohama   国名:日本国  

  448. Characterization of Electroluminescence from One-dimensionally Self-Aligned Si-based Quantum Dots 国際会議

    H. Takami, K. Makihara, M. Ikeda, and S. Miyazaki

    2012 International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Kyoto   国名:日本国  

  449. 溶液ペーストによる無機材料を用いた太陽電池の作製

    張海、市村正也、牧原克典、宮崎誠一

    応用物理学会・東海支部第19回基礎セミナー [透明導電膜-基礎から応用-] 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:岐阜大学   国名:日本国  

  450. 導電性AFM探針による極薄Au/柱状Siナノ構造からの電子放出検出

    竹内大智、牧原克典、池田弥央、宮崎誠一、可貴裕和、林司

    応用物理学会・東海支部第19回基礎セミナー [透明導電膜-基礎から応用-] 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:岐阜大学   国名:日本国  

  451. Ti系電極を用いたSiOx膜の化学構造分析と抵抗スイッチング特性評価

    福島太紀、大田晃生、牧原克典、宮崎誠一

    応用物理学会・東海支部第19回基礎セミナー [透明導電膜-基礎から応用-] 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:岐阜大学   国名:日本国  

  452. 溶液ペーストによるCuO/ZnOヘテロ構造太陽電池の作成

    張海、市村正也、牧原克典、宮崎誠一

    第73回秋季応用物理学会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:愛媛大学   国名:日本国  

  453. NiナノドットがGe:H薄膜堆積及び電気伝導特性に及ぼす影響

    高金、牧原克典、池田弥央、福嶋太紀、宮崎誠一

    第73回秋季応用物理学会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:愛媛大学   国名:日本国  

  454. 導電性AFM探針によるNiナノドット上に形成した高結晶性Ge:H薄膜の局所伝導評価

    高金、牧原克典、高見弘貴、竹内大智、酒池耕平、林 将平、池田弥央、東清一郎、宮崎誠一

    第73回秋季応用物理学会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:愛媛大学   国名:日本国  

  455. AFM/KFMによる一次元連結Si系量子ドットの帯電電荷分布計測

    恒川直輝、牧原克典、池田弥央、宮崎誠一

    第73回秋季応用物理学会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:愛媛大学   国名:日本国  

  456. 導電性AFM探針によるSiナノ結晶/柱状Siナノ構造からの電子放出検出

    竹内大智、牧原克典、池田弥央、宮崎誠一、可貴裕和、林司

    第73回秋季応用物理学会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:愛媛大学   国名:日本国  

  457. パルスバイアス印加による一次元連結Si系量子ドットの電界発光評価

    鈴木善久、牧原克典、高見弘貴、池田弥央、宮崎誠一

    第73回秋季応用物理学会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:愛媛大学   国名:日本国  

  458. 電圧パルス幅が一次元連結Si系量子ドットダイオード構造のEL特性に及ぼす影響

    高見弘貴、牧原克典、池田弥央、宮崎誠一

    第73回秋季応用物理学会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:愛媛大学   国名:日本国  

  459. Ti系電極を用いたSiOx膜の化学構造分析と抵抗スイッチング特性評価

    福島太紀、大田晃生、牧原克典、宮崎誠一

    応用物理学会・東海支部第19回基礎セミナー [透明導電膜-基礎から応用-] 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:岐阜大学   国名:日本国  

  460. Characterization of Electroluminescence from One-dimensionally Self-Aligned Si-based Quantum Dots 国際会議

    H. Takami, K. Makihara, M. Ikeda, and S. Miyazaki

    2012 International Conference on Solid State Devices and Materials (SSDM) 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  461. 溶液ペーストによる無機材料を用いた太陽電池の作製

    張海、市村正也、牧原克典、宮崎誠一

    応用物理学会・東海支部第19回基礎セミナー [透明導電膜-基礎から応用-] 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:岐阜大学   国名:日本国  

  462. 導電性AFM探針による極薄Au/柱状Siナノ構造からの電子放出検出

    竹内大智、牧原克典、池田弥央、宮崎誠一、可貴裕和、林司

    応用物理学会・東海支部第19回基礎セミナー [透明導電膜-基礎から応用-] 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:岐阜大学   国名:日本国  

  463. Evaluation of Chemical Composition and Bonding Features of Pt/SiOx/Pt MIM Diodes and Its Impact on resistance Switching Behavior 国際会議

    A. Ohta, K. Makihara, M. Ikeda, H. Murakami, S. Higashi and S. Miyazaki

    2012 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 

     詳細を見る

    開催年月日: 2012年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Naha   国名:日本国  

  464. Photoexcited Carrier Transfer in NiSi-nanodots/Si-Quantum-Dots Hybrid Floating Gate in MOS Structures 国際会議

    M. Ikeda, K. Makihara and S. Miyazaki

    2012 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 

     詳細を見る

    開催年月日: 2012年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Naha   国名:日本国  

  465. Characterization of Local Electronic Transport through Ultrathin Au/Highly-dense Si Nanocolumar structures by Conducting-Probe Atomic Force Microscopy 国際会議

    D. Takeuchi, K. Makihara, M. Ikeda, S. Miyazaki, H. Kaki and T. Hayashi

    2012 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 

     詳細を見る

    開催年月日: 2012年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Naha   国名:日本国  

  466. Characterization of Resistive Switching of Pt/Si-rich Oxide/TiN System 国際会議

    M. Fukushima, A. Ohta, K. Makihara and S. Miyazaki

    2012 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 

     詳細を見る

    開催年月日: 2012年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Naha   国名:日本国  

  467. Study of Electron Transport Characteristics Through Self-Aligned Si-Based Quantum Dots 国際会議

    K. Makihara, C. Liu, M. Ikeda and S. Miyazaki

    2012 International SiGe Technology and Device Meeting 

     詳細を見る

    開催年月日: 2012年5月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Berkeley, CA   国名:アメリカ合衆国  

  468. 柱状Siナノ構造における局所電気伝導と電子放出特性評価

    竹内大智、牧原克典、池田弥央、宮崎誠一、可貴裕和、林 司

    ED/CPM/SDM研究会 

     詳細を見る

    開催年月日: 2012年5月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:豊橋技術科学大学   国名:日本国  

  469. Highly-crystallized Ge:H Film Growth from GeH4 VHF-ICP -Crystalline Nucleation Initiated by Ni-nanodots- 国際会議

    K. Makihara, J. Gao, K. Sakaike, S. Hayashi, H. Deki, M. Ikeda, S. Higashi and S. Miyazaki

    2012 International SiGe Technology and Device Meeting 

     詳細を見る

    開催年月日: 2012年5月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Berkeley, CA   国名:アメリカ合衆国  

  470. Formation of One-Dimensionally Self-Aligned Si-based Quantum Dots on Untrathin SiO2 and Its Application to Light Emitting Diodes 国際会議

    K. Makihara, H. Deki, M. Ikeda and S. Miyazaki

    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Kasugai   国名:日本国  

  471. Pt/SiOx/Pt 構造における抵抗変化特性

    大田晃生、牧原克典、池田弥央、村上秀樹、東清一郎、宮崎誠一

    第59回春季応用物理学会 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:早稲田大学   国名:日本国  

  472. Si 量子ドット/NiSi ナノドットハイブリッドフローティングゲートにおける光励起電子のパルス電圧応答

    池田弥央、牧原克典、宮崎誠一

    第59回春季応用物理学会 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:早稲田大学   国名:日本国  

  473. 一次元縦積み連結Si 系量子ドットの室温共鳴トンネル伝導

    牧原克典、池田弥央、宮崎誠一

    第59回春季応用物理学会 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:早稲田大学   国名:日本国  

  474. AFM/KFM による一次元連結・高密度Si 系量子ドットの帯電状態の経時変化計測

    牧原克典、恒川直輝、池田弥央、宮崎誠一

    第59回春季応用物理学会 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:早稲田大学   国名:日本国  

  475. 導電性AFM 探針による極薄Au/柱状Si ナノ構造の局所電気伝導評価

    竹内大智、牧原克典、池田弥央、宮崎誠一、可貴裕和、林司

    第59回春季応用物理学会 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:早稲田大学   国名:日本国  

  476. GeH4 VHF-ICPからの高結晶性Ge:H薄膜堆積―Niナノドットを用いた結晶核発生制御―

    高金、牧原克典、酒池耕平、林将平、出木秀典、池田弥央、東清一郎、宮崎誠一

    第59回春季応用物理学会 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:早稲田大学   国名:日本国  

  477. 一次元連結Si系量子ドットのEL特性評価

    高見弘貴、牧原克典、出木秀典、池田弥央、宮崎誠一

    第59回春季応用物理学会 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:早稲田大学   国名:日本国  

  478. Pt/SiOx/TiNダイオード構造の抵抗変化特性評価

    福嶋太紀、大田晃生、牧原克典、宮崎誠一

    第59回春季応用物理学会 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:早稲田大学   国名:日本国  

  479. Ptナノドット電極を用いたSiOx膜の抵抗変化特性評価

    福嶋太紀、大田晃生、牧原克典、宮崎誠一

    第59回春季応用物理学会 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:早稲田大学   国名:日本国  

  480. Evaluation of Charge Trapping Properties of Microcrystalline Germanium Thin Films by Kelvin Force Microscopy 国際会議

    K. Makihara, H. Deki, M. Ikeda and S. Miyazaki

    The 5th International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Inuyama   国名:日本国  

  481. Formation of PtAl-Alloy Nanodots on Ultrathin SiO2 Induced by Remote Hydrogen Plasma 国際会議

    K. Makihara, M. Ikeda, A. Ohta and S. Miyazaki

    The 5th International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Inuyama   国名:日本国  

  482. Formation of High Density Ge Quantum Dots and Their Electrical Properties 国際会議

    M. Ikeda, K. Makihara, A. Ohta and S. Miyazaki

    The 5th International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Inuyama   国名:日本国  

  483. X-ray Photoemission Study of SiO2/Si/SiGe Heterostructures on Si(100) 国際会議

    A. Ohta, K. Makihara, S. Miyazaki, M. Sakuraba and J. Murota

    The 5th International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Inuyama   国名:日本国  

  484. 走査プローブ顕微鏡によるカーボンナノウォールの初期成長過程の解明

    近藤博基、安田幸司、牧原克典、宮崎誠一、平松美根男、関根誠、堀勝

    第59回春季応用物理学会 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:早稲田大学   国名:日本国  

  485. 酸化アルミニウムを用いた抵抗変化メモリのスイッチング電圧のばらつき抑制

    大塚慎太郎、古屋沙絵子、清水智弘、新宮原正三、牧原克典、宮崎誠一、渡辺忠孝、高野良紀、高瀬浩一

    第59回春季応用物理学会 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:早稲田大学   国名:日本国  

  486. Formation of PtAl Nanodots Induced by Remote Hydrogen Plasma 国際会議

    K. Makihara, M. Ikeda, A. Ohta, R. Ashihara, S. Higashi and S. Miyazaki

    15th International Conference on Thin Films 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  487. Electrical Charging Characteristics of Hybrid Nanodots Floating Gates in MOS Devices 国際会議

    S. Miyazaki, K. Makihara, A. Ohta and M. Ikeda

    15th International Conference on Thin Films 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  488. Evaluation of Electronic Properties of Pillar-shaped Si Nanostructures by Conductive Atomic Force Microscopy 国際会議

    J. Gao, K. Makihara, A. Ohta, M. Ikeda, S. Miyazaki, H. Kaki and T. Hayashi

    15th International Conference on Thin Films 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  489. Electroluminescence from One-dimensionally Self-Aligned Si-based Quantum Dots with High Areal Dot Density 国際会議

    K. Makihara, H. Deki, M Ikeda and S, Miyazaki

    2011 International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  490. 一次元連結・高密度Si系量子ドットにおけるEL発光

    高見弘貴、牧原克典、出木秀典、池田弥央、宮崎誠一

    第72回秋季応用物理学会 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  491. KFMによる微結晶ゲルマニウム薄膜の局所帯電評価

    牧原克典、出木秀典、池田弥央、宮崎誠一

    第72回秋季応用物理学会 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  492. 導電性AFMによる柱状Siナノ構造における電気伝導特性評価

    高金、牧原克典、大田晃生、池田弥央、宮崎誠一、可貴裕和、林司

    第72回秋季応用物理学会 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  493. プラズマジェット急速熱処理による高密度Ptナノドット形成とフローティングゲートメモリ応用

    牧原克典、池田弥央、山根雅人、東清一郎、宮崎誠一

    第72回秋季応用物理学会 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山形大学   国名:日本国  

  494. Local Electrical Properties of Microcrystalline Germanium Thin Films By Kelvin Force Microscopy 国際会議

    K. Makihara, H. Deki, M Ikeda and S, Miyazaki

    24rd International Conference on Amorphous and Nanocrystalline Semiconductor 

     詳細を見る

    開催年月日: 2011年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  495. Electrical Characterization of NiSi-NDs/Si-QDs Hybrid Stacked Floating Gate in MOS Capacitors 国際会議

    K. Makihara, M. Ikeda, A. Ohta and S. Miyazaki

    2011 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 

     詳細を見る

    開催年月日: 2011年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  496. Electrical Charging Characteristics of Pt-Nanodots Floating Gate in MOS Capacitors 国際会議

    K. Makihara, N. Morisawa, M. Ikeda, K. Matsumoto, M. Yamane, S. Higashi and S. Miyazaki,

    The 4th International Conference on Plasma-Nano Technology & Science  

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  497. Formation of High Density PtSi Nanodots on SiO2 Induced by Millisecond Rapid Thermal Annealing using Atmospheric Pressure DC Arc Discharge Micro-Thermal Plasma Jet 国際会議

    M. Yamane, M. Ikedam R. Matsubara, Y. Nishida, K. Makihara, S. Higash and S. Miyazaki

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  498. Formation of Pt-germanide from Pt/a-Ge:H by Remote Hydrogen Plasma Exposure 国際会議

    K. Makihara, T. Matsumoto, T. Fujioka, M. Ikeda and S. Miyazaki

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  499. 凹凸構造を持った抵抗変化メモリの電流―電圧特性

    大塚慎太郎、古屋紗絵子、清水智弘、新宮原正三、牧原克典、宮崎誠一、渡辺忠孝、高野良紀、高瀬浩一

    第58回春季応用物理学会 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  500. 高密度自己整合集積したSi系量子ドットのエレクトロルミネッセンス

    牧原克典、出木秀典、森澤直也、池田弥央、宮崎誠一

    第58回春季応用物理学会 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  501. 熱プラズマジェットミリ秒熱処理による高密度Ptナノドットの形成とフローティングゲートメモリ応用

    牧原克典、山根雅人、森澤直也、松本和也、池田弥央、東清一郎、宮崎誠一

    第58回春季応用物理学会 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  502. リモート水素プラズマ処理によるPt/a-Ge:Hの合金化反応制御

    牧原克典、森澤直也、藤岡知宏、松本達弥、林将平、岡田竜弥、池田弥央、東清一郎、宮崎誠一

    第58回春季応用物理学会 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  503. 熱プラズマジェットを用いたミリ秒熱処理によるPtシリサイドナノドットの形成

    山根雅人、池田弥央、森澤直也、松原良平、西田悠亮、松本和也、林将平、牧原克典、宮崎誠一、東清一郎

    第58回春季応用物理学会 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  504. マイクロ融液プロセスによる水素終端Si 基板上での疑似ヘテロエピタキシャルGe 膜の形成

    松本達弥、牧原克典、赤澤宗樹、東清一郎

    第58回春季応用物理学会 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川工科大学   国名:日本国  

  505. Formation of High Density Pt Nanodots on SiO2 Induced by Millisecond Rapid Thermal Annealing using Thermal Plasma Jet 国際会議

    K. Makihara, K. Matsumoto, T. Okada, N. Morisawa, M. Ikeda, S. Higashi and S. Miyazaki

    International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  506. Geometry Dependencies of Switching Characteristics of Anodic Porous Alumina for ReRAM 国際会議

    S. Otsuka, R. Takeda, T. Shimizu, S. Shingubara, K. Makihara, S. Miyazaki, T. Watanabe, Y. Takano and K. Takase

    23rd International Microprocesses and Nanotechnology Conference 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  507. The Impact of Y2O3 Addition into TiO2 on Electronic States and Resistive Switching Characteristics 国際会議

    A. Ohta, Y. Goto, G. Wei, K. Makihara, H. Murakami, S. Higashi, and S. Miyazaki

    23rd International Microprocesses and Nanotechnology Conference 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  508. Self-Align Formation of Si Quantum Dots 国際会議

    K. Makihara, M. Ikeda, H. Deki, A. Ohta and S. Miyazaki

    218th Electrochemical Society Meeting : SiGe & Ge Materials, Processing and Device Symposium 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  509. Formation of Pt-germanide from Pt/a-Ge:H by Remote Hydrogen Plasma Treatment at Atmosphere Temperature 国際会議

    K. Makihara, Y. Miyazaki, T. Fujioka, T. Matsumoto, M. Ikeda and S. Miyazaki

    7th International Conference on Reactive Plasmas / 28th Symposium on Plasma Processing / 63rd Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:フランス共和国  

  510. Formation of Pseudo-Expitaxial Ge Films on Si(100) by Droplet of Microliquid Ge Melt 国際会議

    T. Matsumoto, S. Higashi, K. Makihara, M. Akazawa and S. Miyazaki

    218th Electrochemical Society Meeting : SiGe & Ge Materials, Processing and Device Symposium 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  511. Collective Tunneling Model in Charge Trap Type NVM Cell 国際会議

    M. Muraguchi, Y. Sakurai, Y. Takada, Y. Shigeta, M. Ikeda, K. Makihara, S. Miyazaki, S. Nomura, K. Shiraishi, T. Endoh

    2010 International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  512. Multistep Electron Injection in a PtSi-Nanodots/Silicon-Quantum-Dots Hybrid Floating Gate in nMOSFETs 国際会議

    M. Ikeda, S. Nakanishi, N. Morisawa, A. Kawanami, K. Makihara and S. Miyazaki

    2010 International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  513. Study on Native Oxidation of Ge (111) and (100) Surfaces 国際会議

    S. K. Sahari, H. Murakami, T. Fujioka, T. Bando, A. Ohta, K. Makihara, S. Higashi and S. Miyazaki

    2010 International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  514. PtSiナノドット/Si量子ドット積層ハイブリッドフローティングゲートにおける多段階電子注入特性

    池田弥央、中西翔、森澤直也、川浪彰、牧原克典、宮崎誠一

    第71回秋季応用物理学会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:長崎大学   国名:日本国  

  515. 自己整合一次元連結Si量子ドットの形成

    牧原克典、池田弥央、大田晃生、川浪彰、宮崎誠一

    第71回秋季応用物理学会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:長崎大学   国名:日本国  

  516. 微小融液滴下による疑似エピタキシャルGe / Siの形成

    松本竜弥、東清一郎、牧原克典、赤澤宗樹、宮崎誠一

    第71回秋季応用物理学会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:長崎大学   国名:日本国  

  517. Collective Tunneling Model between Two-Dimensional Electron Gas to Si-Nano-Dot 国際会議

    M. Muraguchi, Y. Sakurai, Y. Takada, S. Nomura, K. Shiraishi, M. Ikeda, K. Makihara, S. Miyazaki, Y. Shigeta and T. Endoh

    30th International Conference on the Physics of Semiconductors 

     詳細を見る

    開催年月日: 2010年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  518. The Impact of H2 Anneal on Resistive Switching in Pt/TiO2/Pt Structure 国際会議

    2010 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 

     詳細を見る

    開催年月日: 2010年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  519. Formation of PtAl Nanodots Induced by Remote Hydrogen Plasma 国際会議

    K. Makihara, R. Ashihara, M. Ikeda, A. Ohta, N. Morisawa, T. Fujioka, H. Murakami and S. Miyazaki

    International Symposium on Technology Evoluation for Silicon Nano-Electronics 

     詳細を見る

    開催年月日: 2010年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  520. Formation and Characterization of Hybrid Nanodots Stack Structure and Its Application to Floating Gate Memories 国際会議

    S. Miyazaki, M. Ikeda, K. Makihara, H. Murakami and S. Higashi

    International Symposium on Technology Evoluation for Silicon Nano-Electronics 

     詳細を見る

    開催年月日: 2010年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  521. Multistep Electron Injection in PtSi-Nanodots/Silicon-Quantum-Dots Hybrid Floating Gate in MOS Structures 国際会議

    M. Ikeda, S. Nakanishi, N. Morisawa, A. Kawanami, K. Makihara and S. Miyazaki

    International Symposium on Technology Evoluation for Silicon Nano-Electronics 

     詳細を見る

    開催年月日: 2010年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  522. Optical Response of Si-Quantum-Dots/NiSi-Nanodots Stack Hybrid Floating Gate in MOS Structures 国際会議

    N. Morisawa, M. Ikeda, K. Makihara and S. Miyazaki

    International Symposium on Technology Evoluation for Silicon Nano-Electronics 

     詳細を見る

    開催年月日: 2010年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  523. Collective Electron Tunneling Model in Si-Nano Dot Floating Gate MOS Structure 国際会議

    M. Muraguchi, Y. Sakurai, Y. Takada, Y. Shigeta, M. Ikeda, K. Makihara, S. Miyazaki, S. Nomura, K. Shiraishi and T. Endoh

    International Symposium on Technology Evoluation for Silicon Nano-Electronics 

     詳細を見る

    開催年月日: 2010年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  524. Optical Response of Si-Quantum-Dots/NiSi-Nanodots Hybrid Stacked Floating Gate 国際会議

    N. Morisawa, M. Ikeda, S. Nakanishi, A. Kawanami, K. Makihara and S. Miyazaki

    International Meeting for Future of Electron Devices, Kansai 

     詳細を見る

    開催年月日: 2010年5月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  525. High Density Formation of Ge Quantum Dots on SiO2 国際会議

    K. Makihara, M. Ikeda, A. Ohta and S. Miyazaki

    5th International SiGe Technology and Device Meeting 

     詳細を見る

    開催年月日: 2010年5月

    記述言語:英語   会議種別:ポスター発表  

    国名:スウェーデン王国  

  526. Determination of Valence Band Alignment in SiO2/Si/Si0.55Ge0.45/Si(100) Heterostructures 国際会議

    A. Ohta, K. Makihara, S. Miyazaki, M. Sakuraba and J. Murota

    5th International SiGe Technology and Device Meeting 

     詳細を見る

    開催年月日: 2010年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:スウェーデン王国  

  527. Selective Crystallization and Etching of a-Ge:H Thin Films by Exposing to Remote H2 Plasma 国際会議

    Y. Miyazaki, K. Makihara, M. Ikeda, S. Higashi and S. Miyazaki

    2nd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  528. Charging and magnetizing Characteristics of Co Nanodots 国際会議

    K. Makihara, A. Kawanami, M. Ikeda, R. Ashihara and S. Miyazaki

    The 3rd International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  529. 2次元電子ガス―量子ドット界面における電子トンネル過程に対する微視的考察

    村口正和、高田幸宏、櫻井蓉子、野村晋太郎、白石賢二、牧原克典、池田弥央、宮崎誠一、重田育照、遠藤哲郎

    日本物理学会第65回年次大会 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:岡山大学   国名:日本国  

  530. Si熱酸化膜上へのGe量子ドットの高密度形成

    牧原克典、池田弥央、大田晃生、川浪彰、宮崎誠一

    第57回春季応用物理学会 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  531. Coナノドットの帯電および帯磁評価

    川浪彰、牧原克典、池田弥央、芦原龍平、宮崎誠一

    第57回春季応用物理学会 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  532. Si量子ドット/NiSiナノドットハイブリッドフローティングゲートの光応答特性

    森澤直也、池田弥央、中西翔、川浪彰、牧原克典、東清一郎、宮崎誠一

    第57回春季応用物理学会 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  533. リモート水素プラズマ支援によるPtAlナノドットの形成

    芦原龍平, 牧原克典、川浪彰、池田弥央、大田晃生、宮崎誠一

    第57回春季応用物理学会 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  534. Pt/a-Ge:Hのリモート水素プラズマ処理によるPtGe薄膜形成

    宮崎裕介、牧原克典、池田弥央、東清一郎、宮崎誠一

    第57回春季応用物理学会 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  535. SiO2/Si/SiGe0.5/Siヘテロ構造の価電子帯オフセット評価

    大田晃生、牧原克典、宮崎誠一、櫻庭政夫、室田淳一

    第57回春季応用物理学会 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  536. The Effect of Anneal Ambient on Resistive Switching Properties with Pt/TiO2/Pt Structure

    尉国浜、大田晃生、牧原克典、宮崎誠一

    第57回春季応用物理学会 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  537. Temporal Change in the Native Oxidation of Chemically-cleaned Ge(100) Surfaces

    Siti Kudnie Sahari、村上秀樹、藤岡知宏、坂東竜也、大田晃生、牧原克典、東清一郎、宮崎誠一

    第57回春季応用物理学会 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  538. Evaluation of Valence Band Offsets for SiO2/Si/SiGe0.5/Si Heterostructures Using by X-ray Photoelectron Spectroscopy 国際会議

    A. Ohta, K. Makihara, S. Miyazaki, M. Sakuraba and J. Murota

    5th International Workshop in New Group IV Semiconductor Nanoelectronics 

     詳細を見る

    開催年月日: 2010年1月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  539. Formation of Hybrid Nanodots Floating Gate for Functional Memories Charge Storage Characteristics and Optical Response 国際会議

    S. Miyazaki, N. Morisawa, S. Nakanishi, K. Makihara and M. Ikeda

    5th International Workshop in New Group IV Semiconductor Nanoelectronics 

     詳細を見る

    開催年月日: 2010年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  540. Impact of Surface Pre-Treatment on Metal Migration Induced by Remote H2-Plasma Treatment 国際会議

    K. Makihara, A. Kawanami, M. Ikeda, S. Higashi and S. Miyazaki

    2009 International Microprocesses and Nanotechnology Conference 

     詳細を見る

    開催年月日: 2009年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  541. Charge Storage and Optical Response of Hybrid Nanodots Floating Gate For Functional Memories 国際会議

    2009 MRS Fall Meeting, 

     詳細を見る

    開催年月日: 2009年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  542. Charge Injection Characteristics of NiSi-Nanodots/Silicon-Quantum-Dots Hybrid Floating Gate in MOS Structures 国際会議

    M. Ikeda, S. Nakanishi, M. Morisawa, K. Makihara and S. Miyazaki

    2009 International Microprocesses and Nanotechnology Conference 

     詳細を見る

    開催年月日: 2009年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  543. Nucleation Control for High Density Formation of Si-based Quantum Dots on Ultrathin SiO2 国際会議

    K. Makihara, A. Kawanami, M. Ikeda, S. Higashi and S. Miyazaki

    214th Electrochemical Society Meeting : SiGe & Ge Materials, Processing and Device Symposium 

     詳細を見る

    開催年月日: 2009年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  544. Light Induced Carrier Transfer in NiSi-Nanodots/Si-Quantum-Dots Hybrid FG in MOS Structure 国際会議

    N. Morisawa, M. Ikeda, S. Nakanishi, A. Kawanami, K. Makihara and S. Miyazaki

    2009 International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2009年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  545. New Tunneling Model with Dependency of Temperature Measured in Si Nano-Dot Floating Gate MOS Capacitor 国際会議

    M. Muraguchi, Y. Sakurai, Y. Takada, Y. Shigeta, M. Ikeda, K. Makihara, S. Miyazaki, S. Nomura, K. Shiraishi and T. Endoh

    2009 International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2009年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  546. Formation of Cobalt and Cobalt-silicide Nanodots on Ultrathin SiO2 Induced by Remote Hydrogen Plasma 国際会議

    A. Kawanami, K. Makihara, M. Ikeda and S. Miyazaki

    International Symposium on Dry Process  

     詳細を見る

    開催年月日: 2009年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  547. リモート水素プラズマ支援による表面Pt被覆したa-Ge薄膜の局所結晶化

    宮崎佑介、牧原克典、川浪彰、岡田竜也、池田弥央、東清一郎、宮崎誠一

    第70回秋季応用物理学会 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:富山大学   国名:日本国  

  548. 表面前処理がリモート水素プラズマ支援金属マイグレーションに及ぼす影響

    川浪彰、牧原克典、池田弥央、東清一郎、宮崎誠一

    第70回秋季応用物理学会 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:富山大学   国名:日本国  

  549. リモート水素プラズマ支援によるCoおよびCoシリサイドナノドット形成

    川浪彰、牧原克典、池田弥央、東清一郎、宮崎誠一

    第70回秋季応用物理学会 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:富山大学   国名:日本国  

  550. Si量子ドット/NiSiナノドットハイブリッド積層FG-MOS構造における光誘起電荷移動

    森澤直也、池田弥央、中西翔、川浪彰、牧原克典、東清一郎、宮崎誠一

    第70回秋季応用物理学会 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:富山大学   国名:日本国  

  551. NiSiナノドット/Si量子ドット積層ハイブリッドフローティングゲートにおける電荷注入・放出特性

    中西翔、池田弥央、森澤直也、牧原克典、川浪彰、東清一郎、宮崎誠一

    第70回秋季応用物理学会 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:富山大学   国名:日本国  

  552. Effect of Chemical Composition of SiOx Films on Rapid Formation of Si Nanocrystals Induced by Thermal Plasma Jet Irradiation 国際会議

    23rd International Conference on Amorphous and Nanocrystalline Semiconductor 

     詳細を見る

    開催年月日: 2009年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:オランダ王国  

  553. Formation of High Density Metal Silicide Nanodots on Ultrathin SiO2 for Floating Gate Memory Application 国際会議

    S. Miyazaki, M. Ikeda, K. Makihara, K. Shimanoe and R. Matsumoto

    International Conference on Processing and Manufacturing of Advanced Materials, Processing, Fabrication, Properties 

     詳細を見る

    開催年月日: 2009年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:ドイツ連邦共和国  

  554. Selective Crystallization of a-Ge:H Thin Films by Pt-coating and Exposing to Remote H2 Plasma 国際会議

    23rd International Conference on Amorphous and Nanocrystalline Semiconductor 

     詳細を見る

    開催年月日: 2009年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:オランダ王国  

  555. Anomalous temperature dependence of electron tunneling between a two-dimensional electron gas and Si dots 国際会議

    Y. Sakurai, S. Nomura, Y. Takada, K. Shiraishi, M. Muraguchi, T. Endoh, Y. Shigeta, M. Ikeda, K. Makihara, S. Miyazaki

    The 18th International Conference on Electronic Properties of Two-Dimensional Systems 

     詳細を見る

    開催年月日: 2009年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  556. Importance of Electronic State of Two-Dimensional Electron Gas for Electron Injection Process in Nano-Electronic Devices 国際会議

    14th International Conference on Modulated Semiconductor 

     詳細を見る

    開催年月日: 2009年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  557. Formation Mechanism of Metal Nanodots Induced by Remote Plasma Exposure 国際会議

    K. Makihara, K. Shimanoe, A. Kawanami, A. Fujimoto, M. Ikeda, S. Higashi and S. Miyazaki

    The European Materials Research Society 2009 Spring Meeting 

     詳細を見る

    開催年月日: 2009年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:フランス共和国  

  558. Electrical Detection of Silicon Binding Protein-Protein A using a p-MOSFET Sensor 国際会議

    H. Murakami, S. Mahboob, K. Katayama, K. Makihara, M. Ikeda, Y. Hata, A. Kuroda, S. Higashi and S. Miyazaki

    2009 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 

     詳細を見る

    開催年月日: 2009年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  559. Random Telegraph Signals in Two-Dimensional Array of Si Quantum Dots 国際会議

    K. Makihara, M. Ikeda, A. Kawanami and S. Miyazaki

    2009 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 

     詳細を見る

    開催年月日: 2009年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  560. Electrical Charging Characteristics of NiSi-Nanodots Floating Gate 国際会議

    S. Nakanishi, M. Ikeda, K. Shimanoe, K. Makihara, A. Kawanami, N. Morisawa, A. Fujimoto, S. Higashi and S. Miyazaki

    International Meeting for Future of Electron Devices, Kansai 

     詳細を見る

    開催年月日: 2009年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  561. Temperature Dependence of Electron Tunneling from Two Dimensional Electron Gas to Quantum Dots 国際会議

    Y. Sakurai, S. Nomura, Y. Takada, K. Shiraishi, M. Muraguchi, T. Endoh, M. Ikeda, K. Makihara and S. Miyazaki

    America Physical Society 2009 March Meeting 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  562. New Insight into Tunneling Process between Quantum Dot and Electron Gas 国際会議

    M. Muraguchi, T. Endoh, Y. Sakurai, S. Nomura, Y. Takada, K. Shiraishi, M. Ikeda, K. Makihara, S. Miyazaki and Y. Shigeta

    America Physical Society 2009 March Meeting 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  563. 電子ガス-量子ドット結合系における電子ダイナミクスII

    村口正和、遠藤哲郎、櫻井蓉子、野村晋太郎、高田幸宏、白石賢二、池田弥央、牧原克典、宮崎誠一、斉藤慎一

    日本物理学会第64回年次大会 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:立教大学   国名:日本国  

  564. HfO2コントロール酸化膜を有するNiSiドット/Si量子ドット積層ハイブリッドフローティングゲートMOSキャパシタにおける電子注入特性

    池田弥央、牧原克典、島ノ江和広、川浪彰、中西翔、森澤直也、藤本淳仁、大田晃生、貫目大介、宮崎誠一

    第56回春季応用物理学会 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:筑波大学   国名:日本国  

  565. Surface Potential Changes Induced by Physisorption of Silica Binding Protein-Protein A on Thermally Grown SiO2/Si(111) Surface

    S. Mahboob,K. Makihara,M. Ikeda,S. Higashi,S. Miyazaki,Y. Hata and A. Kuroda

    第56回春季応用物理学会 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:筑波大学   国名:日本国  

  566. 超高密度Si量子ドットにおける二次元電気伝導

    牧原克典、池田弥央、川浪彰、東清一郎、宮崎誠一

    第56回春季応用物理学会 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:筑波大学   国名:日本国  

  567. Si量子ドットフローティングゲートMOSキャパシタにおける過渡電流特性

    櫻井蓉子、野村晋太郎、白石賢二、村口正和、遠藤哲郎、池田弥央、牧原克典、宮崎誠一

    第56回春季応用物理学会 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:筑波大学   国名:日本国  

  568. 電子励起状態を介した量子ドットへのトンネル現象の変調

    野村晋太郎、櫻井蓉子、高田幸宏、白石賢二、村口正和、遠藤哲郎、池田弥央、牧原克典、宮崎誠一

    第56回春季応用物理学会 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:筑波大学   国名:日本国  

  569. 少数電子で動く未来デバイスの姿 –量子電子ダイナミクスからのメッセージ-

    村口正和、遠藤哲郎、宮崎誠一、牧原克典、池田弥央、野村晋太郎、櫻井蓉子、高田幸宏、白石賢二

    第56回春季応用物理学会 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:筑波大学   国名:日本国  

  570. 電子ガス-量子ドット結合系における電子構造Ⅱ

    高田幸宏、櫻井蓉子、村口正和、池田弥央、牧原克典、宮崎誠一、遠藤哲郎、野村晋太郎、白石賢二

    日本物理学会第64回年次大会 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:立教大学   国名:日本国  

  571. 電子ガス―量子ドット結合系におけるC-V特性およびI-V特性のSweep Rate依存性

    櫻井蓉子、野村晋太郎、高田幸宏、白石賢二、村口正和、遠藤哲郎、池田弥央、牧原克典、宮崎誠一

    日本物理学会第64回年次大会 

     詳細を見る

    開催年月日: 2009年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:立教大学   国名:日本国  

  572. Temperature Dependence of Electron Transport between Quantum Dots and Electron Gas 国際会議

    Y. Sakurai, S. Nomura, Y. Takada, K. Shiraishi, M. Muraguchi, T. Endoh, M. Ikeda, K. Makihara and S. Miyazaki

    International Symposium on Nanoscale Transport and Technology, 

     詳細を見る

    開催年月日: 2009年1月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  573. Impact of Remote Plasma Treatment on Formation of Metal Nanodots on Ultrathin SiO2 国際会議

    A. Kawanami, K. Shimanoe, K. Makihara, M. Ikeda, S. Higashi and S. Miyazaki

    The 2nd International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2009年1月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  574. heoretical investigation of quantum dot coupled to a two-dimensional electron system 国際会議

    M. Muraguchi, Y. Takada, Y. Sakurai, T. Endoh, S. Nomura, M. Ikeda, K. Makihara, S. Miyazaki and K. Shiraishi

    13th Advanced Heterostructures and Nanostructures Workshop 

     詳細を見る

    開催年月日: 2008年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  575. Capacitance measurements on quantum dots coupled to a two-dimensional electron system 国際会議

    S. Nomura, Y. Sakurai, Y. Takada, M. Muraguchi, T. Endoh, M. Ikeda, K. Makihara, S. Miyazaki and K. Shiraishi

    13th Advanced Heterostructures and Nanostructures Workshop 

     詳細を見る

    開催年月日: 2008年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  576. Electronic Charged States of Pt-silicide Nanodots as Evaluated by Using an AFM/Kelvin Probe Technique 国際会議

    K. Makihara, K. Shimanoe, M. Ikeda, A. Ohta, S. Higashi and S. Miyazaki

    International Union Material Research Society - International Conference in Asia 2008 

     詳細を見る

    開催年月日: 2008年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  577. Metal Nanodots Formation Induced by Remote Plasma Treatment Comparison between the effects of H2 and rare gas plasmas 国際会議

    K. Shimanoe, K. Makihara, M. Ikeda, S. Higashi and S. Miyazaki

    International Union Material Research Society - International Conference in Asia 2008 

     詳細を見る

    開催年月日: 2008年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  578. Characterization of Chemical Bonding Features and Electronic States of Ni-Silicide Nanodots Formed by a Remote H2-Plasma Assisted Technique 国際会議

    K. Makihara, A. Ohta, R. Matsumoto, M. Ikeda, K. Shimanoe, S. Higashi and S. Miyazaki

    The 4th Vacuum and Surface Sciences Conference of Asia and Australia 

     詳細を見る

    開催年月日: 2008年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  579. Formation of Metal Silicide Nanodots on Ultrathin SiO2 for Floating Gate Application 国際会議

    S. Miyazaki, M. Ikeda, K. Makihara and K. Shimanoe

    The European Materials Research Society 2008 Fall Meeting 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ポーランド共和国  

  580. Formation of Metallic Nanodots on Ultrathin Gate Oxide Induced by H2-plasma Treatment and Its Application to Floating Gate Memories 国際会議

    S. Miyazaki, M. Ikeda, K. Makihara, K. Shimanoe and R. Matsumoto

    4th International Workshop in New Group IV Semiconductor Nanoelectronics 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  581. AFM/KFM Detection of Si-tagged ProteinA on HF-last Si(100), Thermally Grown SiO2 and Si-QDs Surfaces 国際会議

    K. Makihara, M. Ikeda, S. Higashi, Y. Hata, A. Kuroda and S. Miyazaki

    4th International Workshop in New Group IV Semiconductor Nanoelectronics 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  582. Formation of Ultra High Density Si-based Quantum Dots on Ultrathin SiO2 国際会議

    K. Makihara, A. Kawanami, M. Ikeda, S. Higashi and S. Miyazaki

    4th International Workshop in New Group IV Semiconductor Nanoelectronics 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  583. Charge Injection and Emission Characteristics of Hybrid Floating Gate Stack Consisting of NiSi-Nanodots and Silicon-Quantum-Dots 国際会議

    M. Ikeda, R. Matsumoto, K. Shimanoe, K. Makihara and S. Miyazaki

    2008 International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  584. AFM/KFMによる水素終端Si表面およびSi熱酸化膜表面に吸着したSi結合タンパク質の電気的検出

    牧原克典、Syed Mahboob、池田弥央、東清一郎、宮崎誠一、黒田章夫

    第69回秋季応用物理学会 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:中部大学   国名:日本国  

  585. 量子ドットフローティングメモリの低温におけるC-V特性

    櫻井蓉子、野村晋太郎、白石賢二、池田弥央、牧原克典、宮崎誠一

    日本物理学会 2008年秋季大会 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:岩手大学   国名:日本国  

  586. 量子ドットフローティングメモリの低温におけるC-V特性

    櫻井蓉子、野村晋太郎、白石賢二、池田弥央、牧原克典、宮崎誠一

    第69回秋季応用物理学会 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:中部大学   国名:日本国  

  587. シリコン量子ドットフローティングゲート型メモリの理論的考察

    高田幸宏、村口正和、櫻井蓉子、野村晋太郎、池田弥央、牧原克典、宮崎誠一、白石賢二

    第69回秋季応用物理学会 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:中部大学   国名:日本国  

  588. NiナノドットフローティングゲートMOSキャパシタにおける電荷保持特性

    池田弥央、島ノ江和広、牧原克典、宮崎誠一

    第69回秋季応用物理学会 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:中部大学   国名:日本国  

  589. Si量子ドットの核発生制御と高密度形成

    川浪彰、牧原克典、池田弥央、東清一郎、宮崎誠一

    第69回秋季応用物理学会 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:中部大学   国名:日本国  

  590. リモートプラズマ支援による金属ナノドット形成-希ガスプラズマと水素プラズマの比較

    島ノ江和広、牧原克典、池田弥央、東清一郎、宮崎誠一

    第69回秋季応用物理学会 

     詳細を見る

    開催年月日: 2008年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:中部大学   国名:日本国  

  591. Characteristics tunneling of Si quantum dot floating gate at low temperature and in magnetic fields 国際会議

    Y. Sakurai, S. Nomura, K. Shiraishi, M. Ikeda, K. Makihara and S. Miyazaki

    25th International Conference on Low Temperature Physics 

     詳細を見る

    開催年月日: 2008年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:オランダ王国  

  592. Electrical Detection of Si-tagged Proteins on HF-last Si(100) and Thermally Grown SiO2 Surfaces 国際会議

    S. Mahboob, K. Makihara, H. Kaku, M. Ikeda, S. Higashi, S. Miyazaki and A. Kuroda

    2008 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 

     詳細を見る

    開催年月日: 2008年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  593. Formation of Pd Nanodots Induced by Remote Hydrogen Plasma Treatment and Its Application to Floating Gate MOS Memories 国際会議

    K. Shimanoe, K. Makihara, M. Ikeda, R. Matsumoto, S. Higashi and S. Miyazaki

    2008 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 

     詳細を見る

    開催年月日: 2008年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  594. Electrical Properties of Highly Crystallized Ge:H Thin Films Grown from VHF Inductively-Coupled Plasma of H2-diluted GeH4 国際会議

    H. Kaku, K. Makihara, M. Ikeda, S. Higashi and S. Miyazaki

    2008 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 

     詳細を見る

    開催年月日: 2008年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  595. Selective Growth of Self-Assembling Si and SiGe Quantum Dots 国際会議

    K. Makihara, A. Kawanami, M. Ikeda, S. Higashi and S. Miyazaki

    4th International SiGe Technology and Device Meeting 

     詳細を見る

    開催年月日: 2008年5月

    記述言語:英語   会議種別:ポスター発表  

    国名:台湾  

  596. Formation of Ni- and Pt-Nanodots Induced by Remote Hydrogen Plasma Treatment and Their Application to Floating Gate MOS Memories 国際会議

    M. Ikeda, K. Shimanoe, R. Matsumoto, K. Makihara and S. Miyazaki

    The 2008 International Meeting for Future of Electron Devices, Kansai 

     詳細を見る

    開催年月日: 2008年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  597. High Rate Growth of Highly Crystallized Ge:H Thin Films from VHF Inductively-Coupled Plasma of GeH4 国際会議

    Y. Ono, H. Kaku, K. Makihara, S. Higashi and S. Miyazaki

    The 1st International Conference on Plasma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  598. SiGe量子ドットの一次元配列成長

    川浪彰、牧原克典、池田弥央、松本龍児、山本雄治、東清一郎、宮崎誠一

    第55回春季応用物理学会 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:日本大学   国名:日本国  

  599. AFM/KFMによる高密度Ptシリサイドナノドットの帯電状態計測

    西原良祐、牧原克典、池田弥央、松本龍児、東清一郎、宮崎誠一

    第55回春季応用物理学会 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:日本大学   国名:日本国  

  600. GeH4 VHF-ICP による高結晶性Ge:H 膜の高速堆積

    小埜芳和、加久博隆、牧原克典、東清一郎、宮崎誠一

    第55回春季応用物理学会 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:日本大学   国名:日本国  

  601. NiSiドット/Si量子ドット積層ハイブリッドフローティングゲートMOSキャパシタにおける電荷保持特性

    松本龍児、池田弥央、牧原克典、島ノ江和広、東清一郎、宮崎誠一

    第55回春季応用物理学会 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:日本大学   国名:日本国  

  602. NiSiドット/Si量子ドット積層ハイブリッドフローティングゲートMOSキャパシタにおける電子注入・放出特性

    松本龍児、池田弥央、牧原克典、島ノ江和広、東清一郎、宮崎誠一

    第55回春季応用物理学会 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:日本大学   国名:日本国  

  603. リモート水素プラズマ支援によるPd ナノドット形成

    島ノ江和広、牧原克典、池田弥央、松本龍児、東清一郎、宮崎誠一

    第55回春季応用物理学会 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:日本大学   国名:日本国  

  604. リモート水素プラズマ支援により形成したNiシリサイドナノドットの化学結合状態および電子状態評価

    牧原克典、大田晃生、松本龍児、池田弥央、島ノ江和広、東清一郎、宮崎誠一

    第55回春季応用物理学会 

     詳細を見る

    開催年月日: 2008年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:日本大学   国名:日本国  

  605. Charge Injection Characteristics of NiSi-Dots/Silicon-Quantum-Dots Stacked Floating Gate in MOS Capacitors 国際会議

    M. Ikeda, R. Matsumoto, K. Shimanoe, T. Okada, K. Makihara, S. Higashi and S. Miyazaki

    3rd International Workshop in New Group IV Semiconductor Nanoelectronics 

     詳細を見る

    開催年月日: 2007年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  606. Characterization of Electronic Charged States of Nickel Silicide Nanodots Using AFM/Kelvin Probe Technique 国際会議

    R. Nishihara, K. Makihara, Y. Kawaguchi, M. Ikeda, H. Murakami, S. Higashi and S. Miyazaki

    The Sixth Pacific Rim International Conference on Advanced Materials and Processing 

     詳細を見る

    開催年月日: 2007年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  607. High Rate Growth of Highly-Crystallized Ge Films on Quartz from VHF Inductively-Coupled Plasma of GeH4 + H2 国際会議

    T. Sakata, K. Makihara, H. Deki, S. Higashi and S. Miyazaki

    The Sixth Pacific Rim International Conference on Advanced Materials and Processing 

     詳細を見る

    開催年月日: 2007年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  608. Formation of PtSi Nanodots Induced by Remote H2 Plasma 国際会議

    K. Shimanoe, K. Makihara, A. Ohta, M. Ikeda, S. Higashi and S. Miyazaki

    3rd International Workshop in New Group IV Semiconductor Nanoelectronics 

     詳細を見る

    開催年月日: 2007年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  609. High Rate Growth of Crystalline Ge Films at Low Temperatures by Controlling 60MHz Inductively-Coupled Plasma of H2-diluted GeH4 国際会議

    S. Miyazaki, T. Sakata, K. Makihara, M. Ikeda

    3rd International Workshop in New Group IV Semiconductor Nanoelectronics 

     詳細を見る

    開催年月日: 2007年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  610. Formation of Pt Nanodots Induced by Remote Hydrogen Plasma 国際会議

    The 18th Symposium of The Materials Research Society of Japan 

     詳細を見る

    開催年月日: 2007年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  611. Electroluminescence from Multiple-Stacked Structures of Impurity Doped Si Quantum Dots 国際会議

    2007 International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  612. Self-Assembling Formation of Ninanodots on SiO2 Induced by Remote H2-Plasma Treatment and Their Electrical Charging Characteristics 国際会議

    2007 International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  613. NiSiドット/Si量子ドット積層構造フローティングゲートMOSキャパシタにおける電荷注入特性

    松本龍児、池田弥央、牧原克典、岡田竜弥、島ノ江和広、東清一郎、宮崎誠一

    第68回秋季応用物理学会 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:北海道工業大学   国名:日本国  

  614. リモート水素プラズマ処理によるPtナノドット密度制御

    島ノ江和広、牧原克典、池田弥央、東清一郎、宮崎誠一

    第68回秋季応用物理学会 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:北海道工業大学   国名:日本国  

  615. Phosphorus Doping to Si Quantum Dots for Floating Gate Application 国際会議

    K. Makihara, M. Ikeda, A. Ohta, H. Murakami, R. Matsumoto, E. Ikenaga, M. Kobata, J. Kim, S. Higashi and S. Miyazaki

    2007 Silicon Nanoelectronics Workshop 

     詳細を見る

    開催年月日: 2007年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  616. Progress on Charge Distribution in Multiply-Stacked Si Quantum Dots/SiO2 Structure as Evaluated by AFM/KFM 国際会議

    K. Makihara, M. Ikeda, S. Higashi and S. Miyazaki

    2007 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 

     詳細を見る

    開催年月日: 2007年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  617. Impact of Boron Doping to Si Quantum Dots on Light Emission Properties 国際会議

    K. Okuyama, K. Makihara, A. Ohta, H. Murakami, M. Ikeda, S. Higashi and S. Miyazaki

    2007 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 

     詳細を見る

    開催年月日: 2007年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  618. Formation of Ni Nanodots Induced by Remote Hydrogen Plasma 国際会議

    K. Makihara, K. Shimanoe, Y. Kawaguchi, M. Ikeda, S. Higashi and S. Miyazaki

    The European Materials Research Society 2007 Spring Meeting 

     詳細を見る

    開催年月日: 2007年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  619. Impact of impurity Doping into Si Quantum Dots with Ge Core on Their Electrical Charging Characteristics 国際会議

    K. Makihara, M. Ikeda, S. Higashi and S. Miyazaki

    5th International Conference on Silicon Epitaxy and Heterostructures 

     詳細を見る

    開催年月日: 2007年5月

    記述言語:英語   会議種別:ポスター発表  

    国名:フランス共和国  

  620. Low Temperature High-rate Growth of Crystalline Ge Films on Quartz and Crystalline Si Substrates from VHF Inductively-Coupled Plasma of GeH4 国際会議

    T. Sakata, K. Makihara, H. Deki, S. Higashi and S. Miyazaki

    5th International Conference on Silicon Epitaxy and Heterostructures 

     詳細を見る

    開催年月日: 2007年5月

    記述言語:英語   会議種別:ポスター発表  

    国名:フランス共和国  

  621. Luminescence Study of Multiply-Stacked Structures Consisting of Impurity-Doped Si Quantum Dots and Ultrathin SiO2 国際会議

    K. Makihara, Y. Kawaguchi, M. Ikeda, S. Higashi and S. Miyazaki

    The 2007 International Meeting for Future of Electron Devices, Kansai 

     詳細を見る

    開催年月日: 2007年4月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  622. 不純物添加がGeコアSi量子ドットの帯電状態に及ぼす影響

    牧原克典、池田弥央、東清一郎、宮崎誠一

    第54回春季応用物理学会 

     詳細を見る

    開催年月日: 2007年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学   国名:日本国  

  623. GeH4 VHF-ICPからのGe結晶薄膜の低温・高速成長-基板依存性

    坂田務、出木秀典、牧原克典、東清一郎、宮崎誠一

    第54回春季応用物理学会 

     詳細を見る

    開催年月日: 2007年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学   国名:日本国  

  624. GeH4 VHF-ICPからの高結晶性Ge薄膜の低温高速堆積

    坂田務、牧原克典、東清一郎、宮崎誠一

    第54回春季応用物理学会 

     詳細を見る

    開催年月日: 2007年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学   国名:日本国  

  625. AFM/KFMによる孤立NiSiドットの帯電状態計測

    西原良祐、牧原克典、川口恭裕、池田弥央、村上秀樹、東清一郎、宮崎誠一

    第54回春季応用物理学会 

     詳細を見る

    開催年月日: 2007年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学   国名:日本国  

  626. リモート水素プラズマ支援によるNiナノドット形成-ドット密度制御

    島ノ江和広、牧原克典、川口恭裕、奥山一樹、西原良祐、池田弥央、松本龍児、東清一郎、宮崎誠一

    第54回春季応用物理学会 

     詳細を見る

    開催年月日: 2007年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学   国名:日本国  

  627. 不純物添加Si量子ドット/SiO2多重集積構造からの発光

    川口恭裕、牧原克典、東清一郎、宮崎誠一

    第54回春季応用物理学会 

     詳細を見る

    開催年月日: 2007年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学   国名:日本国  

  628. 不純物添加NiSi/SiO2ゲートスタック構造の界面評価

    細井卓治、佐野孝輔、日野真毅、大田晃生、牧原克典、加久博隆、宮崎誠一、芝原健太郎

    ゲートスタック研究会 (第11回研究会) 極薄シリコン酸化膜の形成・評価・信頼性 

     詳細を見る

    開催年月日: 2006年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:静岡   国名:日本国  

  629. High Rate Growth of Highly-Crystallized Ge:H Films from VHF Inductively-Coupled Plasma of GeH4 国際会議

    The 17th Symposium of The Materials Research Society of Japan 

     詳細を見る

    開催年月日: 2006年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  630. Luminescence Study of Multiply-Stacked Si Quantum Dots 国際会議

    The 17th Symposium of The Materials Research Society of Japan 

     詳細を見る

    開催年月日: 2006年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  631. Study of Charged states of Si Quantum Dots with Ge Core 国際会議

    K. Makihara, M. Ikeda, S. Higashi and S. Miyazaki

    210th Electrochemical Society Meeting : SiGe & Ge Materials, Processing, and Device Symposium 

     詳細を見る

    開催年月日: 2006年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:メキシコ合衆国  

  632. Formation of Highly-Crystallized Ge:H Films form VHF Inductively-Coupled Plasma of GeH4 国際会議

    T. Sakata, K. Makihara, S. Higashi and S. Miyazaki

    2nd International Workshop in New Group IV Semiconductor Nanoelectronics  

     詳細を見る

    開催年月日: 2006年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  633. Characterization of Electronic Charged States of Impurity Doped Si Quantum Dots Using AFM/Kelvin Probe Technique 国際会議

    K. Makihara, Y. Kawaguchi, H. Murakami, S. Higashi and S. Miyazaki

    International Union Material Research Society - International Conference in Asia 

     詳細を見る

    開催年月日: 2006年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  634. AFM/KFMによるNiSiドットの帯電状態評価

    西原良祐、牧原克典、川口恭裕、池田弥央、村上秀樹、東清一郎、宮崎誠一

    応用物理学会2006年度中国四国支部例会 

     詳細を見る

    開催年月日: 2006年8月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:徳島大学   国名:日本国  

  635. GeH4 VHF-ICPからの高結晶性Ge:H薄膜の形成

    坂田務、牧原克典、東清一郎、宮崎誠一

    第67回秋季応用物理学会 

     詳細を見る

    開催年月日: 2006年8月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:立命館大学   国名:日本国  

  636. Si量子ドット/SiO2多重集積構造からの発光特性

    川口恭裕、牧原克典、池田弥央、村上秀樹、東清一郎、宮崎誠一

    第67回秋季応用物理学会 

     詳細を見る

    開催年月日: 2006年8月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:立命館大学   国名:日本国  

  637. AFM/KFMによるNiSiドットの帯電状態計測

    西原良祐、牧原克典、松本龍児、池田弥央、村上秀樹、東清一郎、宮崎誠一

    第67回秋季応用物理学会 

     詳細を見る

    開催年月日: 2006年8月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:立命館大学   国名:日本国  

  638. AFM/ケルビンプローブによる不純物添加Si量子ドットの帯電評価

    牧原克典、川口恭裕、東清一郎、宮崎誠一

    第67回秋季応用物理学会 

     詳細を見る

    開催年月日: 2006年8月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:立命館大学   国名:日本国  

  639. Charging and Discharging Characteristics of P-doped Si Quantum Dots Floating Gate 国際会議

    K. Makihara, T. Nagai, M. Ikeda, Y. Kawaguchi, H. Murakami, S. Higashi and S. Miyazaki

    The 2006 International Meeting for Future of Electron Devices, Kansai 

     詳細を見る

    開催年月日: 2006年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  640. 価電子制御したSi量子ドットフローティングにおける電荷注入・放出特性

    牧原克典、永井武志、池田弥央、川口恭裕、村上秀樹、東清一郎、宮崎誠一

    第53回春季応用物理学会 

     詳細を見る

    開催年月日: 2006年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:武蔵工業大学   国名:日本国  

  641. H2希釈GeH4ガスVHF-ICPからの高結晶性Ge:H薄膜の形成

    坂田務、牧原克典、東清一郎、宮崎誠一

    第53回春季応用物理学会 

     詳細を見る

    開催年月日: 2006年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:武蔵工業大学   国名:日本国  

  642. AFM/KFMによるSi量子ドット/SiO2多重集積構造の帯電電荷分布計測

    西谷純一郎、牧原克典、川口恭裕、池田弥央、東清一郎、宮崎誠一

    第53回春季応用物理学会 

     詳細を見る

    開催年月日: 2006年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:武蔵工業大学   国名:日本国  

  643. Characterization of Sb-Doped Fully-Silicided NiSi/SiO2/Si MOS Structure 国際会議

    T. Hosoi, K. Sano, M. Hino, A. Ohta, K. Makihara, H. Kaku, S. Miyazaki, and K. Shibahara

    2005 International Semiconductor Device Research Symposium 

     詳細を見る

    開催年月日: 2005年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  644. Growth of Crystallized Ge Films from VHF-Inductively Coupled Plasma of H2-Diluted GeH4 国際会議

    T. Sakata, K. Makihara, S. Higashi and S. Miyazaki

    2005 International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2005年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  645. Fabrication of Multiply-Stacked Si Quantum Dots for Floating Gate MOS Devices 国際会議

    The 16th Symposium of The Materials Research Society of Japan 

     詳細を見る

    開催年月日: 2005年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  646. AFM/ケルビンプローブによるBドープSi量子ドットの帯電状態評価

    牧原克典、川口恭裕、東清一郎、宮崎誠一

    第66回秋季応用物理学会 

     詳細を見る

    開催年月日: 2005年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:徳島大学   国名:日本国  

  647. GeH4 VHF-ICPからの高結晶性Ge:H薄膜の高速堆積

    坂田務、牧原克典、東清一郎、宮崎誠一

    第66回秋季応用物理学会 

     詳細を見る

    開催年月日: 2005年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:徳島大学   国名:日本国  

  648. AFM/ケルビンプローブによるNiSiドットの帯電状態評価

    持留雅志、西谷純一郎、牧原克典、多比良昌弘、池田弥央、東清一郎、宮崎誠一

    第66回秋季応用物理学会 

     詳細を見る

    開催年月日: 2005年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:徳島大学   国名:日本国  

  649. AFM/ケルビンプローブによる孤立Si量子ドットの電荷保持特性評価

    西谷純一郎、牧原克典、池田弥央、村上秀樹、東清一郎、宮崎誠一

    第66回秋季応用物理学会 

     詳細を見る

    開催年月日: 2005年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:徳島大学   国名:日本国  

  650. AFM/ケルビンプローブによるPドープSi量子ドットの帯電状態評価

    牧原克典、徐駿、川口恭裕、村上秀樹、東清一郎、宮崎誠一

    応用物理学会2005年度中国四国支部例会 

     詳細を見る

    開催年月日: 2005年7月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:島根大学   国名:日本国  

  651. Light Emitting Diode with MOS Structures Containing Multiple-Stacked Si Quantum Dots 国際会議

    J. Xu, K. Makihara, H. Deki, Y. Kawaguchi, H. Murakami, S. Higashi and S. Miyazaki

    2005 China International Conference on Nanoscience & Technology 

     詳細を見る

    開催年月日: 2005年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:中華人民共和国  

  652. Experimental Evidence of Coulombic Interaction among Stored Charges in Single Si Dot as Detected By AFM/Kelvin Probe Technique 国際会議

    J. Nishitani, K. Makihara, Y. Darma, H. Murakami, S. Higashi and S. Miyazaki

    2005 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices  

     詳細を見る

    開催年月日: 2005年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  653. The Application of Multiple-Stacked Si Quantum Dots to Light Emitting Diodes 国際会議

    K. Makihara, Y. Kawaguchi, H. Murakami, S. Higashi and S. Miyazaki

    2005 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices  

     詳細を見る

    開催年月日: 2005年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  654. Characterization of Electronic Charged States of P-doped Si Quantum Dots Using AFM/KFM Probe 国際会議

    K. Makihara, J. Xu, M. Ikeda, H. Murakami, S. Higasi and S. Miyazaki

    Fourth International Conference on Silicon Epitaxy and Heterostructures 

     詳細を見る

    開催年月日: 2005年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  655. Fabrication of Multiply-Stacked Structures Consisting of Si-QDs with Ultrathin SiO2 and Its Application of Light Emitting Diodes 国際会議

    K. Makihara, J. Xu, H. Deki, Y. Kawaguchi, H. Murakami, S. Higashi and S. Miyazaki

    First International Workshop in New GroupIV Semiconductor Nanoelectronics 

     詳細を見る

    開催年月日: 2005年5月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  656. Decay Characteristics of Electronic Charged States of Si Quantum Dots as Evaluated by an AFM/Kelvin Probe Technique 国際会議

    J. Nishitani, K. Makihara, M. Ikeda, H. Murakami, S. Higasi and S. Miyazaki

    Fourth International Conference on Silicon Epitaxy and Heterostructures 

     詳細を見る

    開催年月日: 2005年5月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  657. Light Emitting Devices from Multilayered Si Quantum Dots Structures 国際会議

    K. Makihara, J. Xu, H. Deki, Y. Kawaguchi, H. Murakami, S. Higashi and S. Miyazaki

    The 2005 International Meeting for Future of Electron Devices, Kansai 

     詳細を見る

    開催年月日: 2005年4月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  658. AFM/ケルビンプローブによるPドープSi量子ドットの帯電状態評価

    牧原克典、徐駿、川口恭裕、東清一郎、宮崎誠一

    第52回春季応用物理学会 

     詳細を見る

    開催年月日: 2005年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:埼玉大学   国名:日本国  

  659. Electronically-Driven Light Emitting Diode Based on Si Quantum Dots Multilayers

    J. Xu, K. Makihara, H. Deki, Y. Kawaguchi, H. Murakami, S. Higashi and S. Miyazaki

    第52回春季応用物理学会 

     詳細を見る

    開催年月日: 2005年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:埼玉大学   国名:日本国  

  660. AFM/ケルビンプローブによるSi量子ドットの電荷保持特性評価

    西谷純一郎、牧原克典、池田弥央、東清一郎、宮崎誠一

    第52回春季応用物理学会 

     詳細を見る

    開催年月日: 2005年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:埼玉大学   国名:日本国  

  661. Fabrication of Multiple-Stacked Si Quantum Dots and Its Application to Light Emitting Diodes 国際会議

    K. Makihara, Y. Kawaguchi, M. Ikeda, H. Murakami, S. Higashi and S. Miyazaki

    The 4th International Symposium on Nanotechnology 

     詳細を見る

    開催年月日: 2005年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  662. Fabrication of Multiply-Stacked Structures of Si Quantum-Dots Embedded in SiO2 by Combination of Low-Pressure CVD with Remote Plasma Treatments 国際会議

    K. Makihara, H. Nakagawa, M. Ikeda, H. Murakami, S. Higashi and S. Miyazaki

    2004 International Microprocesses and Nanotechnology Conference 

     詳細を見る

    開催年月日: 2004年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  663. リモート水素及び酸素プラズマ前処理によるSiドット核密度制御

    牧原克典、出木秀典、池田弥央、東清一郎、宮崎誠一

    第65回秋季応用物理学会 

     詳細を見る

    開催年月日: 2004年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東北学院大学   国名:日本国  

  664. ICPプラズマによる微結晶Ge:H膜成長制御-アモルファスインキュベーション層の堆積速度依存性

    岡本祥裕、牧原克典、東清一郎、宮崎誠一

    第65回秋季応用物理学会 

     詳細を見る

    開催年月日: 2004年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東北学院大学   国名:日本国  

  665. ドライ一貫プロセスによる高密度Siドット/SiO2立体積層構造の作成

    牧原克典、中川博、池田弥央、東清一郎、宮崎誠一

    第65回秋季応用物理学会 

     詳細を見る

    開催年月日: 2004年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東北学院大学   国名:日本国  

  666. Formation of Microcrystalline Germanium (mc-Ge:H) Films From Inductively-Coupled Plasma CVD 国際会議

    Y. Okamoto, K. Makihara, S. Higasi and S. Miyazaki

    12th International Conference on Solid Films and Surface  

     詳細を見る

    開催年月日: 2004年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  667. Characterization of germanium nanocrystallites grown on quartz by a conductive AFM probe technique 国際会議

    K. Makihara, Y. Okamoto, H. Murakami, S. Higashi and S. Miyazaki

    2004 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 

     詳細を見る

    開催年月日: 2004年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  668. Control of the Nucleation Density of Si Quantum Dots by Remote Hydrogen Plasma Treatment 国際会議

    K. Makihara, H. Deki, H. Murakami, S. Higasi and S. Miyazaki

    12th International Conference on Solid Films and Surface  

     詳細を見る

    開催年月日: 2004年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  669. AFM/ケルビンプローブによる高密度Si量子ドットの帯電状態評価

    牧原克典、柴口拓、村上秀樹、東清一郎、宮崎誠一

    第51回春季応用物理学会 

     詳細を見る

    開催年月日: 2004年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工科大学   国名:日本国  

  670. 誘導結合型GeH4プラズマによる微結晶ゲルマニウム (μc-Ge:H) 膜の形成

    岡本祥裕、牧原克典、Kosku Nihan、村上秀樹、東清一郎、宮崎誠一

    第51回春季応用物理学会 

     詳細を見る

    開催年月日: 2004年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工科大学   国名:日本国  

  671. リモート水素プラズマ処理によるSiドット核密度制御

    牧原克典、出木秀典、村上秀樹、東清一郎、宮崎誠一

    第51回春季応用物理学会 

     詳細を見る

    開催年月日: 2004年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工科大学   国名:日本国  

  672. 導電性AFM探針による結晶性ゲルマニウム薄膜の伝導評価

    牧原克典、岡本祥裕、村上秀樹、東清一郎、宮崎誠一

    第64回秋季応用物理学会 

     詳細を見る

    開催年月日: 2003年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡大学   国名:日本国  

  673. 誘導結合型GeH4プラズマによる微結晶ゲルマニウム (μc-Ge:H) 膜堆積

    岡本祥裕、牧原克典、Kosku Nihan、村上秀樹、東清一郎、宮崎誠一

    第64回秋季応用物理学会 

     詳細を見る

    開催年月日: 2003年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡大学   国名:日本国  

  674. 誘導結合型プラズマCVD法による結晶性ゲルマニウム膜の形成

    岡本祥裕、牧原克典、村上秀樹、東清一郎、宮崎誠一

    応用物理学会2003年度中国四国支部例会 

     詳細を見る

    開催年月日: 2003年8月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山口大学   国名:日本国  

  675. 微結晶ゲルマニウムの局所電気伝導評価

    牧原克典、岡本祥裕、村上秀樹、東清一郎、宮崎誠一

    応用物理学会2003年度中国四国支部例会 

     詳細を見る

    開催年月日: 2003年8月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:山口大学   国名:日本国  

  676. Electrical Characterization of Ge Microcrystallites by Atomic Force Microscopy Using a Conducting Probe 国際会議

    K. Makihara, Y. Okamoto, H. Nakagawa, H. Murakami, S. Higashi and S. Miyazaki

    The 16th Symposium on Plasma Science for Materials 

     詳細を見る

    開催年月日: 2003年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  677. Local Characterization of Electronic Transport in Microcrystalline Germanium Thin Films by Atomic Force Microscopy Using a Conducting Probe 国際会議

    K. Makihara, Y. Okamoto, M. Ikeda, H. Murakami and S. Miyazaki

    2003 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 

     詳細を見る

    開催年月日: 2003年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  678. 導電性AFM探針による微結晶ゲルマニウムの局所電気伝導評価

    牧原克典、竹内耕平、池田弥央、村上秀樹、宮崎誠一

    第50回春季応用物理学会 

     詳細を見る

    開催年月日: 2003年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神奈川大学   国名:日本国  

  679. 導電性AFM探針による微結晶ゲルマニウム(c-Ge:H)の核形成及び成長評価

    牧原克典、竹内耕平、池田弥央、村上秀樹、宮崎誠一

    第20回プラズマプロセシング研究会 

     詳細を見る

    開催年月日: 2003年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:長岡   国名:日本国  

  680. 導電性AFMカンチレバーを用いた微結晶ゲルマニウム(c-Ge:H)の核形成及び成長評価

    牧原克典、竹内耕平、池田弥央、村上秀樹、宮崎誠一

    第29回アモルファス物質の物性と応用セミナー 

     詳細を見る

    開催年月日: 2002年11月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:東京   国名:日本国  

  681. 導電性カンチレバーを用いた微結晶ゲルマニウム(c-Ge:H)の核形成及び成長評価

    牧原克典、竹内耕平、池田弥央、村上秀樹、宮崎誠一

    第63回秋季応用物理学会 

     詳細を見る

    開催年月日: 2002年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:新潟大学   国名:日本国  

  682. Ferromagnetism of The Layered Oxysulfides (La1-xCaxO)Cu1-xNixS (x = 0 and x = y) 国際会議

    K. Takase, T. Shimizu, K. Makihara, Y. Takahashi, Y. Takano, K. Sekizawa, and M. Koyano

    The 8th International Workshop on Oxide Electronics 

     詳細を見る

    開催年月日: 2002年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  683. Photoluminescence of New Layered Wide Band Gap Semiconductors (LaO)CuS 国際会議

    M. Koyano , K. Takase , T. Shimizu , K. Makihara ,Y. Takahashi, Y. Takano, K. Sekizawa, and S. Katayama

    26th International Conference on Semiconductor Physics 

     詳細を見る

    開催年月日: 2002年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:グレートブリテン・北アイルランド連合王国(英国)  

  684. Room Temperature Ferromagnetism in Semiconductor (La1-xCaxO)Cu1-xNixS 国際会議

    K. Takase, T. Shimizu, K. Makihara, Y. Takahashi, Y. Takano, and K. Sekizawa

    26th International Conference on Semiconductor Physics 

     詳細を見る

    開催年月日: 2002年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:グレートブリテン・北アイルランド連合王国(英国)  

  685. オキシ硫化物(LaO)CuSの電気抵抗とフォトルミネッセンススペクトル

    高瀬浩一、小矢野幹夫、佐藤憲、牧原克典、清水智弘、高橋由美子、高野良紀、関沢和子

    日本物理学会第57回年次大会 

     詳細を見る

    開催年月日: 2002年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:立命館大学   国名:日本国  

  686. LaOCuSにおけるLaおよびCuサイトの同時置換効果

    牧原克典、清水智弘、高橋由美子、高瀬浩一、高野良紀、関澤和子

    日本物理学会2001年秋季大会 

     詳細を見る

    開催年月日: 2001年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:徳島大学   国名:日本国  

  687. オキシ硫化物La1-xCaxOCu1-xNixSの輸送現象

    高瀬浩一、清水智弘、松本和弥、牧原克典、高野良紀、関澤和子

    日本物理学会第56回年次大会 

     詳細を見る

    開催年月日: 2001年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:中央大学   国名:日本国  

  688. Characterization of Electron Field Emission from Multiple-Stacked Ge Core Si-QDs

    Yuto Futamura, Katsunori Makihara, Akio Ohta, Mitsuhisa Ikeda, Seiichi Miyazaki

    12th International WorkShop on New Group IV Semiconductor Nanoelectronics  2018年12月6日 

     詳細を見る

    記述言語:英語   会議種別:ポスター発表  

  689. Carrier conduction in SiO<inf>2</inf>/GaN structure with abrupt interface 国際会議

    Truyen N.

    2018 International Symposium on VLSI Technology, Systems and Application, VLSI-TSA 2018  2018年7月3日  2018 International Symposium on VLSI Technology, Systems and Application, VLSI-TSA 2018

     詳細を見る

    記述言語:英語   会議種別:口頭発表(一般)  

    Scopus

▼全件表示

共同研究・競争的資金等の研究課題 4

  1. 一次元連結磁性合金ナノドットのスピン物性制御と新機能メモリ開発

    2019年7月 - 2020年3月

    財団法人 立松財団 A1.特別研究助成 

      詳細を見る

    資金種別:競争的資金

  2. 半導体ナノ構造の金属混晶化精密制御による新機能材料創成

    2013年8月 - 2013年12月

    ナノテクノロジープラットフォーム 平成25年度 研究設備の試行的利用事業 

      詳細を見る

    資金種別:競争的資金

  3. 理工農系:サステナブル社会の実現に貢献する自然科学系国際的若手研究者の育成プログラム

    2010年10月

    [2] 日本学術振興会 研究者海外派遣基金助成金「組織的な若手研究者等海外派遣プログラム」 

      詳細を見る

    資金種別:競争的資金

  4. 日本学術振興会 研究者海外派遣基金助成金「組織的な若手研究者等海外派遣プログラム」(広島大学) 理工農系:サステナブル社会の実現に貢献する自然科学系国際的若手研究者の育成プログラム

    2010年10月

科研費 12

  1. Si-Ge系スーパーアトムの内部ポテンシャル変調による量子機能材料創成

    研究課題/研究課題番号:19H00762  2019年4月 - 2023年3月

    科学研究費補助金  基盤研究(A)

    牧原克典

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

  2. ナノドットの超高密度規則配列と電子・スピン結合制御 国際共著

    研究課題/研究課題番号:18KK0409  2019年 - 2021年

    科学研究費補助金  国際共同研究加速基金(国際共同研究強化(A))

    牧原克典

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

  3. 価電子制御シリコン量子ドットのドット間結合制御による熱起電力創出

    研究課題/研究課題番号:17K18877  2017年6月 - 2019年3月

    科学研究費補助金  挑戦的研究(萌芽)

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

  4. Fe系磁性合金ハイブリッドドット創成によるスピン・電子制御と新機能メモリ応用

    研究課題/研究課題番号:16H06083  2016年4月 - 2019年3月

    科学研究費補助金  若手研究(A)

    牧原 克典

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

  5. 一次元連結ハイブリッドドットのキャリア輸送・保持制御と高効率発光デバイス創成

    研究課題/研究課題番号:25709023  2013年4月 - 2015年3月

    科学研究費補助金  若手研究(A)

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

  6. 価電子制御シリコン系量子ドット立体集積構造における高輝度エレクトロルミネッセンス

    研究課題/研究課題番号:21860061  2009年4月 - 2011年3月

    科学研究費補助金  研究活動スタート支援

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

  7. シリコン酸化膜に覆われたゲルマネンを用いた超高速エレクトロニクスの開発

    研究課題/研究課題番号:20K21142  2020年7月 - 2022年3月

    挑戦的研究(萌芽)

    大田 晃生

      詳細を見る

    担当区分:研究分担者  資金種別:競争的資金

    ゲルマニウム原子の二次元結晶であるゲルマネンは、グラフェンと同様のハニカム格子を取ることから非常に特殊な電子状態を有し、グラフェンと同等の高いキャリア移動度に加えて、強いスピン軌道相互作用を持つという特徴が理論的に明らかにされている。しかしながら、現在、その特殊な電子状態をデバイスに展開するには至っていない。そこで、本申請では、ゲルマネンをはじめとするゲルマニウム原子の二次元結晶の電子状態を引き出すと伴に化学的安定性を高めるために、シリコン酸化膜で覆われた状態で形成する方法を確立することを目指す。その後、ゲルマニウム二次元結晶のキャリア輸送特性を実験及び理論の両面から精査する。

  8. Si-Ge系スーパーアトム構造のセルフアライン集積による光・電子物性制御

    研究課題/研究課題番号:15H05762  2015年4月 - 2019年3月

    科学研究費補助金  基盤研究(S)

    宮崎誠一

      詳細を見る

    担当区分:研究分担者  資金種別:競争的資金

  9. 空間制御型抵抗変化メモリの創成とスイッチングメカニズムの解明

    研究課題/研究課題番号:15K04602  2015年4月 - 2018年3月

    高瀬 浩一

      詳細を見る

    担当区分:連携研究者  資金種別:競争的資金

    絶縁体ナノワイヤーを用いた抵抗変化メモリを作成し、そのスイッチング特性を調査した。このデバイスにおいては、絶縁体の直径が約40nm程度であるため、この中に作られる導電性フィラメントの数は制限されることになり、スイッチング電圧のばらつきが改善されると期待される。そこで、ポーラスアルミナをナノテンプレートとして電解メッキ法によりニッケルをナノ細孔に埋め込むことでニッケルナノワイヤーを作成し、その後、このナノワイヤーを酸化することで抵抗変化メモリを得た。
    このナノワイヤーを絶縁体とする抵抗変化メモリのスイッチング特性を調べた結果、通常の薄膜を用いたものに比べ、スイッチング電圧のばらつきは抑制された。

  10. 新規IV族系二次元物質の創製

    研究課題/研究課題番号:15H03564  2015年4月 - 2018年3月

    科学研究費助成事業  基盤研究(B)

    白石 賢二, 財満 鎭明, 宮崎 誠一, 中山 隆史, 牧原 克則, 初貝 安弘, 洗平 昌晃, 中塚 理

      詳細を見る

    担当区分:連携研究者 

    シリセン、ゲルマネンの電子構造と原子構造を第一原理計算で行った。まず、絶縁体であるAl2O3上のシリセンとゲルマネンの電子構造を計算した。その結果、バンド構造はK点でディラックコーンを持つフリースタンディングのシリセン、ゲルマネンのバンド構造を保存することがわかった。しかし、細かく見ると表面への吸着構造に依存してシリセン、ゲルマネンのバンド構造が微妙に変わることを明らかにした。

  11. シリコン系スーパーアトムの超高密度配列と量子物性制御

    研究課題/研究課題番号:24246054  2012年4月 - 2014年3月

    科学研究費補助金  基盤研究(A)

    宮崎誠一

      詳細を見る

    担当区分:研究分担者  資金種別:競争的資金

  12. 精密電子制御したシリコン系ナノ結晶の立体集積構造作成と光電子融合デバイス応用

    2007年4月 - 2009年3月

    科学研究費補助金 

      詳細を見る

    担当区分:研究代表者 

▼全件表示

産業財産権 10

  1. 半導体薄膜およびその製造方法

    牧原克典、宮崎誠一、林司

     詳細を見る

    出願人:日新電機株式会社

    出願番号:特願2012-041844  出願日:2012年2月

    出願国:国内  

  2. 結晶半導体の製造方法およびそれを用いた半導体素子の製造方法

    岡田竜弥、牧原克典、宮崎誠一

     詳細を見る

    出願人:国立大学法人 広島大学

    出願番号:特願2009-77922  出願日:2009年3月

    公開番号:2010-232401 

    出願国:国内  

  3. 金属ドットの製造方法およびそれを用いた半導体メモリの製造方法

    牧原克典、宮崎誠一

     詳細を見る

    出願人:国立大学法人 広島大学

    出願番号:特願2008-330536  出願日:2008年12月

    公開番号:2010-153612 

    出願国:国内  

  4. 半導体製造装置、ゲルマニウムドットの製造方法およびそれを用いた半導体メモリの製造方法

    牧原克典、宮崎誠一

     詳細を見る

    出願人:国立大学法人 広島大学

    出願番号:特願2008-330524  出願日:2008年12月

    公開番号:2010-153610 

    出願国:国内  

  5. 発光素子およびその製造方法

    牧原克典、宮崎誠一、東清一郎

     詳細を見る

    出願人:国立大学法人 広島大学

    出願番号:12/212,406(US)  出願日:2008年9月

    出願国:外国  

  6. 測定装置および測定方法

    牧原克典、宮崎誠一、東清一郎

     詳細を見る

    出願人:国立大学法人 広島大学

    出願番号:PCT/JP2008/002067  出願日:2008年7月

    出願国:国内  

  7. バイオセンサーおよびその製造方法

    牧原克典、宮崎誠一、東清一郎、村上秀樹

     詳細を見る

    出願人:国立大学法人 広島大学

    出願番号:特願2008-77082  出願日:2008年3月

    出願国:国内  

  8. 発光素子およびその製造方法

    牧原克典、宮崎誠一、東清一郎

     詳細を見る

    出願人:国立大学法人 広島大学

    出願番号:特願2008-70602  出願日:2008年3月

    出願国:国内  

  9. 半導体素子

    牧原克典、宮崎誠一、東清一郎、村上秀樹

     詳細を見る

    出願人:国立大学法人 広島大学

    出願番号:PCT/JP2007/001360  出願日:2007年12月

    出願国:国内  

  10. 半導体メモリ、それを用いた半導体メモリシステム、および半導体メモリに用いられる量子ドットの製造方法

    牧原克典、宮崎誠一、東清一郎

     詳細を見る

    出願人:国立大学法人 広島大学

    出願番号:PCT/JP2007/001361  出願日:2007年12月

    出願国:国内  

▼全件表示

 

担当経験のある科目 (本学以外) 1

  1. 電子光科学ゼミナールIV

    2013年 大阪大学)

     詳細を見る

    科目区分:大学院専門科目 

 

社会貢献活動 8

  1. ISPlasma2014/IC-PLANTS2014実行委員

    2013年4月 - 2014年3月

  2. 第5回薄膜太陽電池セミナー2013

    2013年4月 - 2013年12月

  3. ICSI-8/ISCSI-VI実行委員

    2012年7月 - 2013年6月

  4. IC-PLANTS2013実行委員

    2012年4月 - 2013年3月

  5. SSDM2012実行委員

    2011年12月 - 2012年11月

  6. IC-PLANTS2012実行委員

    2011年4月 - 2012年3月

  7. SSDM2011実行委員

    2010年12月 - 2011年11月

  8. IC-PLANTS2011実行委員

    2010年4月 - 2011年3月

▼全件表示