論文 - 石川 健治
-
Valence fragmentation dynamics of a promising low global warming etching gas CF3CHCF2 査読有り 国際誌 Open Access
Tran Trung Nguyen, Toshio Hayashi, Hiroshi Iwayama, ane Kenji Ishikawa
Scientific Reports 15 巻 ( 1 ) 頁: 9507 2025年12月
-
Selective dry etching of TiAlC over TiN using nonhalogen N2/H2 plasma 査読有り Open Access
Thi-Thuy-Nga Nguyen, Kazunori Shinoda, Shi-Nan Hsiao, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, Kenji Ishikawa, and Masaru Hori
Applied Surface Science 691 巻 頁: 122665 2025年5月
-
Low-temperature atomic layer etching of platinum via sequential wet-like reactions of plasma oxidation and complexation 査読有り Open Access
Thi-Thuy-Nga Nguyen, D. Akagi, T. Okato, Kenji Ishikawa, and Masaru Hori
Applied Surface Science 687 巻 頁: 162325 2025年4月
-
Hydrofluoroethane plasma etching of SiN, SiO2, and poly-Si films with CHF2CF3, CF3CH3, and CHF2CH3 査読有り Open Access
Tran Trung Nguyen, Toshio Hayashi, Hiroshi Iwayama, Makoto Sekine, Masaru Hori, and Kenji Ishikawa
Applied Surface Science 684 巻 頁: 161815 2025年3月
-
Nanoscale visualization of the anti-tumor effect of a plasma-activated Ringer's lactate solution 査読有り Open Access
Junichi Usuda, Kenshin Yagyu, Hiromasa Tanaka, Masaru Hori, Kenji Ishikawa, and Yasufumi Takahashi
Faraday Discussions 257 巻 ( 0 ) 頁: 212 - 223 2025年2月
-
Developments in low-temperature plasma applications in Asia 査読有り 国際共著
Pankaj Attri, Kenji Ishikawa, Nozomi Takeuchi, Tomohiro Nozaki, Rajdeep Singh Rawat, Zhitong Chen, Bo Ouyang, Takamasa Okumura, Danni Fu, Katsuyuki Takahashi, Dae-Yeong Kim, Xiaozhong Chen, Kunihiro Kamataki, Koichi Takaki, Eun Ha Choi, Masaru Hori, Kazunori Koga, and Masaharu Shiratani
Reviews of Modern Plasma Physics 9 巻 ( 1 ) 頁: 6 2025年2月
-
Achieving the in-plane orientation of carbon nanowalls: Implications for sensing, energy harvesting, and nano-bio devices 査読有り Open Access
Shintaro Iba, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Mineo Hiramatsu, and Masaru Hori
ACS Applied Nano Materials 8 巻 ( 6 ) 頁: 2660 - 2668 2025年2月
-
Plasma-enhanced atomic layer deposition of carbon films employing a cyclic process of N2/H2 plasma and α, α'-dichloro-p-xylene as a precursor 査読有り Open Access
Liugang Hu, Takayoshi Tsutsumi, Nobuyoshi Kobayashi, Kenji Ishikawa, and Masaru Hori
Applied Surface Science 681 巻 頁: 161485 2025年2月
-
Shohei Nakamura, Atsushi Tanide, Soichi Nadahara, Kenji Ishikawa, and Masaru Hori
Journal of Vacuum Science and Technology B 43 巻 ( 2 ) 頁: 022202 2025年1月
-
Yusuke Ando, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
Diamond and Related Materials 151 巻 頁: 111687 2025年1月
-
Arun Kumar Dhasiyan, Frank Wilson Amalraj, Swathy Jayaprasad, Naohiro Shimizu, Osamu Oda, Kenji Ishikawa, and Masaru Hori
Scientific Reports 14 巻 ( 1 ) 頁: 30575 2024年12月
-
Pseudo-wet plasma mechanism enabling high-throughput dry etching of SiO2 by cryogenic-assisted surface reactions 査読有り Open Access
Shih-Nan Hsiao, Makoto Sekine, Nikolay Britun, Micheal Kin Ting Mo, Yusuke Imai, Takayoshi Tsutsumi, Kenji Ishikawa, Yuki Iijima, Ryutaro Suda, Masahiko Yokoi, Yoshihide Kihara, and Masaru Hori
Small method 8 巻 ( 12 ) 頁: 2400090 2024年12月
-
Low-temperature plasma as a strategy to achieve SDGs 査読有り
Hiromasa Tanaka, Kenji Ishikawa, and Shinya Toyokuni
Free Radical Research 58 巻 ( 19 ) 頁: 594 - 595 2024年10月
-
Selective removal of single-layer graphene over double-layer graphene on SiO2 by remote oxygen plasma irradiation 査読有り Open Access
Liugang Hu, Kenji Ishikawa, Thi-Thuy-Nga Nguyen, Shih-Nan Hsiao, and Masaru Hori
Applied Surface Science 669 巻 頁: 160598 2024年10月
-
High-speed removal process for organic polymers by non-thermal atmospheric pressure spark discharge at room temperature and its mechanism 査読有り Open Access
Yoshihiro Sakamoto, Takayoshi Tsutsumi, Hiromasa Tanaka, Kenji Ishikawa, Hiroshi Hashizume, and Masaru Hori
Coating 14 巻 ( 10 ) 頁: 1339 2024年10月
-
Non-halogen dry etching of metal carbide TiAlC by low-pressure N2/H2 plasma at room temperature 査読有り Open Access
Thi-Thuy-Nga Nguyen, Kazunori Shinoda, Shih-Nan Hsiao, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, Kenji Ishikawa, and Masaru Hori
ACS Applied Materials and Interfaces 16 巻 ( 39 ) 頁: 53195 - 53206 2024年9月
-
Science and applications of plasma activated solutions: Current trends and future directions 査読有り
Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Camelia Miron, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, Masafumi Ito, and Masaru Hori
Plasma Medicine 14 巻 ( 1 ) 頁: 67 - 76 2024年9月
-
Dry Process 2023 Open Access
Koga K., Takeda K., Toyoda H., Ishikawa K., Ichiki T., Nunomura S., Kurihara K., Kuboi N., Ohta T., Takenaka K.
63 巻 ( 8 ) 2024年8月
-
Effects of plasma ions/radicals on kinetic interactions in nanowall deposition: A review 招待有り 査読有り
Kenji Ishikawa
Advanced Engineering Materials 26 巻 ( 16 ) 頁: 2400679 2024年8月
-
Future of plasma etching for microelectronics: Challenges and opportunities 査読有り 国際共著 Open Access
Gottlieb Oehrlein, Stephan Brandstadter, Robert Bruce, Jane Chang, Jessica DeMott, Vincent M. Donnelly, Remi Dussart, Andreas Fischer, Richard Gottscho, Satoshi Hamaguchi, Masanobu Honda, Masaru Hori, Kenji Ishikawa, Steven Jaloviar, Keren Kanarik, Kazuhiro Karahashi, Akiteru Ko, Hiten Kothari, Nobuyuki Kuboi, Mark Kushner, Thorsten Lill, Pingshan Luan, Ali Mesbah, Eric Miller, Shoubhanik Nath, Yoshinobu Ohya, Mitsuhiro Omura, Chanhoon Park, John Polouse, Shahid Rauf, Makoto Sekine, Taylor Smith, Nathan Stafford, Theo Standaert, and Peter Ventzek
Journal of Vacuum Science and Technology B 42 巻 ( 4 ) 頁: 041501 2024年7月
-
Low-temperature growth at 225 °C and characterization of carbon nanowalls synthesized by radical injection plasma-enhanced chemical vapor deposition 査読有り Open Access
Ngo Quang Minh, Ngo Van Nong, Osamu Oda, Kenji Ishikawa, and Masaru Hori
Vacuum 224 巻 頁: 113180 2024年6月
-
Epitaxial growth of high-quality GaN with a high growth rate at low temperatures by radical-enhanced metalorganic chemical vapor deposition 査読有り Open Access
Arun Kumar Dhasiyan, Frank Wilson Amalraj, Swathy Jayaprasad, Naohiro Shimizu, Osamu Oda, Kenji Ishikawa, and Masaru Hori
Scientific Reports 14 巻 ( 1 ) 頁: 10861 2024年5月
-
In situ atom-resolved observation of Si (111) 7×7 surface with F radical and Ar ion irradiation simulated atomic layer etching 査読有り Open Access
Takayoshi Tsutsumi, Atsuki Asano, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
Journal of the Vacuum Science and Technologies A 42 巻 ( 3 ) 頁: 032603 2024年5月
-
Nitrogen admixture effects on growth characteristics and properties of carbon nanowalls 査読有り Open Access
Peter Raj Dennis Christy, Ngo Van Nong, Nikolay Britun, Ngo Quang Minh, Thi-Thuy-Nga Nguyen, Hiroki Kondo, Osamu Oda, Kenji Ishikawa and Masaru Hori
Thin Solid Films 795 巻 頁: 140322 2024年4月
-
Dissociative properties of C4F6 obtained using computational chemistry 査読有り Open Access
Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
Japanese Journal of Applied Physics 63 巻 ( 4 ) 頁: 04SP26 2024年4月
-
Shu Tanaka, Sae Hayashi, Tomohiro Otsuka, Tetsuro Kamiya, Kenji Ishikawa, and Hirokazu Hara
Free Radical Research 58 巻 ( 3 ) 頁: 170 - 179 2024年3月
-
Plasma-driven sciences: Exploring complex interactions at plasma-boundaries 査読有り Open Access
Kenji Ishikawa, Kazunori Koga, and Noriyasu Ohno
Plasma 7 巻 ( 1 ) 頁: 160 - 177 2024年2月
-
液中プラズマによるナノグラフェンの高速合成と機能化 査読有り Open Access
近藤 博基, 堤 隆義, 石川 健治, 堀 勝, 平松 美根男
表面と真空 67 巻 ( 2 ) 頁: 77 - 82 2024年2月
-
Elaborate Cooperation of Poly(rC)-binding Proteins 1/2 and Glutathione in Ferroptosis Induced by Plasma-activated Ringer' s Lactate 査読有り Open Access
Li Jiang, Hao Zheng, Moe Ishida, Qinying Lyu, Shinya Akatsuka, Yashiro Motooka, Kotaro Sato, Yoshitaka Sekido, Kae Nakamura, Hiromasa Tanaka, Kenji Ishikawa, Hiroaki Kajiyama, Masaaki Mizuno, Masaru Hori, and Shinya Toyokuni
Free Radical Biology and Medicine 214 巻 頁: 28 - 41 2024年2月
-
Surface sulfurization of amorphous carbon films in the chemistry of oxygen plasma added with SO2 or OCS for high-aspect-ratio etching 査読有り Open Access
Kenji Ishikawa, Thi-Thuy-Nga Nguyen, Yuta Aoki, Hiroyasu Sato, Junichi Kawakami, Shuji Tsuno, Shin-Nan Hsiao, and Masaru Hori
Applied Surface Science 645 巻 頁: 158876 2024年2月
-
Oxygen radical irradiation transforms an organic fertilizer l-tryptophan into an environment and human-friendly bactericide 査読有り Open Access
Naoyuki Iwata, Kenji Ishikawa, Yasuhiro Nishikawa, Hiroyuki Kato, Motoyuki Shimizu, Masashi Kato, Hiromasa Tanaka, Masafumi Ito, and Masaru Hori
Environmental Technology and Innovation 33 巻 頁: 103496 2024年2月
-
Seiko Nakagawa, Akinari Yokoya, Maki Ohara, Noriko Usami, Mizue Asada, Motoyasu Fujiwara, Toshikazu Nakamura, and Kenji Ishikawa
Radiation Physics and Chemistry 214 巻 頁: 111304 2024年1月
-
Cold Atmospheric Pressure Plasma-Activated Liquids for Cancer Treatment 査読有り
Miron C., Hiromasa T., Britun N., Hashizume H., Ishikawa K., Du L., Yamakawa T., Kurebayashi Y., Kondo T., Kondo H., Kajiyama H., Toyokuni S., Mizuno M., Hori M.
IFMBE Proceedings 111 IFMBE 巻 頁: 150 - 162 2024年
-
Bias-supply timing tailored to the aspect ratio dependence of silicon trench etching in Ar plasma with alternately injected C4F8 and SF6 査読有り Open Access
Taito Yoshie, Kenji Ishikawa, Thi-Thuy-Nga Nguyen, Shih-Nan Hsiao, Takayoshi Tsutsumi, Makoto Sekine, and Masaru Hori
Applied Surface Science 638 巻 頁: 157981 2023年11月
-
Shih-Nan Hsiao, Makoto Sekine, Kenji Ishikawa, Yuki Iijima, Yoshinobu Ohya, and Masaru Hori
Applied Physics Letters 123 巻 ( 21 ) 頁: 1 - 4 2023年11月
-
Arun Kumar Dhasiyan, Swathy Jayaprasad, Frank Wilson Amalraj, Naohiro Shimizu, Osamu Oda, Kenji Ishikawa, and Masaru Hori
Japanese Journal of Applied Physics 62 巻 ( SN ) 頁: SN1019 2023年11月
-
Deposition of carbon-based materials directly on copper foil and nickel foam as 2D-and 3D-networked metal substrates by in-liquid plasma 査読有り Open Access
Ma. Shanlene D.C. Dela Vega, Thi-Thuy-Nga Nguyen, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, and Masaru Hori
Plasma Processes and Polymers 20 巻 ( 11 ) 頁: 1 - 5 2023年11月
-
低温プラズマおよびハイパーサーミア併用効果を用いたがん治療の可能性 招待有り 査読有り
近藤 隆, 橋爪 博司, 田中 宏昌, 石川 健治, 齋藤 淳一, 堀 勝
Thermal Medicine 39 巻 ( 3 ) 頁: 21 - 30 2023年9月
-
Development of an experimental system for cell viability assays of yeasts using gas-temperature controllable plasma jets 査読有り Open Access
Yoshimura, S; Otsubo, Y; Yamashita, A; Johzuka, K; Tsutsumi, T; Ishikawa, K; Hori, M
Japanese Journal of Applied Physics 62 巻 ( SL ) 2023年9月
-
Liu, Y; Ishikawa, K; Tanaka, H; Miron, C; Kondo, T; Nakamura, K; Mizuno, M; Kajiyama, H; Toyokuni, S; Hori, M
PLASMA PROCESSES AND POLYMERS 20 巻 ( 5 ) 2023年5月
-
Leukocyte Depletion and Size-Based Enrichment of Circulating Tumor Cells Using a Pressure-Sensing Microfiltration Device 査読有り Open Access
Onoshima, D; Hase, T; Kihara, N; Kuboyama, D; Tanaka, H; Ozawa, N; Yukawa, H; Sato, M; Ishikawa, K; Hasegawa, Y; Ishii, M; Hori, M; Baba, Y
ACS MEASUREMENT SCIENCE AU 3 巻 ( 2 ) 頁: 113 - 119 2023年4月
-
Hsiao, SN; Britun, N; Nguyen, TTN; Tsutsumi, T; Ishikawa, K; Sekine, M; Hori, M
VACUUM 210 巻 頁: 111863 - 111863 2023年4月
-
High-performance glass filters for capturing and culturing circulating tumor cells and cancer-associated fibroblasts 査読有り Open Access
Tanaka, H; Iwata, D; Shibata, Y; Hase, T; Onoshima, D; Yogo, N; Shibata, H; Sato, M; Ishikawa, K; Nagasawa, I; Hasegawa, Y; Ishii, M; Baba, Y; Hori, M
SCIENTIFIC REPORTS 13 巻 ( 1 ) 頁: 4130 2023年3月
-
Generation and measurement of low-temperature plasma for cancer therapy: a historical review 査読有り Open Access
Ishikawa, K; Takeda, K; Yoshimura, S; Kondo, T; Tanaka, H; Toyokuni, S; Nakamura, K; Kajiyama, H; Mizuno, M; Hori, M
FREE RADICAL RESEARCH 57 巻 ( 3 ) 頁: 239 - 270 2023年3月
-
Hashizume, H; Kitano, H; Mizuno, H; Abe, A; Yuasa, G; Tohno, S; Tanaka, H; Ishikawa, K; Matsumoto, S; Sakakibara, H; Hirosue, Y; Maeshima, M; Mizuno, M; Hori, M
FREE RADICAL RESEARCH 57 巻 ( 3 ) 頁: 161 - 173 2023年3月
-
Miron, C; Ishikawa, K; Kashiwagura, S; Suda, Y; Tanaka, H; Nakamura, K; Kajiyama, H; Toyokuni, S; Mizuno, M; Hori, M
FREE RADICAL RESEARCH 57 巻 ( 2 ) 頁: 91 - 104 2023年2月
-
Plasma-Assisted Priming: Improved Germination and Seedling Performance of Papaya 査読有り Open Access
Xi, DK; Yap, SL; Kumar, NN; Toh, CC; Ishikawa, K; Hori, M
SAINS MALAYSIANA 52 巻 ( 2 ) 頁: 599 - 611 2023年2月
-
Zheng, H; Jiang, L; Lyu, QY; Akatsuka, S; Motooka, Y; Sekido, Y; Nakamura, K; Tanaka, H; Ishikawa, K; Kajiyama, H; Mizuno, M; Hori, M; Toyokuni, S
CANCER SCIENCE 114 巻 頁: 749 - 749 2023年2月
-
Zheng, H; Jiang, L; Lyu, QY; Akatsuka, S; Motooka, Y; Sekido, Y; Nakamura, K; Tanaka, H; Ishikawa, K; Kajiyama, H; Mizuno, M; Hori, M; Toyokuni, S
CANCER SCIENCE 114 巻 頁: 1340 - 1340 2023年2月
-
Nakamura, S; Tanide, A; Kimura, T; Nadahara, S; Ishikawa, K; Oda, O; Hori, M
JOURNAL OF APPLIED PHYSICS 133 巻 ( 4 ) 2023年1月
-
Mechanical properties of maze-like carbon nanowalls synthesized by the radial injection plasma enhanced chemical vapor deposition method 査読有り Open Access
Ghodke, S; Murashima, M; Christy, D; Van Nong, N; Ishikawa, K; Oda, O; Umehara, N; Hori, M
MATERIALS SCIENCE AND ENGINEERING A-STRUCTURAL MATERIALS PROPERTIES MICROSTRUCTURE AND PROCESSING 862 巻 2023年1月
-
Effects of plasma-activated Ringer's lactate solution on cancer cells: evaluation of genotoxicity 査読有り Open Access
Liu, Y; Nakatsu, Y; Tanaka, H; Koga, K; Ishikawa, K; Shiratani, M; Hori, M
GENES AND ENVIRONMENT 45 巻 ( 1 ) 頁: 3 2023年1月
-
Editorial: Prospects of plasma generated species interaction with organic and inorganic materials 査読有り Open Access
Attri, P; Koga, K; Kurita, H; Ishikawa, K; Shiratani, M
FRONTIERS IN PHYSICS 10 巻 2023年1月
-
Plasma activated Ringer's lactate solution 査読有り
Tanaka, H; Mizuno, M; Ishikawa, K; Miron, C; Okazaki, Y; Toyokuni, S; Nakamura, K; Kajiyama, H; Hori, M
FREE RADICAL RESEARCH 57 巻 ( 1 ) 頁: 14 - 20 2023年1月
-
Biocompatibility of conformal silicon carbide on carbon nanowall scaffolds 査読有り Open Access
Ono, K; Koide, T; Ishikawa, K; Tanaka, H; Kondo, H; Sugawara-Narutaki, A; Jin, Y; Yasuhara, S; Hori, M; Takeuchi, W
JAPANESE JOURNAL OF APPLIED PHYSICS 62 巻 ( SA ) 2023年1月
-
Science-based, data-driven developments in plasma processing for material synthesis and device-integration technologies 査読有り Open Access
Kambara, M; Kawaguchi, S; Lee, HJ; Ikuse, K; Hamaguchi, S; Ohmori, T; Ishikawa, K
JAPANESE JOURNAL OF APPLIED PHYSICS 62 巻 ( SA ) 2023年1月
-
Effects of High-Quality Carbon Nanowalls Ionization-Assisting Substrates on Surface-Assisted Laser Desorption/Ionization Mass Spectrometry Performance 査読有り Open Access
Sakai, R; Kondo, H; Ishikawa, K; Ohta, T; Hiramatsu, M; Tanaka, H; Hori, M
NANOMATERIALS 13 巻 ( 1 ) 2023年1月
-
Selective isotropic atomic-layer etching of thin films by using dry chemical removal tool 査読有り
Shinoda K., Miyoshi N., Kobayashi H., Izawa M., Ishikawa K., Hori M.
Proceedings of SPIE - The International Society for Optical Engineering 12499 巻 2023年
-
低温大気圧プラズマによるフリーラジカル生成とその生物学的意義-放射線との比較- 査読有り
近藤 隆, 田中 宏昌, 石川 健治, 堀 勝
化学工業 74 巻 ( 2 ) 頁: 120 - 126 2023年
-
総説:プラズマなどで処理された水の多様性 査読有り
石川 健治
静電気学会誌 46 巻 頁: 209 2023年
-
Impact of microsecond-pulsed plasma-activated water on papaya seed germination and seedling growth 査読有り
Xi, DK; Zhang, XH; Yang, SZ; Yap, SS; Ishikawa, K; Hori, M; Yap, SL
CHINESE PHYSICS B 31 巻 ( 12 ) 2022年12月
-
Indoor Floor Heel Mark Removal Using Spark Discharges and Pressurized Airflow 査読有り Open Access
Sakamoto, Y; Tsutsumi, T; Tanaka, H; Ishikawa, K; Hashizume, H; Hori, M
COATINGS 12 巻 ( 12 ) 2022年12月
-
Dry etching of ternary metal carbide TiAlC via surface modification using floating wire-assisted vapor plasma 査読有り Open Access
Nguyen, TTN; Shinoda, K; Hamamura, H; Maeda, K; Yokogawa, K; Izawa, M; Ishikawa, K; Hori, M
SCIENTIFIC REPORTS 12 巻 ( 1 ) 頁: 20394 2022年11月
-
Inoue, K; Sakakibara, N; Goto, T; Ito, T; Shimizu, Y; Hakuta, Y; Ishikawa, K; Hori, M; Terashima, K
ACS APPLIED MATERIALS & INTERFACES 14 巻 ( 47 ) 頁: 53413 - 53420 2022年11月
-
Kurokawa, J; Kondo, H; Tsutsumi, T; Ishikawa, K; Sekine, M; Hori, M
VACUUM 205 巻 2022年11月
-
Hayashi, T; Ishikawa, K; Sekine, M; Hori, M; Lwayama, H
JAPANESE JOURNAL OF APPLIED PHYSICS 61 巻 ( 10 ) 2022年10月
-
In-liquid plasma synthesis of iron-nitrogen-doped carbon nanoflakes with high catalytic activity 査読有り
Kondo, H; Hamaji, R; Amano, T; Ishikawa, K; Sekine, M; Hiramatsu, M; Hori, M
PLASMA PROCESSES AND POLYMERS 19 巻 ( 8 ) 2022年8月
-
Akatsuka H., Kurihara K., Toyoda H., Karahashi K., Ishikawa K., Ichiki T., Eriguchi K., Kuboi N., Matsui M., Nunomura S.
Japanese Journal of Applied Physics 61 巻 ( SI ) 2022年7月
-
Sahu, BB; Nakane, K; Ishikawa, K; Sekine, M; Tsutsumi, T; Gohira, T; Ohya, Y; Ohno, N; Hori, M
PHYSICAL CHEMISTRY CHEMICAL PHYSICS 24 巻 ( 22 ) 頁: 13883 - 13896 2022年6月
-
Nguyen, TTN; Sasaki, M; Hsiao, SN; Tsutsumi, T; Ishikawa, K; Hori, M
PLASMA PROCESSES AND POLYMERS 19 巻 ( 6 ) 2022年6月
-
Ito, D; Iwata, N; Ishikawa, K; Nakamura, K; Hashizume, H; Miron, C; Tanaka, H; Kajiyama, H; Toyokuni, S; Mizuno, M; Hori, M
APPLIED PHYSICS EXPRESS 15 巻 ( 5 ) 2022年5月
-
Shinoda, K; Miyoshi, N; Kobayashi, H; Hanaoka, Y; Izawa, M; Ishikawa, K; Hori, M
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B 40 巻 ( 2 ) 2022年3月
-
Ichikawa, T; Ishikawa, K; Tanaka, H; Shimizu, N; Hori, M
AIP ADVANCES 12 巻 ( 2 ) 2022年2月
-
Enhancement of ethanol production and cell growth in budding yeast by direct irradiation of low-temperature plasma 査読有り Open Access
Tanaka, H; Matsumura, S; Ishikawa, K; Hashizume, H; Ito, M; Nakamura, K; Kajiyama, H; Kikkawa, F; Ito, M; Ohno, K; Okazaki, Y; Toyokuni, S; Mizuno, M; Hori, M
JAPANESE JOURNAL OF APPLIED PHYSICS 61 巻 ( SA ) 2022年1月
-
Towards prevention and prediction of infectious diseases with virus sterilization using ultraviolet light and low-temperature plasma and bio-sensing devices for health and hygiene care 査読有り 国際共著 Open Access
Kumagai, S; Nishigori, C; Takeuchi, T; Bruggeman, P; Takashima, K; Takahashi, H; Kaneko, T; Choi, EH; Nakazato, K; Kambara, M; Ishikawa, K
JAPANESE JOURNAL OF APPLIED PHYSICS 61 巻 ( SA ) 頁: SA0808 2022年1月
-
Perspectives on functional nitrogen science and plasma-based <i>in situ</i> functionalization 査読有り Open Access
Ishikawa, K
JAPANESE JOURNAL OF APPLIED PHYSICS 61 巻 ( SA ) 頁: SA0802 2022年1月
-
Functional nitrogen science based on plasma processing: quantum devices, photocatalysts and activation of plant defense and immune systems 査読有り 国際共著 Open Access
Kaneko, T; Kato, H; Yamada, H; Yamamoto, M; Yoshida, T; Attri, P; Koga, K; Murakami, T; Kuchitsu, K; Ando, S; Nishikawa, Y; Tomita, K; Ono, R; Ito, T; Ito, AM; Eriguchi, K; Nozaki, T; Tsutsumi, T; Ishikawa, K
JAPANESE JOURNAL OF APPLIED PHYSICS 61 巻 ( SA ) 頁: SA0805 2022年1月
-
Takeda, K; Ishikawa, K; Hori, M
REVIEWS OF MODERN PLASMA PHYSICS 6 巻 ( 1 ) 2022年
-
Hsiao S.N., Imai Y., Britrun N., Tsutsumi T., Ishikawa K., Sekine M., Hori M.
IEEE International Symposium on Semiconductor Manufacturing Conference Proceedings 2022-December 巻 2022年
-
On the Etching Mechanism of Highly Hydrogenated SiN Films by CF<sub>4</sub>/D<sub>2</sub> Plasma: Comparison with CF<sub>4</sub>/H<sub>2</sub> 査読有り Open Access
Hsiao, SN; Nguyen, TTN; Tsutsumi, T; Ishikawa, K; Sekine, M; Hori, M
COATINGS 11 巻 ( 12 ) 頁: 1535 2021年12月
-
プラズマがん治療 招待有り 査読有り
石川健治,堀勝
静電気学会誌 45 巻 ( 6 ) 頁: 206 - 212 2021年11月
-
Hsiao, SN; Britun, N; Nguyen, TTN; Tsutsumi, T; Ishikawa, K; Sekine, M; Hori, M
PLASMA PROCESSES AND POLYMERS 18 巻 ( 11 ) 頁: 2100078 2021年11月
-
Plasma-activated Ringer's lactate solution inhibits the cellular respiratory system in HeLa cells 査読有り
Tanaka, H; Maeda, S; Nakamura, K; Hashizume, H; Ishikawa, K; Ito, M; Ohno, K; Mizuno, M; Motooka, Y; Okazaki, Y; Toyokuni, S; Kajiyama, H; Kikkawa, F; Hori, M
PLASMA PROCESSES AND POLYMERS 18 巻 ( 10 ) 頁: 2100056 2021年10月
-
Low temperature plasma irradiation products of sodium lactate solution that induce cell death on U251SP glioblastoma cells were identified 査読有り Open Access
Tanaka, H; Hosoi, Y; Ishikawa, K; Yoshitake, J; Shibata, T; Uchida, K; Hashizume, H; Mizuno, M; Okazaki, Y; Toyokuni, S; Nakamura, K; Kajiyama, H; Kikkawa, F; Hori, M
SCIENTIFIC REPORTS 11 巻 ( 1 ) 頁: 18488 2021年9月
-
先端デバイス構造を実現する超絶ドライエッチング技術の最前線 おわりに 招待有り 査読有り
石川健治,竹田圭吾
プラズマ核融合学会誌 97 巻 ( 9 ) 頁: 534 - 536 2021年9月
-
Effects of free radicals generated by atmospheric pressure plasma on physical actions and biochemical relaxations (PACR) 招待有り 査読有り
石川健治, 橋爪博司, ミロンカメリア, 田中宏昌, 堀勝
放射線生物研究 56 巻 ( 3 ) 頁: 280 - 294 2021年9月
-
窒化物半導体プラズマエッチングにおける原子層反応制御と低ダメージプロセス 招待有り 査読有り
堤隆嘉,石川健治,近藤博基,関根誠,堀勝
プラズマ核融合学会誌 97 巻 ( 9 ) 頁: 517 - 521 2021年9月
-
先端デバイス構造を実現する超絶ドライエッチング技術の最前線 はじめに 招待有り 査読有り
石川健治,竹田圭吾
プラズマ核融合学会誌 97 巻 ( 9 ) 頁: 508 - 510 2021年9月
-
Novel Method of Rebound Tailing Pulse (RTP) for Water Dissociation 査読有り
Shimizu, N; Borude, RR; Tanaka, R; Ishikawa, K; Oda, O; Hosoe, H; Ino, S; Inoue, Y; Hori, M
IEEE TRANSACTIONS ON PLASMA SCIENCE 49 巻 ( 9 ) 頁: 2893 - 2900 2021年9月
-
Lysosomal nitric oxide determines transition from autophagy to ferroptosis after exposure to plasma-activated Ringer's lactate 査読有り Open Access
Jiang, L; Zheng, H; Lyu, QY; Hayashi, S; Sato, K; Sekido, Y; Nakamura, K; Tanaka, H; Ishikawa, K; Kajiyama, H; Mizuno, M; Hori, M; Toyokuni, S
REDOX BIOLOGY 43 巻 頁: 101989 2021年7月
-
Brain cell proliferation in adult rats after irradiation with nonequilibrium atmospheric pressure plasma 査読有り Open Access
Yamato, M; Tamura, Y; Tanaka, H; Ishikawa, K; Ikehara, Y; Hori, M; Kataoka, Y
APPLIED PHYSICS EXPRESS 14 巻 ( 6 ) 頁: 067002 2021年6月
-
Hydrogen peroxide in lactate solutions irradiated by non-equilibrium atmospheric pressure plasma 査読有り
Liu, Y; Ishikawa, K; Miron, C; Hashizume, H; Tanaka, H; Hori, M
PLASMA SOURCES SCIENCE & TECHNOLOGY 30 巻 ( 4 ) 2021年4月
-
Hsiao, SN; Nakane, K; Tsutsumi, T; Ishikawa, K; Sekine, M; Hori, M
APPLIED SURFACE SCIENCE 542 巻 頁: 148550 2021年3月
-
Hsiao, SN; Ishikawa, K; Hayashi, T; Ni, JW; Tsutsumi, T; Sekine, M; Hori, M
APPLIED SURFACE SCIENCE 541 巻 頁: 148439 2021年3月
-
FOREWORD Mechanistic understanding of cold atmospheric plasma applications 査読有り Open Access
Kurihara, K; Ishikawa, K; Takaki, K; Shiratani, M
JAPANESE JOURNAL OF APPLIED PHYSICS 60 巻 ( 2 ) 2021年2月
-
Yokoyama, N; Sivakumar, T; Ikehara, S; Akimoto, Y; Yamaguchi, T; Wakai, K; Ishikawa, K; Hori, M; Shimizu, T; Sakakita, H; Ikehara, Y
JAPANESE JOURNAL OF APPLIED PHYSICS 60 巻 ( 2 ) 頁: 020601 2021年2月
-
Sakai, R; Ichikawa, T; Kondo, H; Ishikawa, K; Shimizu, N; Ohta, T; Hiramatsu, M; Hori, M
NANOMATERIALS 11 巻 ( 2 ) 頁: 1 - 11 2021年2月
-
Impact of seed color and storage time on the radish seed germination and sprout growth in plasma agriculture 査読有り 国際共著 Open Access
Attri, P; Ishikawa, K; Okumura, T; Koga, K; Shiratani, M; Mildaziene, V
SCIENTIFIC REPORTS 11 巻 ( 1 ) 頁: 2539 2021年1月
-
Yoshimura S., Otsubo Y., Yamashita A., Ishikawa K.
Japanese Journal of Applied Physics 60 巻 ( 1 ) 頁: 010502 2021年1月
-
Miyoshi Y., Ishikawa K., Sekine M., Hori M., Tatsumi T.
Japanese Journal of Applied Physics 60 巻 ( 1 ) 頁: 010906 2021年1月
-
Hashizume, H; Kitano, H; Mizuno, H; Abe, A; Yuasa, G; Tohno, S; Tanaka, H; Ishikawa, K; Matsumoto, S; Sakakibara, H; Nikawa, S; Maeshima, M; Mizuno, M; Hori, M
PLASMA PROCESSES AND POLYMERS 18 巻 ( 1 ) 頁: 2000181 2021年1月
-
Cancer Treatments Using Low-Temperature Plasma 査読有り
Tanaka, H; Mizuno, M; Ishikawa, K; Toyokuni, S; Kajiyama, H; Kikkawa, F; Hori, M
CURRENT MEDICINAL CHEMISTRY 28 巻 ( 41 ) 頁: 8549 - 8558 2021年
-
Sato Y., Ishikawa K., Tsutsumi T., Ui A., Akita M., Oka S., Hori M.
47th EPS Conference on Plasma Physics, EPS 2021 2021-June 巻 頁: 45 - 48 2021年
-
Reaction science of layer-by-layer thinning of graphene with oxygen neutrals at room temperature 査読有り
Sugiura, H; Kondo, H; Higuchi, K; Arai, S; Hamaji, R; Tsutsumi, T; Ishikawa, K; Hori, M
CARBON 170 巻 頁: 93 - 99 2020年12月
-
Amalraj, FW; Shimizu, N; Oda, O; Ishikawa, K; Hori, M
JOURNAL OF CRYSTAL GROWTH 549 巻 頁: 125863 2020年11月
-
Sato, Y; Katsuno, K; Odaka, H; Imajyo, N; Ishikawa, K; Hori, M
JOURNAL OF PHYSICS D-APPLIED PHYSICS 53 巻 ( 43 ) 頁: 534302 2020年10月
-
Formation of spherical Sn particles by reducing SnO<sub>2</sub> film in floating wire-assisted H<sub>2</sub>/Ar plasma at atmospheric pressure 査読有り Open Access
Nguyen, TTN; Sasaki, M; Tsutsumi, T; Ishikawa, K; Hori, M
SCIENTIFIC REPORTS 10 巻 ( 1 ) 頁: 17770 2020年10月
-
Roles of Atomic Nitrogen/Hydrogen in GaN Film Growth by Chemically Assisted Sputtering with Dual Plasma Sources 査読有り Open Access
Tanide, A; Nakamura, S; Horikoshi, A; Takatsuji, S; Kimura, T; Kinose, K; Nadahara, S; Nishikawa, M; Ebe, A; Ishikawa, K; Oda, O; Hori, M
ACS OMEGA 5 巻 ( 41 ) 頁: 26776 - 26785 2020年10月
-
Laser-induced-plasma-activated medium enables killing of HeLa cells 査読有り
Kurokawa Y., Takeda K., Ishikawa K., Tanaka H., Hori M.
Applied Physics Express 13 巻 ( 10 ) 2020年10月
-
Nakamura, K; Yoshikawa, N; Yoshihara, M; Ikeda, Y; Higashida, A; Niwa, A; Jindo, T; Tanaka, H; Ishikawa, K; Mizuno, M; Toyokuni, S; Hori, M; Kikkawa, F; Kajiyama, H
PLASMA PROCESSES AND POLYMERS 17 巻 ( 10 ) 頁: 1900259 2020年10月
-
Jawaid, P; Rehman, MU; Zhao, QL; Misawa, M; Ishikawa, K; Hori, M; Shimizu, T; Saitoh, J; Noguchi, K; Kondo, T
CELL DEATH DISCOVERY 6 巻 ( 1 ) 頁: 83 2020年9月
-
Numerical analysis of coaxial dielectric barrier helium discharges: three-stage mode transitions and internal bullet propagation 査読有り Open Access
Sato, Y; Ishikawa, K; Tsutsumi, T; Hori, M
APPLIED PHYSICS EXPRESS 13 巻 ( 8 ) 頁: 086001 2020年8月
-
Plasma Agriculture from Laboratory to Farm: A Review 査読有り Open Access
Attri, P; Ishikawa, K; Okumura, T; Koga, K; Shiratani, M
PROCESSES 8 巻 ( 8 ) 頁: 1002 2020年8月
-
Ishikawa, K; Hosoi, Y; Tanaka, H; Jiang, L; Toyokuni, S; Nakamura, K; Kajiyama, H; Kikkawa, F; Mizuno, M; Hori, M
ARCHIVES OF BIOCHEMISTRY AND BIOPHYSICS 688 巻 頁: 108414 2020年7月
-
Hasegawa, M; Tsutsumi, T; Tanide, A; Nakamura, S; Kondo, H; Ishikawa, K; Sekine, M; Hori, M
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A 38 巻 ( 4 ) 頁: 042602 2020年7月
-
Sato, Y; Ishikawa, K; Tsutsumi, T; Ui, A; Akita, M; Oka, S; Hori, M
JOURNAL OF PHYSICS D-APPLIED PHYSICS 53 巻 ( 26 ) 頁: 265204 2020年6月
-
In-plane modification of hexagonal boron nitride particles via plasma in solution 査読有り
Ito, T; Goto, T; Inoue, K; Ishikawa, K; Kondo, H; Hori, M; Shimizu, Y; Hakuta, Y; Terashima, K
APPLIED PHYSICS EXPRESS 13 巻 ( 6 ) 頁: 066001 2020年6月
-
Jia, FD; Wu, Y; Min, Q; Su, MG; Takeda, K; Ishikawa, K; Kondo, H; Sekine, M; Hori, M; Zhong, ZP
PLASMA SCIENCE & TECHNOLOGY 22 巻 ( 6 ) 頁: 065404 2020年6月
-
Electronic properties and primary dissociation channels of fluoromethane compounds 査読有り
Hayashi, T; Ishikawa, K; Sekine, M; Hori, M
JAPANESE JOURNAL OF APPLIED PHYSICS 59 巻 ( SJ ) 頁: SJJE02 2020年6月
-
Barba, FJ; Roohinejad, S; Ishikawa, K; Leong, SY; Bekhit, AEA; Saraiva, JA; Lebovka, N
TRENDS IN FOOD SCIENCE & TECHNOLOGY 100 巻 頁: 77 - 87 2020年6月
-
Dry Process FOREWORD 査読有り
Shirafuji, T; Kinoshita, K; Akatsuka, H; Eriguchi, K; Ichikawa, T; Ichiki, T; Ishijima, T; Ishikawa, K; Karahashi, K; Kurihara, K; Sekine, M
JAPANESE JOURNAL OF APPLIED PHYSICS 59 巻 ( SJ ) 2020年6月
-
Ichikawa, T; Shimizu, N; Ishikawa, K; Hiramatsu, M; Hori, M
CARBON 161 巻 頁: 403 - 412 2020年5月
-
Sugiura, H; Ohashi, Y; Ishikawa, K; Kondo, H; Kato, T; Kaneko, T; Takeda, K; Tsutsumi, T; Hayashi, T; Sekine, M; Hori, M
DIAMOND AND RELATED MATERIALS 104 巻 2020年4月
-
In-Liquid Plasma Synthesis of Nanographene with a Mixture of Methanol and 1-Butanol 査読有り
Ando, A; Ishikawa, K; Takeda, K; Ohta, T; Ito, M; Hiramatsu, M; Kondo, H; Sekine, M; Hori, M
CHEMNANOMAT 6 巻 ( 4 ) 頁: 604 - 609 2020年4月
-
Interaction of oxygen with polystyrene and polyethylene polymer films: A mechanistic study 査読有り 国際共著
Fukunaga, Y; Longo, RC; Ventzek, PLG; Lane, B; Ranjan, A; Hwang, GS; Hartmann, G; Tsutsumi, T; Ishikawa, K; Kondo, H; Sekine, M; Hori, M
JOURNAL OF APPLIED PHYSICS 127 巻 ( 2 ) 2020年1月
-
Inactivation mechanism of fungal spores through oxygen radicals in atmospheric-pressure plasma 査読有り
Ito M., Hashizume H., Oh J.S., Ishikawa K., Ohta T., Hori M.
Japanese Journal of Applied Physics 60 巻 ( 1 ) 頁: 010503 2020年
-
Kuboyama D., Onoshima D., Kihara N., Tanaka H., Hase T., Yukawa H., Ishikawa K., Odaka H., Hasegawa Y., Hori M., Baba Y.
21st International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2017 頁: 882 - 883 2020年
-
Onoshima D., Yukawa H., Hattori Y., Ishikawa K., Hori M., Baba Y.
21st International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2017 頁: 679 - 680 2020年
-
Hsiao, SN; Nguyen, TTN; Tsutsumi, T; Ishikawa, K; Sekine, M; Hori, M
2020 INTERNATIONAL SYMPOSIUM ON SEMICONDUCTOR MANUFACTURING (ISSM) 2020-December 巻 2020年
-
Shinoda, K; Miyoshi, N; Kobayashi, H; Izawa, M; Ishikawa, K; Hori, M
JOURNAL OF PHYSICS D-APPLIED PHYSICS 52 巻 ( 47 ) 2019年11月
-
Isobe, Y; Sakai, T; Suguro, K; Miyashita, N; Kondo, H; Ishikawa, K; Wilson, AF; Shimizu, N; Oda, O; Sekine, M; Hori, M
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B 37 巻 ( 6 ) 2019年11月
-
Oxidative stress-dependent and -independent death of glioblastoma cells induced by non-thermal plasma-exposed solutions 査読有り Open Access
Tanaka, H; Mizuno, M; Katsumata, Y; Ishikawa, K; Kondo, H; Hashizume, H; Okazaki, Y; Toyokuni, S; Nakamura, K; Yoshikawa, N; Kajiyama, H; Kikkawa, F; Hori, M
SCIENTIFIC REPORTS 9 巻 ( 1 ) 頁: 13657 2019年9月
-
Ohta, T; Ito, H; Ishikawa, K; Kondo, H; Hiramatsu, M; Hori, M
C-JOURNAL OF CARBON RESEARCH 5 巻 ( 3 ) 2019年9月
-
Shinoda, K; Miyoshi, N; Kobayashi, H; Izawa, M; Saeki, T; Ishikawa, K; Hori, M
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A 37 巻 ( 5 ) 2019年9月
-
Miyajima, H; Ishikawa, K; Sekine, M; Hori, M
PLASMA PROCESSES AND POLYMERS 16 巻 ( 9 ) 2019年9月
-
Iwata, N; Gamaleev, V; Hashizume, H; Oh, JS; Ohta, T; Ishikawa, K; Hori, M; Ito, M
PLASMA PROCESSES AND POLYMERS 16 巻 ( 8 ) 2019年8月
-
Ichikawa T., Kondo H., Ishikawa K., Tsutsumi T., Tanaka H., Sekine M., Hori M.
ACS Applied Bio Materials 2 巻 ( 7 ) 頁: 2698 - 2702 2019年7月
-
Rethinking surface reactions in nanoscale dry processes toward atomic precision and beyond: a physics and chemistry perspective 査読有り 国際共著 Open Access
Ishikawa, K; Ishijima, T; Shirafuji, T; Armini, S; Despiau-Pujo, E; Gottscho, RA; Kanarik, KJ; Leusink, GJ; Marchack, N; Murayama, T; Morikawa, Y; Oehrlein, GS; Park, S; Hayashi, H; Kinoshita, K
JAPANESE JOURNAL OF APPLIED PHYSICS 58 巻 ( SE ) 2019年6月
-
Yamaoka, S; Kondo, H; Hashizume, H; Ishikawa, K; Tanaka, H; Hori, M
APPLIED PHYSICS EXPRESS 12 巻 ( 6 ) 2019年6月
-
Progress and perspectives in dry processes for emerging multidisciplinary applications: how can we improve our use of dry processes? 査読有り Open Access
Iwase, T; Kamaji, Y; Kang, SY; Koga, K; Kuboi, N; Nakamura, M; Negishi, N; Nozaki, T; Nunomura, S; Ogawa, D; Omura, M; Shimizu, T; Shinoda, K; Sonoda, Y; Suzuki, H; Takahashi, K; Tsutsumi, T; Yoshikawa, K; Ishijima, T; Ishikawa, K
JAPANESE JOURNAL OF APPLIED PHYSICS 58 巻 ( SE ) 2019年6月
-
Iwase, T; Kamaji, Y; Kang, SY; Koga, K; Kuboi, N; Nakamura, M; Negishi, N; Nozaki, T; Nunomura, S; Ogawa, D; Omura, M; Shimizu, T; Shinoda, K; Sonoda, Y; Suzuki, H; Takahashi, K; Tsutsumi, T; Yoshikawa, K; Ishijima, T; Ishikawa, K
JAPANESE JOURNAL OF APPLIED PHYSICS 58 巻 ( SE ) 2019年6月
-
Iwase, T; Kamaji, Y; Kang, SY; Koga, K; Kuboi, N; Nakamura, M; Negishi, N; Nozaki, T; Nunomura, S; Ogawa, D; Omura, M; Shimizu, T; Shinoda, K; Sonoda, Y; Suzuki, H; Takahashi, K; Tsutsumi, T; Yoshikawa, K; Ishijima, T; Ishikawa, K
JAPANESE JOURNAL OF APPLIED PHYSICS 58 巻 ( SE ) 2019年6月
-
Electronic properties and primarily dissociation channels of fluoroethane compounds 査読有り
Hayashi, T; Ishikawa, K; Sekine, M; Hori, M
JAPANESE JOURNAL OF APPLIED PHYSICS 58 巻 ( SE ) 2019年6月
-
Zhang, Y; Ishikawa, K; Mozetic, M; Tsutsumi, T; Kondo, H; Sekine, M; Hori, M
PLASMA PROCESSES AND POLYMERS 16 巻 ( 6 ) 2019年6月
-
Laser-drilling formation of through-glass-via (TGV) on polymer-laminated glass 査読有り
Sato, Y; Imajyo, N; Ishikawa, K; Tummala, R; Hori, M
JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS 30 巻 ( 11 ) 頁: 10183 - 10190 2019年6月
-
Isobe, Y; Sakai, T; Sugiyama, N; Mizushima, I; Suguro, K; Miyashita, N; Lu, Y; Wilson, AF; Kumar, DA; Ikarashi, N; Kondo, H; Ishikawa, K; Shimizu, N; Oda, O; Sekine, M; Hori, M
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B 37 巻 ( 3 ) 2019年5月
-
Miyajima H., Masuda H., Watanabe K., Ishikawa K., Sekine M., Hori M.
Micro and Nano Engineering 3 巻 頁: 92 - 92 2019年5月
-
Chemical bonding structure in porous SiOC films (k < 2.4) with high plasma-induced damage resistance 査読有り Open Access
Miyajima H., Masuda H., Watanabe K., Ishikawa K., Sekine M., Hori M.
Micro and Nano Engineering 3 巻 頁: 1 - 6 2019年5月
-
Borude, RR; Sugiura, H; Ishikawa, K; Tsutsumi, T; Kondo, H; Hori, M
JOURNAL OF PHYSICS D-APPLIED PHYSICS 52 巻 ( 17 ) 2019年4月
-
Takeda, K; Yamada, H; Ishikawa, K; Sakakita, H; Kim, J; Ueda, M; Ikeda, J; Akimoto, Y; Kataoka, Y; Yokoyama, N; Ikehara, Y; Hori, M
JOURNAL OF PHYSICS D-APPLIED PHYSICS 52 巻 ( 16 ) 2019年4月
-
Niitsu, K; Nakanishi, T; Murakami, S; Matsunaga, M; Kobayashi, A; Karim, NM; Ito, J; Ozawa, N; Hase, T; Tanaka, H; Sato, M; Kondo, H; Ishikawa, K; Odaka, H; Hasegawa, Y; Hori, M; Nakazato, K
IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS 13 巻 ( 2 ) 頁: 470 - 479 2019年4月
-
Imai, S; Naito, K; Kondo, H; Cho, HJ; Ishikawa, K; Tsutsumi, T; Sekine, M; Hiramatsu, M; Hori, M
JOURNAL OF PHYSICS D-APPLIED PHYSICS 52 巻 ( 10 ) 2019年3月
-
Sugiura, H; Jia, LY; Ohashi, Y; Kondo, H; Ishikawa, K; Tsutsumi, T; Hayashi, T; Takeda, K; Sekine, M; Hori, M
JAPANESE JOURNAL OF APPLIED PHYSICS 58 巻 ( 3 ) 2019年3月
-
Tanide, A; Nakamura, S; Horikoshi, A; Takatsuji, S; Kohno, M; Kinose, K; Nadahara, S; Ishikawa, K; Sekine, M; Hori, M
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B 37 巻 ( 2 ) 2019年3月
-
Sugiura, H; Kondo, H; Tsutsumi, T; Ishikawa, K; Hori, M
C-JOURNAL OF CARBON RESEARCH 5 巻 ( 1 ) 2019年3月
-
Tomatsu, M; Hiramatsu, M; Kondo, H; Ishikawa, K; Tsutsumi, T; Sekine, M; Hori, M
C-JOURNAL OF CARBON RESEARCH 5 巻 ( 1 ) 2019年3月
-
Kurake, N; Ishikawa, K; Tanaka, H; Hashizume, H; Nakamura, K; Kajiyama, H; Toyokuni, S; Kikkawa, F; Mizuno, M; Hori, M
ARCHIVES OF BIOCHEMISTRY AND BIOPHYSICS 662 巻 頁: 83 - 92 2019年2月
-
Nguyen, TTN; Sasaki, M; Odaka, H; Tsutsumi, T; Ishikawa, K; Hori, M
JOURNAL OF APPLIED PHYSICS 125 巻 ( 6 ) 2019年2月
-
Liquid dynamics in response to an impinging low-temperature plasma jet 査読有り 国際共著
Brubaker, TR; Ishikawa, K; Kondo, H; Tsutsumi, T; Hashizume, H; Tanaka, H; Knecht, SD; Bilén, SG; Hori, M
JOURNAL OF PHYSICS D-APPLIED PHYSICS 52 巻 ( 7 ) 2019年2月
-
Ichikawa, T; Tanaka, S; Kondo, H; Ishikawa, K; Tsutsumi, T; Sekine, M; Hori, M
APPLIED PHYSICS EXPRESS 12 巻 ( 2 ) 2019年2月
-
Miyajima, H; Watanabe, K; Ishikawa, K; Sekine, M; Hori, M
JAPANESE JOURNAL OF APPLIED PHYSICS 58 巻 ( 2 ) 2019年2月
-
Fukunaga, Y; Tsutsumi, T; Kondo, H; Ishikawa, K; Sekine, M; Hori, M
JAPANESE JOURNAL OF APPLIED PHYSICS 58 巻 ( 2 ) 2019年2月
-
Tanide, A; Nakamura, S; Horikoshi, A; Takatsuji, S; Kohno, M; Kinose, K; Nadahara, S; Nishikawa, M; Ebe, A; Ishikawa, K; Hori, M
JAPANESE JOURNAL OF APPLIED PHYSICS 58 巻 ( SA ) 2019年2月
-
Borude, RR; Sugiura, H; Ishikawa, K; Tsutsumi, T; Kondo, H; Han, JG; Hori, M
JAPANESE JOURNAL OF APPLIED PHYSICS 58 巻 ( SA ) 2019年2月
-
Borude, RR; Sugiura, H; Ishikawa, K; Tsutsumi, T; Kondo, H; Ikarashi, N; Hori, M
ACS APPLIED NANO MATERIALS 2 巻 ( 2 ) 頁: 649 - 654 2019年2月
-
Imai, S; Kondo, H; Hyungjun, C; Ishikawa, K; Tsutsumi, T; Sekine, M; Hiramatsu, M; Hori, M
APPLIED PHYSICS EXPRESS 12 巻 ( 1 ) 2019年1月
-
Batch Fabrication of Nano-Gap Electrode Array Using Photo-Patterning and Resist UV-Curing
Nguyen Hai Minh, Kumeuchi Mako, Kumagai Shinya, Ishikawa Kenji, Hori Masaru, Sasaki Minoru
IEEJ Transactions on Sensors and Micromachines 139 巻 ( 1 ) 頁: 27 - 28 2019年1月
-
Molecular mechanisms of non-thermal plasmainduced effects in cancer cells 査読有り
Tanaka, H; Mizuno, M; Ishikawa, K; Toyokuni, S; Kajiyama, H; Kikkawa, F; Hori, M
BIOLOGICAL CHEMISTRY 400 巻 ( 1 ) 頁: 87 - 91 2019年1月
-
Single-cell microscopic raman spectroscopy for rapid microbial detection 査読有り
Onoshima D., Uchida K., Yukawa H., Ishikawa K., Hori M., Baba Y.
23rd International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2019 頁: 1374 - 1375 2019年
-
Amalraj, FW; Dhasiyan, AK; Lu, Y; Shimizu, N; Oda, O; Ishikawa, K; Kondo, H; Sekine, M; Ikarashi, N; Hori, M
AIP ADVANCES 8 巻 ( 11 ) 2018年11月
-
Zhang, Y; Imamura, M; Ishikawa, K; Tsutsumi, T; Kondo, H; Sekine, M; Hori, M
JAPANESE JOURNAL OF APPLIED PHYSICS 57 巻 ( 10 ) 2018年10月
-
Kako, T; Liu, ZC; Ishikawa, K; Kondo, H; Oda, O; Sekine, M; Hori, M
VACUUM 156 巻 頁: 219 - 223 2018年10月
-
Cytotoxic effects of plasma-irradiated fullerenol 査読有り
Kanno, D; Tanaka, H; Ishikawa, K; Hashizume, H; Hori, M
JOURNAL OF PHYSICS D-APPLIED PHYSICS 51 巻 ( 37 ) 2018年9月
-
大気圧プラズマ処理による異種材料接合 招待有り 査読有り
近藤 博基, 堤 隆嘉, 石川健治, 関根 誠, 堀 勝
化学工学 82 巻 ( 9 ) 2018年9月
-
New hopes for plasma-based cancer treatment 査読有り
Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Shinya Toyokuni, Hiroaki Kajiyama, Fumitaka Kikkawa, and Masaru Hori
Plasma 1 巻 ( 1 ) 頁: 150 - 155 2018年9月
-
Real-time control of a wafer temperature for uniform plasma process 査読有り
Tsutsumi T., Fuknaga Y., Ishikawa K., Kondo H., Sekine M., Hori M.
IEEE International Symposium on Semiconductor Manufacturing Conference Proceedings 2018-December 巻 2018年7月
-
Dissociative properties of 1,1,1,2-tetrafluoroethane obtained by computational chemistry 査読有り
Hayashi, T; Ishikawa, K; Sekine, M; Hori, M
JAPANESE JOURNAL OF APPLIED PHYSICS 57 巻 ( 6 ) 2018年6月
-
Liu, ZC; Ishikawa, K; Imamura, M; Tsutsumi, T; Kondo, H; Oda, O; Sekine, M; Hori, M
JAPANESE JOURNAL OF APPLIED PHYSICS 57 巻 ( 6 ) 2018年6月
-
Progress in nanoscale dry processes for fabrication of high-aspect-ratio features: How can we control critical dimension uniformity at the bottom? 査読有り 国際共著 Open Access
Ishikawa, K; Karahashi, K; Ishijima, T; Cho, SI; Elliott, S; Hausmann, D; Mocuta, D; Wilson, A; Kinoshita, K
JAPANESE JOURNAL OF APPLIED PHYSICS 57 巻 ( 6 ) 2018年6月
-
Low-autofluorescence fluoropolymer membrane filters for cell filtration 査読有り Open Access
Kihara, N; Kuboyama, D; Onoshima, D; Ishikawa, K; Tanaka, H; Ozawa, N; Hase, T; Koguchi, R; Yukawa, H; Odaka, H; Hasegawa, Y; Baba, Y; Hori, M
JAPANESE JOURNAL OF APPLIED PHYSICS 57 巻 ( 6 ) 2018年6月
-
Impact of helium pressure in arc plasma synthesis on crystallinity of single-walled carbon nanotubes 査読有り
Ando, A; Takeda, K; Ohta, T; Ito, M; Hiramatsu, M; Ishikawa, K; Kondo, H; Sekine, M; Suzuki, T; Inoue, S; Ando, Y; Hori, M
JAPANESE JOURNAL OF APPLIED PHYSICS 57 巻 ( 6 ) 2018年6月
-
Sugiura, H; Jia, LY; Kondo, H; Ishikawa, K; Tsutsumi, T; Hayashi, T; Takeda, K; Sekine, M; Hori, M
JAPANESE JOURNAL OF APPLIED PHYSICS 57 巻 ( 6 ) 2018年6月
-
Dry Process FOREWORD 査読有り
Karahashi, K; Kinoshita, K; Higashi, S; Ishikawa, K; Ishijima, T; Kuboi, N
JAPANESE JOURNAL OF APPLIED PHYSICS 57 巻 ( 6 ) 2018年6月
-
Amano, T; Kondo, H; Takeda, K; Ishikawa, K; Hiramatsu, M; Sekine, M; Hori, M
JAPANESE JOURNAL OF APPLIED PHYSICS 57 巻 ( 4 ) 2018年4月
-
Amano, T; Kondo, H; Takeda, K; Ishikawa, K; Hiramatsu, M; Sekine, M; Hori, M
JAPANESE JOURNAL OF APPLIED PHYSICS 57 巻 ( 4 ) 2018年4月
-
Takahashi, Y; Taki, Y; Takeda, K; Hashizume, H; Tanaka, H; Ishikawa, K; Hori, M
JOURNAL OF PHYSICS D-APPLIED PHYSICS 51 巻 ( 11 ) 2018年3月
-
Uchiyama, H; Ishikawa, K; Zhao, QL; Andocs, G; Nojima, N; Takeda, K; Krishna, MC; Ishijima, T; Matsuya, Y; Hori, M; Noguchi, K; Kondo, T
JOURNAL OF PHYSICS D-APPLIED PHYSICS 51 巻 ( 9 ) 2018年3月
-
Kihara, N; Odaka, H; Kuboyama, D; Onoshima, D; Ishikawa, K; Baba, Y; Hori, M
JAPANESE JOURNAL OF APPLIED PHYSICS 57 巻 ( 3 ) 2018年3月
-
Takahashi, Y; Taki, Y; Takeda, K; Hashizume, H; Tanaka, H; Ishikawa, K; Hori, M
PLASMA PROCESSES AND POLYMERS 15 巻 ( 3 ) 2018年3月
-
Nanographene synthesis employing in-liquid plasmas with alcohols or hydrocarbons 査読有り
Ando, A; Ishikawa, K; Kondo, H; Tsutsumi, T; Takeda, K; Ohta, T; Ito, M; Hiramatsu, M; Sekine, M; Hori, M
JAPANESE JOURNAL OF APPLIED PHYSICS 57 巻 ( 2 ) 2018年2月
-
Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials FOREWORD 査読有り
Naritsuka, S; Miyazaki, S; Fujiwara, Y; Hiramatsu, M; Inoue, Y; Ishikawa, K; Ito, M; Itoh, T; Kasu, M; Miyake, H; Sasaki, M; Shirafuji, T; Suda, Y
JAPANESE JOURNAL OF APPLIED PHYSICS 57 巻 ( 1 ) 2018年1月
-
Uchida, G; Takenaka, K; Takeda, K; Ishikawa, K; Hori, M; Setsuhara, Y
JAPANESE JOURNAL OF APPLIED PHYSICS 57 巻 ( 1 ) 2018年1月
-
Amano, T; Kondo, H; Ishikawa, K; Tsutsumi, T; Takeda, K; Hiramatsu, M; Sekine, M; Hori, M
APPLIED PHYSICS EXPRESS 11 巻 ( 1 ) 2018年1月
-
Plasma-activated medium (PAM) kills human cancer-initiating cells 査読有り Open Access
Ikeda, J; Tanaka, H; Ishikawa, K; Sakakita, H; Ikehara, Y; Hori, M
PATHOLOGY INTERNATIONAL 68 巻 ( 1 ) 頁: 23 - 30 2018年1月
-
Electron impact ionization of perfluoro-methyl-vinyl-ether C<sub>3</sub>F<sub>6</sub>O 査読有り
Kondo, Y; Ishikawa, K; Hayashi, T; Sekine, M; Hori, M
PLASMA SOURCES SCIENCE & TECHNOLOGY 27 巻 ( 1 ) 2018年1月
-
Cell Deposition Microchip with Micropipette Control over Liquid Interface Motion. 査読有り
Onoshima D, Hattori Y, Yukawa H, Ishikawa K, Hori M, Baba Y
Cell medicine 10 巻 頁: 2155179017733152 2018年
-
Isotropic atomic level etching of tungsten using formation and desorption of tungsten fluoride 査読有り
Shinoda, K; Miyoshi, N; Kobayashi, H; Hanaoka, Y; Kawamura, K; Izawa, M; Ishikawa, K; Hori, M
ADVANCED ETCH TECHNOLOGY FOR NANOPATTERNING VII 10589 巻 2018年
-
Glioblastoma Cell Lines Display Different Sensitivities to Plasma-Activated Medium 査読有り
Tanaka H., Mizuno M., Ishikawa K., Takeda K., Hashizume H., Nakamura K., Utsumi F., Kajiyama H., Okazaki Y., Toyokuni S., Akiyama S., Maruyama S., Kikkawa F., Hori M.
IEEE Transactions on Radiation and Plasma Medical Sciences 2 巻 ( 2 ) 頁: 99 - 102 2018年
-
Onoshima D., Kuboyama D., Kihara N., Tanaka H., Hase T., Yukawa H., Ishikawa K., Odaka H., Hasegawa Y., Hori M., Baba Y.
22nd International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2018 3 巻 頁: 1291 - 1293 2018年
-
Exosome isolation toward cancer diagnosis using glass filter with nanoporous structure 査読有り
Aoki K., Yukawa H., Onoshima D., Yamazaki S., Kihara N., Koguchi R., Takahashi K., Odaka H., Ishikawa K., Hori M., Baba Y.
22nd International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2018 3 巻 頁: 1409 - 1410 2018年
-
Brubaker, TR; Ishikawa, K; Takeda, K; Oh, JS; Kondo, H; Hashizume, H; Tanaka, H; Knecht, SD; Bilén, SG; Hori, M
JOURNAL OF APPLIED PHYSICS 122 巻 ( 21 ) 2017年12月
-
Zhang, Y; Takeuchi, T; Ishikawa, K; Hayashi, T; Takeda, K; Sekine, M; Hori, M
JAPANESE JOURNAL OF APPLIED PHYSICS 56 巻 ( 12 ) 2017年12月
-
State of the art in medical applications using non-thermal atmospheric pressure plasma 査読有り 国際共著
Tanaka H., Ishikawa K., Mizuno M., Toyokuni S., Kajiyama H., Kikkawa F., Metelmann H.R., Hori M.
Reviews of Modern Plasma Physics 1 巻 ( 1 ) 2017年12月
-
Furuta, R; Kurake, N; Ishikawa, K; Takeda, K; Hashizume, H; Tanaka, H; Kondo, H; Sekine, M; Hori, M
PLASMA PROCESSES AND POLYMERS 14 巻 ( 11 ) 2017年11月
-
Surface roughening of photoresist after change of the photon/radical and ion treatment sequence 査読有り
Zhang, Y; Takeuchi, T; Ishikawa, K; Takeda, K; Kondo, H; Sekine, M; Hori, M
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A 35 巻 ( 6 ) 2017年11月
-
最先端プラズマバイオ技術 招待有り 査読有り
堀勝,石川健治,近藤隆,田中宏昌,橋爪博司
放射線化学 頁: 3 - 14 2017年10月
-
Kurake, N; Tanaka, H; Ishikawa, K; Nakamura, K; Kajiyama, H; Kikkawa, F; Mizuno, M; Ikehara, Y; Hori, M
JOURNAL OF APPLIED PHYSICS 122 巻 ( 14 ) 2017年10月
-
Imai, S; Kondo, H; Cho, H; Kano, H; Ishikawa, K; Sekine, M; Hiramatsu, M; Ito, M; Hori, M
JOURNAL OF PHYSICS D-APPLIED PHYSICS 50 巻 ( 40 ) 2017年10月
-
Cold atmospheric helium plasma causes synergistic enhancement in cell death with hyperthermia and an additive enhancement with radiation 査読有り Open Access
Moniruzzaman, R; Rehman, MU; Zhao, QL; Jawaid, P; Takeda, K; Ishikawa, K; Hori, M; Tomihara, K; Noguchi, K; Kondo, T; Noguchi, M
SCIENTIFIC REPORTS 7 巻 ( 1 ) 頁: 11659 2017年9月
-
Furuta, R; Kurake, N; Takeda, K; Ishikawa, K; Ohta, T; Ito, M; Hashizume, H; Tanaka, H; Kondo, H; Sekine, M; Hori, M
BIOINTERPHASES 12 巻 ( 3 ) 頁: 031006 2017年9月
-
Thermally enhanced formation of photon-induced damage on GaN films in Cl<inf>2</inf> plasma 査読有り
Liu Z., Asano A., Imamura M., Ishikawa K., Takeda K., Kondo H., Oda O., Sekine M., Hori M.
Japanese Journal of Applied Physics 56 巻 ( 9 ) 2017年8月
-
Thermal Cyclic Atomic-Level Etching of Nitride Films: A Novel Way for Atomic-Scale Nanofabrication 査読有り
Shinoda, K; Miyoshi, N; Kobayashi, H; Kurihara, M; Izawa, M; Ishikawa, K; Hori, M
ATOMIC LAYER DEPOSITION APPLICATIONS 13 80 巻 ( 3 ) 頁: 3 - 14 2017年8月
-
Liu, ZC; Imamura, M; Asano, A; Ishikawa, K; Takeda, K; Kondo, H; Oda, O; Sekine, M; Hori, M
APPLIED PHYSICS EXPRESS 10 巻 ( 8 ) 2017年8月
-
Fukunaga, Y; Tsutsumi, T; Takeda, K; Kondo, H; Ishikawa, K; Sekine, M; Hori, M
JAPANESE JOURNAL OF APPLIED PHYSICS 56 巻 ( 7 ) 2017年7月
-
Furuta, R; Kurake, N; Ishikawa, K; Takeda, K; Hashizume, H; Kondo, H; Ohta, T; Ito, M; Sekine, M; Hori, M
PHYSICAL CHEMISTRY CHEMICAL PHYSICS 19 巻 ( 21 ) 頁: 13438 - 13442 2017年6月
-
Dry Process FOREWORD 査読有り
Ishikawa, K; Kinoshita, K; Higashi, S; Ichiki, T; Karahashi, K; Kuboi, N
JAPANESE JOURNAL OF APPLIED PHYSICS 56 巻 ( 6 ) 2017年6月
-
Progress and prospects in nanoscale dry processes: How can we control atomic layer reactions? 査読有り 国際共著 Open Access
Ishikawa, K; Karahashi, K; Ichiki, T; Chang, JP; George, SM; Kessels, WMM; Lee, HJ; Tinck, S; Um, JH; Kinoshita, K
JAPANESE JOURNAL OF APPLIED PHYSICS 56 巻 ( 6 ) 2017年6月
-
Tomatsu, M; Hiramatsu, M; Foord, JS; Kondo, H; Ishikawa, K; Sekine, M; Takeda, K; Hori, M
JAPANESE JOURNAL OF APPLIED PHYSICS 56 巻 ( 6 ) 2017年6月
-
Takai, S; Lu, Y; Oda, O; Takeda, K; Kondo, H; Ishikawa, K; Sekine, M; Hori, M
JAPANESE JOURNAL OF APPLIED PHYSICS 56 巻 ( 6 ) 2017年6月
-
Electron behaviors in afterglow of synchronized dc-imposed pulsed fluorocarbon-based plasmas 査読有り
Ueyama, T; Fukunaga, Y; Tsutsumi, T; Takeda, K; Kondo, H; Ishikawa, K; Sekine, M; Iwata, M; Ohya, Y; Sugai, H; Hori, M
JAPANESE JOURNAL OF APPLIED PHYSICS 56 巻 ( 6 ) 2017年6月
-
Shinoda, K; Miyoshi, N; Kobayashi, H; Miura, M; Kurihara, M; Maeda, K; Negishi, N; Sonoda, Y; Tanaka, M; Yasui, N; Izawa, M; Ishii, Y; Okuma, K; Saldana, T; Manos, J; Ishikawa, K; Hori, M
JOURNAL OF PHYSICS D-APPLIED PHYSICS 50 巻 ( 19 ) 2017年5月
-
Takeda, K; Ishikawa, K; Tanaka, H; Sekine, M; Hori, M
JOURNAL OF PHYSICS D-APPLIED PHYSICS 50 巻 ( 19 ) 2017年5月
-
電子スピン共鳴法を活用したプラズマバイオ反応プロセスの診断 招待有り 査読有り
石川健治, 近藤隆, 竹田圭吾, 呉準席, 橋爪博司, 田中宏昌, 近藤博基, 太田貴之, 伊藤昌文, 関根誠, 堀勝
プラズマ核融合学会誌 2017年5月
-
Bactericidal pathway of <i>Escherichia coli</i> in buffered saline treated with oxygen radicals 査読有り
Kobayashi, T; Iwata, N; Oh, JS; Hahizume, H; Ohta, T; Takeda, K; Ishikawa, K; Hori, M; Ito, M
JOURNAL OF PHYSICS D-APPLIED PHYSICS 50 巻 ( 15 ) 2017年4月
-
Kurake, N; Tanaka, H; Ishikawa, K; Takeda, K; Hashizume, H; Nakamura, K; Kajiyama, H; Kondo, T; Kikkawa, F; Mizuno, M; Hori, M
JOURNAL OF PHYSICS D-APPLIED PHYSICS 50 巻 ( 15 ) 2017年3月
-
Ohya, Y; Ishikawa, K; Komuro, T; Yamaguchi, T; Takeda, K; Kondo, H; Sekine, M; Hori, M
JOURNAL OF PHYSICS D-APPLIED PHYSICS 50 巻 ( 15 ) 2017年3月
-
Takeda, K; Kumakura, T; Ishikawa, K; Tanaka, H; Sekine, M; Hori, M
APPLIED PHYSICS EXPRESS 10 巻 ( 3 ) 2017年3月
-
Ando, A; Takeda, K; Ohta, T; Ito, M; Hiramatsu, M; Ishikawa, K; Kondo, H; Sekine, M; Suzuki, T; Inoue, S; Ando, Y; Hori, M
JAPANESE JOURNAL OF APPLIED PHYSICS 56 巻 ( 3 ) 2017年3月
-
Liu, ZC; Pan, JL; Asano, A; Ishikawa, K; Takeda, K; Kondo, H; Oda, O; Sekine, M; Hori, M
JAPANESE JOURNAL OF APPLIED PHYSICS 56 巻 ( 2 ) 2017年2月
-
Abe, Y; Ishikawa, K; Takeda, K; Tsutsumi, T; Fukushima, A; Kondo, H; Sekine, M; Hori, M
APPLIED PHYSICS LETTERS 110 巻 ( 4 ) 2017年1月
-
Microfluidic transport through micro-sized holes treated by non-equilibrium atmospheric-pressure plasma 査読有り Open Access
Takumi Ito, Kenji Ishikawa, Daisuke Onoshima, Naoto Kihara, Kentaro Tatsukoshi, Hidefumi Odaka, Hiroshi Hashizume, Hiromasa Tanaka, Hiroshi Yukawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Yoshinobu Baba, and Masaru Hori
IEEE Transactions on Plasma Science 44 巻 ( 12 ) 頁: 3060 - 3065 2016年12月
-
Non-thermal atmospheric pressure plasma activates lactate in Ringer’s solution for anti-tumor effects 査読有り Open Access
Hiromasa Tanaka, Kae Nakamura, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Hiroaki Kajiyama, Fumi Utsumi, Fumitaka Kikkawa, and Masaru Hori
Scientific Reports 6 巻 頁: 36282 2016年11月
-
Effects of assisted magnetic field to an atmospheric-pressure plasma jet on radical generation at the plasma-surface interface and bactericidal function 査読有り
Chih-Tung Liu, Takumi Kumakura, Kenji Ishikawa, Hiroshi Hashizume, Keigo Takeda, Masafumi Ito, Jong-Shinn Wu, and Masaru Hori
Plasma Sources Science and Technology 25 巻 ( 6 ) 頁: 065005 2016年10月
-
Micro sand timer in glass membrane device separates single circulating tumor cells in blood 査読有り
Daiki Kuboyama, Daisuke Onoshima, Hiroshi Yukawa, Hiromasa Tanaka, Kenji Ishikawa, Masaru Hori, and Yoshinobu Baba
The 20th International Conference on Miniaturized Systems for Chemistry and Life Sciences, Micro Total Analysis Systems 2016 (Convention Center Dublin, Dublin, Ireland, Oct. 9-13, 2016) 頁: 297 - 298 2016年10月
-
Control of Internal Plasma Parameters Toward Atomic Level Processing 査読有り
Makoto Sekine, Takayoshi Tsutsumi, Yusuke Fukunaga, Keigo Takeda, Hiroki Kondoa, Kenji Ishikawa, and Masaru Hori
ECS Transactions 75 巻 ( 6 ) 頁: 21 - 24 2016年10月
-
Thermal cyclic etching of silicon nitride by formation and desorption of ammonium fluorosilicate 査読有り
Kazunori Shinoda, Masaru Izawa, Tadamitsu Kanekiyo, Kenji Ishikawa, and Masaru Hori
Applied Physics Express 9 巻 ( 10 ) 頁: 106201 2016年9月
-
Cold plasma interactions with enzymes in foods and model systems 査読有り 国際共著
N. N. Misra, S. K. Pankaj, Annalisa Segat, and Kenji Ishikawa
Trends in Food Science & Technology 55 巻 頁: 39 - 47 2016年9月
-
Synthesis of calcium oxalate crystals in culture medium irradiated with non-equilibrium atmospheric-pressure plasma 査読有り
Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Yoko Yamanishi, and Masaru Hori
Applied Physics Express 9 巻 ( 9 ) 頁: 096201 2016年8月
-
Rapid electron density decay observed by surface-wave probe in afterglow of pulsed fluorocarbon-based plasma 査読有り
Yoshinobu Ohya, Manabu Iwata, Kenji Ishikawa, Makoto Sekine, Masaru Hori, and Hideo Sugai
Japanese Journal of Applied Physics 55 巻 ( 8 ) 頁: 080309 2016年7月
-
ラジカル支援原子層制御ナノプロセス 招待有り 査読有り
石川健治, 小林明子, 盧翌, 竹田圭吾, 近藤博基, 関根誠, 堀勝
化学工学 2016年7月
-
Primary dissociation channels of SiH4 and H abstract reactions 査読有り Open Access
Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
Japanese Journal of Applied Physics 55 巻 ( 7S2 ) 頁: 07LD07 2016年6月
-
Helium based cold atmospheric plasma-induced reactive oxygen species-mediated apoptotic pathway attenuated by platinum nanoparticles 査読有り Open Access
Paras Jawaid, Mati Ur Rehman, Qing-Li Zhao, Keigo Takeda, Kenji Ishikawa, Masaru Hori, Tadamichi Shimizu, and Takashi Kondo
Journal of Cellular and Molecular Medicin 20 巻 ( 9 ) 頁: 1737 - 1748 2016年6月
-
Formation of a SiOF reaction intermixing layer on SiO2 etching using C4F6/O2/Ar plasmas 査読有り
Yoshinobu Ohya, Maju Tomura, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
Journal of Vacuum Science and Technology A Letters 34 巻 ( 4 ) 頁: 040602 2016年5月
-
Effects of nitrogen on apoptosis and changes in gene expression in human lymphoma U937 cells exposed to argon-cold atmospheric pressure plasma 査読有り
Yoshiaki Tabuchi, Hidefumi Uchiyama, Qing-li Zhao, Tatsuya Yunoki, Qabor Andocs, Nobuyuki Nojima, Keigo Takeda, Kenji Ishikawa, Masaru Hori and Takashi Kondo
International Journal of Molecular Medicine 37 巻 頁: 1706-1714 2016年5月
-
Red Blood Cell Coagulation Induced by Low-temperature Plasma Treatment 査読有り
Kenji Miyamoto, Sanae Ikehara, Hikaru Takei, Yoshihiro Akimoto, Hajime Sakakita, Kenji Ishikawa, Masashi Ueda, Jun-ichiro Ikeda, Masahiro Yamagishi, Jaeho Kim, Takashi Yamaguchi, Hayao Nakanishi, Nobuyuki Shimizu, Masaru Hori, and Yuzuru Ikehara
Archives of Biochemistry and Biophysics 2016年4月
-
Effect of gas residence time on near-edge X-ray absorption fine structures of hydrogenated amorphous carbon films grown by plasma-enhanced chemical vapor deposition 査読有り
Lingyun Jia, Hirotsugu Sugiura, Hiroki Kondo, Keigo Takeda, Kenji Ishikawa, Osamu Oda, Makoto Sekine, Mineo Hiramatsu and Masaru Hori
Japan. J. Appl. Phys. 55 巻 ( 4 ) 頁: 040305 2016年3月
-
Effects of Radical Species on Structural and Electronic Properties of Amorphous Carbon Films Deposited by Radical-injection Plasma-enhanced Chemical Vapor Deposition 査読有り
Lingyun Jia, Hirotsugu Sugiura, Hiroki Kondo, Keigo Takeda, Kenji Ishikawa, Osamu Oda, Makoto Sekine, Mineo Hiramatsu, Masaru Hori
Plasma Process Polym. 2016年2月
-
Cell survival of glioblastoma grown in medium containing hydrogen peroxide and/or nitrite, or in plasma-activated medium 査読有り
Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Takashi Kondo, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Masaru Hori
Archives of Biochemistry and Biophysics 2016年1月
-
Real-time temperature-monitoring of Si substrate during plasma processing and its heat-flux analysis 査読有り
Takayoshi Tsutsumi, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, and Masaru Hori
Japan. J. Appl. Phys. 55 巻 頁: 01AB04 2016年1月
-
Cover Picture: Plasma Process. Polym. 12∕2015 (page 1329) 査読有り
Masashi Ueda, Daiki Yamagami, Keiko Watanabe, Asami Mori, Hiroyuki Kimura, Kohei Sano, Hideo Saji, Kenji Ishikawa, Masaru Hori, Hajime Sakakita, Yuzuru Ikehara and Shuichi Enomoto
Plasma Processes and Polymers 12 巻 ( 12 ) 頁: 1329 2015年12月
-
Plasma Blood Coagulation Without Involving the Activation of Platelets and Coagulation Factors 査読有り
Sanae Ikehara, Hajime Sakakita, Kenji Ishikawa, Yoshihiro Akimoto, Takashi Yamaguchi, Masahiro Yamagishi, Jaeho Kim, Masashi Ueda, Jun-ichiro Ikeda, Hayao Nakanishi, Nobuyuki Shimizu, Masaru Hori, and Yuzuru Ikehara
Plasma Processes and Polymers 12 巻 ( 12 ) 頁: 1348–1353 2015年12月
-
Superhydrophilic glass membrane device with open-microhole array for filtering and counting rare tumor cells 査読有り
Akihiro Yonese, Daisuke Onoshima, Hiroshi Yukawa, Kenji Ishikawa, Masaru Hori, and Yoshinobu Baba
Micro Total Analysis Systems 2015 頁: 493-495 2015年10月
-
Histological and nuclear medical comparison of inflammation after haemostasis with non-thermal plasma and thermal coagulation 査読有り
Masashi Ueda, Daiki Yamagami, Keiko Watanabe, Asami Mori, Hiroyuki Kimura, Kohei Sano, Hideo Saji, Kenji Ishikawa, Masaru Hori, Hajime Sakakita, Yuzuru Ikehara, and Shuichi Enomoto
Plasma Processes and Polymers 12 巻 ( 12 ) 頁: 1338–1342 2015年9月
-
Plasma with high electron density and plasma-activated medium for cancer treatment 査読有り
Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Hiroki Kondo, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Shin’ichi Akiyama, Shoichi Maruyama, Suguru Yamada, Yasuhiro Kodera, Hiroki Kaneko, Hiroko Terasaki, Hirokazu Hara, Tetsuo Adachi, Machiko Iida, Ichiro Yajima, Masashi Kato, Fumitaka Kikkawa, and Masaru Hori
Clinical Plasma Medicine 3 巻 頁: 72-76 2015年9月
-
EPR-Spin Trapping and Flow cytometric Studies of Free Radicals Generated using Cold Atmospheric Argon Plasma and X-ray irradiation in Aqueous Solutions and Intracellular Milieu 査読有り
Hidefumi Uchiyama, Qing-Li Zhao, Mariame Ali Hassan, Gabor Andocs, Nobuyuki Nojima, Keigo Takeda, Kenji Ishikawa, Masaru Hori, and Takashi Kondo
PLoS One 10 巻 ( 8 ) 頁: e0136956 2015年8月
-
Feedback Control System of Wafer Temperature for Advanced Plasma Processing and its Application to Organic Film Etching 査読有り
Takayoshi Tsutsumi, Yusuke Fukunaga, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, and Masaru Hori
IEEE Trans Semiconductor manufacturing 28 巻 ( 4 ) 頁: 515-520 2015年8月
-
Decreased expression levels of cell cycle regulators and matrix metalloproteinases in melanoma from RET-transgenic mice by single irradiation of non-equilibrium atmospheric pressure plasmas 査読有り
Machiko Iida, Ichiro Yajima, Nobutaka Ohgami, Li Xiang, Cunchao Zou, Kenji Ishikawa, Masaru Hori, and Masashi Kato
International Journal of Clinical and Experimental Pathology 8 巻 ( 8 ) 頁: 9326-9331 2015年8月
-
Suppression of plasma-induced damage on GaN etched by a Cl2 plasma at high temperatures 査読有り
Zecheng Liu, Jialin Pan, Takashi Kako Kenji Ishikawa, Osamu Oda, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
Japan. J. Appl. Phys. 54 巻 頁: 06GB04 2015年6月
-
Electronic properties of HBr, O2 and Cl2 used in Si etching 査読有り
Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
Japan. J. Appl. Phys. 54 巻 頁: 06GA03 2015年5月
-
Silicon nitride (SiN) etch performance of CH2F2 plasmas diluted with argon or krypton 査読有り
Yusuke Kondo, Kenji Ishikawa, Toshio Hayashi, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
Japan. J. Appl. Phys. 54 巻 ( 4 ) 頁: 040303 2015年3月
-
Plasma diagnostic approach for high rate nanocrystalline Si synthesis in RF/UHF hybrid plasmas using a PECVD process 査読有り
Bibhuti Bhusan Sahu, Jeon G. Han, Kyung-Sik Shin, Kenji Ishikawa, Masaru Hori, and Yudai Miyawaki
Plasma Sources Sci. Technol. 24 巻 ( 2 ) 頁: 025019 2015年3月
-
CF3+ fragmentation by electron impact ionization of perfluoro-propyl-vinyl-ethers, C5F10O, in gas phase 査読有り 国際共著
Yusuke Kondo, Kenji Ishikawa, Toshio Hayashi, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
Japan. J. Appl. Phys. 54 巻 ( 4 ) 頁: 040301 2015年3月
-
Study of the decomposition mechanism of PMMA-type polymers by hydrogen radicals 査読有り
Yu Arai, Yusuke Noto, Yousuke Goto, Seiji Takahashi, Masashi Yamamoto, Akihiko Kono, Tatsuo Ishijima, Kenji Ishikawa, Masaru Hori, and Hideo Horibe
Thin Solid Film 575 巻 頁: 12-16 2015年2月
-
Estimation of activation energies for decomposition reaction of polymer by hydrogen radicals generated using hot-wire catalyzer 査読有り
Akihiko Kono, Yu Arai, Yousuke Goto, Masashi Yamamoto, Seiji Takahashi, Tadaaki Yamagishi, Kenji Ishikawa, Masaru Hori, and Hideo Horibe
Thin Solid Film 575 巻 頁: 17-20 2015年2月
-
Experimental evidence of warm electron populations in magnetron sputtering plasmas 査読有り 国際共著
B. B. Sahu, Jeon G. Han, Hye R. Kim, Kenji Ishikawa, and Masaru Hori
J. Appl. Phys. 117 巻 頁: 033301 2015年1月
-
Hydrofluorocarbon ion density of argon- or krypton-diluted CH2F2 plasmas: Generation of CH2F+ and CHF2+ by dissociative-ionization in charge exchange collisions 査読有り
Yusuke Kondo, Yudai Miyawaki, Kenji Ishikawa, Toshio Hayashi, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
J. Phys. D: Appl. Phys. 48 巻 ( 4 ) 頁: 045202 2015年1月
-
Robust characteristics of semiconductor-substrate temperature-measurement method using auto-correlation type frequency-domain low-coherence interferometry 査読有り
Takayoshi Tsutsumi, Takayuki Ohta, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori, and Masafumi Ito
Jpn. J. Appl. Phys. 54 巻 頁: 01AB03 2015年1月
-
Quantitative Clarification of Inactivation Mechanism of Penicillium digitatum Spores Treated with Neutral Oxygen Radicals 査読有り
Hiroshi Hashizume, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, and Masafumi Ito
Jpn. J. Appl. Phys. 54 巻 頁: 01AG05 2015年1月
-
Plasma Medical Science for Cancer Therapy: Toward Cancer Therapy Using Nonthermal Atmospheric Pressure Plasma 招待有り 査読有り
Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinnya Toyokuni, Shoichi Maruyama, Fumitaka Kikkawa, and Masaru Hori
IEEE Trans. on Plasma Sci. 42 巻 ( 12 ) 頁: 3760-3763 2014年12月
-
Effectiveness of plasma diagnostic in ultra high frequency and radio frequency hybrid plasmas for synthesis of silicon nitride film at low temperature 査読有り 国際共著
Bibhuti Bhusan Sahu, Kyung-Sik Shin, Su-Bong Jin, Jeon G. Han, Kenji Ishikawa and Masaru Hori
J. Appl. Phys. 116 巻 頁: 134903 2014年10月
-
Recovery of Atom Density Drift Caused by Change in Reactor Wall Conditions by Real-time Autonomous Control 査読有り
Toshiya Suzuki, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
Journal of Physics D: Applied Physics 47 巻 頁: 422002 2014年9月
-
Diagnostics of plasma-biological surface interactions in low pressure and atmospheric pressure plasmas 招待有り 査読有り
Kenji Ishikawa, and Masaru Hori
International Journal of Modern Physics: Conference Series 32 巻 頁: 1460318 2014年8月
-
Cell survival and proliferation signaling pathways are downregulated by plasma-activated medium in glioblastoma brain tumor cells 査読有り
Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Shoichi Maruyama, Fumitaka Kikkawa, and Masaru Hori
Plasma Medicine 4 巻 頁: 1 2014年7月
-
Temporal Changes of Absolute Densities of Atoms in H2 and N2 Mixture Gas Plasmas by Surface Modifications of Reactor Wall 査読有り
Toshiya Suzuki, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
Jpn. J. Appl. Phys. 53 巻 頁: 050301 2014年4月
-
Epitaxial Growth of GaN by Radical-Enhanced Metalorganic Chemical Vapor Deposition (REMOCVD) in the Downflow of a Very High Frequency (VHF) N2/H2 Excited Plasma– Effect of TMG Flow Rate and VHF Power 査読有り
Yi Lu, Hiroki Kondo, Kenji Ishikawa, Osamu Oda, Keigo Takeda, Makoto Sekine, Hiroshi Amano, and Masaru Hori
J. Cryst. Growth 391 巻 頁: 97-103 2014年4月
-
Hierarchical regrowth of flowerlike nanographene sheets on oxygen-plasma-treated carbon nanowalls
Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori
Appl. Phys. Express 7 巻 頁: 046201 2014年3月
-
Effects of nitrogen plasma post-treatment on electrical conduction of carbon nanowalls 査読有り
Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori
Jpn. J. Appl. Phys. 53 巻 頁: 040307 2014年3月
-
Nanostructure modification to carbon nanowall surface employing hydrogen peroxide solution 査読有り
Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori
Jpn. J. Appl. Phys. 53 巻 頁: 040305 2014年3月
-
Spatial distribution of absolute atomic oxygen density of a non-equilibrium atmospheric pressure planar plasma jet 査読有り
Fengdong Jia, Kenji Ishikawa, Keigo Takeda, Hiroyuki Kano, Jagath Kularatne, Hiroki Kondo, Makoto Sekine and Masaru Hori
Plasma Source Sci Technol. 23 巻 頁: 025004 2014年3月
-
Chemical Reactions during Plasma-enhanced Atomic Layer Deposition of SiO2 Films employing aminosilane and O2/Ar plasma at 50 C 査読有り
Lu Yi, Akiko Kobayashi, H. Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
JAPANESE JOURNAL OF APPLIED PHYSICS 53 巻 2013年12月
-
Density Control of Carbon Nanowalls Grown by CH4/H2 plasma and Their Electrical Properties 査読有り
Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori
Carbon 66 巻 2013年12月
-
Effect of Indirect Nonequilibrium Atmospheric Pressure Plasma on Anti-proliferative Activity against Chronic Chemo-resistant Ovarian Cancer Cells in vitro and in vivo 査読有り
Fumi Utsumi, Hiroaki Kajiyama, Kae Nakamura, Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Hiroki Kondo, Hiroyuki Kano, Masaru Hori, and Fumitaka Kikkawa
PLOS One 8 巻 頁: e81576 2013年12月
-
Oxidation mechanism of Penicillium digitatum spores through neutral oxygen radicals 査読有り
Hiroshi Hashizume, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, Masafumi Ito
JAPANESE JOURNAL OF APPLIED PHYSICS 53 巻 頁: 010209 2013年12月
-
High H Radical Density Produced by 1-m-Long Atmospheric Pressure Microwave Plasma System 査読有り
Hitoshi Itoh, Yusuke Kubota, Yusaku Kashiwagi, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Hirotaka Toyoda, and Masaru Hori
JAPANESE JOURNAL OF APPLIED PHYSICS 52 巻 頁: 11NE01 2013年11月
-
Field emission of nano-organic-rods armored with metal nanoparticles 査読有り
Toshiya Suzuki, Kenji Ishikawa, Makoto Sekine, Keigo Takeda, Hiroki Kondo, and Masaru Hori
JAPANESE JOURNAL OF APPLIED PHYSICS 52 巻 頁: 120203 2013年11月
-
Effect of gas flow on atomic radical transportation in AC Excited Non-equilibrium Atmospheric Pressure Plasma jet 査読有り
Keigo Takeda, Masanori Kato, Kenji Ishikawa, Hiroki Kondo, Hiroyuki Kano, Makoto Sekine, and Masaru Hori
JOURNAL OF PHYSICS D-APPLIED PHYSICS 46 巻 頁: 464006 2013年10月
-
Highly Precise and Rapid Measurements on Substrate Temperature Using Frequency Domain Low Coherence Interferometer 査読有り
Takayoshi Tsutsumi, Takayuki Ohta, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori, and Masafumi Ito
APPLIED PHYSICS LETTERS 101 巻 頁: 182102 2013年10月
-
Inactivation effects of neutral reactive-oxygen species on Penicillium digitatum spores using non-equilibrium atmospheric-pressure oxygen radical source 査読有り
Hiroshi Hashizume, Takayuki Ohta, Jia Fengdong, Keigo Takeda,Kenji Ishikawa,Masaru Hori, and Masafumi Ito
APPLIED PHYSICS LETTERS 101 巻 頁: 53708 2013年10月
-
Formation of Nanoporous Features, Flat Surfaces, or Crystallographically Oriented Etched Profiles by the Si Chemical Dry Etching Using the Reaction of F2 + NO -> F + FNO at an Elevated Temperature 査読有り
Satomi Tajima, Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
JOURNAL OF PHYSICAL CHEMISTRY C 117 巻 ( 40 ) 頁: 20810-20818 2013年9月
-
Atomic Oxygen Etching from the Top Edges of Carbon Nanowalls 査読有り
Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori
APPLIED PHYSICS EXPRESS 6 巻 頁: 095201 2013年8月
-
A Development of Atmospheric Pressure Plasma Equipment and Its Applications for Treatment of Ag Films Formed from Nano-Particle Ink 査読有り
Hitoshi Itoh, Y. Kubota, Y. Kashiwagi, K. Takeda, Kenji Ishikawa, H. Kondo, M. Sekine, H. Toyoda, and M. Hori
J. Phys.: Conf. Ser. 441 巻 頁: 12019 2013年6月
-
A novel fast and flexible technique of radical kinetic behavior investigation based on pallet for plasma evaluation structure and numerical analysis 査読有り
Malinowski, Arkadiusz; Takeuchi, Takuya; Chen, Shang; Suzuki, Toshiya; Ishikawa, Kenji; Sekine, Makoto; Hori, Masaru; Lukasiak, Lidia; Jakubowski, Andrzej
JOURNAL OF PHYSICS D-APPLIED PHYSICS 46 巻 頁: 265201 2013年6月
-
Surface morphology on high-temperature plasma-etched gallium nitride 査読有り
Ryosuke Kometani, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
Trans. Mater. Res. Soc. Jpn. 38 巻 頁: 325 2013年6月
-
Photoluminescence study of plasma-induced damage of GaInN single quantum well 査読有り
Shouichiro Izumi, Masaki Minami, Michiru Kamada, Tetsuya Tatsumi, Atsushi A. Yamaguchi, Kenji Ishikawa, Masaru Hori, and Shigetaka Tomiya
Japanese Journal of Applied Physics 52 巻 ( 8S ) 頁: 08JL09 2013年5月
-
Dissociations of C5F8 and C5HF7 in Etching Plasma 査読有り
Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
JAPANESE JOURNAL OF APPLIED PHYSICS 5 巻 頁: 05EB02 2013年5月
-
Wavelength dependence of photon-induced interface defects in hydrogenated silicon nitride/Si structure during plasma etching processes 査読有り
Masanaga Fukasawa, Hiroyasu Matsugai, Takayoshi Honda, Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Kazunori Nagahata, Fumikatsu Uesawa, Masaru Hori, Tetsuya Tatsumi
JAPANESE JOURNAL OF APPLIED PHYSICS 5 巻 頁: 05ED01 2013年5月
-
Surface analysis of gallium nitride (GaN) at elevated substrate temperature 査読有り
Ryosuke Kometani, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
APPLIED PHYSICS EXPRESS 6 巻 頁: 056201 2013年4月
-
Supercritical Fluid Deposition of High-Density Nanoparticles of Photo-Catalytic TiO2 on Carbon Nanowalls 査読有り
Takeyoshi Horibe, Hiroki Kondo, Kenji Ishikawa, Hiroyuki Kano, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori
Appl. Phys. Express 6 巻 頁: 045103 2013年4月
-
A Room Temperature Si Etching in NO/F2 Gas Chemistry and Its Reaction Mechanism 査読有り
Satomi Tajima, Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
J. Phys. Chem. C 117 巻 ( 10 ) 頁: 5118–5125 2013年2月
-
Plasma-activated medium selectively kills glioblastoma brain tumor cells by downregulating a survival signaling molecule, AKT kinase 査読有り
Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Fumitaka Kikkawa, and Masaru Hori
Plasma Medicine 3 巻 頁: 1 2013年2月
-
Surface roughness development on ArF-photoresist studied by beam-irradiation of CF4 plasma 査読有り
Takuya Takeuchi, Kenji Ishikawa, Yuichi Setsuhara, Hiroki Kondo, Keigo Takeda, Makoto Sekine, Masaru Hori
J. Phys. D: Appl. Phys. 46 巻 頁: 102001 2013年2月
-
Etching-Enhancement Followed by Nitridation on Low-k SiOCH Film in Ar/C5F10O Plasma 査読有り
Yudai Miyawaki, Emi Shibata, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Hidekazu Okamoto, Makoto Sekine, and Masaru Hori
Japanese Journal of Applied Physics 52 巻 ( 2 ) 頁: 020204 2013年1月
-
Impact of hydrogen radical injection plasma on fabrication of microcrystalline silicon thin film for solar cells 査読有り
Yusuke Abe, Sho Kawashima, Atsushi Fukushima, Ya Lu, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
J. Appl. Phys. 113 巻 ( 2 ) 頁: 033304 2013年1月
-
Highly selective etching of SiO2 over Si3N4 and Si in capacitivlly coupled plasma employing C5HF7 gas 査読有り
Yudai Miyawaki, Yusuke Kondo, Makoto Sekine, Kenji Ishikawa, Toshio Hayashi, Keigo Takeda, Hiroki Kondo, and Masaru Hori
Jpn. J. Appl. Phys. 52 巻 ( 1 ) 頁: 016201 2013年1月
-
Development of high-density nitrogen radical source for low mosaicity and high rate growth of InGaN films in molecular beam epitaxy 査読有り
Shang Chen, Yohjiro Kawai, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Hiroyuki Kano, Makoto Sekine, Hiroshi Amano, and Masaru Hori
Jpn. J. Appl. Phys. 52 巻 ( 1 ) 頁: 021001 2013年1月
-
Development of the sputtering yields of ArF photoresist after the onset of argon ion bombardment 査読有り
Takuya Takeuchi, Carles Corbella, Simon Grosse-Kreul, Achim von Keudell, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
J. Appl. Phys. 113 巻 ( 1 ) 頁: 014306 2013年1月
-
Surface loss probability of H radicals on silicon thin films in SiH4/H2 plasma 査読有り
Yusuke Abe, Atsushi Fukushima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
J. Appl. Phys. 113 巻 ( 1 ) 頁: 013303 2013年1月
-
Individual roles for atoms and ions during hydrogen atom passivation of surface-defects on GaN created by plasma-etching 査読有り
Shang Chen, Kenji Ishikawa, Yi Lu, Ryosuke Kometani, Hiroki Kondo, Yutaka Tokuda, Takashi Egawa, Hiroshi Amano, Makoto Sekine, and Masaru Hori
Jpn. J. Appl. Phys. 51 巻 ( 11 ) 頁: 111002-1:6 2012年10月
-
Critical flux ratio of hydrogen radical to fi lm precursor in microcrystalline silicon deposition for solar cells 査読有り
Yusuke Abe, Atsushi Fukushima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
Appl. Phys. Lett. 101 巻 ( 17 ) 頁: 172109-1:4 2012年10月
-
タングステン加熱触媒体により生成した水素ラジカルによるレジスト用ベースポリマーの分解除去 査読有り Open Access
新井 祐, 渡邉 誠, 河野 昭彦, 山岸 忠明, 石川 健治, 堀 勝, 堀邊 英夫
高分子論文集 69 巻 ( 6 ) 頁: 266 - 273 2012年9月
-
As-grown deep-level defects in n-GaN grown by metal-organic chemical vapor deposition on freestanding GaN 査読有り
Shang Chen, Unhi Honda, Tatsunari Shibata, Toshiya Matumura, Yutaka Tokuda, Kenji Ishikawa, Masaru Hori, Hiroyuki Ueda, Tsutomu Uesugi, and Tetsu Kachi
J. Appl. Phys. 112 巻 ( 5 ) 頁: 053513-1:4 2012年9月
-
Real-time In Situ Electron Spin Resonance Measurements on Fungal Spores of Penicillium digitatum during Exposure of Oxygen Plasmas 査読有り
Kenji Ishikawa, Hiroko Moriyama, Hiromasa Tanaka, Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Sachiko Iseki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
Appl. Phys. Lett. 101 巻 ( 1 ) 頁: 013704-1:4 2012年7月
-
Photoluminescence recovery by in-situ exposure of plasma-damaged n-GaN to atomic hydrogen at room temperature 査読有り
Shang Chen, Yi Lu, Ryosuke Kometani, Kenji Ishikawa, Hiroki Kondo, Yutaka Tokuda, Makoto Sekine, and Masaru Hori
AIP advance 2 巻 ( 2 ) 頁: 022149-1:6 2012年6月
-
Ultrahigh-Speed Synthesis of Nanographene Using Alcohol In-Liquid Plasma 査読有り
Tatsuya Hagino, Hiroki Kondo, Kenji Ishikawa, Hiroyuki Kano, Makoto Sekine, Masaru Hori
Appl. Phys. Express 5 巻 ( 3 ) 頁: 035101-1:3 2012年3月
-
Quantum Chemical Investigation of Si Chemical Dry Etching by Flowing NF3 into N2 Downflow Plasma 査読有り
Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Akihiro Kono, and Koukou Suu
Jpn. J. Appl. Phys. 51 巻 ( 2 ) 頁: 026505-1:5 2012年2月
-
Direct current superposed dual-frequency capacitively-coupled-plasma in selective etch of SiOCH over SiC 査読有り
Tsuyoshi Yamaguchi, Tetsuya Komuro, Chishio Koshimizu, Seigo Takashima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
J. Phys. D: Appl. Phys. 45 巻 ( 2 ) 頁: 025203-1:7 2012年1月
-
Vacuum Ultraviolet and Ultraviolet Radiation-Induced Effect of Hydrogenated Silicon Nitride Etching: Surface Reaction Enhancement and Damage Generation 査読有り
Masanaga Fukasawa, Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Hiroyasu Matsugai, Takayoshi Honda, Masaki Minami, Fumikatsu Uesawa, Masaru Hori, and Tetsuya Tatsumi
Jpn. J. Appl. Phys. 51 巻 ( 2 ) 頁: 026201-1:7 2012年1月
-
Feature Profiles on Plasma Etch of Organic Films by a Temporal Control of Radical Densities and Real-Time Monitoring of Substrate Temperature 査読有り
Hiroshi Yamamoto, Hiroki Kuroda, Masafumi Ito, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori
Jpn. J. Appl. Phys. 51 巻 ( 1 ) 頁: 016202-1:6 2012年1月
-
Quantum Chemical Investigation for Chemical Dry Etching of SiO2 by Flowing NF3 into H2 Downflow Plasma 査読有り
Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Akihiro Kono, and Koukou Suu
Jpn. J. Appl. Phys. 51 巻 ( 1 ) 頁: 016201-1:6 2012年1月
-
Electron Spin Resonance (ESR) Observation of Radicals on Biological Organism Interacted with Plasmas 査読有り
Kenji Ishikawa, Hiroko Moriyama, Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Sachiko Iseki, Hiromasa Tanaka, Keigo Takeda, Hiroki Kondo, Makoto Sekine and Masaru Hori
MRS Online Proceedings Library 1469 巻 2012年
-
Chemical Bond Modification in Porous SiOCH Films by H2 and H2/N2 Plasmas Investigated by in situ Infrared Reflection Absorption Spectroscopy (IR-RAS) 査読有り
Hiroshi Yamamoto, Kohei Asano, Kenji Ishikawa, Makoto Sekine, Hisataka Hayashi, Itsuko Sakai, Tokuhisa Ohiwa, Keigo Takeda, Hiroki Kondo, and Masaru Hori
Journal of Applied Physics 110 巻 ( 12 ) 頁: 123301 2011年12月
-
Inactivation of Penicillium digitatum Spores by a High-Density Ground-State Atomic Oxygen-Radical Source Employing an Atmospheric-Pressure Plasma 査読有り
Sachiko Iseki, Hiroshi Hashizume, Fengdong Jia, Keigo Takeda, Kenji Ishikawa, Takayuki Ohta, Masafumi Ito, and Masaru Hori
Appl. Phys. Express 4 巻 頁: 116201 2011年11月
-
Impacts of CF+, CF2+, CF3+ and Ar Ion Beam Bombardment with energies from 100eV and 400eV on Surface Modification of Photoresist 査読有り
Takuya Takeuchi, Shinpei Amasaki, Hiroki Kondo, Kenji Ishikawa, Hirotaka Toyoda, Makoto Sekine, Song-Yun Kang, Ikuo Sawada, and Masaru Hori
Jpn. J. Appl. Phys. 50 巻 ( 8 ) 頁: 08JE05-1:5 2011年8月
-
Analysis of GaN Damage Induced by Cl2/SiCl4/Ar Plasma 査読有り
Masaki Minami, Shigetaka Tomiya, Kenji Ishikawa, Ryosuke Matsumoto, Shang Chen, Masanaga Fukasawa, Fumikatsu Uesawa, Makoto Sekine, Masaru Hori, and Tetsuya Tatsumi
Jpn. J. Appl. Phys. 50 巻 ( 8 ) 頁: 08JE03-1:4 2011年8月
-
Spatial Distributions of Electron, CF, CF2 Radical Densities and Gas Temperature in dc-Superposed Dual- Frequency- Capacitively-Coupled Plasma Etch Reactor Employing c-C4F8/N2/Ar gas 査読有り
Tsuyoshi Yamaguchi, Tetsuya Kimura, Chishio Koshimizu, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine and Masaru Hori
Jpn. J. Appl. Phys. 50 巻 ( 5 ) 頁: 0 2011年5月
-
Synergistic Formation of Radicals with Irradiation with both Vacuum Ultraviolet and Atomic Hydrogen: a Real time in situ Electron-Spin-Resonance Study 査読有り Open Access
Kenji Ishikawa, Naoya Sumi, Akihiko Kono, Hideo Horibe, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
J. Phys. Chem. Lett. 2 巻 頁: 1278 - 1281 2011年5月
-
H2/N2 Plasma Damage on Porous Dielectric SiOCH Film Evaluated by In-situ Film Characterization and Plasma Diagnostics 査読有り
Hiroshi Yamamoto, Keigo Takeda, Kenji Ishikawa, Masafumi Ito, Makoto Sekine, Masaru Hori, Takeshi Kaminatsui, Hisataka Hayashi, Itsuko Sakai, and Tokuhisa Ohiwa
J. Appl. Phys. 109 巻 頁: 084112:1-8 2011年4月
-
Dissociation Channels of c-C4F8 to CF2 Radical in Reactive Plasma 査読有り
Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Akihiro Kono, and Koukou Suu
Jpn. J. Appl. Phys. 50 巻 頁: 036203:1-4 2011年3月
-
Laser Scattering Diagnosis of a 60-Hz Non-Equilibrium Atmospheric Pressure Plasma Jet 査読有り
Fengdong Jia, Naoya Sumi, Kenji Ishikawa, Hiroyuki Kano, Hirotoshi Inui, Jagath Kularatne, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Akihiro Kono, and Masaru Hori
Appl. Phys. Express 4 巻 頁: 026101:1-3 2011年1月
-
Behaviors of Absolute Densities of N, H and NH3 at Remote Region of High Density Radical Source Employing N2-H2 Mixture Plasmas 査読有り
Shang Chen, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Makoto Sekine, Hiroyuki Kano, Shoji Den and Masaru Hori
Jpn. J. Appl. Phys. 50 巻 頁: 01AE03:1-4 2011年1月
-
Hydrophobic Treatment of Organics against Glass Employing nonequilibrium Atmospheric Pressure Pulsed Plasmas with a Mixture of CF4 and N2 Gases 査読有り
Hirotoshi Inui, Keigo Takeda, Kenji Ishikawa, Takuya Yara, Tsuyoshi Uehara, Makoto Sekine and Masaru Hori
J. Appl. Phys. 109 巻 頁: 013310:1-6 2011年1月
-
Measurement of Hydrogen Radical Density and its Impact on Reduction of Copper Oxide in Atmospheric-Pressure Remote Plasma Using H2 and Ar Mixture Gases
Hirotoshi Inui, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Sekine Makoto, Hiroyuki Kano, Naofumi Yoshida, and Masaru Hori
Appl. Phys. Express 3 巻 頁: 126101:1-3 2010年12月
-
Etching Damage in Diamond Studied Using an Energy-Controlled Oxygen Ion Beam 査読有り
Yuuichi Yamazaki, Kenji Ishikawa, Norikazu Mizuochi, and Satoshi Yamasaki
Jpn. J. Appl. Phys. 46 巻 ( 1 ) 頁: 60-64 2007年1月
-
Reaction mechanism of low-temperature damageless cleaning of Cu2O by HCOOH 査読有り
Masakazu Sugiyama, Isao Gunji, Kenji Ishikawa, Masafumi Nakaishi, Kouichi Yamashita, and Takayuki Ohba
Proc. on Advanced Metallization Conference 2006 (AMC 2006), (October 10-17, 2006, San Diego, CA, USA) 頁: 111 - 116 2006年10月
-
Defect creation in diamond by hydrogen plasma treatment at room temperature 査読有り
Yuuichi Yamazaki, Kenji Ishikawa, Seiji Samukawa, and Satoshi Yamasaki
Physica B 376/377 巻 頁: 327-330 2006年6月
-
Structure of diamond surface defective layer damaged by hydrogen ion beam exposure 査読有り
Yuuichi Yamazaki, Kenji Ishikawa, Norikazu Mizuochi, and Satoshi Yamasaki
Diamond Related Mater. 15 巻 ( 4-8 ) 頁: 703-706 2006年5月
-
Surface Reactions During Etching of Organic Low-k Films by Plasma of N2 and H2 査読有り
Kenji Ishikawa, Yoshikazu Yamaoka, Moritaka Nakamura, Yuichi Yamazaki, Satoshi Yamasaki, Yasushi Ishikawa, and Seiji Samukawa
J. Appl. Phys. 99 巻 ( 8 ) 頁: 083305:1-6 2006年5月
-
Effcient Reduction of Standby Leakage Current in LSIs for Use in Mobile Devices 査読有り
Hiroshi Kudo, Kenji Ishikawa, Yasuyoshi Mishima, et al.
Jpn. J. Appl. Phys. 45 巻 ( 4B ) 頁: 3150-3153 2006年4月
-
理想的な界面形成を追求 半導体のドライ洗浄技術 招待有り
石川健治、安立なつ美
工業材料 54 巻 頁: 1 2006年
-
Structural change in diamond by hydrogen plasma treatment at room temperature 査読有り
Yuuichi Yamazaki, Kenji Ishikawa, Norikazu Mizuochi, and Satoshi Yamasaki
Diamond Related Mater. 14 巻 ( 11-12 ) 頁: 1939-1942 2005年10月
-
In vacuo measurements of dangling bonds created during Ar-diluted fluorocarbon plasma etching of silicon dioxide films 査読有り
Kenji Ishikawa, Mitsuru Okigawa, Yasushi Ishikawa, Seiji Samukawa, and Satoshi Yamasaki
Appl. Phys. Lett. 86 巻 頁: 264104:1-3 2005年6月
-
Mass-analyzed CFx+ (x=1,2,3) ion beam study on selectivity of SiO2-to-SiN etching and a-C:F film deposition 査読有り
Ken-ichi Yanai, Kazuhiro Karahashi, Kenji Ishikawa, and Moritaka Nakamura
J. Appl. Phys. 97 巻 ( 5 ) 頁: 053302:1-6 2005年2月
-
Etching yield of SiO2 irradiated by F+ CFx+ (x=1,2,3) ion with energies from 250 to 2000 eV 査読有り
Kazuhiro Karahashi, Ken-ichi Yanai, Kenji Ishikawa, Hideo Tsuboi, Kazuaki Kurihara, and Moritaka Nakamura
J. Vac. Sci. Technol. A 22 巻 ( 4 ) 頁: 1166 2004年6月
-
ドライ洗浄技術-半導体製造- 査読有り
伊藤隆司、杉野林志、石川健治
精密工学会誌 70 巻 頁: 894 2004年
-
Transitional change to amorphous fluorinated carbon film deposition under energetic irradiation of mass-analyzed carbon mono-fluoride ions on silicon dioxide surfaces 査読有り
Kenji Ishikawa, Kazuhiro Karahashi, Hideo Tsuboi, Ken-ichi Yanai, and Moritaka Nakamura
J. Vac. Sci. Technol. A 21 巻 頁: L1-L3 2003年6月
-
Surface and gas-phase observations of Ar diluted c-C4F8 plasma by using real-time infrared spectroscopy and planar laser-induced fluorescence 査読有り
Kenji Ishikawa, Shigenori Hayashi, and Makoto Sekine
J. Appl. Phys. 93 巻 ( 3 ) 頁: 1403-1408 2003年2月
-
Vapor Treatment of Copper Surface Using Organic Acids 査読有り
Kenji Ishikawa, Teruo Yagishita and Moritaka Nakamura
MRS Proceedings 766 巻 2003年
-
In-vacuo electron spin resonance study on amorphous fluorinated carbon films for understanding of surface chemical reactions in plasma etching 査読有り
Kenji Ishikawa, Shoji Kobayashi, Mitsuru Okigawa, Makoto Sekine, Satoshi Yamasaki, Tetsuji Yasuda, and Junichi Isoya
Appl. Phys. Lett. 81 巻 ( 10 ) 頁: 1773-1775 2002年9月
-
Planar laser-induced fluorescence of fluorocarbon radicals in oxide etch process plasma 査読有り
Shigenori Hayashi, Kenji Ishikawa, and Makoto Sekine
Jpn. J. Appl. Phys. 41 巻 ( 4A ) 頁: 2207-2212 2002年4月
-
Direct observation of surface dangling bonds during plasma process: chemical reactions during H2 and Ar plasma treatments 査読有り
Satoshi Yamasaki, Ujjwal Das, and Kenji Ishikawa
Thin Solid Films 407 巻 ( 1-2 ) 頁: 139-143 2002年2月
-
Early-stage modification of a silicon oxide surface in fluorocarbon plasma for selective etching over silicon 査読有り
Kenji Ishikawa, and Makoto Sekine
J. Appl. Phys. 91 巻 ( 3 ) 頁: 1661-1666 2002年2月
-
In-situ time-resolved infrared spectroscopic study of silicon-oxide surface during selective etching over silicon in fluorocarbon plasma 査読有り
Kenji Ishikawa, and Makoto Sekine
Jpn. J. Appl. Phys. 39 巻 頁: 6990-6995 2000年12月
-
Asymmetric peak line shape on infrared dielectric function spectra of thermally grown silicon dioxide films 査読有り
Kenji Ishikawa, Kunihiro Suzuki, and Shigeru Okamura
J. Appl. Phys. 88 巻 頁: 7150-7156 2000年
-
Thickness-deconvolved structural properties of thermally grown silicon dioxide films 査読有り
Kenji Ishikawa, Hiroki Ogawa, and Shuzo Fujimura
J. Appl. Phys. 86 巻 頁: 3472-3474 1999年
-
Contribution of interface roughness to infrared spectra of thermally grown silicon dioxide films 査読有り
Kenji Ishikawa, Hiroki Ogawa, and Shuzo Fujimura
J. Appl. Phys. 85 巻 頁: 4076-4082 1999年
-
Analysis of native oxide growth process on an atomically flattened and hydrogen terminated Si(111) surface in pure water using fourier transformed infrared reflection absorption spectroscopy 査読有り
Shuzo Fujimura, Kenji Ishikawa, and Hiroki Ogawa
J. Vac. Sci. Technol. A 16 巻 ( 1 ) 頁: 375-381 1998年1月
-
Dependence of TO and LO mode frequency of thermally grown silicon dioxide films on annealing temperature 査読有り
Kenji Ishikawa, Yuji Uchiyama, Hiroki Ogawa, and Shuzo Fujimura
Appl. Surf. Sci. 117/118 巻 頁: 212-215 1997年6月
-
Infrared spectroscopy study of the RCA standard clean chemical oxides and their sequencing 査読有り
Carlos Inomata, Hiroki Ogawa, Kenji Ishikawa, and Shuzo Fujimura
J. Electrochem. Soc. 143 巻 ( 9 ) 頁: 2995-3000 1996年9月
-
Initial stage of native oxide growth on hydrogen terminated silicon (111) surfaces 査読有り
Hiroki Ogawa, Kenji Ishikawa, Carlos Inomata, and Shuzo Fujimura
J. Appl. Phys. 79 巻 ( 1 ) 頁: 472-477 1996年1月
-
Observation of Oxygen Exposed Hydrogen Terminated Silicon Surface 査読有り
Hiroki Ogawa, Kenji Ishikawa, M. Aoki, Shuzo Fujimura, N. Ueno, Yasuhiro Horiike, Y. Harada
The Physics and Chemistry of SiO2 and the Si-SiO2 Interface 3, edited by H.Z. Massoud, E.H. Poindexter, and C.R. Helms, (The Electrochemical Society, NJ) 頁: 428 1996年
-
Effects of Dissolved Oxygen in HF Solution on Silicon Surface Morphology 査読有り
Hiroki Ogawa, Kenji Ishikawa, Miki T. Suzuki, Yuka Hayami, and Shuzo Fujimura
Jpn. J. Appl. Phys. 34 巻 ( 2B ) 頁: 732-736 1995年2月
-
FT-IR-RAS analysis of the structure of the SiO2/Si interface 査読有り
Kenji Ishikawa, Hiroki Ogawa, Carlos Inomata, Shuzo Fujimura, and Haruhisa Mori
Control of Semiconductor Interfaces, edited by I. Ohdomari, M. Oshima and A. Hiraki, (Elsevier Science B.V.) 頁: 447 1994年
-
Native Oxide Characterization on Silicon Surfaces 査読有り
Hiroki Ogawa, Carlos Inomata, Kenji Ishikawa, Shuzo Fujimura, and Haruhisa Mori
Control of Semiconductor Interfaces, edited by I. Ohdomari, M. Oshima and A. Hiraki, (Elsevier Science B.V.) 頁: 383 1994年
-
Observation of Thin SiO2 Films using IR-RAS 査読有り
Shuzo Fujimura, Kenji Ishikawa, and Haruhisa Mori
The Physics and Chemistry of SiO2 and the Si-SiO2 Interface 2, edited by C. R. Helms and B. E. Deal, (Plenum Press) 頁: 91 1993年
-
New Analytical Method of SiO2 Structure by Infrared Reflection Absorption Spectroscopy (IR-RAS) 査読有り
Kenji Ishikawa, Hiroki Ogawa, Carlos Inomata, Shuzo Fujimura and Haruhisa Mori
MRS Proceedings 318 巻 頁: 425-431 1993年