Papers - ISHIKAWA, Kenji
-
Selective dry etching of TiAlC over TiN using nonhalogen N2/H2 plasma Reviewed Open Access
Thi-Thuy-Nga Nguyen, Kazunori Shinoda, Shi-Nan Hsiao, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, Kenji Ishikawa, and Masaru Hori
Applied Surface Science Vol. 691 page: 122665 2025.5
-
Low-temperature atomic layer etching of platinum via sequential wet-like reactions of plasma oxidation and complexation Reviewed Open Access
Thi-Thuy-Nga Nguyen, D. Akagi, T. Okato, Kenji Ishikawa, and Masaru Hori
Applied Surface Science Vol. 687 page: 162325 2025.4
-
Valence fragmentation dynamics of a promising low global warming etching gas CF3CHCF2 Reviewed International journal Open Access
Tran Trung Nguyen, Toshio Hayashi, Hiroshi Iwayama, ane Kenji Ishikawa
Scientific Reports Vol. 15 ( 1 ) page: 9507 2025.3
-
Hydrofluoroethane plasma etching of SiN, SiO2, and poly-Si films with CHF2CF3, CF3CH3, and CHF2CH3 Reviewed Open Access
Tran Trung Nguyen, Toshio Hayashi, Hiroshi Iwayama, Makoto Sekine, Masaru Hori, and Kenji Ishikawa
Applied Surface Science Vol. 684 page: 161815 2025.3
-
Nanoscale visualization of the anti-tumor effect of a plasma-activated Ringer's lactate solution Reviewed Open Access
Junichi Usuda, Kenshin Yagyu, Hiromasa Tanaka, Masaru Hori, Kenji Ishikawa, and Yasufumi Takahashi
Faraday Discussions Vol. 257 ( 0 ) page: 212 - 223 2025.2
-
Developments in low-temperature plasma applications in Asia Reviewed International coauthorship
Pankaj Attri, Kenji Ishikawa, Nozomi Takeuchi, Tomohiro Nozaki, Rajdeep Singh Rawat, Zhitong Chen, Bo Ouyang, Takamasa Okumura, Danni Fu, Katsuyuki Takahashi, Dae-Yeong Kim, Xiaozhong Chen, Kunihiro Kamataki, Koichi Takaki, Eun Ha Choi, Masaru Hori, Kazunori Koga, and Masaharu Shiratani
Reviews of Modern Plasma Physics Vol. 9 ( 1 ) page: 6 2025.2
-
Achieving the in-plane orientation of carbon nanowalls: Implications for sensing, energy harvesting, and nano-bio devices Reviewed Open Access
Shintaro Iba, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Mineo Hiramatsu, and Masaru Hori
ACS Applied Nano Materials Vol. 8 ( 6 ) page: 2660 - 2668 2025.2
-
Plasma-enhanced atomic layer deposition of carbon films employing a cyclic process of N2/H2 plasma and α, α'-dichloro-p-xylene as a precursor Reviewed Open Access
Liugang Hu, Takayoshi Tsutsumi, Nobuyoshi Kobayashi, Kenji Ishikawa, and Masaru Hori
Applied Surface Science Vol. 681 page: 161485 2025.2
-
Shohei Nakamura, Atsushi Tanide, Soichi Nadahara, Kenji Ishikawa, and Masaru Hori
Journal of Vacuum Science and Technology B Vol. 43 ( 2 ) page: 022202 2025.1
-
Analysis of the synergetic effect of process parameters of hydrogenated amorphous carbon deposition in plasma-enhanced chemical vapor deposition using machine learning Reviewed Open Access
Yusuke Ando, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
Diamond and Related Materials Vol. 151 page: 111687 2025.1
-
Epitaxial growth of high-quality GaN with a high growth rate at low temperatures by radical-enhanced metalorganic chemical vapor deposition (vol 14, 10861, 2024) Reviewed Open Access
Arun Kumar Dhasiyan, Frank Wilson Amalraj, Swathy Jayaprasad, Naohiro Shimizu, Osamu Oda, Kenji Ishikawa, and Masaru Hori
Scientific Reports Vol. 14 ( 1 ) page: 30575 2024.12
-
Pseudo-wet plasma mechanism enabling high-throughput dry etching of SiO2 by cryogenic-assisted surface reactions Reviewed Open Access
Shih-Nan Hsiao, Makoto Sekine, Nikolay Britun, Micheal Kin Ting Mo, Yusuke Imai, Takayoshi Tsutsumi, Kenji Ishikawa, Yuki Iijima, Ryutaro Suda, Masahiko Yokoi, Yoshihide Kihara, and Masaru Hori
Small method Vol. 8 ( 12 ) page: 2400090 2024.12
-
Low-temperature plasma as a strategy to achieve SDGs Reviewed
Hiromasa Tanaka, Kenji Ishikawa, and Shinya Toyokuni
Free Radical Research Vol. 58 ( 19 ) page: 594 - 595 2024.10
-
Selective removal of single-layer graphene over double-layer graphene on SiO2 by remote oxygen plasma irradiation Reviewed Open Access
Liugang Hu, Kenji Ishikawa, Thi-Thuy-Nga Nguyen, Shih-Nan Hsiao, and Masaru Hori
Applied Surface Science Vol. 669 page: 160598 2024.10
-
High-speed removal process for organic polymers by non-thermal atmospheric pressure spark discharge at room temperature and its mechanism Reviewed Open Access
Yoshihiro Sakamoto, Takayoshi Tsutsumi, Hiromasa Tanaka, Kenji Ishikawa, Hiroshi Hashizume, and Masaru Hori
Coating Vol. 14 ( 10 ) page: 1339 2024.10
-
Non-halogen dry etching of metal carbide TiAlC by low-pressure N2/H2 plasma at room temperature Reviewed Open Access
Thi-Thuy-Nga Nguyen, Kazunori Shinoda, Shih-Nan Hsiao, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, Kenji Ishikawa, and Masaru Hori
ACS Applied Materials and Interfaces Vol. 16 ( 39 ) page: 53195 - 53206 2024.9
-
Science and applications of plasma activated solutions: Current trends and future directions Reviewed
Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Camelia Miron, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, Masafumi Ito, and Masaru Hori
Plasma Medicine Vol. 14 ( 1 ) page: 67 - 76 2024.9
-
Dry Process 2023 Open Access
Koga K., Takeda K., Toyoda H., Ishikawa K., Ichiki T., Nunomura S., Kurihara K., Kuboi N., Ohta T., Takenaka K.
Vol. 63 ( 8 ) 2024.8
-
Effects of plasma ions/radicals on kinetic interactions in nanowall deposition: A review Invited Reviewed
Kenji Ishikawa
Advanced Engineering Materials Vol. 26 ( 16 ) page: 2400679 2024.8
-
Future of plasma etching for microelectronics: Challenges and opportunities Reviewed International coauthorship Open Access
Gottlieb Oehrlein, Stephan Brandstadter, Robert Bruce, Jane Chang, Jessica DeMott, Vincent M. Donnelly, Remi Dussart, Andreas Fischer, Richard Gottscho, Satoshi Hamaguchi, Masanobu Honda, Masaru Hori, Kenji Ishikawa, Steven Jaloviar, Keren Kanarik, Kazuhiro Karahashi, Akiteru Ko, Hiten Kothari, Nobuyuki Kuboi, Mark Kushner, Thorsten Lill, Pingshan Luan, Ali Mesbah, Eric Miller, Shoubhanik Nath, Yoshinobu Ohya, Mitsuhiro Omura, Chanhoon Park, John Polouse, Shahid Rauf, Makoto Sekine, Taylor Smith, Nathan Stafford, Theo Standaert, and Peter Ventzek
Journal of Vacuum Science and Technology B Vol. 42 ( 4 ) page: 041501 2024.7
-
Low-temperature growth at 225 °C and characterization of carbon nanowalls synthesized by radical injection plasma-enhanced chemical vapor deposition Reviewed Open Access
Ngo Quang Minh, Ngo Van Nong, Osamu Oda, Kenji Ishikawa, and Masaru Hori
Vacuum Vol. 224 page: 113180 2024.6
-
Epitaxial growth of high-quality GaN with a high growth rate at low temperatures by radical-enhanced metalorganic chemical vapor deposition Reviewed Open Access
Arun Kumar Dhasiyan, Frank Wilson Amalraj, Swathy Jayaprasad, Naohiro Shimizu, Osamu Oda, Kenji Ishikawa, and Masaru Hori
Scientific Reports Vol. 14 ( 1 ) page: 10861 2024.5
-
In situ atom-resolved observation of Si (111) 7×7 surface with F radical and Ar ion irradiation simulated atomic layer etching Reviewed Open Access
Takayoshi Tsutsumi, Atsuki Asano, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
Journal of the Vacuum Science and Technologies A Vol. 42 ( 3 ) page: 032603 2024.5
-
Nitrogen admixture effects on growth characteristics and properties of carbon nanowalls Reviewed Open Access
Peter Raj Dennis Christy, Ngo Van Nong, Nikolay Britun, Ngo Quang Minh, Thi-Thuy-Nga Nguyen, Hiroki Kondo, Osamu Oda, Kenji Ishikawa and Masaru Hori
Thin Solid Films Vol. 795 page: 140322 2024.4
-
Dissociative properties of C4F6 obtained using computational chemistry Reviewed Open Access
Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
Japanese Journal of Applied Physics Vol. 63 ( 4 ) page: 04SP26 2024.4
-
Shu Tanaka, Sae Hayashi, Tomohiro Otsuka, Tetsuro Kamiya, Kenji Ishikawa, and Hirokazu Hara
Free Radical Research Vol. 58 ( 3 ) page: 170 - 179 2024.3
-
Plasma-driven sciences: Exploring complex interactions at plasma-boundaries Reviewed Open Access
Kenji Ishikawa, Kazunori Koga, and Noriyasu Ohno
Plasma Vol. 7 ( 1 ) page: 160 - 177 2024.2
-
High-speed Synthesis and Functionalization of Nanographene by In-liquid Plasma Reviewed Open Access
KONDO Hiroki, TSUTSUMI Takayoshi, ISHIKAWA Kenji, HORI Masaru, HIRAMATSU Mineo
Vacuum and Surface Science Vol. 67 ( 2 ) page: 77 - 82 2024.2
-
Elaborate Cooperation of Poly(rC)-binding Proteins 1/2 and Glutathione in Ferroptosis Induced by Plasma-activated Ringer' s Lactate Reviewed Open Access
Li Jiang, Hao Zheng, Moe Ishida, Qinying Lyu, Shinya Akatsuka, Yashiro Motooka, Kotaro Sato, Yoshitaka Sekido, Kae Nakamura, Hiromasa Tanaka, Kenji Ishikawa, Hiroaki Kajiyama, Masaaki Mizuno, Masaru Hori, and Shinya Toyokuni
Free Radical Biology and Medicine Vol. 214 page: 28 - 41 2024.2
-
Surface sulfurization of amorphous carbon films in the chemistry of oxygen plasma added with SO2 or OCS for high-aspect-ratio etching Reviewed Open Access
Kenji Ishikawa, Thi-Thuy-Nga Nguyen, Yuta Aoki, Hiroyasu Sato, Junichi Kawakami, Shuji Tsuno, Shin-Nan Hsiao, and Masaru Hori
Applied Surface Science Vol. 645 page: 158876 2024.2
-
Oxygen radical irradiation transforms an organic fertilizer l-tryptophan into an environment and human-friendly bactericide Reviewed Open Access
Naoyuki Iwata, Kenji Ishikawa, Yasuhiro Nishikawa, Hiroyuki Kato, Motoyuki Shimizu, Masashi Kato, Hiromasa Tanaka, Masafumi Ito, and Masaru Hori
Environmental Technology and Innovation Vol. 33 page: 103496 2024.2
-
Seiko Nakagawa, Akinari Yokoya, Maki Ohara, Noriko Usami, Mizue Asada, Motoyasu Fujiwara, Toshikazu Nakamura, and Kenji Ishikawa
Radiation Physics and Chemistry Vol. 214 page: 111304 2024.1
-
Cold Atmospheric Pressure Plasma-Activated Liquids for Cancer Treatment Reviewed
Miron, C; Hiromasa, T; Britun, N; Hashizume, H; Ishikawa, K; Du, LY; Yamakawa, T; Kurebayashi, Y; Kondo, T; Kondo, H; Kajiyama, H; Toyokuni, S; Mizuno, M; Hori, M
ADVANCES IN DIGITAL HEALTH AND MEDICAL BIOENGINEERING, VOL 3, EHB-2023 Vol. 111 page: 150 - 162 2024
-
Bias-supply timing tailored to the aspect ratio dependence of silicon trench etching in Ar plasma with alternately injected C4F8 and SF6 Reviewed Open Access
Taito Yoshie, Kenji Ishikawa, Thi-Thuy-Nga Nguyen, Shih-Nan Hsiao, Takayoshi Tsutsumi, Makoto Sekine, and Masaru Hori
Applied Surface Science Vol. 638 page: 157981 2023.11
-
Shih-Nan Hsiao, Makoto Sekine, Kenji Ishikawa, Yuki Iijima, Yoshinobu Ohya, and Masaru Hori
Applied Physics Letters Vol. 123 ( 21 ) page: 1 - 4 2023.11
-
Arun Kumar Dhasiyan, Swathy Jayaprasad, Frank Wilson Amalraj, Naohiro Shimizu, Osamu Oda, Kenji Ishikawa, and Masaru Hori
Japanese Journal of Applied Physics Vol. 62 ( SN ) page: SN1019 2023.11
-
Deposition of carbon-based materials directly on copper foil and nickel foam as 2D-and 3D-networked metal substrates by in-liquid plasma Reviewed Open Access
Ma. Shanlene D.C. Dela Vega, Thi-Thuy-Nga Nguyen, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, and Masaru Hori
Plasma Processes and Polymers Vol. 20 ( 11 ) page: 1 - 5 2023.11
-
The Possibility of Cancer Therapy with a Combination of Low-temperature Plasma and Hyperthermia Invited Reviewed
KONDO TAKASHI, HASHIZUME HIROSHI, TANAKA HIROMASA, ISHIKAWA KENJI, SAITOH JUN-ICHI, HORI MASARU
Thermal Medicine Vol. 39 ( 3 ) page: 21 - 30 2023.9
-
Development of an experimental system for cell viability assays of yeasts using gas-temperature controllable plasma jets Reviewed Open Access
Yoshimura, S; Otsubo, Y; Yamashita, A; Johzuka, K; Tsutsumi, T; Ishikawa, K; Hori, M
Japanese Journal of Applied Physics Vol. 62 ( SL ) 2023.9
-
Liu, Y; Ishikawa, K; Tanaka, H; Miron, C; Kondo, T; Nakamura, K; Mizuno, M; Kajiyama, H; Toyokuni, S; Hori, M
PLASMA PROCESSES AND POLYMERS Vol. 20 ( 5 ) 2023.5
-
Leukocyte Depletion and Size-Based Enrichment of Circulating Tumor Cells Using a Pressure-Sensing Microfiltration Device Reviewed Open Access
Onoshima, D; Hase, T; Kihara, N; Kuboyama, D; Tanaka, H; Ozawa, N; Yukawa, H; Sato, M; Ishikawa, K; Hasegawa, Y; Ishii, M; Hori, M; Baba, Y
ACS MEASUREMENT SCIENCE AU Vol. 3 ( 2 ) page: 113 - 119 2023.4
-
Hsiao, SN; Britun, N; Nguyen, TTN; Tsutsumi, T; Ishikawa, K; Sekine, M; Hori, M
VACUUM Vol. 210 page: 111863 - 111863 2023.4
-
High-performance glass filters for capturing and culturing circulating tumor cells and cancer-associated fibroblasts Reviewed Open Access
Tanaka, H; Iwata, D; Shibata, Y; Hase, T; Onoshima, D; Yogo, N; Shibata, H; Sato, M; Ishikawa, K; Nagasawa, I; Hasegawa, Y; Ishii, M; Baba, Y; Hori, M
SCIENTIFIC REPORTS Vol. 13 ( 1 ) page: 4130 2023.3
-
Generation and measurement of low-temperature plasma for cancer therapy: a historical review Reviewed Open Access
Ishikawa, K; Takeda, K; Yoshimura, S; Kondo, T; Tanaka, H; Toyokuni, S; Nakamura, K; Kajiyama, H; Mizuno, M; Hori, M
FREE RADICAL RESEARCH Vol. 57 ( 3 ) page: 239 - 270 2023.3
-
Hashizume, H; Kitano, H; Mizuno, H; Abe, A; Yuasa, G; Tohno, S; Tanaka, H; Ishikawa, K; Matsumoto, S; Sakakibara, H; Hirosue, Y; Maeshima, M; Mizuno, M; Hori, M
FREE RADICAL RESEARCH Vol. 57 ( 3 ) page: 161 - 173 2023.3
-
Miron, C; Ishikawa, K; Kashiwagura, S; Suda, Y; Tanaka, H; Nakamura, K; Kajiyama, H; Toyokuni, S; Mizuno, M; Hori, M
FREE RADICAL RESEARCH Vol. 57 ( 2 ) page: 91 - 104 2023.2
-
Plasma-Assisted Priming: Improved Germination and Seedling Performance of Papaya Reviewed Open Access
Xi, DK; Yap, SL; Kumar, NN; Toh, CC; Ishikawa, K; Hori, M
SAINS MALAYSIANA Vol. 52 ( 2 ) page: 599 - 611 2023.2
-
Zheng, H; Jiang, L; Lyu, QY; Akatsuka, S; Motooka, Y; Sekido, Y; Nakamura, K; Tanaka, H; Ishikawa, K; Kajiyama, H; Mizuno, M; Hori, M; Toyokuni, S
CANCER SCIENCE Vol. 114 page: 749 - 749 2023.2
-
Zheng, H; Jiang, L; Lyu, QY; Akatsuka, S; Motooka, Y; Sekido, Y; Nakamura, K; Tanaka, H; Ishikawa, K; Kajiyama, H; Mizuno, M; Hori, M; Toyokuni, S
CANCER SCIENCE Vol. 114 page: 1340 - 1340 2023.2
-
Nakamura, S; Tanide, A; Kimura, T; Nadahara, S; Ishikawa, K; Oda, O; Hori, M
JOURNAL OF APPLIED PHYSICS Vol. 133 ( 4 ) 2023.1
-
Mechanical properties of maze-like carbon nanowalls synthesized by the radial injection plasma enhanced chemical vapor deposition method Reviewed Open Access
Ghodke, S; Murashima, M; Christy, D; Van Nong, N; Ishikawa, K; Oda, O; Umehara, N; Hori, M
MATERIALS SCIENCE AND ENGINEERING A-STRUCTURAL MATERIALS PROPERTIES MICROSTRUCTURE AND PROCESSING Vol. 862 2023.1
-
Effects of plasma-activated Ringer's lactate solution on cancer cells: evaluation of genotoxicity Reviewed Open Access
Liu, Y; Nakatsu, Y; Tanaka, H; Koga, K; Ishikawa, K; Shiratani, M; Hori, M
GENES AND ENVIRONMENT Vol. 45 ( 1 ) page: 3 2023.1
-
Editorial: Prospects of plasma generated species interaction with organic and inorganic materials Reviewed Open Access
Attri, P; Koga, K; Kurita, H; Ishikawa, K; Shiratani, M
FRONTIERS IN PHYSICS Vol. 10 2023.1
-
Plasma activated Ringer's lactate solution Reviewed
Tanaka, H; Mizuno, M; Ishikawa, K; Miron, C; Okazaki, Y; Toyokuni, S; Nakamura, K; Kajiyama, H; Hori, M
FREE RADICAL RESEARCH Vol. 57 ( 1 ) page: 14 - 20 2023.1
-
Biocompatibility of conformal silicon carbide on carbon nanowall scaffolds Reviewed Open Access
Ono, K; Koide, T; Ishikawa, K; Tanaka, H; Kondo, H; Sugawara-Narutaki, A; Jin, Y; Yasuhara, S; Hori, M; Takeuchi, W
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 62 ( SA ) 2023.1
-
Science-based, data-driven developments in plasma processing for material synthesis and device-integration technologies Reviewed Open Access
Kambara, M; Kawaguchi, S; Lee, HJ; Ikuse, K; Hamaguchi, S; Ohmori, T; Ishikawa, K
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 62 ( SA ) 2023.1
-
Effects of High-Quality Carbon Nanowalls Ionization-Assisting Substrates on Surface-Assisted Laser Desorption/Ionization Mass Spectrometry Performance Reviewed Open Access
Sakai, R; Kondo, H; Ishikawa, K; Ohta, T; Hiramatsu, M; Tanaka, H; Hori, M
NANOMATERIALS Vol. 13 ( 1 ) 2023.1
-
Selective isotropic atomic-layer etching of thin films by using dry chemical removal tool Reviewed
Shinoda K., Miyoshi N., Kobayashi H., Izawa M., Ishikawa K., Hori M.
Proceedings of SPIE - The International Society for Optical Engineering Vol. 12499 2023
-
Free radical formation induced by cold atmospheric plasma and its biological implications-Comparison with ionizing radiation- Reviewed
Kondo Taakshi, Tanaka Hiromasa, Ishikawa Kenji, Hori Masaru
Vol. 74 ( 2 ) page: 120 - 126 2023
-
総説:プラズマなどで処理された水の多様性 Reviewed
石川 健治
静電気学会誌 Vol. 46 page: 209 2023
-
Impact of microsecond-pulsed plasma-activated water on papaya seed germination and seedling growth Reviewed
Xi, DK; Zhang, XH; Yang, SZ; Yap, SS; Ishikawa, K; Hori, M; Yap, SL
CHINESE PHYSICS B Vol. 31 ( 12 ) 2022.12
-
Indoor Floor Heel Mark Removal Using Spark Discharges and Pressurized Airflow Reviewed Open Access
Sakamoto, Y; Tsutsumi, T; Tanaka, H; Ishikawa, K; Hashizume, H; Hori, M
COATINGS Vol. 12 ( 12 ) 2022.12
-
Dry etching of ternary metal carbide TiAlC via surface modification using floating wire-assisted vapor plasma Reviewed Open Access
Nguyen, TTN; Shinoda, K; Hamamura, H; Maeda, K; Yokogawa, K; Izawa, M; Ishikawa, K; Hori, M
SCIENTIFIC REPORTS Vol. 12 ( 1 ) page: 20394 2022.11
-
Inoue, K; Sakakibara, N; Goto, T; Ito, T; Shimizu, Y; Hakuta, Y; Ishikawa, K; Hori, M; Terashima, K
ACS APPLIED MATERIALS & INTERFACES Vol. 14 ( 47 ) page: 53413 - 53420 2022.11
-
Effects of deposition precursors of hydrogenated amorphous carbon films on the plasma etching resistance based on mass spectrometer measurements and machine learning analysis Reviewed Open Access
Kurokawa, J; Kondo, H; Tsutsumi, T; Ishikawa, K; Sekine, M; Hori, M
VACUUM Vol. 205 2022.11
-
Hayashi, T; Ishikawa, K; Sekine, M; Hori, M; Lwayama, H
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 61 ( 10 ) 2022.10
-
In-liquid plasma synthesis of iron-nitrogen-doped carbon nanoflakes with high catalytic activity Reviewed
Kondo, H; Hamaji, R; Amano, T; Ishikawa, K; Sekine, M; Hiramatsu, M; Hori, M
PLASMA PROCESSES AND POLYMERS Vol. 19 ( 8 ) 2022.8
-
Akatsuka H., Kurihara K., Toyoda H., Karahashi K., Ishikawa K., Ichiki T., Eriguchi K., Kuboi N., Matsui M., Nunomura S.
Japanese Journal of Applied Physics Vol. 61 ( SI ) 2022.7
-
Sahu, BB; Nakane, K; Ishikawa, K; Sekine, M; Tsutsumi, T; Gohira, T; Ohya, Y; Ohno, N; Hori, M
PHYSICAL CHEMISTRY CHEMICAL PHYSICS Vol. 24 ( 22 ) page: 13883 - 13896 2022.6
-
Nguyen, TTN; Sasaki, M; Hsiao, SN; Tsutsumi, T; Ishikawa, K; Hori, M
PLASMA PROCESSES AND POLYMERS Vol. 19 ( 6 ) 2022.6
-
Ito, D; Iwata, N; Ishikawa, K; Nakamura, K; Hashizume, H; Miron, C; Tanaka, H; Kajiyama, H; Toyokuni, S; Mizuno, M; Hori, M
APPLIED PHYSICS EXPRESS Vol. 15 ( 5 ) 2022.5
-
Shinoda, K; Miyoshi, N; Kobayashi, H; Hanaoka, Y; Izawa, M; Ishikawa, K; Hori, M
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B Vol. 40 ( 2 ) 2022.3
-
Scaffolds with isolated carbon nanowalls promote osteogenic differentiation through Runt-related transcription factor 2 and osteocalcin gene expression of osteoblast-like cells Reviewed Open Access
Ichikawa, T; Ishikawa, K; Tanaka, H; Shimizu, N; Hori, M
AIP ADVANCES Vol. 12 ( 2 ) 2022.2
-
Enhancement of ethanol production and cell growth in budding yeast by direct irradiation of low-temperature plasma Reviewed Open Access
Tanaka, H; Matsumura, S; Ishikawa, K; Hashizume, H; Ito, M; Nakamura, K; Kajiyama, H; Kikkawa, F; Ito, M; Ohno, K; Okazaki, Y; Toyokuni, S; Mizuno, M; Hori, M
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 61 ( SA ) 2022.1
-
Towards prevention and prediction of infectious diseases with virus sterilization using ultraviolet light and low-temperature plasma and bio-sensing devices for health and hygiene care Reviewed International coauthorship Open Access
Kumagai, S; Nishigori, C; Takeuchi, T; Bruggeman, P; Takashima, K; Takahashi, H; Kaneko, T; Choi, EH; Nakazato, K; Kambara, M; Ishikawa, K
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 61 ( SA ) page: SA0808 2022.1
-
Perspectives on functional nitrogen science and plasma-based <i>in situ</i> functionalization Reviewed Open Access
Ishikawa, K
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 61 ( SA ) page: SA0802 2022.1
-
Functional nitrogen science based on plasma processing: quantum devices, photocatalysts and activation of plant defense and immune systems Reviewed International coauthorship Open Access
Kaneko, T; Kato, H; Yamada, H; Yamamoto, M; Yoshida, T; Attri, P; Koga, K; Murakami, T; Kuchitsu, K; Ando, S; Nishikawa, Y; Tomita, K; Ono, R; Ito, T; Ito, AM; Eriguchi, K; Nozaki, T; Tsutsumi, T; Ishikawa, K
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 61 ( SA ) page: SA0805 2022.1
-
Hsiao S.N., Imai Y., Britrun N., Tsutsumi T., Ishikawa K., Sekine M., Hori M.
IEEE International Symposium on Semiconductor Manufacturing Conference Proceedings Vol. 2022-December 2022
-
Takeda, K; Ishikawa, K; Hori, M
REVIEWS OF MODERN PLASMA PHYSICS Vol. 6 ( 1 ) 2022
-
On the Etching Mechanism of Highly Hydrogenated SiN Films by CF<sub>4</sub>/D<sub>2</sub> Plasma: Comparison with CF<sub>4</sub>/H<sub>2</sub> Reviewed Open Access
Hsiao, SN; Nguyen, TTN; Tsutsumi, T; Ishikawa, K; Sekine, M; Hori, M
COATINGS Vol. 11 ( 12 ) page: 1535 2021.12
-
プラズマがん治療 Invited Reviewed
石川健治,堀勝
静電気学会誌 Vol. 45 ( 6 ) page: 206 - 212 2021.11
-
Hsiao, SN; Britun, N; Nguyen, TTN; Tsutsumi, T; Ishikawa, K; Sekine, M; Hori, M
PLASMA PROCESSES AND POLYMERS Vol. 18 ( 11 ) page: 2100078 2021.11
-
Plasma-activated Ringer's lactate solution inhibits the cellular respiratory system in HeLa cells Reviewed
Tanaka, H; Maeda, S; Nakamura, K; Hashizume, H; Ishikawa, K; Ito, M; Ohno, K; Mizuno, M; Motooka, Y; Okazaki, Y; Toyokuni, S; Kajiyama, H; Kikkawa, F; Hori, M
PLASMA PROCESSES AND POLYMERS Vol. 18 ( 10 ) page: 2100056 2021.10
-
Low temperature plasma irradiation products of sodium lactate solution that induce cell death on U251SP glioblastoma cells were identified Reviewed Open Access
Tanaka, H; Hosoi, Y; Ishikawa, K; Yoshitake, J; Shibata, T; Uchida, K; Hashizume, H; Mizuno, M; Okazaki, Y; Toyokuni, S; Nakamura, K; Kajiyama, H; Kikkawa, F; Hori, M
SCIENTIFIC REPORTS Vol. 11 ( 1 ) page: 18488 2021.9
-
Leading edge of transcendental dry etching technology realizing advanced decice structures Invited Reviewed
Kenji Ishikawa, and Keigo Takeda
Journal of plasma and fusion research Vol. 97 ( 9 ) page: 534 - 536 2021.9
-
Effects of free radicals generated by atmospheric pressure plasma on physical actions and biochemical relaxations (PACR) Invited Reviewed
Kenji Ishikawa, Hiroshi Hashizume, Camelia Miron, Hiromasa Tanaka, and Masaru Hori
Radiation biology research communications Vol. 56 ( 3 ) page: 280 - 294 2021.9
-
Reaction control of atomic layer and low dame processes for plasma etching of nitride semiconductor Invited Reviewed
Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori
Journal of plasma and fusion research Vol. 97 ( 9 ) page: 517 - 521 2021.9
-
Leading edge of transcendental dry etching technology realizing advanced decice structures Invited Reviewed
Kenji Ishikawa, and Keigo Takeda
Journal of plasma and fusion research Vol. 97 ( 9 ) page: 508 - 510 2021.9
-
Novel Method of Rebound Tailing Pulse (RTP) for Water Dissociation Reviewed
Shimizu, N; Borude, RR; Tanaka, R; Ishikawa, K; Oda, O; Hosoe, H; Ino, S; Inoue, Y; Hori, M
IEEE TRANSACTIONS ON PLASMA SCIENCE Vol. 49 ( 9 ) page: 2893 - 2900 2021.9
-
Lysosomal nitric oxide determines transition from autophagy to ferroptosis after exposure to plasma-activated Ringer's lactate Reviewed Open Access
Jiang, L; Zheng, H; Lyu, QY; Hayashi, S; Sato, K; Sekido, Y; Nakamura, K; Tanaka, H; Ishikawa, K; Kajiyama, H; Mizuno, M; Hori, M; Toyokuni, S
REDOX BIOLOGY Vol. 43 page: 101989 2021.7
-
Brain cell proliferation in adult rats after irradiation with nonequilibrium atmospheric pressure plasma Reviewed Open Access
Yamato, M; Tamura, Y; Tanaka, H; Ishikawa, K; Ikehara, Y; Hori, M; Kataoka, Y
APPLIED PHYSICS EXPRESS Vol. 14 ( 6 ) page: 067002 2021.6
-
Hydrogen peroxide in lactate solutions irradiated by non-equilibrium atmospheric pressure plasma Reviewed
Liu, Y; Ishikawa, K; Miron, C; Hashizume, H; Tanaka, H; Hori, M
PLASMA SOURCES SCIENCE & TECHNOLOGY Vol. 30 ( 4 ) 2021.4
-
Hsiao, SN; Nakane, K; Tsutsumi, T; Ishikawa, K; Sekine, M; Hori, M
APPLIED SURFACE SCIENCE Vol. 542 page: 148550 2021.3
-
Hsiao, SN; Ishikawa, K; Hayashi, T; Ni, JW; Tsutsumi, T; Sekine, M; Hori, M
APPLIED SURFACE SCIENCE Vol. 541 page: 148439 2021.3
-
FOREWORD Mechanistic understanding of cold atmospheric plasma applications Reviewed Open Access
Kurihara, K; Ishikawa, K; Takaki, K; Shiratani, M
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 60 ( 2 ) 2021.2
-
Growth inhibition effect on <i>Trypanosoma brucei</i> <i>gambiense</i> by the oxidative stress supplied from low-temperature plasma at atmospheric pressure Reviewed Open Access
Yokoyama, N; Sivakumar, T; Ikehara, S; Akimoto, Y; Yamaguchi, T; Wakai, K; Ishikawa, K; Hori, M; Shimizu, T; Sakakita, H; Ikehara, Y
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 60 ( 2 ) page: 020601 2021.2
-
Effects of Carbon Nanowalls (CNWs) Substrates on Soft Ionization of Low-Molecular-Weight Organic Compounds in Surface-Assisted Laser Desorption/Ionization Mass Spectrometry (SALDI-MS) Reviewed Open Access
Sakai, R; Ichikawa, T; Kondo, H; Ishikawa, K; Shimizu, N; Ohta, T; Hiramatsu, M; Hori, M
NANOMATERIALS Vol. 11 ( 2 ) page: 1 - 11 2021.2
-
Impact of seed color and storage time on the radish seed germination and sprout growth in plasma agriculture Reviewed International coauthorship Open Access
Attri, P; Ishikawa, K; Okumura, T; Koga, K; Shiratani, M; Mildaziene, V
SCIENTIFIC REPORTS Vol. 11 ( 1 ) page: 2539 2021.1
-
Yoshimura S., Otsubo Y., Yamashita A., Ishikawa K.
Japanese Journal of Applied Physics Vol. 60 ( 1 ) page: 010502 2021.1
-
Miyoshi Y., Ishikawa K., Sekine M., Hori M., Tatsumi T.
Japanese Journal of Applied Physics Vol. 60 ( 1 ) page: 010906 2021.1
-
Hashizume, H; Kitano, H; Mizuno, H; Abe, A; Yuasa, G; Tohno, S; Tanaka, H; Ishikawa, K; Matsumoto, S; Sakakibara, H; Nikawa, S; Maeshima, M; Mizuno, M; Hori, M
PLASMA PROCESSES AND POLYMERS Vol. 18 ( 1 ) page: 2000181 2021.1
-
Cancer Treatments Using Low-Temperature Plasma Reviewed
Tanaka, H; Mizuno, M; Ishikawa, K; Toyokuni, S; Kajiyama, H; Kikkawa, F; Hori, M
CURRENT MEDICINAL CHEMISTRY Vol. 28 ( 41 ) page: 8549 - 8558 2021
-
Sato Y., Ishikawa K., Tsutsumi T., Ui A., Akita M., Oka S., Hori M.
47th EPS Conference on Plasma Physics, EPS 2021 Vol. 2021-June page: 45 - 48 2021
-
Reaction science of layer-by-layer thinning of graphene with oxygen neutrals at room temperature Reviewed
Sugiura, H; Kondo, H; Higuchi, K; Arai, S; Hamaji, R; Tsutsumi, T; Ishikawa, K; Hori, M
CARBON Vol. 170 page: 93 - 99 2020.12
-
Amalraj, FW; Shimizu, N; Oda, O; Ishikawa, K; Hori, M
JOURNAL OF CRYSTAL GROWTH Vol. 549 page: 125863 2020.11
-
Sato, Y; Katsuno, K; Odaka, H; Imajyo, N; Ishikawa, K; Hori, M
JOURNAL OF PHYSICS D-APPLIED PHYSICS Vol. 53 ( 43 ) page: 534302 2020.10
-
Formation of spherical Sn particles by reducing SnO<sub>2</sub> film in floating wire-assisted H<sub>2</sub>/Ar plasma at atmospheric pressure Reviewed Open Access
Nguyen, TTN; Sasaki, M; Tsutsumi, T; Ishikawa, K; Hori, M
SCIENTIFIC REPORTS Vol. 10 ( 1 ) page: 17770 2020.10
-
Roles of Atomic Nitrogen/Hydrogen in GaN Film Growth by Chemically Assisted Sputtering with Dual Plasma Sources Reviewed Open Access
Tanide, A; Nakamura, S; Horikoshi, A; Takatsuji, S; Kimura, T; Kinose, K; Nadahara, S; Nishikawa, M; Ebe, A; Ishikawa, K; Oda, O; Hori, M
ACS OMEGA Vol. 5 ( 41 ) page: 26776 - 26785 2020.10
-
Laser-induced-plasma-activated medium enables killing of HeLa cells Reviewed
Kurokawa Y., Takeda K., Ishikawa K., Tanaka H., Hori M.
Applied Physics Express Vol. 13 ( 10 ) 2020.10
-
Nakamura, K; Yoshikawa, N; Yoshihara, M; Ikeda, Y; Higashida, A; Niwa, A; Jindo, T; Tanaka, H; Ishikawa, K; Mizuno, M; Toyokuni, S; Hori, M; Kikkawa, F; Kajiyama, H
PLASMA PROCESSES AND POLYMERS Vol. 17 ( 10 ) page: 1900259 2020.10
-
Small size gold nanoparticles enhance apoptosis-induced by cold atmospheric plasma via depletion of intracellular GSH and modification of oxidative stress Reviewed Open Access
Jawaid, P; Rehman, MU; Zhao, QL; Misawa, M; Ishikawa, K; Hori, M; Shimizu, T; Saitoh, J; Noguchi, K; Kondo, T
CELL DEATH DISCOVERY Vol. 6 ( 1 ) page: 83 2020.9
-
Numerical analysis of coaxial dielectric barrier helium discharges: three-stage mode transitions and internal bullet propagation Reviewed Open Access
Sato, Y; Ishikawa, K; Tsutsumi, T; Hori, M
APPLIED PHYSICS EXPRESS Vol. 13 ( 8 ) page: 086001 2020.8
-
Plasma Agriculture from Laboratory to Farm: A Review Reviewed Open Access
Attri, P; Ishikawa, K; Okumura, T; Koga, K; Shiratani, M
PROCESSES Vol. 8 ( 8 ) page: 1002 2020.8
-
Ishikawa, K; Hosoi, Y; Tanaka, H; Jiang, L; Toyokuni, S; Nakamura, K; Kajiyama, H; Kikkawa, F; Mizuno, M; Hori, M
ARCHIVES OF BIOCHEMISTRY AND BIOPHYSICS Vol. 688 page: 108414 2020.7
-
Hasegawa, M; Tsutsumi, T; Tanide, A; Nakamura, S; Kondo, H; Ishikawa, K; Sekine, M; Hori, M
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A Vol. 38 ( 4 ) page: 042602 2020.7
-
Numerical simulations of stable, high-electron-density atmospheric pressure argon plasma under pin-to-plane electrode geometry: effects of applied voltage polarity Reviewed Open Access
Sato, Y; Ishikawa, K; Tsutsumi, T; Ui, A; Akita, M; Oka, S; Hori, M
JOURNAL OF PHYSICS D-APPLIED PHYSICS Vol. 53 ( 26 ) page: 265204 2020.6
-
In-plane modification of hexagonal boron nitride particles via plasma in solution Reviewed
Ito, T; Goto, T; Inoue, K; Ishikawa, K; Kondo, H; Hori, M; Shimizu, Y; Hakuta, Y; Terashima, K
APPLIED PHYSICS EXPRESS Vol. 13 ( 6 ) page: 066001 2020.6
-
Jia, FD; Wu, Y; Min, Q; Su, MG; Takeda, K; Ishikawa, K; Kondo, H; Sekine, M; Hori, M; Zhong, ZP
PLASMA SCIENCE & TECHNOLOGY Vol. 22 ( 6 ) page: 065404 2020.6
-
Electronic properties and primary dissociation channels of fluoromethane compounds Reviewed
Hayashi, T; Ishikawa, K; Sekine, M; Hori, M
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 59 ( SJ ) page: SJJE02 2020.6
-
Electron spin resonance as a tool to monitor the influence of novel processing technologies on food properties Reviewed International coauthorship
Barba, FJ; Roohinejad, S; Ishikawa, K; Leong, SY; Bekhit, AEA; Saraiva, JA; Lebovka, N
TRENDS IN FOOD SCIENCE & TECHNOLOGY Vol. 100 page: 77 - 87 2020.6
-
Dry Process FOREWORD Reviewed
Shirafuji, T; Kinoshita, K; Akatsuka, H; Eriguchi, K; Ichikawa, T; Ichiki, T; Ishijima, T; Ishikawa, K; Karahashi, K; Kurihara, K; Sekine, M
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 59 ( SJ ) 2020.6
-
Ichikawa, T; Shimizu, N; Ishikawa, K; Hiramatsu, M; Hori, M
CARBON Vol. 161 page: 403 - 412 2020.5
-
Sugiura, H; Ohashi, Y; Ishikawa, K; Kondo, H; Kato, T; Kaneko, T; Takeda, K; Tsutsumi, T; Hayashi, T; Sekine, M; Hori, M
DIAMOND AND RELATED MATERIALS Vol. 104 2020.4
-
In-Liquid Plasma Synthesis of Nanographene with a Mixture of Methanol and 1-Butanol Reviewed
Ando, A; Ishikawa, K; Takeda, K; Ohta, T; Ito, M; Hiramatsu, M; Kondo, H; Sekine, M; Hori, M
CHEMNANOMAT Vol. 6 ( 4 ) page: 604 - 609 2020.4
-
Interaction of oxygen with polystyrene and polyethylene polymer films: A mechanistic study Reviewed International coauthorship
Fukunaga, Y; Longo, RC; Ventzek, PLG; Lane, B; Ranjan, A; Hwang, GS; Hartmann, G; Tsutsumi, T; Ishikawa, K; Kondo, H; Sekine, M; Hori, M
JOURNAL OF APPLIED PHYSICS Vol. 127 ( 2 ) 2020.1
-
Inactivation mechanism of fungal spores through oxygen radicals in atmospheric-pressure plasma Reviewed
Ito M., Hashizume H., Oh J.S., Ishikawa K., Ohta T., Hori M.
Japanese Journal of Applied Physics Vol. 60 ( 1 ) page: 010503 2020
-
Kuboyama D., Onoshima D., Kihara N., Tanaka H., Hase T., Yukawa H., Ishikawa K., Odaka H., Hasegawa Y., Hori M., Baba Y.
21st International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2017 page: 882 - 883 2020
-
Onoshima D., Yukawa H., Hattori Y., Ishikawa K., Hori M., Baba Y.
21st International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2017 page: 679 - 680 2020
-
Hsiao, SN; Nguyen, TTN; Tsutsumi, T; Ishikawa, K; Sekine, M; Hori, M
2020 INTERNATIONAL SYMPOSIUM ON SEMICONDUCTOR MANUFACTURING (ISSM) Vol. 2020-December 2020
-
Shinoda, K; Miyoshi, N; Kobayashi, H; Izawa, M; Ishikawa, K; Hori, M
JOURNAL OF PHYSICS D-APPLIED PHYSICS Vol. 52 ( 47 ) 2019.11
-
Isobe, Y; Sakai, T; Suguro, K; Miyashita, N; Kondo, H; Ishikawa, K; Wilson, AF; Shimizu, N; Oda, O; Sekine, M; Hori, M
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B Vol. 37 ( 6 ) 2019.11
-
Oxidative stress-dependent and -independent death of glioblastoma cells induced by non-thermal plasma-exposed solutions Reviewed Open Access
Tanaka Hiromasa, Mizuno Masaaki, Katsumata Yuko, Ishikawa Kenji, Kondo Hiroki, Hashizume Hiroshi, Okazaki Yasumasa, Toyokuni Shinya, Nakamura Kae, Yoshikawa Nobuhisa, Kajiyama Hiroaki, Kikkawa Fumitaka, Hori Masaru
SCIENTIFIC REPORTS Vol. 9 ( 1 ) page: 13657 2019.9
-
Ohta, T; Ito, H; Ishikawa, K; Kondo, H; Hiramatsu, M; Hori, M
C-JOURNAL OF CARBON RESEARCH Vol. 5 ( 3 ) 2019.9
-
Shinoda, K; Miyoshi, N; Kobayashi, H; Izawa, M; Saeki, T; Ishikawa, K; Hori, M
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A Vol. 37 ( 5 ) 2019.9
-
Miyajima, H; Ishikawa, K; Sekine, M; Hori, M
PLASMA PROCESSES AND POLYMERS Vol. 16 ( 9 ) 2019.9
-
Iwata, N; Gamaleev, V; Hashizume, H; Oh, JS; Ohta, T; Ishikawa, K; Hori, M; Ito, M
PLASMA PROCESSES AND POLYMERS Vol. 16 ( 8 ) 2019.8
-
Ichikawa T., Kondo H., Ishikawa K., Tsutsumi T., Tanaka H., Sekine M., Hori M.
ACS Applied Bio Materials Vol. 2 ( 7 ) page: 2698 - 2702 2019.7
-
Rethinking surface reactions in nanoscale dry processes toward atomic precision and beyond: a physics and chemistry perspective Reviewed International coauthorship Open Access
Ishikawa Kenji, Ishijima Tatsuo, Shirafuji Tatsuru, Armini Silvia, Despiau-Pujo Emilie, Gottscho Richard A., Kanarik Keren J., Leusink Gert J., Marchack Nathan, Murayama Takahide, Morikawa Yasuhiro, Oehrlein Gottlieb S., Park Sangwuk, Hayashi Hisataka, Kinoshita Keizo
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 58 ( SE ) 2019.6
-
Yamaoka Sotaro, Kondo Hiroki, Hashizume Hiroshi, Ishikawa Kenji, Tanaka Hiromasa, Hori Masaru
APPLIED PHYSICS EXPRESS Vol. 12 ( 6 ) 2019.6
-
Progress and perspectives in dry processes for emerging multidisciplinary applications: how can we improve our use of dry processes? Reviewed Open Access
Iwase Taku, Kamaji Yoshito, Kang Song Yun, Koga Kazunori, Kuboi Nobuyuki, Nakamura Moritaka, Negishi Nobuyuki, Nozaki Tomohiro, Nunomura Shota, Ogawa Daisuke, Omura Mitsuhiro, Shimizu Tetsuji, Shinoda Kazunori, Sonoda Yasushi, Suzuki Haruka, Takahashi Kazuo, Tsutsumi Takayoshi, Yoshikawa Kenichi, Ishijima Tatsuo, Ishikawa Kenji
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 58 ( SE ) 2019.6
-
Iwase Taku, Kamaji Yoshito, Kang Song Yun, Koga Kazunori, Kuboi Nobuyuki, Nakamura Moritaka, Negishi Nobuyuki, Nozaki Tomohiro, Nunomura Shota, Ogawa Daisuke, Omura Mitsuhiro, Shimizu Tetsuji, Shinoda Kazunori, Sonoda Yasushi, Suzuki Haruka, Takahashi Kazuo, Tsutsumi Takayoshi, Yoshikawa Kenichi, Ishijima Tatsuo, Ishikawa Kenji
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 58 ( SE ) 2019.6
-
Iwase Taku, Kamaji Yoshito, Kang Song Yun, Koga Kazunori, Kuboi Nobuyuki, Nakamura Moritaka, Negishi Nobuyuki, Nozaki Tomohiro, Nunomura Shota, Ogawa Daisuke, Omura Mitsuhiro, Shimizu Tetsuji, Shinoda Kazunori, Sonoda Yasushi, Suzuki Haruka, Takahashi Kazuo, Tsutsumi Takayoshi, Yoshikawa Kenichi, Ishijima Tatsuo, Ishikawa Kenji
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 58 ( SE ) 2019.6
-
Electronic properties and primarily dissociation channels of fluoroethane compounds Reviewed
Hayashi, T; Ishikawa, K; Sekine, M; Hori, M
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 58 ( SE ) 2019.6
-
Polyethylene terephthalate (PET) surface modification by VUV and neutral active species in remote oxygen or hydrogen plasmas Reviewed International coauthorship
Zhang Yan, Ishikawa Kenji, Mozetic Miran, Tsutsumi Takayoshi, Kondo Hiroki, Sekine Makoto, Hori Masaru
PLASMA PROCESSES AND POLYMERS Vol. 16 ( 6 ) 2019.6
-
Laser-drilling formation of through-glass-via (TGV) on polymer-laminated glass Reviewed
Sato, Y; Imajyo, N; Ishikawa, K; Tummala, R; Hori, M
JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS Vol. 30 ( 11 ) page: 10183 - 10190 2019.6
-
Isobe, Y; Sakai, T; Sugiyama, N; Mizushima, I; Suguro, K; Miyashita, N; Lu, Y; Wilson, AF; Kumar, DA; Ikarashi, N; Kondo, H; Ishikawa, K; Shimizu, N; Oda, O; Sekine, M; Hori, M
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B Vol. 37 ( 3 ) 2019.5
-
Miyajima H., Masuda H., Watanabe K., Ishikawa K., Sekine M., Hori M.
Micro and Nano Engineering Vol. 3 page: 92 - 92 2019.5
-
Chemical bonding structure in porous SiOC films (k < 2.4) with high plasma-induced damage resistance Reviewed Open Access
Miyajima H., Masuda H., Watanabe K., Ishikawa K., Sekine M., Hori M.
Micro and Nano Engineering Vol. 3 page: 1 - 6 2019.5
-
Borude Ranjit R., Sugiura Hirotsugu, Ishikawa Kenji, Tsutsumi Takayoshi, Kondo Hiroki, Hori Masaru
JOURNAL OF PHYSICS D-APPLIED PHYSICS Vol. 52 ( 17 ) 2019.4
-
Takeda Keigo, Yamada Hiromasa, Ishikawa Kenji, Sakakita Hajime, Kim Jaeho, Ueda Masashi, Ikeda Jun-ichiro, Akimoto Yoshihiro, Kataoka Yosky, Yokoyama Naoaki, Ikehara Yuzuru, Hori Masaru
JOURNAL OF PHYSICS D-APPLIED PHYSICS Vol. 52 ( 16 ) 2019.4
-
A 65-nm CMOS Fully Integrated Analysis Platform Using an On-Chip Vector Network Analyzer and a Transmission-Line-Based Detection Window for Analyzing Circulating Tumor Cell and Exosome Reviewed Open Access
Niitsu Kiichi, Nakanishi Taiki, Murakami Shunya, Matsunaga Maya, Kobayashi Atsuki, Karim Nissar Mohammad, Ito Jun, Ozawa Naoya, Hase Tetsunari, Tanaka Hiromasa, Sato Mitsuo, Kondo Hiroki, Ishikawa Kenji, Odaka Hidefumi, Hasegawa Yoshinori, Hori Masaru, Nakazato Kazuo
IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS Vol. 13 ( 2 ) page: 470 - 479 2019.4
-
Imai Shun, Naito Kenichi, Kondo Hiroki, Cho Hyung Jun, Ishikawa Kenji, Tsutsumi Takayoshi, Sekine Makoto, Hiramatsu Mineo, Hori Masaru
JOURNAL OF PHYSICS D-APPLIED PHYSICS Vol. 52 ( 10 ) 2019.3
-
Sugiura, H; Jia, LY; Ohashi, Y; Kondo, H; Ishikawa, K; Tsutsumi, T; Hayashi, T; Takeda, K; Sekine, M; Hori, M
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 58 ( 3 ) 2019.3
-
Effects of BCl3 addition to Cl2 gas on etching characteristics of GaN at high temperature Reviewed
Tanide Atsushi, Nakamura Shohei, Horikoshi Akira, Takatsuji Shigeru, Kohno Motohiro, Kinose Kazuo, Nadahara Soichi, Ishikawa Kenji, Sekine Makoto, Hori Masaru
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B Vol. 37 ( 2 ) 2019.3
-
Sugiura, H; Kondo, H; Tsutsumi, T; Ishikawa, K; Hori, M
C-JOURNAL OF CARBON RESEARCH Vol. 5 ( 1 ) 2019.3
-
Tomatsu, M; Hiramatsu, M; Kondo, H; Ishikawa, K; Tsutsumi, T; Sekine, M; Hori, M
C-JOURNAL OF CARBON RESEARCH Vol. 5 ( 1 ) 2019.3
-
Kurake Naoyuki, Ishikawa Kenji, Tanaka Hiromasa, Hashizume Hiroshi, Nakamura Kae, Kajiyama Hiroaki, Toyokuni Shinya, Kikkawa Fumitaka, Mizuno Masaaki, Hori Masaru
ARCHIVES OF BIOCHEMISTRY AND BIOPHYSICS Vol. 662 page: 83 - 92 2019.2
-
Thi-Thuy-Nga Nguyen, Sasaki Minoru, Odaka Hidefumi, Tsutsumi Takayoshi, Ishikawa Kenji, Hori Masaru
JOURNAL OF APPLIED PHYSICS Vol. 125 ( 6 ) 2019.2
-
Liquid dynamics in response to an impinging low-temperature plasma jet Reviewed International coauthorship
Brubaker T. R., Ishikawa K., Kondo H., Tsutsumi T., Hashizume H., Tanaka H., Knecht S. D., Bilen S. G., Hori M.
JOURNAL OF PHYSICS D-APPLIED PHYSICS Vol. 52 ( 7 ) 2019.2
-
Ichikawa Tomonori, Tanaka Suiki, Kondo Hiroki, Ishikawa Kenji, Tsutsumi Takayoshi, Sekine Makoto, Hori Masaru
APPLIED PHYSICS EXPRESS Vol. 12 ( 2 ) 2019.2
-
Miyajima Hideshi, Watanabe Kei, Ishikawa Kenji, Sekine Makoto, Hori Masaru
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 58 ( 2 ) 2019.2
-
Fukunaga Yusuke, Tsutsumi Takayoshi, Kondo Hiroki, Ishikawa Kenji, Sekine Makoto, Hori Masaru
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 58 ( 2 ) 2019.2
-
Tanide Atsushi, Nakamura Shohei, Horikoshi Akira, Takatsuji Shigeru, Kohno Motohiro, Kinose Kazuo, Nadahara Soichi, Nishikawa Masazumi, Ebe Akinori, Ishikawa Kenji, Hori Masaru
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 58 ( SA ) 2019.2
-
Borude Ranjit R., Sugiura Hirotsugu, Ishikawa Kenji, Tsutsumi Takayoshi, Kondo Hiroki, Han Jeon Geon, Hori Masaru
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 58 ( SA ) 2019.2
-
Borude, RR; Sugiura, H; Ishikawa, K; Tsutsumi, T; Kondo, H; Ikarashi, N; Hori, M
ACS APPLIED NANO MATERIALS Vol. 2 ( 2 ) page: 649 - 654 2019.2
-
Imai Shun, Kondo Hiroki, Hyungjun Cho, Ishikawa Kenji, Tsutsumi Takayoshi, Sekine Makoto, Hiramatsu Mineo, Hori Masaru
APPLIED PHYSICS EXPRESS Vol. 12 ( 1 ) 2019.1
-
Batch Fabrication of Nano-Gap Electrode Array Using Photo-Patterning and Resist UV-Curing
Nguyen Hai Minh, Kumeuchi Mako, Kumagai Shinya, Ishikawa Kenji, Hori Masaru, Sasaki Minoru
IEEJ Transactions on Sensors and Micromachines Vol. 139 ( 1 ) page: 27 - 28 2019.1
-
Molecular mechanisms of non-thermal plasmainduced effects in cancer cells Reviewed
Tanaka Hiromasa, Mizuno Masaaki, Ishikawa Kenji, Toyokuni Shinya, Kajiyama Hiroaki, Kikkawa Fumitaka, Hori Masaru
BIOLOGICAL CHEMISTRY Vol. 400 ( 1 ) page: 87 - 91 2019.1
-
Single-cell microscopic raman spectroscopy for rapid microbial detection Reviewed
Onoshima D., Uchida K., Yukawa H., Ishikawa K., Hori M., Baba Y.
23rd International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2019 page: 1374 - 1375 2019
-
Effect of N-2/H-2 plasma on GaN substrate cleaning for homoepitaxial GaN growth by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) Reviewed Open Access
Amalraj Frank Wilson, Dhasiyan Arun Kumar, Lu Yi, Shimizu Naohiro, Oda Osamu, Ishikawa Kenji, Kondo Hiroki, Sekine Makoto, Ikarashi Nobuyuki, Hori Masaru
AIP ADVANCES Vol. 8 ( 11 ) 2018.11
-
Zhang Yan, Imamura Masato, Ishikawa Kenji, Tsutsumi Takayoshi, Kondo Hiroki, Sekine Makoto, Hori Masaru
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 57 ( 10 ) 2018.10
-
Kako Takashi, Liu Zecheng, Ishikawa Kenji, Kondo Hiroki, Oda Osamu, Sekine Makoto, Hori Masaru
VACUUM Vol. 156 page: 219 - 223 2018.10
-
Cytotoxic effects of plasma-irradiated fullerenol Reviewed
Kanno Daiki, Tanaka Hiromasa, Ishikawa Kenji, Hashizume Hiroshi, Hori Masaru
JOURNAL OF PHYSICS D-APPLIED PHYSICS Vol. 51 ( 37 ) 2018.9
-
大気圧プラズマ処理による異種材料接合 Invited Reviewed
近藤 博基, 堤 隆嘉, 石川健治, 関根 誠, 堀 勝
化学工学 Vol. 82 ( 9 ) 2018.9
-
New hopes for plasma-based cancer treatment Reviewed
Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Shinya Toyokuni, Hiroaki Kajiyama, Fumitaka Kikkawa, and Masaru Hori
Plasma Vol. 1 ( 1 ) page: 150 - 155 2018.9
-
Real-time control of a wafer temperature for uniform plasma process Reviewed
Tsutsumi T., Fuknaga Y., Ishikawa K., Kondo H., Sekine M., Hori M.
IEEE International Symposium on Semiconductor Manufacturing Conference Proceedings Vol. 2018-December 2018.7
-
Dissociative properties of 1,1,1,2-tetrafluoroethane obtained by computational chemistry Reviewed
Hayashi Toshio, Ishikawa Kenji, Sekine Makoto, Hori Masaru
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 57 ( 6 ) 2018.6
-
Liu Zecheng, Ishikawa Kenji, Imamura Masato, Tsutsumi Takayoshi, Kondo Hiroki, Oda Osamu, Sekine Makoto, Hori Masaru
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 57 ( 6 ) 2018.6
-
Progress in nanoscale dry processes for fabrication of high-aspect-ratio features: How can we control critical dimension uniformity at the bottom? Reviewed International coauthorship Open Access
Ishikawa Kenji, Karahashi Kazuhiro, Ishijima Tatsuo, Cho Sung Il, Elliott Simon, Hausmann Dennis, Mocuta Dan, Wilson Aaron, Kinoshita Keizo
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 57 ( 6 ) 2018.6
-
Low-autofluorescence fluoropolymer membrane filters for cell filtration Reviewed Open Access
Kihara Naoto, Kuboyama Daiki, Onoshima Daisuke, Ishikawa Kenji, Tanaka Hiromasa, Ozawa Naoya, Hase Tetsunari, Koguchi Ryohei, Yukawa Hiroshi, Odaka Hidefumi, Hasegawa Yoshinori, Baba Yoshinobu, Hori Masaru
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 57 ( 6 ) 2018.6
-
Impact of helium pressure in arc plasma synthesis on crystallinity of single-walled carbon nanotubes Reviewed
Ando Atsushi, Takeda Keigo, Ohta Takayuki, Ito Masafumi, Hiramatsu Mineo, Ishikawa Kenji, Kondo Hiroki, Sekine Makoto, Suzuki Tomoko, Inoue Sakae, Ando Yoshinori, Hori Masaru
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 57 ( 6 ) 2018.6
-
Sugiura Hirotsugu, Jia Lingyun, Kondo Hiroki, Ishikawa Kenji, Tsutsumi Takayoshi, Hayashi Toshio, Takeda Keigo, Sekine Makoto, Hori Masaru
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 57 ( 6 ) 2018.6
-
Dry Process FOREWORD Reviewed
Karahashi Kazuhiro, Kinoshita Keizo, Higashi Seiichiro, Ishikawa Kenji, Ishijima Tatsuo, Kuboi Nobuyuki
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 57 ( 6 ) 2018.6
-
Amano Tomoki, Kondo Hiroki, Takeda Keigo, Ishikawa Kenji, Hiramatsu Mineo, Sekine Makoto, Hori Masaru
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 57 ( 4 ) 2018.4
-
Amano Tomoki, Kondo Hiroki, Takeda Keigo, Ishikawa Kenji, Hiramatsu Mineo, Sekine Makoto, Hori Masaru
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 57 ( 4 ) 2018.4
-
Takahashi Yohei, Taki Yusuke, Takeda Keigo, Hashizume Hiroshi, Tanaka Hiromasa, Ishikawa Kenji, Hori Masaru
JOURNAL OF PHYSICS D-APPLIED PHYSICS Vol. 51 ( 11 ) 2018.3
-
Uchiyama Hidefumi, Ishikawa Kenji, Zhao Qing-Li, Andocs Gabor, Nojima Nobuyuki, Takeda Keigo, Krishna Murali C., Ishijima Tatsuo, Matsuya Yuji, Hori Masaru, Noguchi Kyo, Kondo Takashi
JOURNAL OF PHYSICS D-APPLIED PHYSICS Vol. 51 ( 9 ) 2018.3
-
Kihara Naoto, Odaka Hidefumi, Kuboyama Daiki, Onoshima Daisuke, Ishikawa Kenji, Baba Yoshinobu, Hori Masaru
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 57 ( 3 ) 2018.3
-
Takahashi Yohei, Taki Yusuke, Takeda Keigo, Hashizume Hiroshi, Tanaka Hiromasa, Ishikawa Kenji, Hori Masaru
PLASMA PROCESSES AND POLYMERS Vol. 15 ( 3 ) 2018.3
-
Nanographene synthesis employing in-liquid plasmas with alcohols or hydrocarbons Reviewed
Ando Atsushi, Ishikawa Kenji, Kondo Hiroki, Tsutsumi Takayoshi, Takeda Keigo, Ohta Takayuki, Ito Masafumi, Hiramatsu Mineo, Sekine Makoto, Hori Masaru
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 57 ( 2 ) 2018.2
-
Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials FOREWORD Reviewed
Naritsuka Shigeya, Miyazaki Seiichi, Fujiwara Yasufumi, Hiramatsu Mineo, Inoue Yasushi, Ishikawa Kenji, Ito Masafumi, Itoh Takashi, Kasu Makoto, Miyake Hideto, Sasaki Minoru, Shirafuji Tatsuru, Suda Yoshiyuki
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 57 ( 1 ) 2018.1
-
Uchida Giichiro, Takenaka Kosuke, Takeda Keigo, Ishikawa Kenji, Hori Masaru, Setsuhara Yuichi
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 57 ( 1 ) 2018.1
-
Amano Tomoki, Kondo Hiroki, Ishikawa Kenji, Tsutsumi Takayoshi, Takeda Keigo, Hiramatsu Mineo, Sekine Makoto, Hori Masaru
APPLIED PHYSICS EXPRESS Vol. 11 ( 1 ) 2018.1
-
Plasma-activated medium (PAM) kills human cancer-initiating cells Reviewed Open Access
Ikeda Jun-ichiro, Tanaka Hiromasa, Ishikawa Kenji, Sakakita Hajime, Ikehara Yuzuru, Hori Masaru
PATHOLOGY INTERNATIONAL Vol. 68 ( 1 ) page: 23 - 30 2018.1
-
Electron impact ionization of perfluoro-methyl-vinyl-ether C3F6O Reviewed
Kondo Yusuke, Ishikawa Kenji, Hayashi Toshio, Sekine Makoto, Hori Masaru
PLASMA SOURCES SCIENCE & TECHNOLOGY Vol. 27 ( 1 ) 2018.1
-
Cell Deposition Microchip with Micropipette Control over Liquid Interface Motion Reviewed
Onoshima Daisuke, Hattori Yuya, Yukawa Hiroshi, Ishikawa Kenji, Hori Masaru, Baba Yoshinobu
CELL MEDICINE Vol. 10 page: 2155179017733152 2018
-
Isotropic atomic level etching of tungsten using formation and desorption of tungsten fluoride Reviewed
Shinoda Kazunori, Miyoshi Nobuya, Kobayashi Hiroyuki, Hanaoka Yuko, Kawamura Kohei, Izawa Masaru, Ishikawa Kenji, Hori Masaru
ADVANCED ETCH TECHNOLOGY FOR NANOPATTERNING VII Vol. 10589 2018
-
Glioblastoma Cell Lines Display Different Sensitivities to Plasma-Activated Medium Reviewed
Tanaka Hiromasa, Mizuno Masaaki, Ishikawa Kenji, Takeda Keigo, Hashizume Hiroshi, Nakamura Kae, Utsumi Fumi, Kajiyama Hiroaki, Okazaki Yasumasa, Toyokuni Shinya, Akiyama Shinichi, Maruyama Shoichi, Kikkawa Fumitaka, Hori Masaru
IEEE TRANSACTIONS ON RADIATION AND PLASMA MEDICAL SCIENCES Vol. 2 ( 2 ) page: 99 - 102 2018
-
Onoshima D., Kuboyama D., Kihara N., Tanaka H., Hase T., Yukawa H., Ishikawa K., Odaka H., Hasegawa Y., Hori M., Baba Y.
22nd International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2018 Vol. 3 page: 1291 - 1293 2018
-
Exosome isolation toward cancer diagnosis using glass filter with nanoporous structure Reviewed
Aoki K., Yukawa H., Onoshima D., Yamazaki S., Kihara N., Koguchi R., Takahashi K., Odaka H., Ishikawa K., Hori M., Baba Y.
22nd International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2018 Vol. 3 page: 1409 - 1410 2018
-
Dynamic analysis of reactive oxygen nitrogen species in plasma-activated culture medium by UV absorption spectroscopy Reviewed International coauthorship
Brubaker Timothy R., Ishikawa Kenji, Takeda Keigo, Oh Jun-Seok, Kondo Hiroki, Hashizume Hiroshi, Tanaka Hiromasa, Knecht Sean D., Bilen Sven G., Hori Masaru
JOURNAL OF APPLIED PHYSICS Vol. 122 ( 21 ) 2017.12
-
Zhang Yan, Takeuchi Takuya, Ishikawa Kenji, Hayashi Toshio, Takeda Keigo, Sekine Makoto, Hori Masaru
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 56 ( 12 ) 2017.12
-
State of the art in medical applications using non-thermal atmospheric pressure plasma Reviewed International coauthorship
Tanaka H., Ishikawa K., Mizuno M., Toyokuni S., Kajiyama H., Kikkawa F., Metelmann H.R., Hori M.
Reviews of Modern Plasma Physics Vol. 1 ( 1 ) 2017.12
-
Furuta Ryo, Kurake Naoyuki, Ishikawa Kenji, Takeda Keigo, Hashizume Hiroshi, Tanaka Hiromasa, Kondo Hiroki, Sekine Makoto, Hori Masaru
PLASMA PROCESSES AND POLYMERS Vol. 14 ( 11 ) 2017.11
-
Surface roughening of photoresist after change of the photon/radical and ion treatment sequence Reviewed
Zhang Yan, Takeuchi Takuya, Ishikawa Kenji, Takeda Keigo, Kondo Hiroki, Sekine Makoto, Hori Masaru
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A Vol. 35 ( 6 ) 2017.11
-
最先端プラズマバイオ技術 Invited Reviewed
堀勝,石川健治,近藤隆,田中宏昌,橋爪博司
放射線化学 page: 3 - 14 2017.10
-
Kurake Naoyuki, Tanaka Hiromasa, Ishikawa Kenji, Nakamura Kae, Kajiyama Hiroaki, Kikkawa Fumitaka, Mizuno Masaaki, Ikehara Yuzuru, Hori Masaru
JOURNAL OF APPLIED PHYSICS Vol. 122 ( 14 ) 2017.10
-
Imai Shun, Kondo Hiroki, Cho Hyungjun, Kano Hiroyuki, Ishikawa Kenji, Sekine Makoto, Hiramatsu Mineo, Ito Masafumi, Hori Masaru
JOURNAL OF PHYSICS D-APPLIED PHYSICS Vol. 50 ( 40 ) 2017.10
-
Cold atmospheric helium plasma causes synergistic enhancement in cell death with hyperthermia and an additive enhancement with radiation Reviewed Open Access
Moniruzzaman Rohan, Rehman Mati Ur, Zhao Qing-Li, Jawaid Paras, Takeda Keigo, Ishikawa Kenji, Hori Masaru, Tomihara Kei, Noguchi Kyo, Kondo Takashi, Noguchi Makoto
SCIENTIFIC REPORTS Vol. 7 ( 1 ) page: 11659 2017.9
-
Furuta Ryo, Kurake Naoyuki, Takeda Keigo, Ishikawa Kenji, Ohta Takayuki, Ito Masafumi, Hashizume Hiroshi, Tanaka Hiromasa, Kondo Hiroki, Sekine Makoto, Hori Masaru
BIOINTERPHASES Vol. 12 ( 3 ) page: 031006 2017.9
-
Thermally enhanced formation of photon-induced damage on GaN films in Cl<inf>2</inf> plasma Reviewed
Liu Z., Asano A., Imamura M., Ishikawa K., Takeda K., Kondo H., Oda O., Sekine M., Hori M.
Japanese Journal of Applied Physics Vol. 56 ( 9 ) 2017.8
-
Liu Zecheng, Imamura Masato, Asano Atsuki, Ishikawa Kenji, Takeda Keigo, Kondo Hiroki, Oda Osamu, Sekine Makoto, Hori Masaru
APPLIED PHYSICS EXPRESS Vol. 10 ( 8 ) 2017.8
-
Fukunaga Yusuke, Tsutsumi Takayoshi, Takeda Keigo, Kondo Hiroki, Ishikawa Kenji, Sekine Makoto, Hori Masaru
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 56 ( 7 ) 2017.7
-
Furuta Ryo, Kurake Naoyuki, Ishikawa Kenji, Takeda Keigo, Hashizume Hiroshi, Kondo Hiroki, Ohta Takayuki, Ito Masafumi, Sekine Makoto, Hori Masaru
PHYSICAL CHEMISTRY CHEMICAL PHYSICS Vol. 19 ( 21 ) page: 13438 - 13442 2017.6
-
Dry Process FOREWORD Reviewed
Ishikawa Kenji, Kinoshita Keizo, Higashi Seiichiro, Ichiki Takanori, Karahashi Kazuhiro, Kuboi Nobuyuki
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 56 ( 6 ) 2017.6
-
Progress and prospects in nanoscale dry processes: How can we control atomic layer reactions? Reviewed International coauthorship Open Access
Ishikawa Kenji, Karahashi Kazuhiro, Ichiki Takanori, Chang Jane P., George Steven M., Kessels W. M. M., Lee Hae June, Tinck Stefan, Um Jung Hwan, Kinoshita Keizo
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 56 ( 6 ) 2017.6
-
Tomatsu Masakazu, Hiramatsu Mineo, Foord John S., Kondo Hiroki, Ishikawa Kenji, Sekine Makoto, Takeda Keigo, Hori Masaru
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 56 ( 6 ) 2017.6
-
Takai Shinnosuke, Lu Yi, Oda Osamu, Takeda Keigo, Kondo Hiroki, Ishikawa Kenji, Sekine Makoto, Hori Masaru
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 56 ( 6 ) 2017.6
-
Electron behaviors in afterglow of synchronized dc-imposed pulsed fluorocarbon-based plasmas Reviewed
Ueyama Toshinari, Fukunaga Yusuke, Tsutsumi Takayoshi, Takeda Keigo, Kondo Hiroki, Ishikawa Kenji, Sekine Makoto, Iwata Manabu, Ohya Yoshinobu, Sugai Hideo, Hori Masaru
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 56 ( 6 ) 2017.6
-
Shinoda K., Miyoshi N., Kobayashi H., Miura M., Kurihara M., Maeda K., Negishi N., Sonoda Y., Tanaka M., Yasui N., Izawa M., Ishii Y., Okuma K., Saldana T., Manos J., Ishikawa K., Hori M.
JOURNAL OF PHYSICS D-APPLIED PHYSICS Vol. 50 ( 19 ) 2017.5
-
Takeda Keigo, Ishikawa Kenji, Tanaka Hiromasa, Sekine Makoto, Hori Masaru
JOURNAL OF PHYSICS D-APPLIED PHYSICS Vol. 50 ( 19 ) 2017.5
-
電子スピン共鳴法を活用したプラズマバイオ反応プロセスの診断 Invited Reviewed
石川健治, 近藤隆, 竹田圭吾, 呉準席, 橋爪博司, 田中宏昌, 近藤博基, 太田貴之, 伊藤昌文, 関根誠, 堀勝
プラズマ核融合学会誌 2017.5
-
Bactericidal pathway of Escherichia coli in buffered saline treated with oxygen radicals Reviewed
Kobayashi Tsuyoshi, Iwata Natsumi, Oh Jun-Seok, Hahizume Hiroshi, Ohta Takayuki, Takeda Keigo, Ishikawa Kenji, Hori Masaru, Ito Masafumi
JOURNAL OF PHYSICS D-APPLIED PHYSICS Vol. 50 ( 15 ) 2017.4
-
Kurake Naoyuki, Tanaka Hiromasa, Ishikawa Kenji, Takeda Keigo, Hashizume Hiroshi, Nakamura Kae, Kajiyama Hiroaki, Kondo Takashi, Kikkawa Fumitaka, Mizuno Masaaki, Hori Masaru
JOURNAL OF PHYSICS D-APPLIED PHYSICS Vol. 50 ( 15 ) 2017.3
-
Ohya Yoshinobu, Ishikawa Kenji, Komuro Tatsuya, Yamaguchi Tsuyoshi, Takeda Keigo, Kondo Hiroki, Sekine Makoto, Hori Masaru
JOURNAL OF PHYSICS D-APPLIED PHYSICS Vol. 50 ( 15 ) 2017.3
-
Takeda Keigo, Kumakura Takumi, Ishikawa Kenji, Tanaka Hiromasa, Sekine Makoto, Hori Masaru
APPLIED PHYSICS EXPRESS Vol. 10 ( 3 ) 2017.3
-
Ando Atsushi, Takeda Keigo, Ohta Takayuki, Ito Masafumi, Hiramatsu Mineo, Ishikawa Kenji, Kondo Hiroki, Sekine Makoto, Suzuki Tomoko, Inoue Sakae, Ando Yoshinori, Hori Masaru
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 56 ( 3 ) 2017.3
-
Liu Zecheng, Pan Jialin, Asano Atsuki, Ishikawa Kenji, Takeda Keigo, Kondo Hiroki, Oda Osamu, Sekine Makoto, Hori Masaru
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 56 ( 2 ) 2017.2
-
Abe Yusuke, Ishikawa Kenji, Takeda Keigo, Tsutsumi Takayoshi, Fukushima Atsushi, Kondo Hiroki, Sekine Makoto, Hori Masaru
APPLIED PHYSICS LETTERS Vol. 110 ( 4 ) 2017.1
-
Thermal Cyclic Atomic-Level Etching of Nitride Films: A Novel Way for Atomic-Scale Nanofabrication Reviewed
Shinoda, K; Miyoshi, N; Kobayashi, H; Kurihara, M; Izawa, M; Ishikawa, K; Hori, M
ATOMIC LAYER DEPOSITION APPLICATIONS 13 Vol. 80 ( 3 ) page: 3 - 14 2017
-
Microfluidic transport through micro-sized holes treated by non-equilibrium atmospheric-pressure plasma Reviewed Open Access
Takumi Ito, Kenji Ishikawa, Daisuke Onoshima, Naoto Kihara, Kentaro Tatsukoshi, Hidefumi Odaka, Hiroshi Hashizume, Hiromasa Tanaka, Hiroshi Yukawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Yoshinobu Baba, and Masaru Hori
IEEE Transactions on Plasma Science Vol. 44 ( 12 ) page: 3060 - 3065 2016.12
-
Non-thermal atmospheric pressure plasma activates lactate in Ringer’s solution for anti-tumor effects Reviewed Open Access
Hiromasa Tanaka, Kae Nakamura, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Hiroaki Kajiyama, Fumi Utsumi, Fumitaka Kikkawa, and Masaru Hori
Scientific Reports Vol. 6 page: 36282 2016.11
-
Effects of assisted magnetic field to an atmospheric-pressure plasma jet on radical generation at the plasma-surface interface and bactericidal function Reviewed
Chih-Tung Liu, Takumi Kumakura, Kenji Ishikawa, Hiroshi Hashizume, Keigo Takeda, Masafumi Ito, Jong-Shinn Wu, and Masaru Hori
Plasma Sources Science and Technology Vol. 25 ( 6 ) page: 065005 2016.10
-
Micro sand timer in glass membrane device separates single circulating tumor cells in blood Reviewed
Daiki Kuboyama, Daisuke Onoshima, Hiroshi Yukawa, Hiromasa Tanaka, Kenji Ishikawa, Masaru Hori, and Yoshinobu Baba
The 20th International Conference on Miniaturized Systems for Chemistry and Life Sciences, Micro Total Analysis Systems 2016 (Convention Center Dublin, Dublin, Ireland, Oct. 9-13, 2016) page: 297 - 298 2016.10
-
Control of Internal Plasma Parameters Toward Atomic Level Processing Reviewed
Makoto Sekine, Takayoshi Tsutsumi, Yusuke Fukunaga, Keigo Takeda, Hiroki Kondoa, Kenji Ishikawa, and Masaru Hori
ECS Transactions Vol. 75 ( 6 ) page: 21 - 24 2016.10
-
Thermal cyclic etching of silicon nitride by formation and desorption of ammonium fluorosilicate Reviewed
Kazunori Shinoda, Masaru Izawa, Tadamitsu Kanekiyo, Kenji Ishikawa, and Masaru Hori
Applied Physics Express Vol. 9 ( 10 ) page: 106201 2016.9
-
Cold plasma interactions with enzymes in foods and model systems Reviewed International coauthorship
N. N. Misra, S. K. Pankaj, Annalisa Segat, and Kenji Ishikawa
Trends in Food Science & Technology Vol. 55 page: 39 - 47 2016.9
-
Synthesis of calcium oxalate crystals in culture medium irradiated with non-equilibrium atmospheric-pressure plasma Reviewed
Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Yoko Yamanishi, and Masaru Hori
Applied Physics Express Vol. 9 ( 9 ) page: 096201 2016.8
-
Rapid electron density decay observed by surface-wave probe in afterglow of pulsed fluorocarbon-based plasma Reviewed
Yoshinobu Ohya, Manabu Iwata, Kenji Ishikawa, Makoto Sekine, Masaru Hori, and Hideo Sugai
Japanese Journal of Applied Physics Vol. 55 ( 8 ) page: 080309 2016.7
-
ラジカル支援原子層制御ナノプロセス Invited Reviewed
石川健治, 小林明子, 盧翌, 竹田圭吾, 近藤博基, 関根誠, 堀勝
化学工学 2016.7
-
Primary dissociation channels of SiH4 and H abstract reactions Reviewed Open Access
Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
Japanese Journal of Applied Physics Vol. 55 ( 7S2 ) page: 07LD07 2016.6
-
Helium based cold atmospheric plasma-induced reactive oxygen species-mediated apoptotic pathway attenuated by platinum nanoparticles Reviewed Open Access
Paras Jawaid, Mati Ur Rehman, Qing-Li Zhao, Keigo Takeda, Kenji Ishikawa, Masaru Hori, Tadamichi Shimizu, and Takashi Kondo
Journal of Cellular and Molecular Medicin Vol. 20 ( 9 ) page: 1737 - 1748 2016.6
-
Formation of a SiOF reaction intermixing layer on SiO2 etching using C4F6/O2/Ar plasmas Reviewed
Yoshinobu Ohya, Maju Tomura, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
Journal of Vacuum Science and Technology A Letters Vol. 34 ( 4 ) page: 040602 2016.5
-
Effects of nitrogen on apoptosis and changes in gene expression in human lymphoma U937 cells exposed to argon-cold atmospheric pressure plasma Reviewed
Yoshiaki Tabuchi, Hidefumi Uchiyama, Qing-li Zhao, Tatsuya Yunoki, Qabor Andocs, Nobuyuki Nojima, Keigo Takeda, Kenji Ishikawa, Masaru Hori and Takashi Kondo
International Journal of Molecular Medicine Vol. 37 page: 1706-1714 2016.5
-
Red Blood Cell Coagulation Induced by Low-temperature Plasma Treatment Reviewed
Kenji Miyamoto, Sanae Ikehara, Hikaru Takei, Yoshihiro Akimoto, Hajime Sakakita, Kenji Ishikawa, Masashi Ueda, Jun-ichiro Ikeda, Masahiro Yamagishi, Jaeho Kim, Takashi Yamaguchi, Hayao Nakanishi, Nobuyuki Shimizu, Masaru Hori, and Yuzuru Ikehara
Archives of Biochemistry and Biophysics 2016.4
-
Effect of gas residence time on near-edge X-ray absorption fine structures of hydrogenated amorphous carbon films grown by plasma-enhanced chemical vapor deposition Reviewed
Lingyun Jia, Hirotsugu Sugiura, Hiroki Kondo, Keigo Takeda, Kenji Ishikawa, Osamu Oda, Makoto Sekine, Mineo Hiramatsu and Masaru Hori
Japan. J. Appl. Phys. Vol. 55 ( 4 ) page: 040305 2016.3
-
Effects of Radical Species on Structural and Electronic Properties of Amorphous Carbon Films Deposited by Radical-injection Plasma-enhanced Chemical Vapor Deposition Reviewed
Lingyun Jia, Hirotsugu Sugiura, Hiroki Kondo, Keigo Takeda, Kenji Ishikawa, Osamu Oda, Makoto Sekine, Mineo Hiramatsu, Masaru Hori
Plasma Process Polym. 2016.2
-
Cell survival of glioblastoma grown in medium containing hydrogen peroxide and/or nitrite, or in plasma-activated medium Reviewed
Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Takashi Kondo, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Masaru Hori
Archives of Biochemistry and Biophysics 2016.1
-
Real-time temperature-monitoring of Si substrate during plasma processing and its heat-flux analysis Reviewed
Takayoshi Tsutsumi, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, and Masaru Hori
Japan. J. Appl. Phys. Vol. 55 page: 01AB04 2016.1
-
Cover Picture: Plasma Process. Polym. 12∕2015 (page 1329) Reviewed
Masashi Ueda, Daiki Yamagami, Keiko Watanabe, Asami Mori, Hiroyuki Kimura, Kohei Sano, Hideo Saji, Kenji Ishikawa, Masaru Hori, Hajime Sakakita, Yuzuru Ikehara and Shuichi Enomoto
Plasma Processes and Polymers Vol. 12 ( 12 ) page: 1329 2015.12
-
Plasma Blood Coagulation Without Involving the Activation of Platelets and Coagulation Factors Reviewed
Sanae Ikehara, Hajime Sakakita, Kenji Ishikawa, Yoshihiro Akimoto, Takashi Yamaguchi, Masahiro Yamagishi, Jaeho Kim, Masashi Ueda, Jun-ichiro Ikeda, Hayao Nakanishi, Nobuyuki Shimizu, Masaru Hori, and Yuzuru Ikehara
Plasma Processes and Polymers Vol. 12 ( 12 ) page: 1348–1353 2015.12
-
Superhydrophilic glass membrane device with open-microhole array for filtering and counting rare tumor cells Reviewed
Akihiro Yonese, Daisuke Onoshima, Hiroshi Yukawa, Kenji Ishikawa, Masaru Hori, and Yoshinobu Baba
Micro Total Analysis Systems 2015 page: 493-495 2015.10
-
Histological and nuclear medical comparison of inflammation after haemostasis with non-thermal plasma and thermal coagulation Reviewed
Masashi Ueda, Daiki Yamagami, Keiko Watanabe, Asami Mori, Hiroyuki Kimura, Kohei Sano, Hideo Saji, Kenji Ishikawa, Masaru Hori, Hajime Sakakita, Yuzuru Ikehara, and Shuichi Enomoto
Plasma Processes and Polymers Vol. 12 ( 12 ) page: 1338–1342 2015.9
-
Plasma with high electron density and plasma-activated medium for cancer treatment Reviewed
Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Hiroki Kondo, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Shin’ichi Akiyama, Shoichi Maruyama, Suguru Yamada, Yasuhiro Kodera, Hiroki Kaneko, Hiroko Terasaki, Hirokazu Hara, Tetsuo Adachi, Machiko Iida, Ichiro Yajima, Masashi Kato, Fumitaka Kikkawa, and Masaru Hori
Clinical Plasma Medicine Vol. 3 page: 72-76 2015.9
-
EPR-Spin Trapping and Flow cytometric Studies of Free Radicals Generated using Cold Atmospheric Argon Plasma and X-ray irradiation in Aqueous Solutions and Intracellular Milieu Reviewed
Hidefumi Uchiyama, Qing-Li Zhao, Mariame Ali Hassan, Gabor Andocs, Nobuyuki Nojima, Keigo Takeda, Kenji Ishikawa, Masaru Hori, and Takashi Kondo
PLoS One Vol. 10 ( 8 ) page: e0136956 2015.8
-
Feedback Control System of Wafer Temperature for Advanced Plasma Processing and its Application to Organic Film Etching Reviewed
Takayoshi Tsutsumi, Yusuke Fukunaga, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, and Masaru Hori
IEEE Trans Semiconductor manufacturing Vol. 28 ( 4 ) page: 515-520 2015.8
-
Decreased expression levels of cell cycle regulators and matrix metalloproteinases in melanoma from RET-transgenic mice by single irradiation of non-equilibrium atmospheric pressure plasmas Reviewed
Machiko Iida, Ichiro Yajima, Nobutaka Ohgami, Li Xiang, Cunchao Zou, Kenji Ishikawa, Masaru Hori, and Masashi Kato
International Journal of Clinical and Experimental Pathology Vol. 8 ( 8 ) page: 9326-9331 2015.8
-
Suppression of plasma-induced damage on GaN etched by a Cl2 plasma at high temperatures Reviewed
Zecheng Liu, Jialin Pan, Takashi Kako Kenji Ishikawa, Osamu Oda, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
Japan. J. Appl. Phys. Vol. 54 page: 06GB04 2015.6
-
Electronic properties of HBr, O2 and Cl2 used in Si etching Reviewed
Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
Japan. J. Appl. Phys. Vol. 54 page: 06GA03 2015.5
-
Silicon nitride (SiN) etch performance of CH2F2 plasmas diluted with argon or krypton Reviewed
Yusuke Kondo, Kenji Ishikawa, Toshio Hayashi, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
Japan. J. Appl. Phys. Vol. 54 ( 4 ) page: 040303 2015.3
-
Plasma diagnostic approach for high rate nanocrystalline Si synthesis in RF/UHF hybrid plasmas using a PECVD process Reviewed
Bibhuti Bhusan Sahu, Jeon G. Han, Kyung-Sik Shin, Kenji Ishikawa, Masaru Hori, and Yudai Miyawaki
Plasma Sources Sci. Technol. Vol. 24 ( 2 ) page: 025019 2015.3
-
CF3+ fragmentation by electron impact ionization of perfluoro-propyl-vinyl-ethers, C5F10O, in gas phase Reviewed International coauthorship
Yusuke Kondo, Kenji Ishikawa, Toshio Hayashi, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
Japan. J. Appl. Phys. Vol. 54 ( 4 ) page: 040301 2015.3
-
Study of the decomposition mechanism of PMMA-type polymers by hydrogen radicals Reviewed
Yu Arai, Yusuke Noto, Yousuke Goto, Seiji Takahashi, Masashi Yamamoto, Akihiko Kono, Tatsuo Ishijima, Kenji Ishikawa, Masaru Hori, and Hideo Horibe
Thin Solid Film Vol. 575 page: 12-16 2015.2
-
Estimation of activation energies for decomposition reaction of polymer by hydrogen radicals generated using hot-wire catalyzer Reviewed
Akihiko Kono, Yu Arai, Yousuke Goto, Masashi Yamamoto, Seiji Takahashi, Tadaaki Yamagishi, Kenji Ishikawa, Masaru Hori, and Hideo Horibe
Thin Solid Film Vol. 575 page: 17-20 2015.2
-
Experimental evidence of warm electron populations in magnetron sputtering plasmas Reviewed International coauthorship
B. B. Sahu, Jeon G. Han, Hye R. Kim, Kenji Ishikawa, and Masaru Hori
J. Appl. Phys. Vol. 117 page: 033301 2015.1
-
Hydrofluorocarbon ion density of argon- or krypton-diluted CH2F2 plasmas: Generation of CH2F+ and CHF2+ by dissociative-ionization in charge exchange collisions Reviewed
Yusuke Kondo, Yudai Miyawaki, Kenji Ishikawa, Toshio Hayashi, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
J. Phys. D: Appl. Phys. Vol. 48 ( 4 ) page: 045202 2015.1
-
Robust characteristics of semiconductor-substrate temperature-measurement method using auto-correlation type frequency-domain low-coherence interferometry Reviewed
Takayoshi Tsutsumi, Takayuki Ohta, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori, and Masafumi Ito
Jpn. J. Appl. Phys. Vol. 54 page: 01AB03 2015.1
-
Quantitative Clarification of Inactivation Mechanism of Penicillium digitatum Spores Treated with Neutral Oxygen Radicals Reviewed
Hiroshi Hashizume, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, and Masafumi Ito
Jpn. J. Appl. Phys. Vol. 54 page: 01AG05 2015.1
-
Plasma Medical Science for Cancer Therapy: Toward Cancer Therapy Using Nonthermal Atmospheric Pressure Plasma Invited Reviewed
Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinnya Toyokuni, Shoichi Maruyama, Fumitaka Kikkawa, and Masaru Hori
IEEE Trans. on Plasma Sci. Vol. 42 ( 12 ) page: 3760-3763 2014.12
-
Effectiveness of plasma diagnostic in ultra high frequency and radio frequency hybrid plasmas for synthesis of silicon nitride film at low temperature Reviewed International coauthorship
Bibhuti Bhusan Sahu, Kyung-Sik Shin, Su-Bong Jin, Jeon G. Han, Kenji Ishikawa and Masaru Hori
J. Appl. Phys. Vol. 116 page: 134903 2014.10
-
Recovery of Atom Density Drift Caused by Change in Reactor Wall Conditions by Real-time Autonomous Control Reviewed
Toshiya Suzuki, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
Journal of Physics D: Applied Physics Vol. 47 page: 422002 2014.9
-
Diagnostics of plasma-biological surface interactions in low pressure and atmospheric pressure plasmas Invited Reviewed
Kenji Ishikawa, and Masaru Hori
International Journal of Modern Physics: Conference Series Vol. 32 page: 1460318 2014.8
-
Cell survival and proliferation signaling pathways are downregulated by plasma-activated medium in glioblastoma brain tumor cells Reviewed
Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Shoichi Maruyama, Fumitaka Kikkawa, and Masaru Hori
Plasma Medicine Vol. 4 page: 1 2014.7
-
Temporal Changes of Absolute Densities of Atoms in H2 and N2 Mixture Gas Plasmas by Surface Modifications of Reactor Wall Reviewed
Toshiya Suzuki, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
Jpn. J. Appl. Phys. Vol. 53 page: 050301 2014.4
-
Epitaxial Growth of GaN by Radical-Enhanced Metalorganic Chemical Vapor Deposition (REMOCVD) in the Downflow of a Very High Frequency (VHF) N2/H2 Excited Plasma– Effect of TMG Flow Rate and VHF Power Reviewed
Yi Lu, Hiroki Kondo, Kenji Ishikawa, Osamu Oda, Keigo Takeda, Makoto Sekine, Hiroshi Amano, and Masaru Hori
J. Cryst. Growth Vol. 391 page: 97-103 2014.4
-
Hierarchical regrowth of flowerlike nanographene sheets on oxygen-plasma-treated carbon nanowalls
Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori
Appl. Phys. Express Vol. 7 page: 046201 2014.3
-
Effects of nitrogen plasma post-treatment on electrical conduction of carbon nanowalls Reviewed
Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori
Jpn. J. Appl. Phys. Vol. 53 page: 040307 2014.3
-
Nanostructure modification to carbon nanowall surface employing hydrogen peroxide solution Reviewed
Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori
Jpn. J. Appl. Phys. Vol. 53 page: 040305 2014.3
-
Spatial distribution of absolute atomic oxygen density of a non-equilibrium atmospheric pressure planar plasma jet Reviewed
Fengdong Jia, Kenji Ishikawa, Keigo Takeda, Hiroyuki Kano, Jagath Kularatne, Hiroki Kondo, Makoto Sekine and Masaru Hori
Plasma Source Sci Technol. Vol. 23 page: 025004 2014.3
-
Chemical Reactions during Plasma-enhanced Atomic Layer Deposition of SiO2 Films employing aminosilane and O2/Ar plasma at 50 C Reviewed
Lu Yi, Akiko Kobayashi, H. Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 53 2013.12
-
Density Control of Carbon Nanowalls Grown by CH4/H2 plasma and Their Electrical Properties Reviewed
Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori
Carbon Vol. 66 2013.12
-
Effect of Indirect Nonequilibrium Atmospheric Pressure Plasma on Anti-proliferative Activity against Chronic Chemo-resistant Ovarian Cancer Cells in vitro and in vivo Reviewed
Fumi Utsumi, Hiroaki Kajiyama, Kae Nakamura, Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Hiroki Kondo, Hiroyuki Kano, Masaru Hori, and Fumitaka Kikkawa
PLOS One Vol. 8 page: e81576 2013.12
-
Oxidation mechanism of Penicillium digitatum spores through neutral oxygen radicals Reviewed
Hiroshi Hashizume, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, Masafumi Ito
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 53 page: 010209 2013.12
-
High H Radical Density Produced by 1-m-Long Atmospheric Pressure Microwave Plasma System Reviewed
Hitoshi Itoh, Yusuke Kubota, Yusaku Kashiwagi, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Hirotaka Toyoda, and Masaru Hori
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 52 page: 11NE01 2013.11
-
Field emission of nano-organic-rods armored with metal nanoparticles Reviewed
Toshiya Suzuki, Kenji Ishikawa, Makoto Sekine, Keigo Takeda, Hiroki Kondo, and Masaru Hori
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 52 page: 120203 2013.11
-
Effect of gas flow on atomic radical transportation in AC Excited Non-equilibrium Atmospheric Pressure Plasma jet Reviewed
Keigo Takeda, Masanori Kato, Kenji Ishikawa, Hiroki Kondo, Hiroyuki Kano, Makoto Sekine, and Masaru Hori
JOURNAL OF PHYSICS D-APPLIED PHYSICS Vol. 46 page: 464006 2013.10
-
Highly Precise and Rapid Measurements on Substrate Temperature Using Frequency Domain Low Coherence Interferometer Reviewed
Takayoshi Tsutsumi, Takayuki Ohta, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori, and Masafumi Ito
APPLIED PHYSICS LETTERS Vol. 101 page: 182102 2013.10
-
Inactivation effects of neutral reactive-oxygen species on Penicillium digitatum spores using non-equilibrium atmospheric-pressure oxygen radical source Reviewed
Hiroshi Hashizume, Takayuki Ohta, Jia Fengdong, Keigo Takeda,Kenji Ishikawa,Masaru Hori, and Masafumi Ito
APPLIED PHYSICS LETTERS Vol. 101 page: 53708 2013.10
-
Formation of Nanoporous Features, Flat Surfaces, or Crystallographically Oriented Etched Profiles by the Si Chemical Dry Etching Using the Reaction of F2 + NO -> F + FNO at an Elevated Temperature Reviewed
Satomi Tajima, Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
JOURNAL OF PHYSICAL CHEMISTRY C Vol. 117 ( 40 ) page: 20810-20818 2013.9
-
Atomic Oxygen Etching from the Top Edges of Carbon Nanowalls Reviewed
Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori
APPLIED PHYSICS EXPRESS Vol. 6 page: 095201 2013.8
-
A Development of Atmospheric Pressure Plasma Equipment and Its Applications for Treatment of Ag Films Formed from Nano-Particle Ink Reviewed
Hitoshi Itoh, Y. Kubota, Y. Kashiwagi, K. Takeda, Kenji Ishikawa, H. Kondo, M. Sekine, H. Toyoda, and M. Hori
J. Phys.: Conf. Ser. Vol. 441 page: 12019 2013.6
-
A novel fast and flexible technique of radical kinetic behavior investigation based on pallet for plasma evaluation structure and numerical analysis Reviewed
Malinowski, Arkadiusz; Takeuchi, Takuya; Chen, Shang; Suzuki, Toshiya; Ishikawa, Kenji; Sekine, Makoto; Hori, Masaru; Lukasiak, Lidia; Jakubowski, Andrzej
JOURNAL OF PHYSICS D-APPLIED PHYSICS Vol. 46 page: 265201 2013.6
-
Surface morphology on high-temperature plasma-etched gallium nitride Reviewed
Ryosuke Kometani, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
Trans. Mater. Res. Soc. Jpn. Vol. 38 page: 325 2013.6
-
Photoluminescence study of plasma-induced damage of GaInN single quantum well Reviewed
Shouichiro Izumi, Masaki Minami, Michiru Kamada, Tetsuya Tatsumi, Atsushi A. Yamaguchi, Kenji Ishikawa, Masaru Hori, and Shigetaka Tomiya
Japanese Journal of Applied Physics Vol. 52 ( 8S ) page: 08JL09 2013.5
-
Dissociations of C5F8 and C5HF7 in Etching Plasma Reviewed
Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 5 page: 05EB02 2013.5
-
Wavelength dependence of photon-induced interface defects in hydrogenated silicon nitride/Si structure during plasma etching processes Reviewed
Masanaga Fukasawa, Hiroyasu Matsugai, Takayoshi Honda, Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Kazunori Nagahata, Fumikatsu Uesawa, Masaru Hori, Tetsuya Tatsumi
JAPANESE JOURNAL OF APPLIED PHYSICS Vol. 5 page: 05ED01 2013.5
-
Surface analysis of gallium nitride (GaN) at elevated substrate temperature Reviewed
Ryosuke Kometani, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
APPLIED PHYSICS EXPRESS Vol. 6 page: 056201 2013.4
-
Supercritical Fluid Deposition of High-Density Nanoparticles of Photo-Catalytic TiO2 on Carbon Nanowalls Reviewed
Takeyoshi Horibe, Hiroki Kondo, Kenji Ishikawa, Hiroyuki Kano, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori
Appl. Phys. Express Vol. 6 page: 045103 2013.4
-
A Room Temperature Si Etching in NO/F2 Gas Chemistry and Its Reaction Mechanism Reviewed
Satomi Tajima, Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
J. Phys. Chem. C Vol. 117 ( 10 ) page: 5118–5125 2013.2
-
Plasma-activated medium selectively kills glioblastoma brain tumor cells by downregulating a survival signaling molecule, AKT kinase Reviewed
Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Fumitaka Kikkawa, and Masaru Hori
Plasma Medicine Vol. 3 page: 1 2013.2
-
Surface roughness development on ArF-photoresist studied by beam-irradiation of CF4 plasma Reviewed
Takuya Takeuchi, Kenji Ishikawa, Yuichi Setsuhara, Hiroki Kondo, Keigo Takeda, Makoto Sekine, Masaru Hori
J. Phys. D: Appl. Phys. Vol. 46 page: 102001 2013.2
-
Etching-Enhancement Followed by Nitridation on Low-k SiOCH Film in Ar/C5F10O Plasma Reviewed
Yudai Miyawaki, Emi Shibata, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Hidekazu Okamoto, Makoto Sekine, and Masaru Hori
Japanese Journal of Applied Physics Vol. 52 ( 2 ) page: 020204 2013.1
-
Impact of hydrogen radical injection plasma on fabrication of microcrystalline silicon thin film for solar cells Reviewed
Yusuke Abe, Sho Kawashima, Atsushi Fukushima, Ya Lu, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
J. Appl. Phys. Vol. 113 ( 2 ) page: 033304 2013.1
-
Highly selective etching of SiO2 over Si3N4 and Si in capacitivlly coupled plasma employing C5HF7 gas Reviewed
Yudai Miyawaki, Yusuke Kondo, Makoto Sekine, Kenji Ishikawa, Toshio Hayashi, Keigo Takeda, Hiroki Kondo, and Masaru Hori
Jpn. J. Appl. Phys. Vol. 52 ( 1 ) page: 016201 2013.1
-
Development of high-density nitrogen radical source for low mosaicity and high rate growth of InGaN films in molecular beam epitaxy Reviewed
Shang Chen, Yohjiro Kawai, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Hiroyuki Kano, Makoto Sekine, Hiroshi Amano, and Masaru Hori
Jpn. J. Appl. Phys. Vol. 52 ( 1 ) page: 021001 2013.1
-
Development of the sputtering yields of ArF photoresist after the onset of argon ion bombardment Reviewed
Takuya Takeuchi, Carles Corbella, Simon Grosse-Kreul, Achim von Keudell, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
J. Appl. Phys. Vol. 113 ( 1 ) page: 014306 2013.1
-
Surface loss probability of H radicals on silicon thin films in SiH4/H2 plasma Reviewed
Yusuke Abe, Atsushi Fukushima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
J. Appl. Phys. Vol. 113 ( 1 ) page: 013303 2013.1
-
Individual roles for atoms and ions during hydrogen atom passivation of surface-defects on GaN created by plasma-etching Reviewed
Shang Chen, Kenji Ishikawa, Yi Lu, Ryosuke Kometani, Hiroki Kondo, Yutaka Tokuda, Takashi Egawa, Hiroshi Amano, Makoto Sekine, and Masaru Hori
Jpn. J. Appl. Phys. Vol. 51 ( 11 ) page: 111002-1:6 2012.10
-
Critical flux ratio of hydrogen radical to fi lm precursor in microcrystalline silicon deposition for solar cells Reviewed
Yusuke Abe, Atsushi Fukushima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
Appl. Phys. Lett. Vol. 101 ( 17 ) page: 172109-1:4 2012.10
-
Decomposition Removal of the Polymers for Resist Material by the Hydrogen Radical Generated Using Tungsten Hot-Wire Catalyzer Reviewed Open Access
Yu Arai, Makoto Watanabe, Akihiko Kono, Tadaaki Yamagishi, Kenji Ishikawa, Masaru Hori, Hideo Horibe
Kobunshi Ronbunshu Vol. 69 ( 6 ) page: 266 - 273 2012.9
-
As-grown deep-level defects in n-GaN grown by metal-organic chemical vapor deposition on freestanding GaN Reviewed
Shang Chen, Unhi Honda, Tatsunari Shibata, Toshiya Matumura, Yutaka Tokuda, Kenji Ishikawa, Masaru Hori, Hiroyuki Ueda, Tsutomu Uesugi, and Tetsu Kachi
J. Appl. Phys. Vol. 112 ( 5 ) page: 053513-1:4 2012.9
-
Real-time In Situ Electron Spin Resonance Measurements on Fungal Spores of Penicillium digitatum during Exposure of Oxygen Plasmas Reviewed
Kenji Ishikawa, Hiroko Moriyama, Hiromasa Tanaka, Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Sachiko Iseki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
Appl. Phys. Lett. Vol. 101 ( 1 ) page: 013704-1:4 2012.7
-
Photoluminescence recovery by in-situ exposure of plasma-damaged n-GaN to atomic hydrogen at room temperature Reviewed
Shang Chen, Yi Lu, Ryosuke Kometani, Kenji Ishikawa, Hiroki Kondo, Yutaka Tokuda, Makoto Sekine, and Masaru Hori
AIP advance Vol. 2 ( 2 ) page: 022149-1:6 2012.6
-
Ultrahigh-Speed Synthesis of Nanographene Using Alcohol In-Liquid Plasma Reviewed
Tatsuya Hagino, Hiroki Kondo, Kenji Ishikawa, Hiroyuki Kano, Makoto Sekine, Masaru Hori
Appl. Phys. Express Vol. 5 ( 3 ) page: 035101-1:3 2012.3
-
Quantum Chemical Investigation of Si Chemical Dry Etching by Flowing NF3 into N2 Downflow Plasma Reviewed
Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Akihiro Kono, and Koukou Suu
Jpn. J. Appl. Phys. Vol. 51 ( 2 ) page: 026505-1:5 2012.2
-
Direct current superposed dual-frequency capacitively-coupled-plasma in selective etch of SiOCH over SiC Reviewed
Tsuyoshi Yamaguchi, Tetsuya Komuro, Chishio Koshimizu, Seigo Takashima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
J. Phys. D: Appl. Phys. Vol. 45 ( 2 ) page: 025203-1:7 2012.1
-
Vacuum Ultraviolet and Ultraviolet Radiation-Induced Effect of Hydrogenated Silicon Nitride Etching: Surface Reaction Enhancement and Damage Generation Reviewed
Masanaga Fukasawa, Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Hiroyasu Matsugai, Takayoshi Honda, Masaki Minami, Fumikatsu Uesawa, Masaru Hori, and Tetsuya Tatsumi
Jpn. J. Appl. Phys. Vol. 51 ( 2 ) page: 026201-1:7 2012.1
-
Feature Profiles on Plasma Etch of Organic Films by a Temporal Control of Radical Densities and Real-Time Monitoring of Substrate Temperature Reviewed
Hiroshi Yamamoto, Hiroki Kuroda, Masafumi Ito, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori
Jpn. J. Appl. Phys. Vol. 51 ( 1 ) page: 016202-1:6 2012.1
-
Quantum Chemical Investigation for Chemical Dry Etching of SiO2 by Flowing NF3 into H2 Downflow Plasma Reviewed
Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Akihiro Kono, and Koukou Suu
Jpn. J. Appl. Phys. Vol. 51 ( 1 ) page: 016201-1:6 2012.1
-
Electron Spin Resonance (ESR) Observation of Radicals on Biological Organism Interacted with Plasmas Reviewed
Kenji Ishikawa, Hiroko Moriyama, Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Sachiko Iseki, Hiromasa Tanaka, Keigo Takeda, Hiroki Kondo, Makoto Sekine and Masaru Hori
MRS Online Proceedings Library Vol. 1469 2012
-
Chemical Bond Modification in Porous SiOCH Films by H2 and H2/N2 Plasmas Investigated by in situ Infrared Reflection Absorption Spectroscopy (IR-RAS) Reviewed
Hiroshi Yamamoto, Kohei Asano, Kenji Ishikawa, Makoto Sekine, Hisataka Hayashi, Itsuko Sakai, Tokuhisa Ohiwa, Keigo Takeda, Hiroki Kondo, and Masaru Hori
Journal of Applied Physics Vol. 110 ( 12 ) page: 123301 2011.12
-
Inactivation of Penicillium digitatum Spores by a High-Density Ground-State Atomic Oxygen-Radical Source Employing an Atmospheric-Pressure Plasma Reviewed
Sachiko Iseki, Hiroshi Hashizume, Fengdong Jia, Keigo Takeda, Kenji Ishikawa, Takayuki Ohta, Masafumi Ito, and Masaru Hori
Appl. Phys. Express Vol. 4 page: 116201 2011.11
-
Impacts of CF+, CF2+, CF3+ and Ar Ion Beam Bombardment with energies from 100eV and 400eV on Surface Modification of Photoresist Reviewed
Takuya Takeuchi, Shinpei Amasaki, Hiroki Kondo, Kenji Ishikawa, Hirotaka Toyoda, Makoto Sekine, Song-Yun Kang, Ikuo Sawada, and Masaru Hori
Jpn. J. Appl. Phys. Vol. 50 ( 8 ) page: 08JE05-1:5 2011.8
-
Analysis of GaN Damage Induced by Cl2/SiCl4/Ar Plasma Reviewed
Masaki Minami, Shigetaka Tomiya, Kenji Ishikawa, Ryosuke Matsumoto, Shang Chen, Masanaga Fukasawa, Fumikatsu Uesawa, Makoto Sekine, Masaru Hori, and Tetsuya Tatsumi
Jpn. J. Appl. Phys. Vol. 50 ( 8 ) page: 08JE03-1:4 2011.8
-
Spatial Distributions of Electron, CF, CF2 Radical Densities and Gas Temperature in dc-Superposed Dual- Frequency- Capacitively-Coupled Plasma Etch Reactor Employing c-C4F8/N2/Ar gas Reviewed
Tsuyoshi Yamaguchi, Tetsuya Kimura, Chishio Koshimizu, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine and Masaru Hori
Jpn. J. Appl. Phys. Vol. 50 ( 5 ) page: 0 2011.5
-
Synergistic Formation of Radicals with Irradiation with both Vacuum Ultraviolet and Atomic Hydrogen: a Real time in situ Electron-Spin-Resonance Study Reviewed Open Access
Kenji Ishikawa, Naoya Sumi, Akihiko Kono, Hideo Horibe, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
J. Phys. Chem. Lett. Vol. 2 page: 1278 - 1281 2011.5
-
H2/N2 Plasma Damage on Porous Dielectric SiOCH Film Evaluated by In-situ Film Characterization and Plasma Diagnostics Reviewed
Hiroshi Yamamoto, Keigo Takeda, Kenji Ishikawa, Masafumi Ito, Makoto Sekine, Masaru Hori, Takeshi Kaminatsui, Hisataka Hayashi, Itsuko Sakai, and Tokuhisa Ohiwa
J. Appl. Phys. Vol. 109 page: 084112:1-8 2011.4
-
Dissociation Channels of c-C4F8 to CF2 Radical in Reactive Plasma Reviewed
Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Akihiro Kono, and Koukou Suu
Jpn. J. Appl. Phys. Vol. 50 page: 036203:1-4 2011.3
-
Laser Scattering Diagnosis of a 60-Hz Non-Equilibrium Atmospheric Pressure Plasma Jet Reviewed
Fengdong Jia, Naoya Sumi, Kenji Ishikawa, Hiroyuki Kano, Hirotoshi Inui, Jagath Kularatne, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Akihiro Kono, and Masaru Hori
Appl. Phys. Express Vol. 4 page: 026101:1-3 2011.1
-
Behaviors of Absolute Densities of N, H and NH3 at Remote Region of High Density Radical Source Employing N2-H2 Mixture Plasmas Reviewed
Shang Chen, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Makoto Sekine, Hiroyuki Kano, Shoji Den and Masaru Hori
Jpn. J. Appl. Phys. Vol. 50 page: 01AE03:1-4 2011.1
-
Hydrophobic Treatment of Organics against Glass Employing nonequilibrium Atmospheric Pressure Pulsed Plasmas with a Mixture of CF4 and N2 Gases Reviewed
Hirotoshi Inui, Keigo Takeda, Kenji Ishikawa, Takuya Yara, Tsuyoshi Uehara, Makoto Sekine and Masaru Hori
J. Appl. Phys. Vol. 109 page: 013310:1-6 2011.1
-
Measurement of Hydrogen Radical Density and its Impact on Reduction of Copper Oxide in Atmospheric-Pressure Remote Plasma Using H2 and Ar Mixture Gases
Hirotoshi Inui, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Sekine Makoto, Hiroyuki Kano, Naofumi Yoshida, and Masaru Hori
Appl. Phys. Express Vol. 3 page: 126101:1-3 2010.12
-
Etching Damage in Diamond Studied Using an Energy-Controlled Oxygen Ion Beam Reviewed
Yuuichi Yamazaki, Kenji Ishikawa, Norikazu Mizuochi, and Satoshi Yamasaki
Jpn. J. Appl. Phys. Vol. 46 ( 1 ) page: 60-64 2007.1
-
Reaction mechanism of low-temperature damageless cleaning of Cu2O by HCOOH Reviewed
Masakazu Sugiyama, Isao Gunji, Kenji Ishikawa, Masafumi Nakaishi, Kouichi Yamashita, and Takayuki Ohba
Proc. on Advanced Metallization Conference 2006 (AMC 2006), (October 10-17, 2006, San Diego, CA, USA) page: 111 - 116 2006.10
-
Defect creation in diamond by hydrogen plasma treatment at room temperature Reviewed
Yuuichi Yamazaki, Kenji Ishikawa, Seiji Samukawa, and Satoshi Yamasaki
Physica B Vol. 376/377 page: 327-330 2006.6
-
Structure of diamond surface defective layer damaged by hydrogen ion beam exposure Reviewed
Yuuichi Yamazaki, Kenji Ishikawa, Norikazu Mizuochi, and Satoshi Yamasaki
Diamond Related Mater. Vol. 15 ( 4-8 ) page: 703-706 2006.5
-
Surface Reactions During Etching of Organic Low-k Films by Plasma of N2 and H2 Reviewed
Kenji Ishikawa, Yoshikazu Yamaoka, Moritaka Nakamura, Yuichi Yamazaki, Satoshi Yamasaki, Yasushi Ishikawa, and Seiji Samukawa
J. Appl. Phys. Vol. 99 ( 8 ) page: 083305:1-6 2006.5
-
Effcient Reduction of Standby Leakage Current in LSIs for Use in Mobile Devices Reviewed
Hiroshi Kudo, Kenji Ishikawa, Yasuyoshi Mishima, et al.
Jpn. J. Appl. Phys. Vol. 45 ( 4B ) page: 3150-3153 2006.4
-
理想的な界面形成を追求 半導体のドライ洗浄技術 Invited
石川健治、安立なつ美
工業材料 Vol. 54 page: 1 2006
-
Structural change in diamond by hydrogen plasma treatment at room temperature Reviewed
Yuuichi Yamazaki, Kenji Ishikawa, Norikazu Mizuochi, and Satoshi Yamasaki
Diamond Related Mater. Vol. 14 ( 11-12 ) page: 1939-1942 2005.10
-
In vacuo measurements of dangling bonds created during Ar-diluted fluorocarbon plasma etching of silicon dioxide films Reviewed
Kenji Ishikawa, Mitsuru Okigawa, Yasushi Ishikawa, Seiji Samukawa, and Satoshi Yamasaki
Appl. Phys. Lett. Vol. 86 page: 264104:1-3 2005.6
-
Mass-analyzed CFx+ (x=1,2,3) ion beam study on selectivity of SiO2-to-SiN etching and a-C:F film deposition Reviewed
Ken-ichi Yanai, Kazuhiro Karahashi, Kenji Ishikawa, and Moritaka Nakamura
J. Appl. Phys. Vol. 97 ( 5 ) page: 053302:1-6 2005.2
-
Etching yield of SiO2 irradiated by F+ CFx+ (x=1,2,3) ion with energies from 250 to 2000 eV Reviewed
Kazuhiro Karahashi, Ken-ichi Yanai, Kenji Ishikawa, Hideo Tsuboi, Kazuaki Kurihara, and Moritaka Nakamura
J. Vac. Sci. Technol. A Vol. 22 ( 4 ) page: 1166 2004.6
-
ドライ洗浄技術-半導体製造- Reviewed
伊藤隆司、杉野林志、石川健治
精密工学会誌 Vol. 70 page: 894 2004
-
Transitional change to amorphous fluorinated carbon film deposition under energetic irradiation of mass-analyzed carbon mono-fluoride ions on silicon dioxide surfaces Reviewed
Kenji Ishikawa, Kazuhiro Karahashi, Hideo Tsuboi, Ken-ichi Yanai, and Moritaka Nakamura
J. Vac. Sci. Technol. A Vol. 21 page: L1-L3 2003.6
-
Surface and gas-phase observations of Ar diluted c-C4F8 plasma by using real-time infrared spectroscopy and planar laser-induced fluorescence Reviewed
Kenji Ishikawa, Shigenori Hayashi, and Makoto Sekine
J. Appl. Phys. Vol. 93 ( 3 ) page: 1403-1408 2003.2
-
Vapor Treatment of Copper Surface Using Organic Acids Reviewed
Kenji Ishikawa, Teruo Yagishita and Moritaka Nakamura
MRS Proceedings Vol. 766 2003
-
In-vacuo electron spin resonance study on amorphous fluorinated carbon films for understanding of surface chemical reactions in plasma etching Reviewed
Kenji Ishikawa, Shoji Kobayashi, Mitsuru Okigawa, Makoto Sekine, Satoshi Yamasaki, Tetsuji Yasuda, and Junichi Isoya
Appl. Phys. Lett. Vol. 81 ( 10 ) page: 1773-1775 2002.9
-
Planar laser-induced fluorescence of fluorocarbon radicals in oxide etch process plasma Reviewed
Shigenori Hayashi, Kenji Ishikawa, and Makoto Sekine
Jpn. J. Appl. Phys. Vol. 41 ( 4A ) page: 2207-2212 2002.4
-
Direct observation of surface dangling bonds during plasma process: chemical reactions during H2 and Ar plasma treatments Reviewed
Satoshi Yamasaki, Ujjwal Das, and Kenji Ishikawa
Thin Solid Films Vol. 407 ( 1-2 ) page: 139-143 2002.2
-
Early-stage modification of a silicon oxide surface in fluorocarbon plasma for selective etching over silicon Reviewed
Kenji Ishikawa, and Makoto Sekine
J. Appl. Phys. Vol. 91 ( 3 ) page: 1661-1666 2002.2
-
In-situ time-resolved infrared spectroscopic study of silicon-oxide surface during selective etching over silicon in fluorocarbon plasma Reviewed
Kenji Ishikawa, and Makoto Sekine
Jpn. J. Appl. Phys. Vol. 39 page: 6990-6995 2000.12
-
Asymmetric peak line shape on infrared dielectric function spectra of thermally grown silicon dioxide films Reviewed
Kenji Ishikawa, Kunihiro Suzuki, and Shigeru Okamura
J. Appl. Phys. Vol. 88 page: 7150-7156 2000
-
Thickness-deconvolved structural properties of thermally grown silicon dioxide films Reviewed
Kenji Ishikawa, Hiroki Ogawa, and Shuzo Fujimura
J. Appl. Phys. Vol. 86 page: 3472-3474 1999
-
Contribution of interface roughness to infrared spectra of thermally grown silicon dioxide films Reviewed
Kenji Ishikawa, Hiroki Ogawa, and Shuzo Fujimura
J. Appl. Phys. Vol. 85 page: 4076-4082 1999
-
Analysis of native oxide growth process on an atomically flattened and hydrogen terminated Si(111) surface in pure water using fourier transformed infrared reflection absorption spectroscopy Reviewed
Shuzo Fujimura, Kenji Ishikawa, and Hiroki Ogawa
J. Vac. Sci. Technol. A Vol. 16 ( 1 ) page: 375-381 1998.1
-
Dependence of TO and LO mode frequency of thermally grown silicon dioxide films on annealing temperature Reviewed
Kenji Ishikawa, Yuji Uchiyama, Hiroki Ogawa, and Shuzo Fujimura
Appl. Surf. Sci. Vol. 117/118 page: 212-215 1997.6
-
Infrared spectroscopy study of the RCA standard clean chemical oxides and their sequencing Reviewed
Carlos Inomata, Hiroki Ogawa, Kenji Ishikawa, and Shuzo Fujimura
J. Electrochem. Soc. Vol. 143 ( 9 ) page: 2995-3000 1996.9
-
Initial stage of native oxide growth on hydrogen terminated silicon (111) surfaces Reviewed
Hiroki Ogawa, Kenji Ishikawa, Carlos Inomata, and Shuzo Fujimura
J. Appl. Phys. Vol. 79 ( 1 ) page: 472-477 1996.1
-
Observation of Oxygen Exposed Hydrogen Terminated Silicon Surface Reviewed
Hiroki Ogawa, Kenji Ishikawa, M. Aoki, Shuzo Fujimura, N. Ueno, Yasuhiro Horiike, Y. Harada
The Physics and Chemistry of SiO2 and the Si-SiO2 Interface 3, edited by H.Z. Massoud, E.H. Poindexter, and C.R. Helms, (The Electrochemical Society, NJ) page: 428 1996
-
Effects of Dissolved Oxygen in HF Solution on Silicon Surface Morphology Reviewed
Hiroki Ogawa, Kenji Ishikawa, Miki T. Suzuki, Yuka Hayami, and Shuzo Fujimura
Jpn. J. Appl. Phys. Vol. 34 ( 2B ) page: 732-736 1995.2
-
FT-IR-RAS analysis of the structure of the SiO2/Si interface Reviewed
Kenji Ishikawa, Hiroki Ogawa, Carlos Inomata, Shuzo Fujimura, and Haruhisa Mori
Control of Semiconductor Interfaces, edited by I. Ohdomari, M. Oshima and A. Hiraki, (Elsevier Science B.V.) page: 447 1994
-
Native Oxide Characterization on Silicon Surfaces Reviewed
Hiroki Ogawa, Carlos Inomata, Kenji Ishikawa, Shuzo Fujimura, and Haruhisa Mori
Control of Semiconductor Interfaces, edited by I. Ohdomari, M. Oshima and A. Hiraki, (Elsevier Science B.V.) page: 383 1994
-
Observation of Thin SiO2 Films using IR-RAS Reviewed
Shuzo Fujimura, Kenji Ishikawa, and Haruhisa Mori
The Physics and Chemistry of SiO2 and the Si-SiO2 Interface 2, edited by C. R. Helms and B. E. Deal, (Plenum Press) page: 91 1993
-
New Analytical Method of SiO2 Structure by Infrared Reflection Absorption Spectroscopy (IR-RAS) Reviewed
Kenji Ishikawa, Hiroki Ogawa, Carlos Inomata, Shuzo Fujimura and Haruhisa Mori
MRS Proceedings Vol. 318 page: 425-431 1993