Updated on 2024/04/04

写真a

 
ISHIKAWA, Kenji
 
Organization
Center for Low-temperature Plasma Sciences (cLPS) Professor
Graduate School
Graduate School of Engineering
Title
Professor
External link

Degree 1

  1. Ph. D. ( 2006.3   Tohoku University ) 

Research Interests 1

  1. Plasma science

Research Areas 1

  1. Others / Others  / Plasma nanoscience and nanotechnology

Current Research Project and SDGs 3

  1. Plasma biology

  2. Plasma material science

  3. Plasma processes

Research History 5

  1. Nagoya University   Graduate School of Engineering   Professor

    2023.4

  2. Nagoya University   Center for Low-temperature Plasma Sciences (cLPS)   Professor

    2023.4

  3. Nagoya University   Center for low-temperature plasma sciences, Plasma science division   Professor

    2021.4

      More details

    Country:Japan

  4. Kyushu University   Center of plasma nano interface engineering   Visiting professor

    2021.4

      More details

    Country:Japan

  5. Nagoya University   Center for low-temperature plasma sciences   Designated professor

    2020.2 - 2021.3

      More details

    Country:Japan

Professional Memberships 4

  1. 応用物理学会

  2. Society for Free Radical Research JAPAN

  3. プラズマ核融合学会

  4. American Vacuum Society   Plasma Science and Technology Division

Committee Memberships 3

  1. The Materials Research Society of Japan   Symposium organizer  

    2013   

      More details

    Committee type:Academic society

  2. International conference on plasma nanotechnology and science (IC-PLANTS)   Program committee  

    2010   

      More details

    Committee type:Other

  3. International Symposium on Dry Process   Organizing committee and publication committee  

    2010   

      More details

    Committee type:Academic society

Awards 7

  1. ISPlasma 2016/IC-PLANTS 2016 Excellent Presentation Award

    2016.3   ISPlasma 2016/IC-PLANTS 2016  

     More details

    Country:Japan

  2. 37th JSAP Outstanding Paper Award

    2015.9  

     More details

    Country:Japan

  3. 11th Plasma Electronics Award, Division of Plasma Electronics, The Japan Society of Applied Physics

    2013.3   The Japan Society of Applied Physics  

     More details

    Country:Japan

  4. 第11回アジア太平洋プラズマ科学会議(APCPST&SPSM) Plasma Science Award

    2012.10   APCPST&SPSM  

     More details

    Country:Japan

  5. Symposium award (2008): The 72th Symposium on Semiconductors and Integrated Circuits Technology

    2009   The Electrochemical Society of Japan  

     More details

    Country:Japan

  6. ASET 優秀研究員“SiO2およびポリマーのプラズマエッチング表面反応の研究”

    2003   技術研究組合 超先端電子技術開発機構(ASET)  

     More details

    Country:Japan

  7. 2003 spring MRS best poster award: E3.28 VAPOR TREATMENT OF COPPER SURFACE USING ORGANIC ACIDS

    2003   Material Research Society  

▼display all

 

Papers 355

  1. Inhibition of glutamine metabolism increases sensitivity to plasma-activated medium-induced cytotoxicity. Reviewed

    Tanaka S, Hayashi S, Otsuka T, Kamiya T, Ishikawa K, Hara H

    Free radical research     page: 1 - 10   2024.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1080/10715762.2024.2332343

    PubMed

  2. Elaborate cooperation of poly(rC)-binding proteins 1/2 and glutathione in ferroptosis induced by plasma-activated Ringer's lactate Reviewed

    Jiang L., Zheng H., Ishida M., Lyu Q., Akatsuka S., Motooka Y., Sato K., Sekido Y., Nakamura K., Tanaka H., Ishikawa K., Kajiyama H., Mizuno M., Hori M., Toyokuni S.

    Free Radical Biology and Medicine   Vol. 214   page: 28 - 41   2024.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Free Radical Biology and Medicine  

    Reactive species are involved in various aspects of neoplastic diseases, including carcinogenesis, cancer-specific metabolism and therapeutics. Non-thermal plasma (NTP) can directly provide reactive species, by integrating atmospheric and interjacent molecules as substrates, to represent a handy strategy to load oxidative stress in situ. NTP causes apoptosis and/or ferroptosis specifically in cancer cells of various types. Plasma-activated Ringer's lactate (PAL) is another modality at the preclinical stage as cancer therapeutics, based on more stable reactive species. PAL specifically kills malignant mesothelioma (MM) cells, employing lysosomal ·NO as a switch from autophagy to ferroptosis. However, the entire molecular mechanisms have not been elucidated yet. Here we studied cytosolic iron regulations in MM and other cancer cells in response to PAL exposure. We discovered that cells with higher catalytic Fe(II) are more susceptible to PAL-induced ferroptosis. PAL caused a cytosolic catalytic Fe(II)-associated pathology through iron chaperones, poly (rC)-binding proteins (PCBP)1/2, inducing a disturbance in glutathione-regulated iron homeostasis. PCBP1/NCOA4-mediated ferritinophagy started at a later phase, further increasing cytosolic catalytic Fe(II), ending in ferroptosis. In contrast, PCBP2 after PAL exposure contributed to iron loading to mitochondria, leading to mitochondrial dysfunction. Therapeutic effect of PAL was successfully applied to an orthotopic MM xenograft model in mice. In conclusion, PAL can selectively sensitize MM cells to ferroptosis by remodeling cytoplasmic iron homeostasis, where glutathione and PCBPs play distinct roles, resulting in lethal ferritinophagy and mitochondrial dysfunction. Our findings indicate the clinical application of PAL as a ferroptosis-inducer and the potential of PCBPs as novel targets in cancer therapeutics.

    DOI: 10.1016/j.freeradbiomed.2024.02.001

    Scopus

    PubMed

  3. High-speed Synthesis and Functionalization of Nanographene by In-liquid Plasma

    KONDO Hiroki, TSUTSUMI Takayoshi, ISHIKAWA Kenji, HORI Masaru, HIRAMATSU Mineo

    Vacuum and Surface Science   Vol. 67 ( 2 ) page: 77 - 82   2024.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:The Japan Society of Vacuum and Surface Science  

    <p>A novel synthesis method of nanographene materials by using in-liquid plasma has been developed. By this method, the high synthesis rate of nanographene up to 1 mg/min. can be realized. There is a trade-off relationship between synthesis rate and crystallographic domain size with respect to the number of carbon atoms in the raw alcohol molecules. For example, when using 1-butanol (C<sub>4</sub>H<sub>9</sub>OH), the synthesis rate is approximately twice as high as when using ethanol (C<sub>2</sub>H<sub>5</sub>OH), but the domain size, which indicates crystallographic quality, is about half smaller. On the other hand, when using hexane (C<sub>6</sub>H<sub>14</sub>) or benzene (C<sub>6</sub>H<sub>6</sub>), the synthesis rate is approximately 17 times and 1.7 times faster than when using hexanol (C<sub>6</sub>H<sub>13</sub>OH), respectively, but their domain size is much smaller compared with that by ethanol. This indicates an effect of OH radicals on the domain size improvement. By adding iron (II) phthalocyanine, <i>etc.</i> to the alcohol raw material, nitrogen-doped nanographene materials were successfully synthesized and confirmed their catalytic activity. The mechanism of expression of catalytic activity was also clarified.</p>

    DOI: 10.1380/vss.67.77

    CiNii Research

  4. Surface sulfurization of amorphous carbon films in the chemistry of oxygen plasma added with SO2 or OCS for high-aspect-ratio etching Reviewed

    Ishikawa, K; Nguyen, TTN; Aoki, Y; Sato, H; Kawakami, J; Tsuno, S; Hsiao, SN; Hori, M

    APPLIED SURFACE SCIENCE   Vol. 645   2024.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Surface Science  

    Etching of oxygen-based plasmas with sulfur dioxide (SO2) or carbonyl sulfide (OCS) can form high-aspect-ratio (HAR) features of amorphous carbon films as carbon hard masks (CHM). The etched profiles showing shapes such as bowing or tapering are essentially dependent on the partial pressures of SO2 or OCS in the O2 plasma. The surface treated after the OCS-added plasma exhibited strong sulfurization by S2 and CS species in S 2p of the X-ray photoelectron spectroscopy (XPS). The gas-phase interactions in the sulfur-oxygen-carbon system generated atoms and molecules, such as O, O+, and O2+, which etched at the bottom and, conversely, SO, CO, CS, CS2, and S2, which inhibited isotropic etching at the sidewalls of the HAR features. The chemical reactions of the CS sulfurizing precursors in the gas phase were monitored by comparing their optical emission intensities at a wavelength of 257 nm with those of SO2 at approximately 320 nm. The optimization of the HAR profiles of the CHM can be controlled by sidewall sulfurization of the CHM to obtain desirable profile shapes for the HAR features.

    DOI: 10.1016/j.apsusc.2023.158876

    Web of Science

    Scopus

  5. Oxygen radical irradiation transforms an organic fertilizer L-tryptophan into an environment and human-friendly bactericide Reviewed

    Iwata, N; Ishikawa, K; Nishikawa, Y; Kato, H; Shimizu, M; Kato, M; Tanaka, H; Ito, M; Hori, M

    ENVIRONMENTAL TECHNOLOGY & INNOVATION   Vol. 33   2024.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Environmental Technology and Innovation  

    Organic fertilizers are useful in hydroponic agriculture to bring about a sustainable society with minimal pollution. Irradiation of L-tryptophan (L-Trp) solutions with electrically neutral atomic oxygen produces immediate bactericidal activity. After oxygen radical irradiation of an L-Trp-containing Escherichia coli suspension at pH 6.3 for 5 min, a colony-forming unit assay showed a 6-log reduction in the number of surviving E. coli. Kynurenine and N’-formylkynurenine were identified as irradiation products in the solution using liquid chromatography–mass spectroscopy and nuclear magnetic resonance spectroscopy. Tryptophan radicals (Trp•) are precursors of kynurenine and N’-formylkynurenine and are detected using spin-trap electron spin resonance. The bactericidal effect is mediated by central carbon metabolism via enzyme deactivation in E. coli. Enzymatic deactivation via the oxygen radical irradiation of a solution containing the amino acid L-Trp eventually resulted in bacterial death.

    DOI: 10.1016/j.eti.2023.103496

    Web of Science

    Scopus

  6. High linear energy transfer (LET) nature of alanine radical yield by soft X-ray irradiations studied by electron spin resonance (ESR) applications Reviewed

    Nakagawa, S; Yokoya, A; Ohara, M; Usami, N; Asada, M; Fujiwara, M; Nakamura, T; Ishikawa, K

    RADIATION PHYSICS AND CHEMISTRY   Vol. 214   2024.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Radiation Physics and Chemistry  

    Radicals produced in alanine by irradiation of 2–7 keV X-rays were investigated by ESR applications; i.e., CW-ESR, pulse-ESR and ESR imaging. The relative radical yield decreased with the decreasing photon energy and the increasing dose. The 2D imaging showed that the radical concentration at the surface was extremely low even though the absorption of X-rays exponentially decreased with the penetration depth. The depth of the radical concentration peak was almost the same as the penetration depth for the X-ray irradiation with an energy lower than 5-keV. The product formed by radical recombination was observed by an LC/MS (liquid chromatograph-mass spectrum) analysis. For the soft X-ray irradiation, many radicals will be lost by the efficient radical-radical recombination due to the higher density of the radicals, similar to the high-LET irradiation by heavy ions. The spin-spin relaxation rate (1/T2), which correlates with the radical concentration, increased by the decreasing photon energy. The values of 1/T2 for the soft X-rays were compatible to that for the heavy ions with the high-LET. Based on these observations, it is concluded that the effects of irradiation by soft X-rays are similar to high-LET irradiation.

    DOI: 10.1016/j.radphyschem.2023.111304

    Web of Science

    Scopus

  7. Bias-supply timing tailored to the aspect ratio dependence of silicon trench etching in Ar plasma with alternately injected C4F8 and SF6 Reviewed

    Yoshie, T; Ishikawa, K; Nguyen, TTN; Hsiao, SN; Tsutsumi, T; Sekine, M; Hori, M

    APPLIED SURFACE SCIENCE   Vol. 638   2023.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Surface Science  

    In semiconductor device fabrication, the feature profiles of a high-aspect-ratio (HAR) Si trench is needed to be controlled considering aspect-ratio-dependent etching (ARDE). This is achieved by a cyclic process in which Ar plasma is sustained while C4F8 and SF6 are alternately injected and a short-period supply of a substrate bias is provided. Presently, the transient behaviors of gaseous and surface reactions are dynamically revealed by measuring the plasma parameters using a surface wave probe and optical emission spectroscopy. When the etched surface is fluorinated during the cycle, an ARDE-free Si-trench feature profile can be fabricated by controlling the bias-supply timing.

    DOI: 10.1016/j.apsusc.2023.157981

    Web of Science

    Scopus

  8. An approach to reduce surface charging with cryogenic plasma etching using hydrogen-fluoride contained gases Reviewed

    Hsiao S.N., Sekine M., Ishikawa K., Iijima Y., Ohya Y., Hori M.

    Applied Physics Letters   Vol. 123 ( 21 )   2023.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Letters  

    The surface conductivity influences the etched pattern profiles in the plasma process. In the dielectric film etching, it is vital to reduce the charging build-up, which bends the trajectory of incoming ions for highly anisotropic etching. A significant increase in surface electric conductivity of SiO2 films was observed when exposed to down-flow plasmas containing hydrogen fluoride (HF) at cryogenic temperature (−60 °C). This phenomenon can be attributed to two factors: (i) the absorption of HF and/or its compounds and (ii) the presence of H2O, which is likely originating from the etching by-product of SiO2 and/or within the reactor. Comparing the surface electric resistance of the samples treated with HF plasmas to that of CF4/H2 and C4F8/H2 plasmas, we found that HF plasma treatment enables to be approximately three and six orders of magnitude lower. By using in situ x-ray photoemission spectroscopy, it was revealed that the presence of HF and/or its compounds and H2O were absorbed on the sample surface at −60 °C. These results strongly suggest that the cryogenic plasma etching with HF-contained gases can be used to alleviate the charge build-up issues.

    DOI: 10.1063/5.0173553

    Scopus

  9. Gas-phase study of the behavior of trimethyl gallium and triethyl gallium by optical emission spectroscopy and quadrupole mass spectroscopy for the growth of GaN by REMOCVD (radical-enhanced metalorganic chemical vapor deposition) Reviewed

    Dhasiyan, AK; Jayaprasad, S; Amalraj, FW; Shimizu, N; Oda, O; Ishikawa, K; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 62 ( SN )   2023.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    Metal-organic CVD (MOCVD) is a well-established means of epitaxial growth of III-nitrides in terms of production. To overcome the drawbacks of MOCVD, we have developed a radical-enhanced MOVCVD (REMOCVD) technique which is promising to grow group-III nitride materials at lower temperatures without ammonia gas. The gas phase behavior of trimethyl gallium (TMG) and triethyl gallium (TEG) in the chamber is studied with optical emission spectroscopy (OES) and quadrupole mass spectroscopy. From OES results, it is found that the parasitic reactions due to activated Ga and CN could be avoided by introducing hydrogen as a source gas together with nitrogen gas. The TEG is completely decomposed in REMOCVD at 550 °C which is a much lower temperature compared to TMG in a hydrogen atmosphere. Also, it is found that due to the low decomposition temperature of TEG, TEG contaminated the gas line which needs to be cleaned often.

    DOI: 10.35848/1347-4065/acfd34

    Web of Science

    Scopus

  10. Deposition of carbon-based materials directly on copper foil and nickel foam as 2D-and 3D-networked metal substrates by in-liquid plasma Reviewed

    Dela Vega, MSDC; Nguyen, TTN; Kondo, H; Tsutsumi, T; Ishikawa, K; Hori, M

    PLASMA PROCESSES AND POLYMERS   Vol. 20 ( 11 )   2023.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Plasma Processes and Polymers  

    In-liquid plasma (ILP) process has been an attractive route for nanomaterial synthesis due to its high-yield production in low-temperature atmospheric pressure conditions. In comparison with conventional ILP synthesis that produces free-standing nanomaterials, this work brings a new perspective on the application of the ILP process through carbon formation directly on ethanol-immersed two-dimensional (foil) and three-dimensional (3D) (foam) metal substrates. Three types of carbon, including graphene, graphitic carbon, and amorphous carbon, were simultaneously produced during plasma discharge. Graphitic and amorphous carbon formed radially and coexisted on the metal substrate surface, while free-standing graphene was produced in ethanol. A conformal coating was achieved on the exterior surface of the 3D-networked substrate. The proposed mechanism for carbon formation on metal substrates is presented here.

    DOI: 10.1002/ppap.202300036

    Web of Science

    Scopus

  11. The Possibility of Cancer Therapy with a Combination of Low-temperature Plasma and Hyperthermia

    KONDO TAKASHI, HASHIZUME HIROSHI, TANAKA HIROMASA, ISHIKAWA KENJI, SAITOH JUN-ICHI, HORI MASARU

    Thermal Medicine   Vol. 39 ( 3 ) page: 21 - 30   2023.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Society for Thermal Medicine  

    <p>Low temperature plasma (LTP) has been proposed as a novel therapeutic method for its anti-cancer potential. However, its biological effects in combination with other physical modalities remain to be elusive. Therefore, this study was aimed to determine the effects of low temperature helium plasma (He-LTP) in combination with mild hyperthermia (HT, 42℃ for 20 min). Human lymphoma U937 cells were exposed to HT, immediately after He-LTP treatment. He-LTP in combination with HT showed enhanced cell death, which was accompanied by increased intracellular reactive oxygen species (ROS) production. These findings suggest that He-LTP can enhance the apoptotic effects of mild HT due to the increased in intracellular ROS generation as He-LTP has been known to caused marked induction of ROS in the aqueous medium. These findings would be helpful when establishing a therapeutic strategy for LTP in combination with mild HT. Here, the biological effects of LTP, and the combination with hyperthermia and LTP or LTP -activated solutions for cancer therapy have been summarized in this review.</p>

    DOI: 10.3191/thermalmed.39.21

    CiNii Research

  12. Development of an experimental system for cell viability assays of yeasts using gas-temperature controllable plasma jets Reviewed

    Yoshimura, S; Otsubo, Y; Yamashita, A; Johzuka, K; Tsutsumi, T; Ishikawa, K; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 62 ( SL )   2023.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    The characteristics of a gas-temperature-controllable atmospheric-pressure helium plasma jet and the development of an experimental system for cell viability assays of yeasts (fission yeast Schizosaccharomyces pombe and budding yeast Saccharomyces cerevisiae) are reported. The physicochemical properties of the plasma plume, which can maintain the temperature of the irradiated object at a temperature suitable for yeast, were not significantly different from those of a typical helium plasma jet. Furthermore, good reproducibility of cell viability was observed when gas temperature, gas flow rate, applied high voltage, and irradiation distance remained fixed, and only irradiation time was used as a parameter. This experimental system allows us to carry out various experiments, such as the search for plasma-resistant mutants that will contribute to the identification of genes involved in resistance to direct plasma irradiation.

    DOI: 10.35848/1347-4065/acd4ca

    Web of Science

    Scopus

  13. Organic decomposition and synthesis reactions in lactated solution exposed to nonequilibrium atmospheric pressure plasma Reviewed

    Liu, Y; Ishikawa, K; Tanaka, H; Miron, C; Kondo, T; Nakamura, K; Mizuno, M; Kajiyama, H; Toyokuni, S; Hori, M

    PLASMA PROCESSES AND POLYMERS   Vol. 20 ( 5 )   2023.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Plasma Processes and Polymers  

    Lactate is used in the food and pharmaceutical industries and is a crucial intermediate for synthesis. Plasma-activated lactate (PAL) in Ringer's solution was recently shown to have effective antitumor action. Small molecule aldehydes, ketones, and organic acids were produced from lactate during plasma exposure, and five-membered conjugated lactone isomers of furanone (C5H6O2) were detected formed by interactions of lactate or its fragments with •OH, organic radicals, and H2O2. 2,3-Dimethyl-tartaric acid may be the effective component in PAL for the selective killing of cancer but not normal cells and possible pathways for its synthesis are provided. Aqueous reaction mechanisms are explained, including dehydration, esterification, hydrolysis, and dimerization. This study will help develop novel cancer therapies and further plasma organic chemistry.

    DOI: 10.1002/ppap.202200193

    Web of Science

    Scopus

  14. Leukocyte Depletion and Size-Based Enrichment of Circulating Tumor Cells Using a Pressure-Sensing Microfiltration Device Reviewed

    Onoshima, D; Hase, T; Kihara, N; Kuboyama, D; Tanaka, H; Ozawa, N; Yukawa, H; Sato, M; Ishikawa, K; Hasegawa, Y; Ishii, M; Hori, M; Baba, Y

    ACS MEASUREMENT SCIENCE AU   Vol. 3 ( 2 ) page: 113 - 119   2023.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:ACS Measurement Science Au  

    Considering the challenges in isolating circulating tumor cells (CTCs) pertaining to cellular stress and purity, we report the application of a blood microfiltration device as an optimal approach for noninvasive liquid biopsy to target CTCs. We experimentally analyzed the filtration behavior of the microfilter using pressure sensing to separate tumor cells from leukocytes in whole blood. This approach achieved an average recovery of >96% of the spiked tumor cells and depletion of >99% of total leukocytes. Furthermore, we carried out genomic profiling of the CTCs using the blood microfiltration device. The method was also applied in a clinical setting; DNA amplification was performed using a small number of microfiltered CTCs and epidermal growth factor receptor mutations were successfully detected to characterize the efficacy of molecularly targeted drugs against lung cancer. Overall, the proposed method can provide a tool for evaluating efficient filtration pressure to concentrate CTCs from whole blood.

    DOI: 10.1021/acsmeasuresciau.2c00057

    Web of Science

    Scopus

    PubMed

  15. Manipulation of etch selectivity of silicon nitride over silicon dioxide to a-carbon by controlling substate temperature with a CF4/H2 plasma Reviewed

    Hsiao, SN; Britun, N; Nguyen, TTN; Tsutsumi, T; Ishikawa, K; Sekine, M; Hori, M

    VACUUM   Vol. 210   2023.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Vacuum  

    The effects of substrate temperature (Ts) on the etch rate (ER) of the PECVD-prepared SiN, SiO2 and amorphous carbon (a-C) films, and their selectivity were investigated with a CF4/H2 plasma. The ERs for the SiN at all Ts were higher than that for the SiO2 films. As Ts was decreased from 50 to −20 °C, the ER for the SiN decreased. Contrarily, the ER of the SiO2 films increased. The etching selectivity of SiN over SiO2 reached to near unity when the Ts was −20 °C. At the same time, the ER of for the a-C films was found to be around 0.1 nm/s and irrespective of Ts. The fluorocarbon (FC) thickness was greater for the SiO2 films than that of the SiN. The lower ER for the SiO2 was therefore attributed to the thicker FC layer and resultant etching mechanism. As the Ts was decreased, the FC thickness on the SiO2 films decreased, which led to the ER decrease. The decrease of ER for the SiN etching at the low temperature was likely due to the higher stability of the surface N–H modification layer, compared with that processed at 20 °C, which was confirmed by the in situ FTIR.

    DOI: 10.1016/j.vacuum.2023.111863

    Web of Science

    Scopus

  16. High-performance glass filters for capturing and culturing circulating tumor cells and cancer-associated fibroblasts Reviewed

    Tanaka, H; Iwata, D; Shibata, Y; Hase, T; Onoshima, D; Yogo, N; Shibata, H; Sato, M; Ishikawa, K; Nagasawa, I; Hasegawa, Y; Ishii, M; Baba, Y; Hori, M

    SCIENTIFIC REPORTS   Vol. 13 ( 1 ) page: 4130   2023.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Scientific Reports  

    Various liquid biopsy methods have been developed for the non-invasive and early detection of diseases. In particular, the detection of circulating tumor cells (CTCs) and cancer-associated fibroblasts (CAFs) in blood has been receiving a great deal of attention. We have been developing systems and materials to facilitate such liquid biopsies. In this study, we further developed glass filters (with various patterns of holes, pitches, and non-adhesive coating) that can capture CTCs, but not white blood cells. We optimized the glass filters to capture CTCs, and demonstrated that they could be used to detect CTCs from lung cancer patients. We also used the optimized glass filters for detecting CAFs. Additionally, we further developed a system for visualizing the captured cells on the glass filters. Finally, we demonstrated that we could directly culture the captured cells on the glass filters. Based on these results, our high-performance glass filters appear to be useful for capturing and culturing CTCs and CAFs for further examinations.

    DOI: 10.1038/s41598-023-31265-9

    Web of Science

    Scopus

    PubMed

  17. Generation and measurement of low-temperature plasma for cancer therapy: a historical review Reviewed

    Ishikawa, K; Takeda, K; Yoshimura, S; Kondo, T; Tanaka, H; Toyokuni, S; Nakamura, K; Kajiyama, H; Mizuno, M; Hori, M

    FREE RADICAL RESEARCH   Vol. 57 ( 3 ) page: 239 - 270   2023.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Free Radical Research  

    This review provides a description of the historical background of the development of biological applications of low-temperature plasmas. The generation of plasma, methods and devices, plasma sources, and measurements of plasma properties, such as electron dynamics and chemical species generation in both gaseous and aqueous phases, were assessed. Currently, direct irradiation methods for plasma discharges contacting biological surfaces, such as the skin and teeth, are related to plasma biological interactions. Indirect methods using plasma-treated liquids are based on plasma–liquid interactions. The use of these two methods is rapidly increasing in preclinical studies and cancer therapy. The authors address the prospects for further developments in cancer therapeutic applications by understanding the interactions between the plasma and living organisms.

    DOI: 10.1080/10715762.2023.2230351

    Web of Science

    Scopus

    PubMed

  18. Efficacy of periodic cold plasma treatment in a paddy to produce white-core grains in brewer's rice cultivar Yamadanishiki Reviewed

    Hashizume, H; Kitano, H; Mizuno, H; Abe, A; Yuasa, G; Tohno, S; Tanaka, H; Ishikawa, K; Matsumoto, S; Sakakibara, H; Hirosue, Y; Maeshima, M; Mizuno, M; Hori, M

    FREE RADICAL RESEARCH   Vol. 57 ( 3 ) page: 161 - 173   2023.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Free Radical Research  

    We investigated the effect of cold plasma application on the yield and grain quality of rice (Oryza sativa L.), focusing on the brewer’s rice cultivar, Yamadanishiki. Two treatment methods were examined in a paddy; direct plasma irradiation of seedlings and indirect treatment with plasma-activated Ringer’s lactate solution (PAL) during the vegetative growth phase. Periodic direct irradiation for 30 s increased whole plant weight and grain yield. Treatment with PAL promoted some growth of panicles relatively and partially suppressed the growth of culms and leaves. Both treatments affected the grain quality; an increase of the ratio of white-core grains to total number of grains, which is suited for producing Japanese sake rice, as well as a decrease of the ratio of immature grains. The results showed that the effective production of rice grains for sake production can be improved by the application of cold plasma treatment of rice seedlings in a paddy.Highlight Rice plants of brewer’s rice cultivar in a paddy were treated with cold plasma, by the direct irradiation of plants and the immersed of plants in plasma-activated Ringer’s lactate (PAL). Direct plasma irradiation promoted plant weight, grain ripening, and increased yield. PAL treatment affected the growth of main stem and promoted the growth of panicles relatively. Both treatments improved the producing white-core grains, in addition to promotion of grain ripening. Cold plasma treatment can be applied to produce stable and high-quality food in various agriculture and food industries, which can achieve the sustainable developmental goals (SDGs).

    DOI: 10.1080/10715762.2023.2215914

    Web of Science

    Scopus

    PubMed

  19. Cancer-specific cytotoxicity of Ringer's acetate solution irradiated by cold atmospheric pressure plasma Reviewed

    Miron, C; Ishikawa, K; Kashiwagura, S; Suda, Y; Tanaka, H; Nakamura, K; Kajiyama, H; Toyokuni, S; Mizuno, M; Hori, M

    FREE RADICAL RESEARCH   Vol. 57 ( 2 ) page: 91 - 104   2023.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Free Radical Research  

    Cold atmospheric pressure plasmas are promising medical tools that can assist in cancer treatment. While the medical pathology mechanism is substantially understood, knowledge of the contribution of reactive species formed in plasma and the mode of activation of biochemical pathways is insufficient. Herein, we present a concept involving antitumoral plasma-activated organics, which is envisaged to increase cytotoxicity levels against cancer cells. Ringer′s acetate solution was irradiated by low-temperature plasma at atmospheric pressure and possible reaction pathways of the compound generation are presented. The chemical compounds formed by plasma treatment and their effects on non-tumorigenic breast epithelial cells (MCF-10A) and breast cancer cells (MCF-7) were investigated. The cell viability results have shown that plasma-derived compounds have both, stimulatory and inhibitory effects on cell viability, depending on the concentration of the generated compounds in the irradiated liquids. Previous studies have shown that oxidative stresses involving reactive oxygen and nitrogen species (RONS) can be used to kill cancer cells. Hence, while RONS offers promising first-step killing effects, cell viability results have shown that plasma-derived compounds, such as acetic anhydride and ethyl acetate, have the potential to play important roles in plasma-based cancer therapy.

    DOI: 10.1080/10715762.2023.2201390

    Web of Science

    Scopus

    PubMed

  20. Plasma-Assisted Priming: Improved Germination and Seedling Performance of Papaya Reviewed

    Xi, DK; Yap, SL; Kumar, NN; Toh, CC; Ishikawa, K; Hori, M

    SAINS MALAYSIANA   Vol. 52 ( 2 ) page: 599 - 611   2023.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Sains Malaysiana  

    Papaya is a one of the important tropical fruit crops with a global export of 353 ktonnne reported in 2020. The germination of papaya seed is erratic and often non-uniform. Plasma assisted priming of seed was performed at atmospheric pressure in a parallel plate dielectric barrier discharge system. The germination rate of papaya seeds and the growth performance of the seedling were monitored for several treatment plans combining the atmospheric pressure plasma treatment with soaking of seed in deionized water. An enhanced germination rate of 92% was obtained by the plasma assisted priming, compared to the untreated of 60%. The plasma assisted priming performed by plasma treatment and soaking in an opposite sequence showed different requirement and possibly involved different mechanisms. The treatment time was reduced to only 4 minutes for pre-soaked seeds, otherwise the germination rate increased with the plasma treatment time from 3 to 30 min treatment. The plasma assisted priming approaches were also found enhancing seedling growth performance. The treated seedling grows about two times bigger and the dried mass measured after 30 days was more than 100% compared to that of the untreated and hot water treated seeds.

    DOI: 10.17576/jsm-2023-5202-21

    Web of Science

    Scopus

  21. Cooperation of Poly(rC)-binding Proteins 1/2 and Glutathione in Ferroptosis Induced by Plasma-activated Ringer's Lactate Reviewed

    Zheng, H; Jiang, L; Lyu, QY; Akatsuka, S; Motooka, Y; Sekido, Y; Nakamura, K; Tanaka, H; Ishikawa, K; Kajiyama, H; Mizuno, M; Hori, M; Toyokuni, S

    CANCER SCIENCE   Vol. 114   page: 749 - 749   2023.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Web of Science

  22. Cooperation of Poly(rC)-binding Proteins 1/2 and Glutathione in Ferroptosis Induced by Plasmaactivated Ringer's Lactate Reviewed

    Zheng, H; Jiang, L; Lyu, QY; Akatsuka, S; Motooka, Y; Sekido, Y; Nakamura, K; Tanaka, H; Ishikawa, K; Kajiyama, H; Mizuno, M; Hori, M; Toyokuni, S

    CANCER SCIENCE   Vol. 114   page: 1340 - 1340   2023.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Web of Science

  23. GaN damage-free cyclic etching by sequential exposure to Cl<sub>2</sub> plasma and Ar plasma with low Ar<SUP>+</SUP>-ion energy at substrate temperature of 400 °C Reviewed

    Nakamura, S; Tanide, A; Kimura, T; Nadahara, S; Ishikawa, K; Oda, O; Hori, M

    JOURNAL OF APPLIED PHYSICS   Vol. 133 ( 4 )   2023.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Journal of Applied Physics  

    Damage-free atomic layer etching (ALE) of GaN was demonstrated using a cyclic process in which the chlorinated layer formed by Cl2 plasma exposure was removed by exposure to Ar plasma with energy-controlled ions when the substrate temperature was maintained at 400 °C. The layer chlorinated at 400 °C by Cl2 plasma exposure was found to be thinner than that chlorinated at 25 °C. Therefore, in the case of an Ar+-ion energy of 70 eV, the "ALE synergy"parameter, which quantifies the degree to which a process approaches the ideal ALE regime, decreased from 86% at a substrate temperature of 25 °C to 24% at a substrate temperature of 400 °C. A substrate temperature of 400 °C promoted etching even at the lower ion energy of 40 eV, thereby resulting in a higher ALE synergy of 62%. The vertical etching profile with no degradation of photoluminescence near the band edge on the etched surface was then observed. The proposed high-temperature ALE method is promising for realizing a vertical pattern profile via damage-free etching of GaN.

    DOI: 10.1063/5.0131685

    Web of Science

    Scopus

  24. Mechanical properties of maze-like carbon nanowalls synthesized by the radial injection plasma enhanced chemical vapor deposition method Reviewed

    Ghodke, S; Murashima, M; Christy, D; Van Nong, N; Ishikawa, K; Oda, O; Umehara, N; Hori, M

    MATERIALS SCIENCE AND ENGINEERING A-STRUCTURAL MATERIALS PROPERTIES MICROSTRUCTURE AND PROCESSING   Vol. 862   2023.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Materials Science and Engineering: A  

    The unique structural properties of vertically aligned graphene sheets or Carbon Nanowalls (CNWs) have attracted great interests for their potential for various applications in microelectronic devices, energy storage, and catalyst support materials. During the handling or operation of the devices, tension and/or pressure are often needed. Under such conditions, CNWs must undergo compression and stress. Therefore, the deformation mechanism and evolution behavior of the CNW structures under load play a critical role in the performance and reliability of the devices. In this study, the mechanical properties of a typical maze-like CNW structure synthesized by a Radial Injection Plasma Enhanced Chemical Vapor Deposition (RI-PECVD) technique were analyzed by employing the nanoindentation method. The measured compressive strength of the CNW structure was 50 MPa with an average modulus E value of ∼28 GPa, which is significantly higher than that of pyrolytic graphite and other graphene-based materials such as 3D graphene-derived carbon, commercial graphene, and reduced graphene oxide films. An elastoplastic behavior of a soft material was observed in high-resolution microscopy and a mechanism of deformation for CNWs is elucidated.

    DOI: 10.1016/j.msea.2022.144428

    Web of Science

    Scopus

  25. Effects of plasma-activated Ringer's lactate solution on cancer cells: evaluation of genotoxicity Reviewed

    Liu, Y; Nakatsu, Y; Tanaka, H; Koga, K; Ishikawa, K; Shiratani, M; Hori, M

    GENES AND ENVIRONMENT   Vol. 45 ( 1 ) page: 3   2023.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Genes and Environment  

    Background: Non-thermal atmospheric pressure plasma technologies form the core of many scientific advances, including in the electronic, industrial, and biotechnological fields. The use of plasma as a cancer therapy has recently attracted significant attention due to its cancer cell killing activity. Plasma-activated Ringer’s lactate solution (PAL) exhibits such activity. In addition to ROS, PAL contains active compounds or species that cause cancer cell death, but the potential mutagenic risks of PAL have not been studied. Results: PAL has a low pH value and a high concentration of H2O2. H2O2 was removed from PAL using catalase and catalase-treated PAL with a pH of 5.9 retained a killing effect on HeLa cells whereas this effect was not observed if the PAL was adjusted to pH 7.2. Catalase-treated PAL at pH 5.9 had no significant effect on mutation frequency, the expression of γH2AX, or G2 arrest in HeLa cells. Conclusion: PAL contains one or more active compounds or species in addition to H2O2 that have a killing effect on HeLa cells. The compound(s) is active at lower pH conditions and apparently exhibits no genotoxicity. This study suggested that identification of the active compound(s) in PAL could lead to the development of novel anticancer drugs for future cancer therapy.

    DOI: 10.1186/s41021-023-00260-x

    Web of Science

    Scopus

    PubMed

  26. Editorial: Prospects of plasma generated species interaction with organic and inorganic materials Reviewed

    Attri, P; Koga, K; Kurita, H; Ishikawa, K; Shiratani, M

    FRONTIERS IN PHYSICS   Vol. 10   2023.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Frontiers in Physics  

    DOI: 10.3389/fphy.2022.1118018

    Web of Science

    Scopus

  27. Plasma activated Ringer's lactate solution Reviewed

    Tanaka, H; Mizuno, M; Ishikawa, K; Miron, C; Okazaki, Y; Toyokuni, S; Nakamura, K; Kajiyama, H; Hori, M

    FREE RADICAL RESEARCH   Vol. 57 ( 1 ) page: 14 - 20   2023.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Free Radical Research  

    Low-temperature plasma (LTP) has been widely used in life science. Plasma-activated solutions were defined as solutions irradiated with LTP, and water, medium, and Ringer’s solutions have been irradiated with LTP to produce plasma-activated solutions. They contain chemical compounds produced by reactions among LTP, air, and solutions. Reactive oxygen and nitrogen species (RONS) are major components in plasma-activated solutions and recent studies revealed that plasma-activated organic compounds are produced in plasma-activated Ringer’s lactate solution (PAL). Many in vitro and in vivo studies demonstrated that PAL exhibits anti-tumor effects on cancers, and biochemical analyses revealed intracellular molecular mechanisms of cancer cell death by PAL.

    DOI: 10.1080/10715762.2023.2182663

    Web of Science

    Scopus

    PubMed

  28. Biocompatibility of conformal silicon carbide on carbon nanowall scaffolds Reviewed

    Ono, K; Koide, T; Ishikawa, K; Tanaka, H; Kondo, H; Sugawara-Narutaki, A; Jin, Y; Yasuhara, S; Hori, M; Takeuchi, W

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 62 ( SA )   2023.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    Silicon carbide (SiC) was coated onto carbon nanowall (CNW) scaffolds using chemical vapor deposition with a vinylsilane precursor at 700 °C to investigate the influence of the wall edge width, wall-to-wall distance, and surface morphology. The wall edge width ranged from 10 nm to those filling the wall-to-wall space without disrupting the CNW morphology. When SiC-coated CNWs (SiC/CNWs) were used as scaffolds for cell culture, cell viability increased until the edge area ratio reached 40%. In over 40% of edge area ratio, cell viability was saturate and comparable to flat surfaces such as SiC films on the Si substrate (SiC/Si) and control samples prepared using polystyrene. Calcification was suppressed in the CNWs, SiC/CNWs, and SiC/Si scaffolds compared to polystyrene. Our results suggest that SiC-coated CNW scaffolds could suppress calcification and promote cell proliferation.

    DOI: 10.35848/1347-4065/ac9319

    Web of Science

    Scopus

  29. Science-based, data-driven developments in plasma processing for material synthesis and device-integration technologies Reviewed

    Kambara, M; Kawaguchi, S; Lee, HJ; Ikuse, K; Hamaguchi, S; Ohmori, T; Ishikawa, K

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 62 ( SA )   2023.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    Low-temperature plasma-processing technologies are essential for material synthesis and device fabrication. Not only the utilization but also the development of plasma-related products and services requires an understanding of the multiscale hierarchies of complex behaviors of plasma-related phenomena, including plasma generation in physics and chemistry, transport of energy and mass through the sheath region, and morphology- and geometry-dependent surface reactions. Low-temperature plasma science and technology play a pivotal role in the exploration of new applications and in the development and control of plasma-processing methods. Presently, science-based and data-driven approaches to control systems are progressing with the state-of-the-art deep learning, machine learning, and artificial intelligence. In this review, researchers in material science and plasma processing, review and discuss the requirements and challenges of research and development in these fields. In particular, the prediction of plasma parameters and the discovery of processing recipes are asserted by outlining the emerging science-based, data-driven approaches, which are called plasma informatics.

    DOI: 10.35848/1347-4065/ac9189

    Web of Science

    Scopus

  30. Effects of High-Quality Carbon Nanowalls Ionization-Assisting Substrates on Surface-Assisted Laser Desorption/Ionization Mass Spectrometry Performance Reviewed

    Sakai, R; Kondo, H; Ishikawa, K; Ohta, T; Hiramatsu, M; Tanaka, H; Hori, M

    NANOMATERIALS   Vol. 13 ( 1 )   2023.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Nanomaterials  

    Surface-assisted laser desorption/ionization mass spectrometry (SALDI-MS) is performed using carbon nanowalls (CNWs) for ionization-assisting substrates. The CNWs (referred to as high-quality CNWs) in the present study were grown using a radical-injection plasma-enhanced chemical vapor deposition (RI-PECVD) system with the addition of oxygen in a mixture of CH4 and H2 gases. High-quality CNWs were different with respect to crystallinity and C–OH groups, while showing similar wall-to-wall distances and a wettability comparable to CNWs (referred to as normal CNWs) grown without O2. The efficiency of SALDI was tested with both parameters of ion intensity and fragmental efficiency (survival yield (SY)) using N-benzylpyridinuim chloride (N-BP-CI). At a laser fluence of 4 mJ/cm2, normal CNWs had an SY of 0.97 and an ion intensity of 0.13, while 5-sccm-O2– high-quality CNWs had an SY of 0.89 and an ion intensity of 2.55. As a result, the sensitivity for the detection of low-molecular-weight analytes was improved with the high-quality CNWs compared to the normal CNWs, while an SY of 0.89 was maintained at a low laser fluence of 4 mJ/cm2. SALDI-MS measurements available with the high-quality CNWs ionization-assisting substrate provided high ionization and SY values.

    DOI: 10.3390/nano13010063

    Web of Science

    Scopus

    PubMed

  31. Selective isotropic atomic-layer etching of thin films by using dry chemical removal tool Reviewed

    Shinoda K., Miyoshi N., Kobayashi H., Izawa M., Ishikawa K., Hori M.

    Proceedings of SPIE - The International Society for Optical Engineering   Vol. 12499   2023

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Proceedings of SPIE - The International Society for Optical Engineering  

    There has been considerable interest in the development of isotropic atomic layer etching (ALE) for the conformal removal of thin films. Material selectivity is crucial for the development of isotropic ALE because the next generation of semiconductor devices will be constructed with miniaturized 3D structures using a variety of very thin films. We developed plasma-assisted thermal-cyclic ALE, which is a repetition of surface modification by plasma exposure and removal of the modified surface by infrared heating. We developed a 300-mm tool, namely, dry chemical removal (DCR), which is equipped with an inductively coupled plasma (ICP) source and infrared lamps, to facilitate rapid thermal desorption of the modified surface. An important feature of the plasma-assisted thermal-cyclic ALE is that it has more tuning knobs than that of conventional ALE because it uses two temperatures: a low temperature for surface modification and an elevated temperature for the removal of the modified surface. This paper presents the selective ALE of various materials, i.e., Si3N4, TiN, W, and SiGe using the developed tool. The mechanisms of the selectivity are divided into two categories: formation of an ammonium salt-based modified layer and selectivity control by adjusting the infrared heating time. This paper reviews the selective ALE mechanisms, focusing on the results of in situ analysis of surface reactions, and presents some of the latest findings.

    DOI: 10.1117/12.2664547

    Scopus

  32. Free radical formation induced by cold atmospheric plasma and its biological implications-Comparison with ionizing radiation- (低温大気圧プラズマによるフリーラジカル生成とその生物学的意義) Reviewed

    田中 宏昌, 石川 健治

    化学工業   Vol. 74   page: 120 - 126   2023

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    CiNii Research

  33. 低温大気圧プラズマによるフリーラジカル生成とその生物学的意義-放射線との比較-

    近藤 隆, 田中 宏昌, 石川 健治, 堀 勝

    化学工業   Vol. 74(2)   page: 120 - 126   2023

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    CiNii Research

  34. Impact of microsecond-pulsed plasma-activated water on papaya seed germination and seedling growth Reviewed

    Xi, DK; Zhang, XH; Yang, SZ; Yap, SS; Ishikawa, K; Hori, M; Yap, SL

    CHINESE PHYSICS B   Vol. 31 ( 12 )   2022.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Chinese Physics B  

    The seed of Carica papaya consists of a hard shell-like testa with inhibitors in vivo causing slow, erratic and asynchronous germination. In this work, plasma-activated water prepared by microsecond-pulsed plasma jets (μPAW) was applied to treat papaya seeds. The μPAW after plasma activation of 30 min was about 40 °C. The reactive species such as NO2, NO3, and H2O2 in the μPAW activated from deionized water were measured and correlated to the seed germination rate and the seedling growth performance. The μPAW-treated papaya seed achieved a higher germination rate of 90%, which is 26% higher than the control group using deionized water. Comparing the results with a hot water (40 °C) reference group showed that the reactive species in μPAW played primary roles in germination improvement, with little effect caused by the heat shock. The μPAW also sterilized the treated seeds, reducing the germination stress. The morphological change in the seeds was observed by SEM, showing an effect of physical etching after treatment promoting seed imbibition. The biochemical mechanism of the seed germination was deduced with reference to the evolution of surface chemistry, functional groups, and ABA content. The accelerated seed metabolism observed was corresponded to the chemical modification pathway. Besides, early seedlings developed from treated seeds were observed to be healthy, grow more leaves, and have better root structures. The content of MDA in the treated papaya seedlings decreased along with increased SOD and higher ion concentration. The μPAW that can be prepared at atmospheric pressure for bulk production offers a low-risk and cost-effective seed priming technology that may significantly increase the production of agricultural crops.

    DOI: 10.1088/1674-1056/ac904e

    Web of Science

    Scopus

  35. Indoor Floor Heel Mark Removal Using Spark Discharges and Pressurized Airflow Reviewed

    Sakamoto, Y; Tsutsumi, T; Tanaka, H; Ishikawa, K; Hashizume, H; Hori, M

    COATINGS   Vol. 12 ( 12 )   2022.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Coatings  

    Heel marks (HMs), which are the black stains made by shoe soles on indoor floors, can be difficult to remove. However, this study shows how spark discharges combined with pressurized airflow in 60 s discharge treatments can remove such HMs. We further show that maximizing the HM removal rates depended on the electrode gap distance because of changes in the spark discharge parameters. In our experiments, the electrical voltage waveforms are shown with voltage spikes, called spark discharges, and the spike numbers were counted in 0.6-ms time units. It was found that the number of spark discharges increases when the electrode gap distance was widened from 5 mm to 10 mm and the pressurized airflow was added, and the HM removal rates increased 11.5%, the HM removal rates could be maximized. Taken together, the results show that spark discharges combined with pressurized air can remove HMs from indoor floors without no visual damage. This paper is a preliminary report showing that HMs can be removed by plasma.

    DOI: 10.3390/coatings12121938

    Web of Science

    Scopus

  36. Wide range applications of process plasma diagnostics using vacuum ultraviolet absorption spectroscopy Reviewed

    Takeda K., Ishikawa K., Hori M.

    Reviews of Modern Plasma Physics   Vol. 6 ( 1 )   2022.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Reviews of Modern Plasma Physics  

    Applications of plasma diagnostics using vacuum ultraviolet absorption spectroscopy (VUVAS) in our studies are summarized in this article. The plasma diagnostics with absorption spectroscopy is a powerful tool for investigating behaviors of reactive species in plasma processes, because it enables us to measure the absolute density. In our group, we have focused on the clarification of reaction mechanisms of atomic species in the plasma processes, and the behaviors of atomic species generated in various process plasmas have been investigated using the VUVAS with a plasma light source. Using the VUVAS, we can investigate not only the absolute density but also surface reactions of atomic species in the plasma processes. On the other hand, in our group, the real-time monitoring of atomic species based on VUVAS has been realized in the plasma processes, and the effects of the initial state of reactor wall surface on the behaviors of atomic species in a process plasma have been quantitatively investigated. Moreover, the VUVAS has been applied to the measurements of reactive species generated by atmospheric pressure plasmas which attracts much attention because of its applications to the bio and medical research fields. These results and experimental technique used in our studies are extremely useful for the further developments of plasma processes such as etching, deposition, surface modification, bio-medical applications and so on in the future.

    DOI: 10.1007/s41614-022-00075-3

    Scopus

  37. Dry etching of ternary metal carbide TiAlC via surface modification using floating wire-assisted vapor plasma Reviewed

    Nguyen, TTN; Shinoda, K; Hamamura, H; Maeda, K; Yokogawa, K; Izawa, M; Ishikawa, K; Hori, M

    SCIENTIFIC REPORTS   Vol. 12 ( 1 ) page: 20394   2022.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Scientific Reports  

    Dry etching of ternary metal carbides TiAlC has been first developed by transferring from wet etching to dry etching using a floating wire (FW)-assisted Ar/ammonium hydroxide vapor plasma. FW-assisted non-halogen vapor plasma generated at medium pressure can produce high-density reactive radicals (NH, H, and OH) for TiAlC surface modifications such as hydrogenation and methylamination. A proposed mechanism for dry etching of TiAlC is considered with the formation of the volatile products from the modified layer.

    DOI: 10.1038/s41598-022-24949-1

    Web of Science

    Scopus

    PubMed

  38. Carbon Layer Formation on Hexagonal Boron Nitride by Plasma Processing in Hydroquinone Aqueous Solution Reviewed

    Inoue, K; Sakakibara, N; Goto, T; Ito, T; Shimizu, Y; Hakuta, Y; Ishikawa, K; Hori, M; Terashima, K

    ACS APPLIED MATERIALS & INTERFACES   Vol. 14 ( 47 ) page: 53413 - 53420   2022.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:ACS Applied Materials and Interfaces  

    Although hexagonal boron nitride (hBN) is a thermally conductive and electrically insulating filler in composite materials, surface modification remains difficult, which limits its dispersibility and functionalization. In this study, carbon layer formation on hBN particles by plasma processing in hydroquinone aqueous solution was investigated as a surface modification technique. Carbon components with features of polymeric hydrogenated amorphous carbon were found to be uniformly distributed on the hydroquinone-aided plasma-modified hBN (HQpBN) particles. Electron spin resonance measurements revealed abundant unpaired electrons in HQpBN, indicating that defects were formed on hBN by plasma processing and that the carbon layer contained dangling bonds. The defects on hBN could help in the attachment of the carbon layer, whereas the dangling bonds could act as reactive sites for further functionalization. The carbon layer on HQpBN was successfully functionalized with isocyanate groups, thus confirming the ability of this carbon layer to facilitate surface modification. These results demonstrate that the carbon layer formed on hBN can provide a designable interface in organic/inorganic composite materials.

    DOI: 10.1021/acsami.2c15951

    Web of Science

    Scopus

    PubMed

  39. Effects of deposition precursors of hydrogenated amorphous carbon films on the plasma etching resistance based on mass spectrometer measurements and machine learning analysis Reviewed

    Kurokawa, J; Kondo, H; Tsutsumi, T; Ishikawa, K; Sekine, M; Hori, M

    VACUUM   Vol. 205   2022.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Vacuum  

    In the growth of hydrogenated amorphous carbon (a-C:H) films by plasma enhanced chemical vapor deposition (PECVD) with H2, CH4 and C3H6 plasma, the gas phase reaction of active species and their contribution to properties of deposited a-C:H film were quantitatively investigated using appearance quadrupole mass spectrometry (QMS) and machine learning. The QMS measurement indicated that two-types of neutral radicals were generated by the dissociation and polymerization of the raw material gasses. A random forest regression model was employed as a prediction model to analyze the correlation between the neutral species and etching rates by molecular oxygen (O2) plasma without any stage bias, which were measured by in-situ ellipsometry. The coefficient of determination (R2), an indicator of the degree of prediction accuracy, was 0.906 and 0.584 for the training and test data, respectively. SHapley Additive exPlanations (SHAP), interpreting the random forest model, were used to quantitatively indicate the contribution of radicals to the etching rate, including their synergistic and secondary effects. They indicated that carbon-rich radicals, such as C3H3 and C5H5, contributed to a decrease in the etching rate, whereas hydrogen-rich radicals, such as CHx (x=1,2,3,4), C2H5, C4H9, and C5H9,11 induced an increase in the etching rate by the O2 plasma. (200 words)

    DOI: 10.1016/j.vacuum.2022.111351

    Web of Science

    Scopus

  40. Dissociation channels of c-C<sub>4</sub>F<sub>8</sub> to C<sub>2</sub>F<sub>4</sub> in reactive plasma Reviewed

    Hayashi, T; Ishikawa, K; Sekine, M; Hori, M; Lwayama, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 61 ( 10 )   2022.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    Progress in computational methods and personal computing has made possible more accurate estimations for primary dissociation channels and energies. The main dissociation route is revealed to be via the 7E excited state with an energy of 12.23 eV, which is composed of transitions from the highest occupied molecular orbital with b1 symmetry to some degenerate unoccupied e molecular orbitals. The main contributing e orbitals consisted of antibonding combination of C2F4 π-bonding orbitals. This degenerate 7E state is lowered by non-adiabatic transitions through the conical interactions on the dissociating route to 2C2F4, so the energy is finally relaxed at the dissociative second lowest 1E excited state leading to 2C2F4 production. In the electron attachment process, the calculated results show that the F- ion is produced from the excited states of the D4h c-C4F8- ion through conical interactions at the energies of 4.3 eV, 5.6 eV, and 5.0 eV, along the C-F dissociation route.

    DOI: 10.35848/1347-4065/ac895e

    Web of Science

    Scopus

  41. In-liquid plasma synthesis of iron-nitrogen-doped carbon nanoflakes with high catalytic activity Reviewed

    Kondo, H; Hamaji, R; Amano, T; Ishikawa, K; Sekine, M; Hiramatsu, M; Hori, M

    PLASMA PROCESSES AND POLYMERS   Vol. 19 ( 8 )   2022.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Plasma Processes and Polymers  

    Flake-shaped carbon nanomaterials with nitrogen (N) and iron (Fe) addition, so-called carbon nanoflakes (CNFLs), were synthesized by the in-liquid plasma using two different kinds of additive agents, such as hemin and iron (II) phthalocyanine (FePc). According to scanning electron microscopy images and Raman spectra, CNFLs with a size of at least 100 nm order were formed regardless of types of additive agents, and crystallinity of six-membered ring structures was improved as additive agents increased. Photoelectron spectra showed that pyridinic N contents increased from 1.05% to 2.02% with increasing FePc, while those decreased from 0.34% to 0.14% with hemin. In the oxygen reduction reaction, onset potential values also increased from 0.71 to 0.79 eV with increasing FePc, while those decreased from 0.60 to 0.47 eV with hemin. These results suggested that the catalytic activity of CNFLs was effectively improved by the increase of pyridinic N by the in-liquid plasma synthesis with FePc. In contrast, the electron transfer numbers reached 3.81 when hemin increased, although those were less than 2.88 in the cases using FePc. These results mean that the in-liquid plasma synthesis method of CNFLs using FePc has the potential to further improve its catalytic activity.

    DOI: 10.1002/ppap.202100203

    Web of Science

    Scopus

  42. Dry Process Reviewed

    Akatsuka H., Kurihara K., Toyoda H., Karahashi K., Ishikawa K., Ichiki T., Eriguchi K., Kuboi N., Matsui M., Nunomura S.

    Japanese Journal of Applied Physics   Vol. 61 ( SI )   2022.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    DOI: 10.35848/1347-4065/ac6726

    Scopus

  43. Study of optical emission spectroscopy using modified Boltzmann plot in dual-frequency synchronized pulsed capacitively coupled discharges with DC bias at low-pressure in Ar/O<sub>2</sub>/C<sub>4</sub>F<sub>8</sub> plasma etching process Reviewed

    Sahu, BB; Nakane, K; Ishikawa, K; Sekine, M; Tsutsumi, T; Gohira, T; Ohya, Y; Ohno, N; Hori, M

    PHYSICAL CHEMISTRY CHEMICAL PHYSICS   Vol. 24 ( 22 ) page: 13883 - 13896   2022.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Physical Chemistry Chemical Physics  

    We consider the corona model and local thermal equilibrium approximations of a real plasma to measure the electron temperature (Te) and density (ne), respectively, using the optical emission spectroscopy (OES) method in dual-frequency pulsed capacitively coupled plasmas (CCPs) in a reactive mixture of Ar/O2/C4F8 at a low operating pressure. The operation conditions such as DC continuous and synchronized were used for the study and plasma characterization for the intended plasma application such as high aspect ratio etching (HARE). We show that the present plasma conditions are dominated by a corona balance rather than the supremacy of multi-step excitation. This fact has enabled us to utilize the modified Boltzmann plot technique to evaluate the Te values. In the second method, we simultaneously used the Boltzmann and Saha equations to determine the ne value using the line intensity ratio and the value of Te. Time-resolved measurements of Te and ne were performed for completeness, and the insight of the pulsed discharge was investigated. Time evolution of ne and Te using the OES method revealed a similar trend in the change of plasma parameters, indicating electron impact ionization during the pulse on phase. It was seen that ne in the afterglow speedily decreased within a short time of ∼5 μs. Analysis suggests the formation of afterglow plasmas, which are composed of positive and negative ions with very low electron density. The results revealed that the DC-synchronized operation could be useful for plasma application such as HARE due to different plasma characteristics. It also suggests the production of ion-ion plasmas by the effective utilization of negative ions in the afterglow phase. The corona balance condition was validated in our experiments, and the results were compared with the existing literature.

    DOI: 10.1039/d2cp00289b

    Web of Science

    Scopus

    PubMed

  44. Low-temperature reduction of SnO<sub>2</sub> by floating wire-assisted medium-pressure H<sub>2</sub>/Ar plasma Reviewed

    Nguyen, TTN; Sasaki, M; Hsiao, SN; Tsutsumi, T; Ishikawa, K; Hori, M

    PLASMA PROCESSES AND POLYMERS   Vol. 19 ( 6 )   2022.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Plasma Processes and Polymers  

    Reduction of SnO2 to form spherical Sn particles and Sn etching are obtained by floating wire (FW)-assisted medium-pressure H2/Ar plasma. High-density H2/Ar plasma (1014 cm−3) with a larger treatment area at medium pressure (10 kPa) produces a two-times higher removal rate of SnO2 (0.111 mg/min) than that at atmospheric pressure with the same treatment area of 300 mm2. SnO2 film is removed from the glass surface by a two-step process involving (1) reduction of SnO2 by FW-H2/Ar plasma to form spherical Sn particles and (2) removal of low-contact Sn particles by water-based cleaning. High surface smoothness (roughness of 0.488 nm) and high optical transmittance (>92%) of treated samples indicate no damage compared to that of pristine quartz glass.

    DOI: 10.1002/ppap.202100209

    Web of Science

    Scopus

  45. Cytotoxicity of plasma-irradiated lactate solution produced under atmospheric airtight conditions and generation of the methyl amino group Reviewed

    Ito, D; Iwata, N; Ishikawa, K; Nakamura, K; Hashizume, H; Miron, C; Tanaka, H; Kajiyama, H; Toyokuni, S; Mizuno, M; Hori, M

    APPLIED PHYSICS EXPRESS   Vol. 15 ( 5 )   2022.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    Ringer's lactate solution was irradiated with non-equilibrium plasma under airtight conditions. The plasma-activated lactate (PAL) was produced with argon, oxygen, and nitrogen gases following purging of Ar. Cytotoxicity could be controlled by diluting PAL, and a killing effect was selectively obtained on cancer cells compared to normal cells for Ar+O2+N2 PALs. Nonetheless, cytotoxicity was partly reproduced by similar concentrations of H2O2 and NO2- in the PALs. The organics produced by plasma irradiation to lactate were investigated using nuclear magnetic resonance, and the generation of methyl amino species was confirmed.

    DOI: 10.35848/1882-0786/ac6360

    Web of Science

    Scopus

  46. Plasma-assisted thermal-cyclic atomic-layer etching of tungsten and control of its selectivity to titanium nitride Reviewed

    Shinoda, K; Miyoshi, N; Kobayashi, H; Hanaoka, Y; Izawa, M; Ishikawa, K; Hori, M

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B   Vol. 40 ( 2 )   2022.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Journal of Vacuum Science and Technology B  

    Plasma-assisted thermal-cyclic atomic-layer etching (ALE) of tungsten (W), the selectivity of which with respect to TiN can be tuned between highly selective and nonselective by changing infrared-heating time, has been demonstrated. It is a two-step process consisting of exposure to radicals generated in a CHF3/O2 or CH2F2/O2/Ar plasma followed by infrared heating. Analysis by in situ x-ray photoelectron spectroscopy confirmed that a WFx-based modified surface formed on the surface of a W film exposed to radicals at -22 °C. The modified surface on the W film is volatile at a heating temperature lower than that required for removing the modified surface on the TiN film. Cyclic etching of both W and TiN was performed by using the CH2F2/O2/Ar plasma and infrared heating in a 300-mm ALE apparatus. When the infrared-heating time was not long enough to remove the modified surface on TiN, the cyclic repetition etched only the W film. On the other hand, when the infrared-heating time was long enough to remove modified surfaces on both W and TiN, the cyclic repetition etched both W and TiN films. Therefore, both highly selective and nonselective ALEs for W and TiN were obtained by choosing an optimum infrared-heating time. This shows that material selectivity in plasma-assisted thermal-cyclic ALE can be controlled by changing the infrared-heating time.

    DOI: 10.1116/6.0001660

    Web of Science

    Scopus

  47. Scaffolds with isolated carbon nanowalls promote osteogenic differentiation through Runt-related transcription factor 2 and osteocalcin gene expression of osteoblast-like cells Reviewed

    Ichikawa, T; Ishikawa, K; Tanaka, H; Shimizu, N; Hori, M

    AIP ADVANCES   Vol. 12 ( 2 )   2022.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:AIP Advances  

    Carbon nanowalls (CNWs) with average wall-to-wall distances ranging from 100 to 3300 nm were synthesized using a radical injection plasma-enhanced chemical vapor deposition system. Application of a negative high voltage to the growth substrate using an inductor energy storage (IES) circuit provided CNWs with wall-to-wall distances depending on the nano-second pulse voltage of the IES circuit. Sparse isolated CNWs with average wall-to-wall distances of 700 nm were used for culturing Saos-2 cells. These cells showed better adhesion than the control after 2 days’ incubation and enhanced gene expression of the osteogenic differentiation genes Runt-related transcription factor 2 (Runx2) and osteocalcin after 10 days’ incubation. Sparse isolated CNW scaffolds hold promise for regulating the differentiation of osteoblast-like cells.

    DOI: 10.1063/5.0075530

    Web of Science

    Scopus

  48. Enhancement of ethanol production and cell growth in budding yeast by direct irradiation of low-temperature plasma Reviewed

    Tanaka, H; Matsumura, S; Ishikawa, K; Hashizume, H; Ito, M; Nakamura, K; Kajiyama, H; Kikkawa, F; Ito, M; Ohno, K; Okazaki, Y; Toyokuni, S; Mizuno, M; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 61 ( SA )   2022.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    Ethanol production by budding yeast was compared between direct and indirect plasma irradiation. We observed enhancement of ethanol production and cell growth not by indirect plasma irradiation but by direct plasma irradiation. Glucose consumption was increased in budding yeast by direct plasma irradiation. Extracellular flux analysis revealed that glycolytic activity in the budding yeast was elevated by direct plasma irradiation. These results suggest that direct plasma irradiation enhances ethanol production in budding yeast by elevating the glycolytic activity.

    DOI: 10.35848/1347-4065/ac2037

    Web of Science

    Scopus

  49. Towards prevention and prediction of infectious diseases with virus sterilization using ultraviolet light and low-temperature plasma and bio-sensing devices for health and hygiene care Reviewed International coauthorship

    Kumagai, S; Nishigori, C; Takeuchi, T; Bruggeman, P; Takashima, K; Takahashi, H; Kaneko, T; Choi, EH; Nakazato, K; Kambara, M; Ishikawa, K

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 61 ( SA ) page: SA0808   2022.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    Inspired by the ideas of many authors, we provide insight on state-of-the-art potential technologies for the prevention and prediction of infectious diseases before they spread. This review also surveys virus sterilization with ultraviolet light and low temperature plasma technologies. Researchers in the various fields of medicine, materials, electronics, and plasma sciences have addressed increasingly challenging demands and the discussion encompasses the major challenges in societies that are faced with the threat of infectious diseases. In addition, technologies that use nanomaterials are evaluated for infection prevention and hygiene purposes. Advances in biomedical diagnostics for health care in terms of complementary metal-oxide-semiconductor transistors-based devices and telemetry for health monitoring are also reviewed.

    DOI: 10.35848/1347-4065/ac1c3d

    Web of Science

    Scopus

  50. Perspectives on functional nitrogen science and plasma-based <i>in situ</i> functionalization Reviewed

    Ishikawa, K

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 61 ( SA ) page: SA0802   2022.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    Reactive nitrogen species (RNS) generated by controlled electron impacts in conjunction with the use of dinitrogen can react with oxygen and/or hydrogen to generate highly reactive compounds. The related field of functional nitrogen science provides new opportunities for nitrogen utilization based on non-equilibrium plasma processing. This revolutionary technique permits plasma-based nitrogen fixation at the locations at which materials such as dopants and fertilizers are required. This system, based on the in situ generation of RNS at the point of use, is expected to replace conventional processes involving nitrogen cycles employing ammonia and nitric acid. Optimization of this new approach will require nitrogen doping to be tuned by atomic-scale localization of nitrogen. This review discusses the applications of functional nitrogen and the processes by which functionalization in both inorganic materials and living organisms can occur when using RNS.

    DOI: 10.35848/1347-4065/ac3558

    Web of Science

    Scopus

  51. Functional nitrogen science based on plasma processing: quantum devices, photocatalysts and activation of plant defense and immune systems Reviewed International coauthorship

    Kaneko, T; Kato, H; Yamada, H; Yamamoto, M; Yoshida, T; Attri, P; Koga, K; Murakami, T; Kuchitsu, K; Ando, S; Nishikawa, Y; Tomita, K; Ono, R; Ito, T; Ito, AM; Eriguchi, K; Nozaki, T; Tsutsumi, T; Ishikawa, K

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 61 ( SA ) page: SA0805   2022.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    Nitrogen is a very common element, comprising approximately 78% of Earth's atmosphere, and is an important component of various electronic devices while also being essential for life. However, it is challenging to directly utilize dinitrogen because of the highly stable triple bond in this molecule. The present review examines the use of non-equilibrium plasmas to generate controlled electron impacts as a means of generating reactive nitrogen species (RNS) with high internal energy values and extremely short lifetimes. These species include ground state nitrogen atoms, excited nitrogen atoms, etc. RNS can subsequently react with oxygen and/or hydrogen to generate new highly reactive compounds and can also be used to control various cell functions and create new functional materials. Herein, plasma-processing methods intended to provide RNS serving as short-lived precursors for a range of applications are examined in detail.

    DOI: 10.35848/1347-4065/ac25dc

    Web of Science

    Scopus

  52. Plasma Diagnostics and Characteristics of Hydrofluorocarbon Films in Capacitively Coupled CF<inf>4</inf>/H<inf>2</inf>Plasmas Reviewed

    Hsiao S.N., Imai Y., Britrun N., Tsutsumi T., Ishikawa K., Sekine M., Hori M.

    IEEE International Symposium on Semiconductor Manufacturing Conference Proceedings   Vol. 2022-December   2022

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IEEE International Symposium on Semiconductor Manufacturing Conference Proceedings  

    Plasma diagnostics including electron density, temperature, neutral atomic densities of the CH4H2 plasmas were performed in a capacitively-coupled reactor using surface-wave probe, Langmuir probe and vacuum ultraviolet absorption spectroscopy. The plasma density increased monotonically with varying H2 content from 30 to 90 %. The electron temperature first decreased with H2 up to 50 % and then increased at higher H2 concentration. The HF concentration reached a maximum value at a H2 of approximately 50 %, which is probably due to balance between H and F radicals from the plasma. Increasing the H2 content resulted in a higher H concentration and a less cross-linked structure of the amorphous hydrofluorocarbon films, analyzed by using in situ Fourier transformation infrared spectroscopy.

    DOI: 10.1109/ISSM55802.2022.10027112

    Scopus

  53. On the Etching Mechanism of Highly Hydrogenated SiN Films by CF<sub>4</sub>/D<sub>2</sub> Plasma: Comparison with CF<sub>4</sub>/H<sub>2</sub> Reviewed

    Hsiao, SN; Nguyen, TTN; Tsutsumi, T; Ishikawa, K; Sekine, M; Hori, M

    COATINGS   Vol. 11 ( 12 ) page: 1535   2021.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Coatings  

    With the increasing interest in dry etching of silicon nitride, utilization of hydrogencontained fluorocarbon plasma has become one of the most important processes in manufacturing advanced semiconductor devices. The correlation between hydrogen-contained molecules from the plasmas and hydrogen atoms inside the SiN plays a crucial role in etching behavior. In this work, the influences of plasmas (CF4/D2 and CF4/H2 ) and substrate temperature (Ts, from −20 to 50◦ C) on etch rates (ERs) of the PECVD SiN films were investigated. The etch rate performed by CF4/D2 plasma was higher than one obtained by CF4/H2 plasma at substrate temperature of 20◦ C and higher. The optical emission spectra showed that the intensities of the fluorocarbon (FC), F, and Balmer emissions were stronger in the CF4/D2 plasma in comparison with CF4/H2 . From X-ray photoelectron spectra, a thinner FC layer with a lower F/C ratio was found in the surface of the sample etched by the CF4/H2 plasma. The plasma density, gas phase concentration and FC thickness were not responsible for the higher etch rate in the CF4/D2 plasma. The abstraction of H inside the SiN films by deuterium and, in turn, hydrogen dissociation from Si or N molecules, supported by the results of in situ monitoring of surface structure using attenuated total reflectance-Fourier transform infrared spectroscopy, resulted in the enhanced ER in the CF4/D2 plasma case. The findings imply that the hydrogen dissociation plays an important role in the etching of PECVD-prepared SiN films when the hydrogen concentration of SiN is higher. For the films etched with the CF4/H2 at −20◦ C, the increase in ER was attributed to a thinner FC layer and surface reactions. On the contrary, in the CF4/D2 case the dependence of ER on substrate temperature was the consequence of the factors which include the FC layer thickness (diffusion length) and the atomic mobility of the etchants (thermal activation reaction).

    DOI: 10.3390/coatings11121535

    Web of Science

    Scopus

  54. プラズマがん治療 Invited Reviewed

    石川健治,堀勝

    静電気学会誌   Vol. 45 ( 6 ) page: 206 - 212   2021.11

     More details

    Authorship:Lead author, Corresponding author   Language:Japanese   Publishing type:Research paper (scientific journal)  

  55. Effects of hydrogen content in films on the etching of LPCVD and PECVD SiN films using CF<sub>4</sub>/H<sub>2</sub> plasma at different substrate temperatures Reviewed

    Hsiao, SN; Britun, N; Nguyen, TTN; Tsutsumi, T; Ishikawa, K; Sekine, M; Hori, M

    PLASMA PROCESSES AND POLYMERS   Vol. 18 ( 11 ) page: 2100078   2021.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Plasma Processes and Polymers  

    The dependences of etching characteristics on substrate temperature (Ts, from –20 to 50°C) of the plasma-enhanced chemical vapor deposition (PECVD) SiN films (PE-SiN) and low-pressure chemical vapor deposition (LPCVD) SiN films (LP-SiN) with CF4/H2 plasma were investigated. The Fourier-transform infrared spectroscopy shows that both film types were N–H bond-rich films, but in different hydrogen contents (PE-SiN 22.7 at% and LP-SiN 3.8 at%) from the Rutherford backscattering spectroscopy analyses. A higher hydrogen content led to a thinner fluorocarbon thickness because of the reaction between hydrogen outflux and C and N to form an HCN byproduct. The etch rates (ER) for the PE-SiN were higher than that of the LP-SiN at all Ts, due to the different FC thickness and etching mechanisms proposed. The formation of the N−Hx layer on PE-SiN at low temperature caused the decrease in ER. For the LP-SiN, the weak dependences of Ts on surface structure and ER were observed.

    DOI: 10.1002/ppap.202100078

    Web of Science

    Scopus

  56. Plasma-activated Ringer's lactate solution inhibits the cellular respiratory system in HeLa cells Reviewed

    Tanaka, H; Maeda, S; Nakamura, K; Hashizume, H; Ishikawa, K; Ito, M; Ohno, K; Mizuno, M; Motooka, Y; Okazaki, Y; Toyokuni, S; Kajiyama, H; Kikkawa, F; Hori, M

    PLASMA PROCESSES AND POLYMERS   Vol. 18 ( 10 ) page: 2100056   2021.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Plasma Processes and Polymers  

    Nonequilibrium atmospheric pressure plasma has enabled a variety of new applications in medicine, agriculture, and other industries. It is particularly noteworthy that plasma itself and/or plasma-activated culture medium have been shown to preferentially kill various cancer cells. We have previously developed a plasma-activated Ringer's lactate solution (PAL) for use as a new cancer treatment. In this study, behaviors of extracellular and intracellular reactive oxygen and nitrogen species in the cellular respiratory system of PAL-treated HeLa cells were investigated using an extracellular flux analyzer and a probe to measure mitochondrial membrane potential. In PAL-treated HeLa cells, extracellular hydrogen peroxide in PAL was found to be responsible for the induction of intracellular hydrogen peroxide and apoptosis, while other components in PAL are responsible for the induction of non-H2O2 intracellular ROS and non-apoptotic cell death, which should be clarified by further experiments. We believe that these are long-lived species derived from plasma-activated lactates. Furthermore, we found that the plasma-activated lactates inhibited glycolysis and the tricarboxylic acid (TCA) cycle, but not the electron transport chain in HeLa cells. These results suggest that PAL induces multiple modes of cell death, including apoptosis through hydrogen peroxide, and non-apoptotic cell death associated with the impairment of mitochondrial functions (glycolysis and TCA cycle). These findings shed light on the novel mechanism underlying plasma-activated lactate-induced cell death.

    DOI: 10.1002/ppap.202100056

    Web of Science

    Scopus

  57. Low temperature plasma irradiation products of sodium lactate solution that induce cell death on U251SP glioblastoma cells were identified Reviewed

    Tanaka, H; Hosoi, Y; Ishikawa, K; Yoshitake, J; Shibata, T; Uchida, K; Hashizume, H; Mizuno, M; Okazaki, Y; Toyokuni, S; Nakamura, K; Kajiyama, H; Kikkawa, F; Hori, M

    SCIENTIFIC REPORTS   Vol. 11 ( 1 ) page: 18488   2021.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Scientific Reports  

    Low-temperature plasma is being widely used in the various fields of life science, such as medicine and agriculture. Plasma-activated solutions have been proposed as potential cancer therapeutic reagents. We previously reported that plasma-activated Ringer’s lactate solution exhibited selective cancer-killing effects, and that the plasma-treated L-sodium lactate in the solution was an anti-tumor factor; however, the components that are generated through the interactions between plasma and L-sodium lactate and the components responsible for the selective killing of cancer cells remain unidentified. In this study, we quantified several major chemical products, such as pyruvate, formate, and acetate, in plasma-activated L-sodium lactate solution by nuclear magnetic resonance analysis. We further identified novel chemical products, such as glyoxylate and 2,3-dimethyltartrate, in the solution by direct infusion-electrospray ionization with tandem mass spectrometry analysis. We found that 2,3-dimethyltartrate exhibited cytotoxic effects in glioblastoma cells, but not in normal astrocytes. These findings shed light on the identities of the components that are responsible for the selective cytotoxic effect of plasma-activated solutions on cancer cells, and provide useful data for the potential development of cancer treatments using plasma-activated L-sodium lactate solution.

    DOI: 10.1038/s41598-021-98020-w

    Web of Science

    Scopus

    PubMed

  58. Leading edge of transcendental dry etching technology realizing advanced decice structures Invited Reviewed

    Kenji Ishikawa, and Keigo Takeda

    Journal of plasma and fusion research   Vol. 97 ( 9 ) page: 534 - 536   2021.9

     More details

    Authorship:Lead author, Corresponding author   Language:Japanese   Publishing type:Research paper (scientific journal)  

  59. Effects of free radicals generated by atmospheric pressure plasma on physical actions and biochemical relaxations (PACR) Invited Reviewed

    Kenji Ishikawa, Hiroshi Hashizume, Camelia Miron, Hiromasa Tanaka, and Masaru Hori

    Radiation biology research communications   Vol. 56 ( 3 ) page: 280 - 294   2021.9

     More details

    Authorship:Lead author, Corresponding author   Language:Japanese   Publishing type:Research paper (scientific journal)  

  60. Reaction control of atomic layer and low dame processes for plasma etching of nitride semiconductor Invited Reviewed

    Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Journal of plasma and fusion research   Vol. 97 ( 9 ) page: 517 - 521   2021.9

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  61. Leading edge of transcendental dry etching technology realizing advanced decice structures Invited Reviewed

    Kenji Ishikawa, and Keigo Takeda

    Journal of plasma and fusion research   Vol. 97 ( 9 ) page: 508 - 510   2021.9

     More details

    Authorship:Lead author, Corresponding author   Language:Japanese   Publishing type:Research paper (scientific journal)  

  62. Novel Method of Rebound Tailing Pulse (RTP) for Water Dissociation Reviewed

    Shimizu, N; Borude, RR; Tanaka, R; Ishikawa, K; Oda, O; Hosoe, H; Ino, S; Inoue, Y; Hori, M

    IEEE TRANSACTIONS ON PLASMA SCIENCE   Vol. 49 ( 9 ) page: 2893 - 2900   2021.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IEEE Transactions on Plasma Science  

    Simple low-temperature pulsed power dissociation method for high resistive liquid is proposed in this article. Active high voltage rebound tailing pulse (RTP) diodes are adopted to conventional high voltage pulse power sources. In order to explain this method, the study was performed using deionized water (DIW) (high resistive >17MΩcm) electrolysis with two immersed electrodes. The forward pulses, the full-width at half-maximum (FWHM) of 400 ns and forward voltage (7 kV) with rising-up ratio (dV/dt) of 1011 V/s, were applied to the electrodes. When a 5 kV RTP diode was simply adopted to this electrical circuit and DIW load in series, the high forward voltage pulse and continuous high reverse current were applied to this circuit. Then, H2 was generated at the anode electrode and OH radical (OH) in the water vessel. Those phenomena were apparently dependent on the anode electrode area. At the beginning of the forwarded high voltage application, the circuit behaved as capacitance load with generated ions and built up charges at the interface between DIW and the electrode. Continuous rebounded high reverse voltage and highly built-up reverse recovery charges induce avalanche breakdown of RTP diode. The rebounded electrical current was found to be collected in the circuit, accompanied by the generation of hydrogen and OH, as well as the tailing current flowing at the interface as a resistive load. In consequence, we point out that rebounded electrons injected from the surrounding water to the anode electrode interface induced the water electrolysis characteristically, according to RTP-diode inserted in the circuit.

    DOI: 10.1109/TPS.2021.3102639

    Web of Science

    Scopus

  63. Lysosomal nitric oxide determines transition from autophagy to ferroptosis after exposure to plasma-activated Ringer's lactate Reviewed

    Jiang, L; Zheng, H; Lyu, QY; Hayashi, S; Sato, K; Sekido, Y; Nakamura, K; Tanaka, H; Ishikawa, K; Kajiyama, H; Mizuno, M; Hori, M; Toyokuni, S

    REDOX BIOLOGY   Vol. 43   page: 101989   2021.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Redox Biology  

    Non-thermal plasma (NTP), an engineered technology to generate reactive species, induces ferroptosis and/or apoptosis specifically in various-type cancer cells. NTP-activated Ringer's lactate (PAL) is another modality for cancer therapy at preclinical stage. Here we found that PAL induces selective ferroptosis of malignant mesothelioma (MM) cells, where non-targeted metabolome screening identified upregulated citrulline-nitric oxide (.NO) cycle as a PAL target .NO probe detected biphasic peaks transiently at PAL exposure with time-dependent increase, which was responsible for inducible .NO synthase (iNOS) overexpression through NF-κB activation. .NO and lipid peroxidation occupied lysosomes as a major compartment with increased TFEB expression. Not only ferrostatin-1 but inhibitors for .NO and/or iNOS could suppress this ferroptosis. PAL-induced ferroptosis accompanied autophagic process in the early phase, as demonstrated by an increase in essential amino acids, LC3B-II, p62 and LAMP1, transforming into the later phase with boosted lipid peroxidation. Therefore, .NO-mediated lysosomal impairment is central in PAL-induced ferroptosis.

    DOI: 10.1016/j.redox.2021.101989

    Web of Science

    Scopus

    PubMed

  64. Brain cell proliferation in adult rats after irradiation with nonequilibrium atmospheric pressure plasma Reviewed

    Yamato, M; Tamura, Y; Tanaka, H; Ishikawa, K; Ikehara, Y; Hori, M; Kataoka, Y

    APPLIED PHYSICS EXPRESS   Vol. 14 ( 6 ) page: 067002   2021.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    Nonequilibrium atmospheric pressure plasma (NEAPP) has the potential for a wide range of medical applications, including wound healing, blood coagulation, and malignant cell apoptosis. However, its effect on the mammalian central nervous system remains unclear. We studied histological changes in the cerebral cortex of adult rats, following irradiation of the brain surface with NEAPP. NEAPP irradiation made three laminar tissue structures and induced high proliferation of brain-resident immature cells as well as bone marrow-derived cells. These observations indicate that NEAPP irradiation induced rearrangement of cellular and tissue organization, including cell proliferation in the central nervous system in vivo.

    DOI: 10.35848/1882-0786/ac03c1

    Web of Science

    Scopus

  65. Hydrogen peroxide in lactate solutions irradiated by non-equilibrium atmospheric pressure plasma Reviewed

    Liu, Y; Ishikawa, K; Miron, C; Hashizume, H; Tanaka, H; Hori, M

    PLASMA SOURCES SCIENCE & TECHNOLOGY   Vol. 30 ( 4 )   2021.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Plasma Sources Science and Technology  

    A mechanism of hydrogen peroxide (H2O2) generation in plasma-activated lactated Ringer's solution (PAL) has been analyzed. PAL was produced by irradiating a sodium lactate solution, a class of hydroxy acid, with non-equilibrium atmospheric pressure plasma (NEAPP). The concentration of H2O2 generation was dependent on the initial lactate concentrations, which ranged from 0-28 mM. However, the generation of H2O2 was inhibited by higher lactate concentration. The formation of H2O2 is proposed by catalyzed lactate and radicals in aqueous solution, such as OH, H and OO, during the plasma treatments.

    DOI: 10.1088/1361-6595/abbbd4

    Web of Science

    Scopus

  66. Influences of substrate temperatures on etch rates of PECVD-SiN thin films with a CF<sub>4</sub>/H<sub>2</sub> plasma Reviewed

    Hsiao, SN; Nakane, K; Tsutsumi, T; Ishikawa, K; Sekine, M; Hori, M

    APPLIED SURFACE SCIENCE   Vol. 542   page: 148550   2021.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Surface Science  

    The dependence of substrate temperatures (50 to −20 °C) on etch rate in two kinds of PECVD SiN films were investigated by a CF4/H2 mixture plasma. The XRR and XPS results indicate that the chemical composition and film density were almost identical for the films. The FTIR shows that the ratio of N[sbnd]H and Si[sbnd]H groups were found to be significantly different in the SiN films. The N[sbnd]H rich films exhibited a lower etch rate at −20 °C than that observed at room temperature or higher, whereas the Si[sbnd]H rich films showed a higher etch rate at −20 °C. We found that the fluorocarbon thickness was thicker in the Si[sbnd]H rich samples than N[sbnd]H rich samples. The fact suggests that hydrogen originated from the broken Si[sbnd]H bonds enhanced the polymerization, which causes the decrease of etch rate. A thinner fluorocarbon thickness was found in the Si[sbnd]H rich samples at low temperature, which results in the higher etch rate. Angular-resolved XPS indicates that N[sbnd]H bonding formed easier on film surface at −20 °C. These results indicate that the bonding structure and substrate temperature affected the fluorocarbon thickness, fluorine reaction probability and hydrogen dissociation during the SiN etching.

    DOI: 10.1016/j.apsusc.2020.148550

    Web of Science

    Scopus

  67. Selective etching of SiN against SiO<sub>2</sub> and poly-Si films in hydrofluoroethane chemistry with a mixture of CH<sub>2</sub>FCHF<sub>2</sub>, O<sub>2</sub>, and Ar Reviewed

    Hsiao, SN; Ishikawa, K; Hayashi, T; Ni, JW; Tsutsumi, T; Sekine, M; Hori, M

    APPLIED SURFACE SCIENCE   Vol. 541   page: 148439   2021.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Surface Science  

    Gas chemistry has a significant impact on etch selectivity in semiconductor device fabrication, which is important for realization of atomic-scale removal and formation of high-aspect ratio features. To widen the controllable changes in the etchant composition in etching processes, our previous calculation showed the possibility of the controllable generation of CH2F and CHF2 related ions and radicals from a 1,1,2-trifluoroethane (CH2FCHF2) parent gas. The etch selectivity among silicon nitride (SiN), silicon dioxide (SiO2) and poly-Si films using CH2FCHF2 plasma mixed with O2 and Ar was investigated. The effects of the CH2FCHF2 and O2 partial pressures on the composition of CHF2+ and CH2F+ ions, which were measured with a quadrupole mass spectrometer, and on the possible reactions with respect to the CH2FCHF2 and O2 mixed gas phase were investigated using quantum chemical calculations. The etch selectivity was investigated through surface etching reactions for SiN, SiO2, and poly-Si films.

    DOI: 10.1016/j.apsusc.2020.148439

    Web of Science

    Scopus

  68. Significance of pyrrolic compound on bactericidal effect using oxygen-radical-treated fertilizer solution Reviewed

    Iwata Naoyuki, Ishikawa Kenji, Hashizume Hiroshi, Tanaka Hiromasa, Ito Masafumi, Hori Masaru

    JSAP Annual Meetings Extended Abstracts   Vol. 2021.1 ( 0 ) page: 1554 - 1554   2021.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:The Japan Society of Applied Physics  

    DOI: 10.11470/jsapmeeting.2021.1.0_1554

    CiNii Research

  69. Influence of atmospheric-pressure plasma irradiation on radish seed germination and sprout growth - Effects of seed color and storage Reviewed

    Okumura Takamasa, Attri Pankaj, Ishikawa Kenji, Koga Kazunori, Shiratani Masaharu, Mildaziene Vida

    JSAP Annual Meetings Extended Abstracts   Vol. 2021.1 ( 0 ) page: 1514 - 1514   2021.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:The Japan Society of Applied Physics  

    DOI: 10.11470/jsapmeeting.2021.1.0_1514

    CiNii Research

  70. FOREWORD Mechanistic understanding of cold atmospheric plasma applications Reviewed

    Kurihara, K; Ishikawa, K; Takaki, K; Shiratani, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 60 ( 2 )   2021.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    DOI: 10.35848/1347-4065/abd871

    Web of Science

    Scopus

  71. Growth inhibition effect on <i>Trypanosoma brucei</i> <i>gambiense</i> by the oxidative stress supplied from low-temperature plasma at atmospheric pressure Reviewed

    Yokoyama, N; Sivakumar, T; Ikehara, S; Akimoto, Y; Yamaguchi, T; Wakai, K; Ishikawa, K; Hori, M; Shimizu, T; Sakakita, H; Ikehara, Y

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 60 ( 2 ) page: 020601   2021.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    Trypanosoma brucei (Tb) is a pathogenic protozoan causing sleeping sickness in humans. Despite little knowledge of how the produced reactive oxygen species (ROS) kills this protozoan, the research on the killing mechanism using chemical compounds and the phagosome in the macrophages has suggested that the protozoan is highly susceptible to the increased oxidative stress. Because the prescribed drug can react with various kinds of molecules and the second produced intermediate compounds, in this study, we clarified the immediate killing effect on Tb in the condition of increased oxidative stress using a low-temperature plasma at atmospheric pressure (LTP) equipment. Results Show the significant growth inhibition of Tb in the LTP-treated medium, the loss of morphological homeostasis with twisted to puffed appearance, and demonstrated the swelled changes on mitochondria and endoplasmic reticulum. In conclusion, this study revealed how the increased oxidative stress kills Tb using LTP technology.

    DOI: 10.35848/1347-4065/abd464

    Web of Science

    Scopus

  72. Effects of Carbon Nanowalls (CNWs) Substrates on Soft Ionization of Low-Molecular-Weight Organic Compounds in Surface-Assisted Laser Desorption/Ionization Mass Spectrometry (SALDI-MS) Reviewed

    Sakai, R; Ichikawa, T; Kondo, H; Ishikawa, K; Shimizu, N; Ohta, T; Hiramatsu, M; Hori, M

    NANOMATERIALS   Vol. 11 ( 2 ) page: 1 - 11   2021.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Nanomaterials  

    Carbon nanowalls (CNWs), which are vertically oriented multi-layer graphene sheets, were employed in surface-assisted laser desorption/ionization mass spectrometry (SALDI-MS) measurements to detect low-molecular-weight organic compounds. CNWs substrates with widely different wall-to-wall distances from 142 to 467 nm were synthesized using a radical-injection plasma-enhanced chemical vapor deposition (RI-PECVD) system with nanosecond pulse biasing to a sample stage. When survival yield (SY) values of N-benzylpyridinium chloride (N-BP-Cl) were examined, which is commonly used to evaluate desorption/ionization efficiency, a narrower wall-to-wall distance presented a higher SY value. The highest SY value of 0.97 was realized at 4 mJ/cm2 for the highest-density CNWs with a wall-to-wall distance of 142 nm. The laser desorption/ionization effect of arginine, an amino acid, was also investigated. When CNWs with a narrower wall-to-wall distance were used, the signal-to-noise (SN) ratios of the arginine signals were increased, while the intensity ratios of fragment ions to arginine signals were suppressed. Therefore, the CNWs nanostructures are a powerful tool when used as a SALDI substrate for the highly efficient desorption/ionization of low-molecular-weight biomolecules.

    DOI: 10.3390/nano11020262

    Web of Science

    Scopus

    PubMed

  73. Impact of seed color and storage time on the radish seed germination and sprout growth in plasma agriculture Reviewed International coauthorship

    Attri, P; Ishikawa, K; Okumura, T; Koga, K; Shiratani, M; Mildaziene, V

    SCIENTIFIC REPORTS   Vol. 11 ( 1 ) page: 2539   2021.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Scientific Reports  

    The use of low-temperature plasma for the pre-sowing seed treatment is still in the early stage of research; thus, numerous factors affecting germination percentage, seedling growth, and yield remains unknown. This study aimed to estimate how two critical factors, such as harvest year and seed coat color, affect the percentage of germination and seedling growth after plasma treatment. Radish seeds stored for 2 and 1 year after harvesting (harvested in 2017 and 2018) were sorted into two colors (brown and grey) to investigate the plasma effect on harvest year and seed coat color. We analyzed the amounts of seed phytohormones and antioxidant (γ-tocopherol) were analyzed using mass spectrometry, and physical changes were studied using SEM, EDX, and EPR to understand the mechanism of plasma-induced changes in radish seeds. The obtained results revealed that plasma treatment on seeds affects the germination kinetics, and the maximal germination percentage depends on seed color and the time of seed storage after harvest. Through this study, for the first time, we demonstrated that physical and chemical changes in radish seeds after plasma treatment depends upon the seed color and harvest year. Positive effects of plasma treatment on growth are stronger for sprouts from seeds harvested in 2017 than in 2018. The plasma treatment effect on the sprouts germinated from grey seeds effect was stronger than sprouts from brown radish seeds. The amounts of gibberellin A3 and abscisic acid in control seeds strongly depended on the seed color, and plasma induced changes were better in grey seeds harvested in 2017. Therefore, this study reveals that Air scalar-DBD plasma's reactive oxygen and nitrogen species (RONS) can efficiently accelerate germination and growth in older seeds.

    DOI: 10.1038/s41598-021-81175-x

    Web of Science

    Scopus

    PubMed

  74. Inactivation mechanism of fungal spores through oxygen radicals in atmospheric-pressure plasma Reviewed

    Ito, M; Hashizume, H; Oh, JS; Ishikawa, K; Ohta, T; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 60 ( 1 ) page: 010503   2021.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    A brief history of the development of our plasma technology for the disinfection of agricultural harvests is presented in this review. We focused on the influence of reactive oxygen species (ROS), e.g. ground-state oxygen atoms [O(3P j )], excited-state oxygen molecules [O2(1Δg)], and ozone (O3), generated from atmospheric-pressure plasmas on the inactivation of fungal spores under dry conditions. For elucidating the inactivation mechanisms, it is essential to reveal the key ROS. Using some powerful tools, we revealed that the dose of O(3P j ) strongly correlates with the inactivation of fungal spores when compared to those of another ROS, such as O2(1Δg) and O3. The balance of antioxidants in the spores is possibly modulated by O(3P j ), leading to oxidation of organelles in spores. Herein, we introduce and discuss how O(3P j ) contributes to the inactivation of fungal spores associated with in situ diagnostics of plasma generated ROS and in situ intracellular observations of a few fungal spore species.

    DOI: 10.35848/1347-4065/abcbd1

    Web of Science

    Scopus

  75. Reduction in photon-induced interface defects by optimal pulse repetition rate in the pulse-modulated inductively coupled plasma Reviewed

    Miyoshi, Y; Ishikawa, K; Sekine, M; Hori, M; Tatsumi, T

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 60 ( 1 ) page: 010906   2021.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    The ultraviolet photon-induced interface defects that degrade the performance of a semiconductor device are reduced by the optimal pulse repetition rate of a pulse-modulated inductively coupled plasma. The defect density depends on the pulse repetition rates; it takes minimum value at a few hundred pulses s−1 and peaks at a few k pulses s−1. The repetition rate-dependent behavior is suggested to be caused by the transient behavior between the plasma's ON and OFF phases. Note that controlling the transient behavior for reducing the photon-induced damage is essential.

    DOI: 10.35848/1347-4065/abd113

    Web of Science

    Scopus

  76. Insights into normothermic treatment with direct irradiation of atmospheric pressure plasma for biological applications Reviewed

    Yoshimura, S; Otsubo, Y; Yamashita, A; Ishikawa, K

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 60 ( 1 ) page: 010502   2021.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    Characterizing the interactions between plasma and living organisms has become a multidisciplinary topic in the field of low-temperature plasma science and technology. Living organisms are hierarchically comprised of cells, tissues, organs, and organ systems. Adaptive responses are systematically controlled by the state of the surrounding environment, such as when living organisms are irradiated with discharge plasma. This review is written from a biological perspective and focuses on the importance of gas temperature control in nonequilibrium atmospheric pressure plasmas. We discuss the critical parameters associated with direct plasma treatments of living organisms. This review provides an assessment of the status of this research field and addresses the prospects for further developments in understanding the interactions between plasma and living organisms. We address the importance and necessity of normothermic plasma treatment, where an experimental system involving living organisms is controlled at an optimal temperature, which plays a significant role in plasma biology.

    DOI: 10.35848/1347-4065/abcbd2

    Web of Science

    Scopus

  77. Improvement of yield and grain quality by periodic cold plasma treatment with rice plants in a paddy field Reviewed

    Hashizume, H; Kitano, H; Mizuno, H; Abe, A; Yuasa, G; Tohno, S; Tanaka, H; Ishikawa, K; Matsumoto, S; Sakakibara, H; Nikawa, S; Maeshima, M; Mizuno, M; Hori, M

    PLASMA PROCESSES AND POLYMERS   Vol. 18 ( 1 ) page: 2000181   2021.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Plasma Processes and Polymers  

    The application of cold plasma has attracted considerable attention in the field of agriculture. We examined the effects of plasma irradiation and treatment with plasma-activated Ringer's lactate solution (PAL) on rice seedlings in a paddy field. Irradiation during the vegetative growth period increased seedling growth, panicle number, and grain yield. In contrast, treatment during the reproductive growth period had a negative or no effect. Moreover, treatment with PAL solution promoted the growth of the main stem, such as grain number and panicle weight, and grain quality, but the grain yield from the whole plant was decreased. The results suggest that cold plasma treatment of rice seedlings is effective for improving plant growth, grain yield, and grain quality.

    DOI: 10.1002/ppap.202000181

    Web of Science

    Scopus

  78. Cancer Treatments Using Low-Temperature Plasma Reviewed

    Tanaka, H; Mizuno, M; Ishikawa, K; Toyokuni, S; Kajiyama, H; Kikkawa, F; Hori, M

    CURRENT MEDICINAL CHEMISTRY   Vol. 28 ( 41 ) page: 8549 - 8558   2021

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Current Medicinal Chemistry  

    Low-temperature plasma (LTP) is a partially ionized gas that contains elec-trons, ions, radicals, light, etc. Recently, the bio-medical application of LTP has become a hot topic in plasma science and biological science. Cancer treatment with plasma is the most challenging topic in plasma bio-medical applications. Many in vitro and in vivo ex-periments have been conducted to investigate the anti-tumor effects of LTP. Extracellular reactive oxygen and nitrogen species (RONS) in plasma-activated solutions are key factors for the anti-tumor effects, and amino acid modifications by LTP may affect cellular responses. Intracellular RONS are also key factors for the anti-tumor effects. Various signaling pathways, such as p53 signaling pathways, survival and proliferation signaling pathways, and oxidative stress-dependent signaling pathways are activated by LTP.

    DOI: 10.2174/0929867328666210629121731

    Web of Science

    Scopus

    PubMed

  79. 先端デバイス構造を実現する超絶ドライエッチング技術の最前線 おわりに Reviewed

    石川 健治

    プラズマ核融合学会誌   Vol. 97   page: 534 - 536   2021

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    CiNii Research

  80. 先端デバイス構造を実現する超絶ドライエッチング技術の最前線 はじめに Reviewed

    石川 健治

    プラズマ核融合学会誌   Vol. 97   page: 508 - 510   2021

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    CiNii Research

  81. Numerical analysis of plasma formation process in a coaxial dielectric barrier discharge

    SATO Yosuke, UI Akio, OKA Shotaro, ISHIKAWA Kenji, TSUTSUMI Takayoshi, MORIYAMA Tatsuyuki, HORI Masaru

    The Proceedings of Mechanical Engineering Congress, Japan   Vol. 2021 ( 0 ) page: S053-01   2021

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:The Japan Society of Mechanical Engineers  

    <p>Plasma formation process in a coaxial dielectric barrier discharge (coaxial DBD) device was analyzed by numerical simulations based on plasma fluid modeling. Helium plasma in the coaxial DBD is formed through three stages of Townsend-glow, streamer, and surface type discharges. Through the three stages, the plasma electron density becomes high not only between the electrodes, but also in the vicinity of the dielectric surface. Differences between coaxial and planar type DBDs are also discussed. The presence of the dielectric surrounding the plasma in the coaxial DBD device is thought to induce a non-uniform distribution and a transition to surface discharge, resulting in multiple discharge modes.</p>

    DOI: 10.1299/jsmemecj.2021.s053-01

    CiNii Research

  82. 窒化物半導体プラズマエッチングにおける原子層反応制御と低ダメージプロセス Reviewed

    堤 隆嘉, 石川 健治, 関根 誠

    プラズマ核融合学会誌   Vol. 97   page: 517 - 521   2021

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    CiNii Research

  83. プラズマがん治療 Reviewed

    石川 健治

    静電気学会   Vol. 45   page: 206 - 212   2021

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    CiNii Research

  84. Effects of free radicals generated by atmospheric pressure plasma on physical actions and biochemical relaxations (PACR) Reviewed

    石川 健治, 田中 宏昌

    放射線生物研究   Vol. 56   page: 280 - 294   2021

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    CiNii Research

  85. Numerical analysis of high-electron-density atmospheric pressure argon streamer under a pin-to-plane electrode geometry: Effects of applying voltage polarity Reviewed

    Sato Y., Ishikawa K., Tsutsumi T., Ui A., Akita M., Oka S., Hori M.

    47th EPS Conference on Plasma Physics, EPS 2021   Vol. 2021-June   page: 45 - 48   2021

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:47th EPS Conference on Plasma Physics, EPS 2021  

    Scopus

  86. Reaction science of layer-by-layer thinning of graphene with oxygen neutrals at room temperature Reviewed

    Sugiura, H; Kondo, H; Higuchi, K; Arai, S; Hamaji, R; Tsutsumi, T; Ishikawa, K; Hori, M

    CARBON   Vol. 170   page: 93 - 99   2020.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Carbon  

    Controlling the layers and in-plane defects in multi-layer graphene is the key to exploiting the extraordinary properties of graphene. Layer-by-layer thinning under high-density oxygen neutrals was observed in situ during room-temperature reaction science using a remote oxygen plasma inside a high-voltage transmission electron microscopy. Even though the etching rate of graphene was higher at the edge than at the basal plane, etching started from both locations. Each etched layer was represented by the plasmon loss intensity in the electron energy loss spectrum. After exposure to the remote oxygen plasma, the electron diffraction pattern for the multi-layer graphene keep clear six-fold diffraction pattern. Raman spectroscopy revealed the formation of defects in multi-layer graphene. The nonthermal reaction of oxygen neutrals required for graphene etching was demonstrated by in situ transmission electron microscopy.

    DOI: 10.1016/j.carbon.2020.07.052

    Web of Science

    Scopus

  87. Influence of chamber pressure on the crystal quality of homo-epitaxial GaN grown by radical-enhanced MOCVD (REMOCVD) Reviewed

    Amalraj, FW; Shimizu, N; Oda, O; Ishikawa, K; Hori, M

    JOURNAL OF CRYSTAL GROWTH   Vol. 549   page: 125863   2020.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Journal of Crystal Growth  

    III-nitride was grown by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) with a very high-frequency electric source 100 MHz, using nitrogen and hydrogen as a source gas free from ammonia gas. Applying radio frequency (RF) power at the top electrode generates activated nitrogen, hydrogen, and other nitrogen species. Homoepitaxial gallium nitride (GaN) growth was studied as a function of chamber pressure by REMOCVD. The grown GaN was characterized by scanning electron microscope (SEM), atomic force microscope (AFM), and double crystal X-ray diffraction (XRD). Ga radicals and N radicals were detected by optical emission spectroscopy (OES) as a function of chamber pressure. The V/III ratio changes with the N2*/Ga* ratio, and the step flow growth of GaN was achieved under the chamber pressure of 300 Pa.

    DOI: 10.1016/j.jcrysgro.2020.125863

    Web of Science

    Scopus

  88. Steering of surface discharges on through-glass-vias combined with high-density nonequilibrium atmospheric pressure plasma generation Reviewed

    Sato, Y; Katsuno, K; Odaka, H; Imajyo, N; Ishikawa, K; Hori, M

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 53 ( 43 ) page: 534302   2020.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Journal of Physics D: Applied Physics  

    The concept of using a glass substrate with fine through-holes filled with metal, called through-glass-vias (TGVs), for an inner wall of an enclosure of a nonequilibrium atmospheric pressure plasma source was validated to extend its processing area with high plasma densities maintained. When applying the TGV substrate into an inner wall of the plasma source, the generated discharge was less likely to drift along with the gas flow, which resembled the behavior of locally high electric fields of the plasma source, called the creeping mode. The decrease in breakdown voltage was also observed. These phenomena are caused by the TGV areas acting as a steering control material in the enclosure of the plasma source. The location of the TGV areas affected the behavior of the generated plasma. The shape of the plasma was accomplished to arbitrarily and locally control by the placement of TGVs.

    DOI: 10.1088/1361-6463/aba1ad

    Web of Science

    Scopus

  89. Formation of spherical Sn particles by reducing SnO<sub>2</sub> film in floating wire-assisted H<sub>2</sub>/Ar plasma at atmospheric pressure Reviewed

    Nguyen, TTN; Sasaki, M; Tsutsumi, T; Ishikawa, K; Hori, M

    SCIENTIFIC REPORTS   Vol. 10 ( 1 ) page: 17770   2020.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Scientific Reports  

    A green method to synthesize spherical Sn particles by reducing SnO2 film in atmospheric-pressure H2/Ar plasma at low temperatures for various applications is presented. The floating wire-assisted remotely-generated plasma with a mixture of 0.05% H2/Ar gas formed spherical metallic Sn particles by reducing a SnO2 layer on glass substrate. During the reduction process, H radical density was measured by using vacuum ultraviolet absorption spectroscopy, and plasma properties including electron density and gas temperature were diagnosed by optical emission spectroscopy. The inductively coupled generated plasma with a high electron density of 1014 cm−3, a hydrogen atom density of 1014 cm−3, and a gas temperature of 940 K was obtained at a remote region distance of 150 mm where the SnO2/glass substrate was placed for plasma treatment. The process has been modeled on the spherical Sn formation based on the reduction of SnO2 films using H radicals. Depending on the treatment condition, the total reduction area, where spherical Sn particles formed, was enlarged and could reach 300 mm2 after 2 min. The substrate temperature affected the expansion rate of the total reduction area and the growth of the Sn spheres.

    DOI: 10.1038/s41598-020-74663-z

    Web of Science

    Scopus

    PubMed

  90. Roles of Atomic Nitrogen/Hydrogen in GaN Film Growth by Chemically Assisted Sputtering with Dual Plasma Sources Reviewed

    Tanide, A; Nakamura, S; Horikoshi, A; Takatsuji, S; Kimura, T; Kinose, K; Nadahara, S; Nishikawa, M; Ebe, A; Ishikawa, K; Oda, O; Hori, M

    ACS OMEGA   Vol. 5 ( 41 ) page: 26776 - 26785   2020.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:ACS Omega  

    The growth of sputtered GaN at low temperature is strongly desired to realize the dissemination of low-cost GaN high electron mobility transistor devices for next-generation communication technology. In this work, the roles of atomic nitrogen (N)/hydrogen (H) in GaN film growth on AlN/sapphire substrates by chemically assisted dual source sputtering are studied at a low growth temperature of 600 °C under a pressure of 2 Pa using vacuum ultraviolet absorption spectroscopy. The lateral growth was strongly enhanced with an appropriate H/N flux ratio of 1.9 at a GaN growth rate of ∼1 μm h-1. X-ray photoelectron spectroscopy measurements indicated that N removal from the grown GaN surface by atomic hydrogen promoted the migration of Ga. A smooth GaN surface was achieved at a suitable N/Ga supply ratio of 53 and a H/N ratio of 1.9 with the addition of 0.5% chlorine to the Ar sputtering gas.

    DOI: 10.1021/acsomega.0c03865

    Web of Science

    Scopus

    PubMed

  91. Laser-induced-plasma-activated medium enables killing of HeLa cells Reviewed

    Kurokawa, Y; Takeda, K; Ishikawa, K; Tanaka, H; Hori, M

    APPLIED PHYSICS EXPRESS   Vol. 13 ( 10 ) page: 106001   2020.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Web of Science

  92. Laser-induced-plasma-activated medium enables killing of HeLa cells Reviewed

    Kurokawa Y., Takeda K., Ishikawa K., Tanaka H., Hori M.

    Applied Physics Express   Vol. 13 ( 10 )   2020.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    Plasma-activated medium (PAM) is defined as a medium irradiated with non-thermal plasma; multiple in vitro and animal experiments have demonstrated that PAM exhibits anti-tumor effects against various cancers. PAM contains various reactive species that contribute to these anti-tumor effects. To increase the abundance of such reactive species, we used laser-generated plasma (LGP). We used a LGP consisting of 200 ns pulsed plasma with an electron density of approximately 7.0 × 1018 cm−3, which is an extremely high electron density, exceeding those of other atmospheric pressure plasmas. We created a laser-generated-plasma-activated medium (LPAM), and treated HeLa cells with the LPAM in both the presence and absence of catalase. Both with and without catalase, the LPAM treatment yielded strong anti-cancer effects against HeLa cells. Surprisingly, we observed morphological differences between HeLa cells grown in LPAM prepared with and without catalase. These results suggested that LPAM induces the cell death through extracellular hydrogen peroxide-dependent and -independent processes.

    DOI: 10.35848/1882-0786/abb68c

    Scopus

  93. Adjusted multiple gases in the plasma flow induce differential antitumor potentials of plasma-activated solutions Reviewed

    Nakamura, K; Yoshikawa, N; Yoshihara, M; Ikeda, Y; Higashida, A; Niwa, A; Jindo, T; Tanaka, H; Ishikawa, K; Mizuno, M; Toyokuni, S; Hori, M; Kikkawa, F; Kajiyama, H

    PLASMA PROCESSES AND POLYMERS   Vol. 17 ( 10 ) page: 1900259   2020.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Plasma Processes and Polymers  

    We present a novel plasma system that can generate a plasma-activated medium by changing the proportion of reactive gases such as oxygen, nitrogen, and hydrogen in the plasma flow. The correlation between the biological activity of plasma-activated solutions prepared under multiple plasma gas-flow conditions was evaluated. Mixed nitrogen, in addition to oxygen, in the gas flow is most powerful for producing plasma-activated Ringer's lactate solution against antitumor effects on ovarian cancer cells as compared with oxygen or nitrogen alone. The antitumor effect of plasma-activated solutions is controllable by the modification of the proportion of reactive gases (especially nitrogen and oxygen gases) in the plasma flow. These results suggest that the plasma flow conditions may be one of the candidates for the specifications of the plasma-activated solutions to the therapeutic effect.

    DOI: 10.1002/ppap.201900259

    Web of Science

    Scopus

  94. Small size gold nanoparticles enhance apoptosis-induced by cold atmospheric plasma via depletion of intracellular GSH and modification of oxidative stress Reviewed

    Jawaid, P; Rehman, MU; Zhao, QL; Misawa, M; Ishikawa, K; Hori, M; Shimizu, T; Saitoh, J; Noguchi, K; Kondo, T

    CELL DEATH DISCOVERY   Vol. 6 ( 1 ) page: 83   2020.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Cell Death Discovery  

    Gold nanoparticles (Au-NPs) have attracted attention as a promising sensitizer owing to their high atomic number (Z), and because they are considered fully multifunctional, they are preferred over other metal nanoparticles. Cold atmospheric plasma (CAP) has also recently gained attention, especially for cancer treatment, by inducing apoptosis through the formation of reactive oxygen species (ROS). In this study, the activity of different sized Au-NPs with helium-based CAP (He-CAP) was analyzed, and the underlying mechanism was investigated. Treating cells with only small Au-NPs (2 nm) significantly enhanced He-CAP-induced apoptosis. In comparison, 40 nm and 100 nm Au-NPs failed to enhance cell death. Mechanistically, the synergistic enhancement was due to 2 nm Au-NPs-induced decrease in intracellular glutathione, which led to the generation of intracellular ROS. He-CAP markedly induced ROS generation in an aqueous medium; however, treatment with He-CAP alone did not induce intracellular ROS formation. In contrast, the combined treatment significantly enhanced the intracellular formation of superoxide (O2• −) and hydroxyl radical (•OH). These findings indicate the potential therapeutic use of Au-NPs in combination with CAP and further clarify the role of Au-NPs in He-CAP-aided therapies.

    DOI: 10.1038/s41420-020-00314-x

    Web of Science

    Scopus

    PubMed

  95. Effect of cold plasma treatment during cultivation on quality of brewer's rice Reviewed

    Hashizume Hiroshi, Kitano Hidemi, Mizuno Hiroko, Abe Akiko, Yuasa Genki, Tohno Satoe, Tanaka Hiromasa, Ishikawa Kenji, Matsumoto Shogo, Sakakibara Hitoshi, Nikawa Susumu, Maeshima Masayoshi, Mizuno Masaaki, Hori Masaru

    JSAP Annual Meetings Extended Abstracts   Vol. 2020.2 ( 0 ) page: 1167 - 1167   2020.8

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:The Japan Society of Applied Physics  

    DOI: 10.11470/jsapmeeting.2020.2.0_1167

    CiNii Research

  96. Influence of temperature on etch rate of PECVD-SiN films with CF<sub>4</sub>/H<sub>2</sub> plasma Reviewed

    Hsiao Shihnan, Nakane Kazuya, Tsutsumi Takayoshi, Ishikawa Kenji, Sekine Makoto, Hori Masaru

    JSAP Annual Meetings Extended Abstracts   Vol. 2020.2 ( 0 ) page: 1195 - 1195   2020.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:The Japan Society of Applied Physics  

    DOI: 10.11470/jsapmeeting.2020.2.0_1195

    CiNii Research

  97. Numerical analysis of coaxial dielectric barrier helium discharges: three-stage mode transitions and internal bullet propagation Reviewed

    Sato, Y; Ishikawa, K; Tsutsumi, T; Hori, M

    APPLIED PHYSICS EXPRESS   Vol. 13 ( 8 ) page: 086001   2020.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    He discharge in a coaxial dielectric barrier discharge (DBD) device develops in three stages: first, a Townsend-glow-type plasma spreads in the region between the electrodes; second, a plasma bullet (streamer type discharge) propagates axially and; third, the bullet transitions into a surface discharge at the dielectric surface. These mode transitions are quite different from planar type DBD, in which one discharge mode basically corresponds to one discharge pulse. From fluid-based numerical analysis, the bullet propagation is obeyed by trapping with strong electric fields induced by grounded electrode underneath the dielectric barrier and by surface charge accumulated on the dielectric surface.

    DOI: 10.35848/1882-0786/aba3f2

    Web of Science

    Scopus

  98. Plasma Agriculture from Laboratory to Farm: A Review Reviewed

    Attri, P; Ishikawa, K; Okumura, T; Koga, K; Shiratani, M

    PROCESSES   Vol. 8 ( 8 ) page: 1002   2020.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Processes  

    In recent years, non-thermal plasma (NTP) application in agriculture is rapidly increasing. Many published articles and reviews in the literature are focus on the post-harvest use of plasma in agriculture. However, the pre-harvest application of plasma still in its early stage. Therefore, in this review, we covered the effect of NTP and plasma-treated water (PTW) on seed germination and growth enhancement. Further, we will discuss the change in biochemical analysis, e.g., the variation in phytohormones, phytochemicals, and antioxidant levels of seeds after treatment with NTP and PTW. Lastly, we will address the possibility of using plasma in the actual agriculture field and prospects of this technology.

    DOI: 10.3390/pr8081002

    Web of Science

    Scopus

  99. Non-thermal plasma-activated lactate solution kills U251SP glioblastoma cells in an innate reductive manner with altered metabolism Reviewed

    Ishikawa, K; Hosoi, Y; Tanaka, H; Jiang, L; Toyokuni, S; Nakamura, K; Kajiyama, H; Kikkawa, F; Mizuno, M; Hori, M

    ARCHIVES OF BIOCHEMISTRY AND BIOPHYSICS   Vol. 688   page: 108414   2020.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Archives of Biochemistry and Biophysics  

    Ringer's lactate solution irradiated by non-thermal plasma, comprised of radicals, electrons, and ions, is defined as plasma-activated lactate (PAL). PAL exhibited antitumor effects in glioblastoma U251SP cells, which we termed PAL-specific regulated cell death. In contrast to the oxidative stress condition typical of cells incubated in plasma-activated medium (PAM), U251SP cells treated with Ringer's lactate solution or PAL exhibited changes in intracellular metabolites that were reductive in the redox state, as measured by the ratio of oxidative/reductive glutathione concentrations. In the metabolomic profiles of PAL-treated cells, the generation of acetyl-CoA increased for lipid metabolism from alanine and asparagine. PAL thus induces regulated death of U251SP glioblastoma cells in more innate microenvironments than PAM.

    DOI: 10.1016/j.abb.2020.108414

    Web of Science

    Scopus

    PubMed

  100. <i>In situ</i> surface analysis of an ion-energy-dependent chlorination layer on GaN during cyclic etching using Ar<SUP>+</SUP> ions and Cl radicals Reviewed

    Hasegawa, M; Tsutsumi, T; Tanide, A; Nakamura, S; Kondo, H; Ishikawa, K; Sekine, M; Hori, M

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A   Vol. 38 ( 4 ) page: 042602   2020.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Journal of Vacuum Science and Technology A: Vacuum, Surfaces and Films  

    Gallium nitride (GaN) semiconductor devices must be fabricated using plasma etching with precise control of the etching depths and minimal plasma-induced damage on the atomic scale. A cyclic process comprising etchant adsorption and product removal may be suitable for this purpose but an understanding of the associated etching surface reactions is required. The present work examined the formation of a chlorinated layer based on Cl radical adsorption on a GaN surface in conjunction with Ar ion irradiation. This research employed beam experiments and in situ x-ray photoelectron spectroscopy. The results show that N atoms are preferentially desorbed during exposure to Ar ions to produce Ga-rich layers at depths of 0.8 and 1.1 nm at an Ar ion dosage on the order of 1016 cm-2 and ion energies of 116.0 and 212.6 eV, respectively. Subsequent exposure of the irradiated Ga-rich layer to Cl radicals removes some Ga atoms and produces a chlorinated layer over the GaN surface. This chlorinated layer has a thickness on the order of 1 nm following Cl radical dosages on the order of 1019 cm-2. This study of plasma-treated surfaces is expected to assist in developing means of controlling the etching depth during the atomic layer etching of GaN via Ar ion bombardment.

    DOI: 10.1116/6.0000124

    Web of Science

    Scopus

  101. Numerical simulations of stable, high-electron-density atmospheric pressure argon plasma under pin-to-plane electrode geometry: effects of applied voltage polarity Reviewed

    Sato, Y; Ishikawa, K; Tsutsumi, T; Ui, A; Akita, M; Oka, S; Hori, M

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 53 ( 26 ) page: 265204   2020.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Journal of Physics D: Applied Physics  

    When applying high-voltage direct current to a pin-to-plane electrode geometry with a distance of 2 mm under atmospheric pressure in argon gas, electrical breakdown forms primary then secondary streamers. The polarity of the applied voltage affects this streamer-propagating phenomenon. Properties such as propagation speed, streamer head size, and plasma generation are parameterized at nanosecond scales by computational simulations of a self-consistent, multi-species, multierature plasma fluid modeling approach. For positive polarity on the pin electrode, streamer-head propagation speeds up and streamer head size increases with increasing applied voltages. However, local electron density at the head decreases. For negative polarity, corona-like discharges form around the pin electrode under low applied voltages, and diffusive steamers form under high applied voltages. Secondary streamers re-propagate from the pin after primary streamer propagation, forming a plasma with a high electron density of 1021 m-3 for the positive polarity. We show that low-voltage operations with positive polarity are useful for stable high-electron-density discharges under atmospheric pressure argon.

    DOI: 10.1088/1361-6463/ab7df0

    Web of Science

    Scopus

  102. In-plane modification of hexagonal boron nitride particles via plasma in solution Reviewed

    Ito, T; Goto, T; Inoue, K; Ishikawa, K; Kondo, H; Hori, M; Shimizu, Y; Hakuta, Y; Terashima, K

    APPLIED PHYSICS EXPRESS   Vol. 13 ( 6 ) page: 066001   2020.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Applied Physics Express  

    In-plane modification of hexagonal boron nitride (hBN) is demonstrated via plasma in solution without acid/base addition. Electron spin resonance spectroscopy clearly reveals an increase in nitrogen vacancies in the hBN plane. This could be a simple route to functionalizing the two-dimensional surface of hBN. Simultaneously, hydroxylation occurs, accompanied by an increase in the zeta potential.

    DOI: 10.35848/1882-0786/ab916c

    Web of Science

    Scopus

  103. Characterization of a microsecond pulsed non-equilibrium atmospheric pressure Ar plasma using laser scattering and optical emission spectroscopy Reviewed

    Jia, FD; Wu, Y; Min, Q; Su, MG; Takeda, K; Ishikawa, K; Kondo, H; Sekine, M; Hori, M; Zhong, ZP

    PLASMA SCIENCE & TECHNOLOGY   Vol. 22 ( 6 ) page: 065404   2020.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Plasma Science and Technology  

    A non-equilibrium atmospheric pressure argon (Ar) plasma excited by microsecond pulse is studied experimentally by laser scattering and optical emission spectroscopy (OES), and theoretically by collisional-radiative (CR) model. More specifically, the electron temperature and electron density of plasma are obtained directly by the laser Thomson scattering, the gas temperature is measured by laser Raman scattering, the optical emissions of excited Ar states of plasma are measured by OES. The laser scattering results show that the electron temperature is about 1 eV which is similar to that excited by 60 Hz AC power, but the gas temperature is as low as 300 K compared to about 700 K excited by 60 Hz AC power. It is shown that the microsecond pulsed power supply, rather than nanosecond ones, is short enough to reduce the gas temperature of atmospheric pressure plasma to near room temperature. The electron temperature and electron density are also obtained by CR model based on OES, and find that the intensities of the optical emission intensity lines of 727.41, 811.73, 841.08, 842.83, 852.44 and 912.86 nm of Ar can be used to characterize the behavior of electron density and electron temperature, it is very useful to quickly estimate the activity of the atmospheric pressure Ar plasma in many applications.

    DOI: 10.1088/2058-6272/ab84e2

    Web of Science

    Scopus

  104. Dry Process FOREWORD Reviewed

    Shirafuji, T; Kinoshita, K; Akatsuka, H; Eriguchi, K; Ichikawa, T; Ichiki, T; Ishijima, T; Ishikawa, K; Karahashi, K; Kurihara, K; Sekine, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 59 ( SJ )   2020.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    DOI: 10.35848/1347-4065/ab8acf

    Web of Science

    Scopus

  105. Electronic properties and primary dissociation channels of fluoromethane compounds Reviewed

    Hayashi, T; Ishikawa, K; Sekine, M; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 59 ( SJ ) page: SJJE02   2020.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    We investigated fluoromethane compounds (CF4, CF3, CHF3, CH2F2, and CH3F) to examine their electronic properties and primary dissociation channels by using computational chemistry. For the electron attachment process, it was very important to represent the observed negative mass spectrum as a function of electron energy that calculation by the MP2 method for the negative ion and subsequent calculation by the EOMCCSD method were used to more accurately estimate the ground and the first excited states of the negative ion. In the evaluation of dissociation channels through the excitation process, the structure change of the excited fragment (from pyramidal to planar) was taken into account. Evaluation of Jahn-Teller distortion for the highly symmetrical CHF3 and CH3F was also very important to estimate the fragmentation process through the excitation. The calculated results after these treatments gave satisfactory representation of the experimental values. Moreover some predictions of experimentally unknown values are proposed.

    DOI: 10.35848/1347-4065/ab7e3f

    Web of Science

    Scopus

  106. Electron spin resonance as a tool to monitor the influence of novel processing technologies on food properties Reviewed International coauthorship

    Barba, FJ; Roohinejad, S; Ishikawa, K; Leong, SY; Bekhit, AEA; Saraiva, JA; Lebovka, N

    TRENDS IN FOOD SCIENCE & TECHNOLOGY   Vol. 100   page: 77 - 87   2020.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Trends in Food Science and Technology  

    Background: Nowadays, electron spin resonance (ESR) is widely used as a powerful, non-destructive and very sensitive technique for the detection of free radicals in food systems. It can be applied for the direct identification of highly reactive oxygen species, organic and inorganic paramagnetic species and screening of food for potential toxicity. Its applications cover investigating food oxidative stability and properties of irradiated foods including fruits and vegetables, meats and fishes, spices, cereal grains, and oil seeds. Scope and approach: This review aims at providing specialists in food science and industry with the fundamentals of ESR spectroscopy, typical radicals present in foods and their sources, ESR modalities, and detailed account for the use of the technology for evaluation of the physicochemical and nutritional properties of foods. Examples illustrating ESR applications for the evaluation of the effects of innovative and emerging technologies (ionizing radiation, high pressures, pulsed electric fields, cold plasma and ultrasonication) are discussed. Key findings and conclusions: ESR can be used for the identification/quantification of free radicals in foods, for spin-label oximetry, estimation of free radical scavenging, food stability, and chelating activity, with particular interest for food processed using innovative technologies, with the main advantages of its high sensitivity, specificity, and low amounts of sample needed and nowadays many types of ESR instruments are commercially available. However, due to the different nature of foods, the development of novel ESR techniques and methods of analysis specially designed to study foods is of great interest in the future.

    DOI: 10.1016/j.tifs.2020.03.032

    Web of Science

    Scopus

  107. Synthesis of isolated carbon nanowalls via high-voltage nanosecond pulses in conjunction with CH<sub>4</sub>/H<sub>2</sub> plasma enhanced chemical vapor deposition Reviewed

    Ichikawa, T; Shimizu, N; Ishikawa, K; Hiramatsu, M; Hori, M

    CARBON   Vol. 161   page: 403 - 412   2020.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Carbon  

    Carbon nanowalls (CNWs) are vertically standing, interconnecting flake- or wall-like collections of graphene sheets. In the present work, this material was synthesized by applying precisely controlled high-voltage nanosecond pulses to a substrate using an inductor energy storage circuit in a radical-injection plasma-enhanced chemical vapor deposition system, employing a CH4/H2 plasma. The resulting interconnected networks had a low density of CNWs with large average wall-to-wall distances. During the application of short-period pulses, the entire substrate surface was uniformly activated, thus enhancing the adsorption of carbon precursors and preventing CNW nucleation. As a result, an amorphous carbon film covered the surface of the substrate and a low CNW density was obtained with average wall-to-wall distances greater than 700 nm. On the basis of these results, the growth mechanism of CNWs was modeled.

    DOI: 10.1016/j.carbon.2020.01.064

    Web of Science

    Scopus

  108. Gas-phase and film analysis of hydrogenated amorphous carbon films: Effect of ion bombardment energy flux on sp<SUP>2</SUP> carbon structures Reviewed

    Sugiura, H; Ohashi, Y; Ishikawa, K; Kondo, H; Kato, T; Kaneko, T; Takeda, K; Tsutsumi, T; Hayashi, T; Sekine, M; Hori, M

    DIAMOND AND RELATED MATERIALS   Vol. 104   2020.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Diamond and Related Materials  

    Hydrogenated amorphous carbon (a-C:H) films comprise nanoclustering graphites (nc-G), fused aromatic rings (nR), and olefinic chain clusters (nC) of sp2-bonded carbons in an sp3 matrix. In this study, the sp2 composition of the nc-G, nR and nC in a-C:H films is found to be determined by the ion bombardment energy flux (ΓEi), which can be estimated as the product of ion bombardment energy and ion flux onto the deposited surface, in plasma-enhanced chemical vapor deposition using a plasma mixture of H2 and CH4 gases with the H radical injection method. The sp2 composition is analyzed using Raman spectroscopy and near-edge X-ray absorption structure spectroscopy. a-C:H becomes increasingly graphitized with increasing ΓEi. The precise control of the sp2 C structure composition can be achieved by controlling the very-high-frequency input power and radio frequency input bias power via the ion flux and ion bombardment energy.

    DOI: 10.1016/j.diamond.2019.107651

    Web of Science

    Scopus

  109. In-Liquid Plasma Synthesis of Nanographene with a Mixture of Methanol and 1-Butanol Reviewed

    Ando, A; Ishikawa, K; Takeda, K; Ohta, T; Ito, M; Hiramatsu, M; Kondo, H; Sekine, M; Hori, M

    CHEMNANOMAT   Vol. 6 ( 4 ) page: 604 - 609   2020.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:ChemNanoMat  

    Nanometer-size graphene sheets (nanographene) were synthesized by the in-liquid plasma method employing a mixture of alcohols. Pure methanol in-liquid plasma was found to not synthesize any nanographene. Pure ethanol synthesized nanographene with high crystallinity. Highly crystalline nanographene with a narrow full width-half maximum of the Raman scattering G-band (FWHMG) was obtained by mixing 1-butanol with methanol. This is due to the formation of carbon ring structures being inhibited by the addition of methanol. The ratio of added methanol determined the nanographene crystallinity and yield under a trade-off relationship, allowing the crystallinity and nanographene yield to be controlled by controlling the ratio. Gas chromatography-mass spectrometric analysis of by-products in the liquids’ supernatant showed that the crystallinity of the synthesized nanographene correlated with the ratio of carbon over oxygen of the alcohol precursors (C/O), i. e., the amount of hydroxyl groups in the liquids, and hence controlling the C/O ratio can be used to control the graphene crystallinity in the in-liquid plasma synthesis.

    DOI: 10.1002/cnma.201900676

    Web of Science

    Scopus

  110. An investigation of novel gases for etching process by computational chemistry Reviewed

    Hayashi Toshio, Sekine Makoto, Ishikawa Kenji, Hori Masaru

    JSAP Annual Meetings Extended Abstracts   Vol. 2020.1 ( 0 ) page: 1585 - 1585   2020.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:The Japan Society of Applied Physics  

    DOI: 10.11470/jsapmeeting.2020.1.0_1585

    CiNii Research

  111. Seed physiognomy for evaluating germinating vigor of radish sprout in water imbibition Reviewed

    Ishikawa Kenji, Attri Pankaj, Okumura Takamasa, Koga Kazunori, Arita Ryo, Sato Ryoya, Tanaka Hayate, Hiromatsu Mahiro, Matsuo Kayo, Yamashita Daisuke, Kamataki Kunihiro, Itagaki Naho, Hori Masaru, Shiratani Masaharu

    JSAP Annual Meetings Extended Abstracts   Vol. 2020.1 ( 0 ) page: 1620 - 1620   2020.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:The Japan Society of Applied Physics  

    DOI: 10.11470/jsapmeeting.2020.1.0_1620

    CiNii Research

  112. Investigation of growth and yield with periodic treatment of cold plasma in rice field (III) Reviewed

    Hashizume Hiroshi, Kitano Hidemi, Mizuno Hiroko, Abe Akiko, Yuasa Genki, Tohno Satoe, Tanaka Hiromasa, Ishikawa Kenji, Matsumoto Shogo, Sakakibara Hitoshi, Nikawa Susumu, Maeshima Masayoshi, Mizuno Masaaki, Hori Masaru

    JSAP Annual Meetings Extended Abstracts   Vol. 2020.1 ( 0 ) page: 1622 - 1622   2020.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:The Japan Society of Applied Physics  

    DOI: 10.11470/jsapmeeting.2020.1.0_1622

    CiNii Research

  113. Interaction of oxygen with polystyrene and polyethylene polymer films: A mechanistic study Reviewed

    Fukunaga, Y; Longo, RC; Ventzek, PLG; Lane, B; Ranjan, A; Hwang, GS; Hartmann, G; Tsutsumi, T; Ishikawa, K; Kondo, H; Sekine, M; Hori, M

    JOURNAL OF APPLIED PHYSICS   Vol. 127 ( 2 )   2020.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Journal of Applied Physics  

    In this work, first principles calculations combined with advanced surface diagnostics are used to unravel the mechanisms of plasma oxygen interaction with organic films of interest for advanced patterning in semiconductor device manufacturing. Results from a combination of x-ray photoelectron spectroscopy (XPS) diagnosed oxygen plasma exposed polystyrene films and first principles modeling of organic films (polystyrene, polyethylene, and derivatives) provide insights into how organic films are oxidized by oxygen atoms. XPS measurements show the rapid formation of C-O structures and their saturation after oxygen exposure on both pristine and argon bombarded polystyrene samples. Quantum mechanics calculations confirm that C-OH formation can be immediate without recourse to previously formed dangling bonds. Multiple oxygen impacts are required for scission of pristine ethylene carbon strands. Therefore, ethylene films can be converted to polyols that are stable, whereas more likely strands are broken before polyol formation through the formation of water and C=O. On the contrary, intermediate compounds with adjacent C=O bonds are not likely to form stable structures. The combination of XPS measurements and modeling implies that the oxidation of polystyrene and polyethylene is self-limiting on both hydrogen saturated and dehydrogenated (after argon ion plasma bombardment) surfaces.

    DOI: 10.1063/1.5127863

    Web of Science

    Scopus

  114. Etching characteristics of PECVD-prepared SiN films with CF<sub>4</sub>/D<sub>2</sub> and CF<sub>4</sub>/H<sub>2</sub> plasmas at different temperatures Reviewed

    Hsiao, SN; Nguyen, TTN; Tsutsumi, T; Ishikawa, K; Sekine, M; Hori, M

    2020 INTERNATIONAL SYMPOSIUM ON SEMICONDUCTOR MANUFACTURING (ISSM)   Vol. 2020-December   2020

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IEEE International Symposium on Semiconductor Manufacturing Conference Proceedings  

    The dependences of plasmas (CF4/D2 and CF4/H2) on etch rates of the PECVD SiN films at different substrate temperatures were investigated. The CF4/D2 plasma exhibited higher etch rates than that for the CF4/D2 plasma at room temperature and higher. The optical emission spectra showed that the CF polymerization, F and Balmer emissions were stronger in the CF4/D2 plasma, by comparing with the CF4/H2 plasma. A thinner fluorocarbon thickness with a lower F/C ratio was found in the sample proceeded by the CF4/H2 plasma. The fluorocarbon thickness and gas phase concentration were not responsible for the increase of etch rate in the CF4/D2 plasma. The abstraction of H inside the SiN films by deuterium and hydrogen dissociation were considered to be important for the etching of the Si-H bond rich SiN films.

    DOI: 10.1109/ISSM51728.2020.9377537

    Web of Science

    Scopus

  115. Effects of dangling bonds and surface energy on tribological properties of ta-CNx coating in unlubricated condition and under base oil lubrication

    MIYACHI Takaaki, NAKASHIMA Yuya, ISHIKAWA Kenji, LEE Wooyoung, UMEHARA Noritsugu, TOKOROYAMA Takayuki, MURASHIMA Motoyuki

    The Proceedings of Mechanical Engineering Congress, Japan   Vol. 2020 ( 0 ) page: S11314   2020

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:The Japan Society of Mechanical Engineers  

    <p>In this paper, we coated ta-CNx films with different nitrogen contents and investigated the amount of dangling bonds and their surface energy. In addition, we tried to clarify the friction behavior of the ta-CNx coating in unlubricated condition and under base oil lubrication reported by Liu from the viewpoint of the amount of dangling bonds and the surface energy of the film. The results showed that the density of dangling bonds decreased as the nitrogen content of the film increased. Further, the surface energy was almost constant despite the increase of nitrogen content of the coating. From these results and Liu's report, it means that surface energy has no effect on frictional behavior. It is also suggested that a decrease in the dangling bond number density of the coating due to an increase in the nitrogen content has the effect of reducing the decrease in the frictional performance of the ta-C coating with an increase in temperature. However, the effect of nitrogen content on friction and wear properties cannot be discussed only by changing the density of dangling bonds.</p>

    DOI: 10.1299/jsmemecj.2020.s11314

    CiNii Research

  116. Leukocyte depletion and size-based enrichment of circulating tumor cells with pressure-sensing microfiltration system Reviewed

    Kuboyama D., Onoshima D., Kihara N., Tanaka H., Hase T., Yukawa H., Ishikawa K., Odaka H., Hasegawa Y., Hori M., Baba Y.

    21st International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2017     page: 882 - 883   2020

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:21st International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2017  

    We present a study of blood microfiltration system towards optimal approach of non-invasive liquid biopsy for cancer detection by circulating tumor cells (CTCs). Filtration behavior of a microfilter was experimentally analyzed for tumor cell isolation from leukocytes in whole blood. This approach achieved an average of >96% recovery of spiked tumor cells and >99% total leukocytes depletion.

    Scopus

  117. Cell deposition and isolation with micropipette control over liquid interface motion in microfluidic channel Reviewed

    Onoshima D., Yukawa H., Hattori Y., Ishikawa K., Hori M., Baba Y.

    21st International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2017     page: 679 - 680   2020

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:21st International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2017  

    We developed a microfluidic chip for depositing single cells in microwells using simple micropipette operation. Cells were delivered to microwells by the meniscus motion of liquid interface. The residue deposits of cells were redistributed with air injection, and the isolated single cells were stored in microwells. Different microwell sizes and depths were studied to evaluate the trapping possibility of cells. Medium replacement and cell viability staining with the isolated single cells were achieved in microwells.

    Scopus

  118. Rapid thermal-cyclic atomic-layer etching of titanium nitride in CHF<sub>3</sub>/O<sub>2</sub> downstream plasma Reviewed

    Shinoda, K; Miyoshi, N; Kobayashi, H; Izawa, M; Ishikawa, K; Hori, M

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 52 ( 47 )   2019.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Journal of Physics D: Applied Physics  

    Isotropic atomic-layer etching (ALE) of TiN-by using a plasma-assisted thermal-cyclic process with a 300-mm tool-was demonstrated. The process consists of exposure to a downstream CHF3/O2 plasma (for surface modification) followed by infrared irradiation for thermal desorption of the modified surface. Etched amount of TiN per cycle saturated at around 0.6 nm/cycle with respect to both radical-exposure time and infrared-irradiation time. To examine the reaction mechanism of the cyclic etching, the surfaces of TiN samples after CHF3/O2 plasma exposure were analyzed by in situ X-ray photoelectron spectroscopy (XPS). Self-limiting formation of the surface-modified layer, which was tentatively identified as an ammonium salt such as (NH4)xTiFy, was observed after radical exposure. It was confirmed by in situ XPS that the surface-modified layer was removed after thermal annealing at 110 °C. Isotropic ALE of TiN was thus demonstrated by using formation and desorption of ammonium salt in CHF3/O2 downstream plasma, which was also used for isotropic ALE of Si3N4.

    DOI: 10.1088/1361-6463/ab3cf3

    Web of Science

    Scopus

  119. Simulation-aided design of very-high-frequency excited nitrogen plasma confinement using a shield plate Reviewed

    Isobe, Y; Sakai, T; Suguro, K; Miyashita, N; Kondo, H; Ishikawa, K; Wilson, AF; Shimizu, N; Oda, O; Sekine, M; Hori, M

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B   Vol. 37 ( 6 )   2019.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Journal of Vacuum Science and Technology B: Nanotechnology and Microelectronics  

    Nitrogen atoms are versatile for nitridation applications and do not lead to plasma-induced damage. Large-sized wafer processing demands a uniform supply of nitrogen atoms produced in a high-density very-high-frequency excited plasma of N2 without ammonia. The confinement of plasma through the use of a plasma shield plate (PSP) allows the samples to be separated in a downstream chamber. Generation and transport of N atoms were computationally simulated, and the PSP designs were implemented by PSP parameterization. The supply of high-density N radicals to the sample stage was optimally designed with sufficiently small holes and thin PSP to satisfy an aspect ratio of thickness-to-hole-diameter of less than 2.5.

    DOI: 10.1116/1.5114831

    Web of Science

    Scopus

  120. Oxidative stress-dependent and -independent death of glioblastoma cells induced by non-thermal plasma-exposed solutions Reviewed

    Tanaka Hiromasa, Mizuno Masaaki, Katsumata Yuko, Ishikawa Kenji, Kondo Hiroki, Hashizume Hiroshi, Okazaki Yasumasa, Toyokuni Shinya, Nakamura Kae, Yoshikawa Nobuhisa, Kajiyama Hiroaki, Kikkawa Fumitaka, Hori Masaru

    SCIENTIFIC REPORTS   Vol. 9 ( 1 ) page: 13657   2019.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1038/s41598-019-50136-w

    Web of Science

    Scopus

    PubMed

  121. Growth promotion of rice seedling with plasma-activated Ringer’s lactate solution (PAL) Reviewed

    Hashizume Hiroshi, Kitano Hidemi, Mizuno Hiroko, Yuasa Genki, Tohno Satoe, Tanaka Hiromasa, Ishikawa Kenji, Matsumoto Shogo, Sakakibara Hitoshi, Nikawa Susumu, Maeshima Masayoshi, Mizuno Masaaki, Hori Masaru

    JSAP Annual Meetings Extended Abstracts   Vol. 2019.2 ( 0 ) page: 1895 - 1895   2019.9

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:The Japan Society of Applied Physics  

    DOI: 10.11470/jsapmeeting.2019.2.0_1895

    CiNii Research

  122. Increase of antioxidant content in strawberry fruit with plasma treatment Reviewed

    Hashizume Hiroshi, Tsubota Kenki, Matsumoto Shogo, Ito Masafumi, Yuasa Genki, Tohno Satoe, Tanaka Hiromasa, Ishikawa Kenji, Kitano Hidemi, Sakakibara Hitoshi, Nikawa Susumu, Maeshima Masayoshi, Mizuno Masaaki, Okuma Takayuki, Hori Masaru

    JSAP Annual Meetings Extended Abstracts   Vol. 2019.2 ( 0 ) page: 1897 - 1897   2019.9

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:The Japan Society of Applied Physics  

    DOI: 10.11470/jsapmeeting.2019.2.0_1897

    CiNii Research

  123. Electronic properties and dissociation channels of CH<sub>3</sub>F Reviewed

    Hayashi Toshio, Ishikawa Kenji, Sekine Makoto, Hori Masaru

    JSAP Annual Meetings Extended Abstracts   Vol. 2019.2 ( 0 ) page: 1837 - 1837   2019.9

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:The Japan Society of Applied Physics  

    DOI: 10.11470/jsapmeeting.2019.2.0_1837

    CiNii Research

  124. Investigation of growth and yield with periodic treatment of cold plasma in rice field (II) Reviewed

    Hashizume Hiroshi, Kitano Hidemi, Mizuno Hiroko, Yuasa Genki, Tohno Satoe, Tanaka Hiromasa, Ishikawa Kenji, Matsumoto Shogo, Sakakibara Hitoshi, Nikawa Susumu, Maeshima Masayoshi, Mizuno Masaaki, Hori Masaru

    JSAP Annual Meetings Extended Abstracts   Vol. 2019.2 ( 0 ) page: 1896 - 1896   2019.9

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:The Japan Society of Applied Physics  

    DOI: 10.11470/jsapmeeting.2019.2.0_1896

    CiNii Research

  125. Atmospheric Pressure Plasma-Treated Carbon Nanowalls' Surface-Assisted Laser Desorption/Ionization Time-of-Flight Mass Spectrometry (CNW-SALDI-MS) Reviewed

    Ohta, T; Ito, H; Ishikawa, K; Kondo, H; Hiramatsu, M; Hori, M

    C-JOURNAL OF CARBON RESEARCH   Vol. 5 ( 3 )   2019.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3390/c5030040

    Web of Science

  126. Review of methods for the mitigation of plasma-induced damage to low-dielectric-constant interlayer dielectrics used for semiconductor logic device interconnects Reviewed

    Miyajima, H; Ishikawa, K; Sekine, M; Hori, M

    PLASMA PROCESSES AND POLYMERS   Vol. 16 ( 9 )   2019.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Plasma Processes and Polymers  

    The developments in advanced interconnect technology for semiconductor logic devices for the mitigation of plasma-induced damage to low-dielectric-constant (low-k) materials, including fluorosilicate glass and carbon-doped silicon oxide is reviewed. The chemical bond structures of low-k materials are summarized to help mitigate the k value degradation caused by moisture uptake after plasma processes. Damage suppression is accomplished by integrating deposition chemistries, pattern etch transfer, and post-etch cleaning technologies. On the basis of analyses results, a discussion on the bond engineering of low-k materials and their degradation during plasma processing is given. Challenges facing low-k interconnect technology are also addressed.

    DOI: 10.1002/ppap.201900039

    Web of Science

    Scopus

  127. Self-limiting reactions of ammonium salt in CHF<sub>3</sub>/O<sub>2</sub> downstream plasma for thermal-cyclic atomic layer etching of silicon nitride Reviewed

    Shinoda, K; Miyoshi, N; Kobayashi, H; Izawa, M; Saeki, T; Ishikawa, K; Hori, M

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A   Vol. 37 ( 5 )   2019.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Journal of Vacuum Science and Technology A: Vacuum, Surfaces and Films  

    Self-limiting reactions of ammonium salt in CHF3/O2 downstream plasma were demonstrated for thermal-cyclic atomic layer etching (ALE) of Si3N4. In situ x-ray photoelectron spectroscopy analysis shows that an (NH4)2SiF6 by-product of the same thickness forms on Si3N4 in a wide gas composition range. The (NH4)2SiF6 layer prevents etching of Si3N4 during continuous plasma exposure in that wide range. The (NH4)2SiF6 layer was sublimated by heating, which was consistent with the result of the thermodynamic calculation. The reactions of the (NH4)2SiF6 layer in CHF3/O2 downstream plasma are used for thermal-cyclic ALE of Si3N4 with a newly developed 300-mm tool equipped with an in situ ellipsometer. It was confirmed that the amount etched per cycle saturates with respect to both plasma exposure time and infrared irradiation time.

    DOI: 10.1116/1.5111663

    Web of Science

    Scopus

  128. Simultaneous achievement of antimicrobial property and plant growth promotion using plasma-activated benzoic compound solution Reviewed

    Iwata, N; Gamaleev, V; Hashizume, H; Oh, JS; Ohta, T; Ishikawa, K; Hori, M; Ito, M

    PLASMA PROCESSES AND POLYMERS   Vol. 16 ( 8 )   2019.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Plasma Processes and Polymers  

    This study assesses a potential use of the recently developed nonthermal atmospheric pressure plasma technology in hydroponic plant cultivation. Two types of plasma-activated liquid solutions were compared, namely, l-Phenylalanine (l-Phe), which had a benzene ring structure, and l-Alanine (l-Ala), which did not have a benzene ring structure. Antimicrobial property and plant growth enhancement were simultaneously obtained when plasma-activated l-Phe was used. We found that the benzene ring structure in solution contributed to antimicrobial property. Further, colony forming unit assay indicated that 99% of Escherichia coli (E. coli) were eliminated after 24-h incubation and radish sprout growth increased by about 40% after 2 days of cultivation.

    DOI: 10.1002/ppap.201900023

    Web of Science

    Scopus

  129. Gene Expression of Osteoblast-like Cells on Carbon-Nanowall as Scaffolds during Incubation with Electrical Stimulation Reviewed

    Ichikawa, T; Kondo, H; Ishikawa, K; Tsutsumi, T; Tanaka, H; Sekine, M; Hori, M

    ACS APPLIED BIO MATERIALS   Vol. 2 ( 7 ) page: 2698 - 2702   2019.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:ACS Applied Bio Materials  

    Nanostructured cell-culture scaffolds of carbon nanowalls (CNWs) were prepared by changing average wall-to-wall distances either 132 or 220 nm. Osteoblast-like cells (Saos-2) proliferated during 4 day incubation on the wider (220 nm) CNW scaffolds in the presence of electrical stimulation (ES). Differentiation gene expression levels of Runt-related transcription factor 2 (Runx2) and osteocalcin (OC) were suppressed after 10 day incubation, which indicated that the average wall-to-wall distances of the CNW scaffolds affect suppression of Runx2 and OC gene expression. This technique holds promise for controlling the differentiation of osteoblast-like cells.

    DOI: 10.1021/acsabm.9b00178

    Web of Science

    Scopus

    PubMed

  130. Rethinking surface reactions in nanoscale dry processes toward atomic precision and beyond: a physics and chemistry perspective Reviewed

    Ishikawa Kenji, Ishijima Tatsuo, Shirafuji Tatsuru, Armini Silvia, Despiau-Pujo Emilie, Gottscho Richard A., Kanarik Keren J., Leusink Gert J., Marchack Nathan, Murayama Takahide, Morikawa Yasuhiro, Oehrlein Gottlieb S., Park Sangwuk, Hayashi Hisataka, Kinoshita Keizo

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 58 ( SE )   2019.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/ab163e

    Web of Science

    Scopus

  131. Plasma-activated solution alters the morphological dynamics of supported lipid bilayers observed by high-speed atomic force microscopy Reviewed

    Yamaoka Sotaro, Kondo Hiroki, Hashizume Hiroshi, Ishikawa Kenji, Tanaka Hiromasa, Hori Masaru

    APPLIED PHYSICS EXPRESS   Vol. 12 ( 6 )   2019.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1882-0786/ab1a58

    Web of Science

    Scopus

  132. Progress and perspectives in dry processes for emerging multidisciplinary applications: how can we improve our use of dry processes? Reviewed

    Iwase Taku, Kamaji Yoshito, Kang Song Yun, Koga Kazunori, Kuboi Nobuyuki, Nakamura Moritaka, Negishi Nobuyuki, Nozaki Tomohiro, Nunomura Shota, Ogawa Daisuke, Omura Mitsuhiro, Shimizu Tetsuji, Shinoda Kazunori, Sonoda Yasushi, Suzuki Haruka, Takahashi Kazuo, Tsutsumi Takayoshi, Yoshikawa Kenichi, Ishijima Tatsuo, Ishikawa Kenji

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 58 ( SE )   2019.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/ab163a

    Web of Science

    Scopus

  133. Progress and perspectives in dry processes for leading-edge manufacturing of devices: toward intelligent processes and virtual product development Reviewed

    Iwase Taku, Kamaji Yoshito, Kang Song Yun, Koga Kazunori, Kuboi Nobuyuki, Nakamura Moritaka, Negishi Nobuyuki, Nozaki Tomohiro, Nunomura Shota, Ogawa Daisuke, Omura Mitsuhiro, Shimizu Tetsuji, Shinoda Kazunori, Sonoda Yasushi, Suzuki Haruka, Takahashi Kazuo, Tsutsumi Takayoshi, Yoshikawa Kenichi, Ishijima Tatsuo, Ishikawa Kenji

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 58 ( SE )   2019.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/ab163b

    Web of Science

    Scopus

  134. Progress and perspectives in dry processes for nanoscale feature fabrication: fine pattern transfer and high-aspect-ratio feature formation Reviewed

    Iwase Taku, Kamaji Yoshito, Kang Song Yun, Koga Kazunori, Kuboi Nobuyuki, Nakamura Moritaka, Negishi Nobuyuki, Nozaki Tomohiro, Nunomura Shota, Ogawa Daisuke, Omura Mitsuhiro, Shimizu Tetsuji, Shinoda Kazunori, Sonoda Yasushi, Suzuki Haruka, Takahashi Kazuo, Tsutsumi Takayoshi, Yoshikawa Kenichi, Ishijima Tatsuo, Ishikawa Kenji

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 58 ( SE )   2019.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/ab1638

    Web of Science

    Scopus

  135. Electronic properties and primarily dissociation channels of fluoroethane compounds Reviewed

    Hayashi, T; Ishikawa, K; Sekine, M; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 58 ( SE )   2019.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    Electronic properties of fluoroethane compounds except C2H5F, C2H4F2, CH3CF3, C2H2F4, and C2F6 have not been reported. On the other hands, the physicochemical properties were reported for the usage as the alternative refrigerants. Dissociation channels in the process plasma for fluoroethane compounds were not fully discussed except 1,1,1,2-C2H2F4. Therefore we have investigated the electronic properties and primarily dissociation channels of fluoroethane compounds in the process plasma in semiconductor manufacturing, using computational chemistry. Positive and negative ionized states were investigated by density functional method and the excited states were investigated by EOMCCSD/aug-cc-pVDZ and TD-SCF CAM-B3LYP/aug-cc-pVDZ. It was generally shown through these investigations that C-C bond scission occurred by excitation and ionization and C-F bond scission occurred by excitation and electron attachment.

    DOI: 10.7567/1347-4065/ab09ca

    Web of Science

    Scopus

  136. Polyethylene terephthalate (PET) surface modification by VUV and neutral active species in remote oxygen or hydrogen plasmas Reviewed

    Zhang Yan, Ishikawa Kenji, Mozetic Miran, Tsutsumi Takayoshi, Kondo Hiroki, Sekine Makoto, Hori Masaru

    PLASMA PROCESSES AND POLYMERS   Vol. 16 ( 6 )   2019.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.201800175

    Web of Science

    Scopus

  137. Laser-drilling formation of through-glass-via (TGV) on polymer-laminated glass Reviewed

    Sato, Y; Imajyo, N; Ishikawa, K; Tummala, R; Hori, M

    JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS   Vol. 30 ( 11 ) page: 10183 - 10190   2019.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Journal of Materials Science: Materials in Electronics  

    A three-dimensional (3D) glass integrated passive device (IPD) is an evolutionally advanced configuration to dramatically reduce the electronics form factor and manufacturing cost of current IPDs by introducing ultra-thin glass with through-glass-vias (TGVs). A defect-free TGV formation technology in polymer-laminated glass substrates is required to realize a highly reliable 3D glass IPD. This paper discusses mechanisms of each defect formation in the use of several types of lasers to explore suitable technology for defect-free drilling in polymer-laminated glass.

    DOI: 10.1007/s10854-019-01354-5

    Web of Science

    Scopus

  138. Chemical bonding structure in porous SiOC films (k &lt; 2.4) with high plasma-induced damage resistance (vol 3, pg 1, 2019) Reviewed

    Miyajima, H; Masuda, H; Watanabe, K; Ishikawa, K; Sekine, M; Hori, M

    MICRO AND NANO ENGINEERING   Vol. 3   page: 92 - 92   2019.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Micro and Nano Engineering  

    The publisher regrets that we missed to publish the conflict of interest statement in the original article. The authors declare that they have no known competing financial interests or personal relationships that could have appeared to influence the work reported in this paper. The publisher would like to apologise for any inconvenience caused.

    DOI: 10.1016/j.mne.2019.05.004

    Web of Science

    Scopus

  139. Chemical bonding structure in porous SiOC films (k &lt; 2.4) with high plasma-induced damage resistance Reviewed

    Miyajima, H; Masuda, H; Watanabe, K; Ishikawa, K; Sekine, M; Hori, M

    MICRO AND NANO ENGINEERING   Vol. 3   page: 1 - 6   2019.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Micro and Nano Engineering  

    The chemical bonding structure of porous low-k carbon-doped silicon oxide (SiOC) films (k < 2.4) was engineered using plasma-enhanced chemical vapor deposition and electron-beam curing. The high carbon concentration in the SiOC films with di-methyl bonds ([dbnd]Si(–]CH3)2) is crucial for resistance to plasma-induced damage (PID) and prevention of moisture uptake after the plasma treatment. The mix of di-methyl bonds is believed to be the key to protecting the films from PID because the films retain their hydrophobic characteristics even after plasma treatment. Thus, control of the ratio of di-methyl bonds to mono-methyl bonds ([tbnd]Si–]CH3) in the as-deposited SiOC film is necessary. Selection of trimethyl silane as a precursor for film matrix formation resulted in excellent control of this ratio to obtain highly reliable low-k/Cu interconnects for high-performance logic devices.

    DOI: 10.1016/j.mne.2019.02.005

    Web of Science

    Scopus

  140. Effects of plasma shield plate design on epitaxial GaN films grown for large-sized wafers in radical-enhanced metalorganic chemical vapor deposition Reviewed

    Isobe, Y; Sakai, T; Sugiyama, N; Mizushima, I; Suguro, K; Miyashita, N; Lu, Y; Wilson, AF; Kumar, DA; Ikarashi, N; Kondo, H; Ishikawa, K; Shimizu, N; Oda, O; Sekine, M; Hori, M

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B   Vol. 37 ( 3 )   2019.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Journal of Vacuum Science and Technology B: Nanotechnology and Microelectronics  

    Epitaxial growth of GaN films at a low temperature of 800 °C was studied in radical-enhanced metal-organic chemical vapor deposition, focusing on the discharge region of the plasma of a mixture of N2 and H2 gases. The effect of plasma confinement on the growth is crucial for realizing high crystal quality of grown GaN films, owing to the suppression of plasma-induced damage and decomposition of gallium precursors in the gaseous phase. By confined plasma in the discharge region using the plasma shield plates made of metal with multiple small holes effectively, GaN with a relatively flat surface was grown under conditions of higher V/III ratios. Epitaxial growth of GaN films was achieved by modifying the plate design and controlling the high V/III ratio using both the plasma-excitation power and the Ga precursor flow rate.

    DOI: 10.1116/1.5083970

    Web of Science

    Scopus

  141. Facile synthesis of SnO2-graphene composites employing nonthermal plasma and SnO2 nanoparticles-dispersed ethanol Reviewed

    Borude Ranjit R., Sugiura Hirotsugu, Ishikawa Kenji, Tsutsumi Takayoshi, Kondo Hiroki, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 52 ( 17 )   2019.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/ab03c4

    Web of Science

    Scopus

  142. Systematic diagnostics of the electrical, optical, and physicochemical characteristics of low-temperature atmospheric-pressure helium plasma sources Reviewed

    Takeda Keigo, Yamada Hiromasa, Ishikawa Kenji, Sakakita Hajime, Kim Jaeho, Ueda Masashi, Ikeda Jun-ichiro, Akimoto Yoshihiro, Kataoka Yosky, Yokoyama Naoaki, Ikehara Yuzuru, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 52 ( 16 )   2019.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aaff44

    Web of Science

    Scopus

  143. A 65-nm CMOS Fully Integrated Analysis Platform Using an On-Chip Vector Network Analyzer and a Transmission-Line-Based Detection Window for Analyzing Circulating Tumor Cell and Exosome Reviewed

    Niitsu Kiichi, Nakanishi Taiki, Murakami Shunya, Matsunaga Maya, Kobayashi Atsuki, Karim Nissar Mohammad, Ito Jun, Ozawa Naoya, Hase Tetsunari, Tanaka Hiromasa, Sato Mitsuo, Kondo Hiroki, Ishikawa Kenji, Odaka Hidefumi, Hasegawa Yoshinori, Hori Masaru, Nakazato Kazuo

    IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS   Vol. 13 ( 2 ) page: 470 - 479   2019.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1109/TBCAS.2018.2882472

    Web of Science

    Scopus

    PubMed

  144. Effects of 3D structure on electrochemical oxygen reduction characteristics of Pt- nanoparticle-supported carbon nanowalls Reviewed

    Imai Shun, Naito Kenichi, Kondo Hiroki, Cho Hyung Jun, Ishikawa Kenji, Tsutsumi Takayoshi, Sekine Makoto, Hiramatsu Mineo, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 52 ( 10 )   2019.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aaf8e0

    Web of Science

    Scopus

  145. Control of sp<SUP>2</SUP>-C cluster incorporation of amorphous carbon films grown by H-radical-injection CH<sub>4</sub>/H<sub>2</sub> plasma-enhanced chemical vapor deposition Reviewed

    Sugiura, H; Jia, LY; Ohashi, Y; Kondo, H; Ishikawa, K; Tsutsumi, T; Hayashi, T; Takeda, K; Sekine, M; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 58 ( 3 )   2019.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    Amorphous carbon (a-C) thin films were deposited under the control of C2 radical density using radical-injection plasma-enhanced chemical vapor deposition (RI-PECVD) with CH4/H2 plasma. By actinometric monitoring of C2 emission intensities, the plasma parameters were precisely controlled by changing in CH4-containing plasma excitation power independent of H2 plasma excitation. The control of the incorporation of sp2-C clusters in the a-C films during the a-C film depositions is demonstrated by tailoring Raman positions vs. full widths at half maxima for the G band around 1580 cm-1 to the RI-PECVD parameters.

    DOI: 10.7567/1347-4065/aafd49

    Web of Science

    Scopus

  146. Effects of BCl3 addition to Cl-2 gas on etching characteristics of GaN at high temperature Reviewed

    Tanide Atsushi, Nakamura Shohei, Horikoshi Akira, Takatsuji Shigeru, Kohno Motohiro, Kinose Kazuo, Nadahara Soichi, Ishikawa Kenji, Sekine Makoto, Hori Masaru

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B   Vol. 37 ( 2 )   2019.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/1.5082345

    Web of Science

    Scopus

  147. Effects of Ion Bombardment Energy Flux on Chemical Compositions and Structures of Hydrogenated Amorphous Carbon Films Grown by a Radical-Injection Plasma-Enhanced Chemical Vapor Deposition Reviewed

    Sugiura, H; Kondo, H; Tsutsumi, T; Ishikawa, K; Hori, M

    C-JOURNAL OF CARBON RESEARCH   Vol. 5 ( 1 )   2019.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3390/c5010008

    Web of Science

  148. Electrochemical Reaction in Hydrogen Peroxide and Structural Change of Platinum Nanoparticle-Supported Carbon Nanowalls Grown Using Plasma-Enhanced Chemical Vapor Deposition Reviewed

    Tomatsu, M; Hiramatsu, M; Kondo, H; Ishikawa, K; Tsutsumi, T; Sekine, M; Hori, M

    C-JOURNAL OF CARBON RESEARCH   Vol. 5 ( 1 )   2019.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3390/c5010007

    Web of Science

  149. Effect of cold plasma treatment with cultivar on fruit quality Reviewed

    Hori Masaru, Hashizume Hiroshi, Matsumoto Shogo, Tsubota Kenki, Ito Masafumi, Yuasa Genki, Tohno Satoe, Tanaka Hiromasa, Ishikawa Kenji, Kitano Hidemi, Sasakibara Hitoshi, Nikawa Susumu, Maeshima Masayoshi, Mizuno Masaaki, Okuma Takayuki

    JSAP Annual Meetings Extended Abstracts   Vol. 2019.1 ( 0 ) page: 1678 - 1678   2019.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:The Japan Society of Applied Physics  

    DOI: 10.11470/jsapmeeting.2019.1.0_1678

    CiNii Research

  150. Environmentally controlled cultivation of rice plants treated with cold plasma to seeds Reviewed

    Hashizume Hiroshi, Kitano Hidemi, Yuasa Genki, Tohno Satoe, Mizuno Hiroko, Kinoshita Satoru, Tanaka Hiromasa, Ishikawa Kenji, Matsumoto Shogo, Sakakibara Hitoshi, Nikawa Susumu, Maeshima Masayoshi, Mizuno Masaaki, Hori Masaru

    JSAP Annual Meetings Extended Abstracts   Vol. 2019.1 ( 0 ) page: 1677 - 1677   2019.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:The Japan Society of Applied Physics  

    DOI: 10.11470/jsapmeeting.2019.1.0_1677

    CiNii Research

  151. Bacteria inactivation and plant-growth promotion in neutral pH range using aromatic compounds treated with oxygen radicals Reviewed

    Iwata Naoyuki, Gamaleeve Vladislav, Jun-Seok Oh, Hashizume Hiroshi, Ohta Takayuki, Ishikawa Kenji, Hori Masaru, Ito Masafumi

    JSAP Annual Meetings Extended Abstracts   Vol. 2019.1 ( 0 ) page: 1670 - 1670   2019.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:The Japan Society of Applied Physics  

    DOI: 10.11470/jsapmeeting.2019.1.0_1670

    CiNii Research

  152. Growth enhancement with cold plasma treatment to rice seeds Reviewed

    Hashizume Hiroshi, Kitano Hidemi, Mizuno Hiroko, Kinoshita Satoru, Yuasa Genki, Tohno Satoe, Tanaka Hiromasa, Ishikawa Kenji, Matsumoto Shogo, Sakakibara Hitoshi, Nikawa Susumu, Maeshima Masayoshi, Mizuno Masaaki, Hori Masaru

    JSAP Annual Meetings Extended Abstracts   Vol. 2019.1 ( 0 ) page: 1676 - 1676   2019.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:The Japan Society of Applied Physics  

    DOI: 10.11470/jsapmeeting.2019.1.0_1676

    CiNii Research

  153. Etching reactions of Si, SiO<sub>2</sub>, and SiN films using with hydrofluorocarbon compounds Reviewed

    Ni Jiawei, Hayashi Toshio, Ishikawa Kenji, Tsutsumi Takayoshi, Kondo Hiroki, Sekine Makoto, Hori Masaru

    JSAP Annual Meetings Extended Abstracts   Vol. 2019.1 ( 0 ) page: 1630 - 1630   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:The Japan Society of Applied Physics  

    DOI: 10.11470/jsapmeeting.2019.1.0_1630

    CiNii Research

  154. Investigation of growth and yield with periodic treatment of cold plasma in rice field Reviewed

    Hashizume Hiroshi, Kitano Hidemi, Yuasa Genki, Tohno Satoe, Mizuno Hiroko, Tanaka Hiromasa, Ishikawa Kenji, Matsumoto Shogo, Sakakibara Hitoshi, Nikawa Susumu, Maeshima Masayoshi, Mizuno Masaaki, Hori Masaru

    JSAP Annual Meetings Extended Abstracts   Vol. 2019.1 ( 0 ) page: 1675 - 1675   2019.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:The Japan Society of Applied Physics  

    DOI: 10.11470/jsapmeeting.2019.1.0_1675

    CiNii Research

  155. Non-thermal plasma-activated medium modified metabolomic profiles in the glycolysis of U251SP glioblastoma Reviewed

    Kurake Naoyuki, Ishikawa Kenji, Tanaka Hiromasa, Hashizume Hiroshi, Nakamura Kae, Kajiyama Hiroaki, Toyokuni Shinya, Kikkawa Fumitaka, Mizuno Masaaki, Hori Masaru

    ARCHIVES OF BIOCHEMISTRY AND BIOPHYSICS   Vol. 662   page: 83 - 92   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.abb.2018.12.001

    Web of Science

    Scopus

    PubMed

  156. Remotely floating wire-assisted generation of high-density atmospheric pressure plasma and SF6-added plasma etching of quartz glass Reviewed

    Thi-Thuy-Nga Nguyen, Sasaki Minoru, Odaka Hidefumi, Tsutsumi Takayoshi, Ishikawa Kenji, Hori Masaru

    JOURNAL OF APPLIED PHYSICS   Vol. 125 ( 6 )   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.5081875

    Web of Science

    Scopus

  157. Liquid dynamics in response to an impinging low-temperature plasma jet Reviewed

    Brubaker T. R., Ishikawa K., Kondo H., Tsutsumi T., Hashizume H., Tanaka H., Knecht S. D., Bilen S. G., Hori M.

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 52 ( 7 )   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aaf460

    Web of Science

    Scopus

  158. Effect of electrical stimulation on proliferation and bone-formation by osteoblast-like cells cultured on carbon nanowalls scaffolds Reviewed

    Ichikawa Tomonori, Tanaka Suiki, Kondo Hiroki, Ishikawa Kenji, Tsutsumi Takayoshi, Sekine Makoto, Hori Masaru

    APPLIED PHYSICS EXPRESS   Vol. 12 ( 2 )   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1882-0786/aaf469

    Web of Science

    Scopus

  159. Narrow free-standing features fabricated by top-down self-limited trimming of organic materials using precisely temperature-controlled plasma etching system Reviewed

    Fukunaga Yusuke, Tsutsumi Takayoshi, Kondo Hiroki, Ishikawa Kenji, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 58 ( 2 )   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/aaf92a

    Web of Science

    Scopus

  160. Adhesion enhancement and amine reduction using film redeposited at the interface of a stack of plasma-enhanced CVD dielectrics for Cu/low-k interconnects Reviewed

    Miyajima Hideshi, Watanabe Kei, Ishikawa Kenji, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 58 ( 2 )   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/aafb5b

    Web of Science

    Scopus

  161. Hetero-epitaxial growth of a GaN film by the combination of magnetron sputtering with Ar/Cl-2 gas mixtures and a separate supply of nitrogen precursors from a high density radical source Reviewed

    Tanide Atsushi, Nakamura Shohei, Horikoshi Akira, Takatsuji Shigeru, Kohno Motohiro, Kinose Kazuo, Nadahara Soichi, Nishikawa Masazumi, Ebe Akinori, Ishikawa Kenji, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 58 ( SA )   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/aaeb39

    Web of Science

    Scopus

  162. Modifications of surface and bulk properties of magnetron-sputtered carbon films employing a post-treatment of atmospheric pressure plasma Reviewed

    Borude Ranjit R., Sugiura Hirotsugu, Ishikawa Kenji, Tsutsumi Takayoshi, Kondo Hiroki, Han Jeon Geon, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 58 ( SA )   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/aaec87

    Web of Science

    Scopus

  163. Single-Step, Low-Temperature Simultaneous Formations and in Situ Binding of Tin Oxide Nanoparticles to Graphene Nanosheets by In-Liquid Plasma for Potential Applications in Gas Sensing and Lithium-Ion Batteries Reviewed

    Borude, RR; Sugiura, H; Ishikawa, K; Tsutsumi, T; Kondo, H; Ikarashi, N; Hori, M

    ACS APPLIED NANO MATERIALS   Vol. 2 ( 2 ) page: 649 - 654   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:ACS Applied Nano Materials  

    The in situ binding of tin oxide (SnO2) nanoparticles (SNp) and graphene nanosheets (GNs) that synthesized simultaneously in single-step atmospheric-pressure processing was achieved at a low temperature by employing in-liquid plasma in a solution of tin chloride (SnCl2·2H2O) in ethanol as the only precursor. Transmission electron microscopy, Raman analysis, and X-ray diffraction revealed the composite (SNp/GNs) synthesis with SNp of sizes 2-3 nm, which were distributed uniformly and attached to both sides of the GNs. The SNp/GNs composite synthesis was provided by the simple, low-cost, single-processing method of the in-liquid plasma for future gas-sensing and lithium-ion battery applications.

    DOI: 10.1021/acsanm.8b02201

    Web of Science

    Scopus

  164. Pt nanoparticle-supported carbon nanowalls electrode with improved durability for fuel cell applications using C2F6/H-2 plasma-enhanced chemical vapor deposition Reviewed

    Imai Shun, Kondo Hiroki, Hyungjun Cho, Ishikawa Kenji, Tsutsumi Takayoshi, Sekine Makoto, Hiramatsu Mineo, Hori Masaru

    APPLIED PHYSICS EXPRESS   Vol. 12 ( 1 )   2019.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1882-0786/aaf0ab

    Web of Science

    Scopus

  165. Batch Fabrication of Nano-Gap Electrode Array Using Photo-Patterning and Resist UV-Curing

    Nguyen Hai Minh, Kumeuchi Mako, Kumagai Shinya, Ishikawa Kenji, Hori Masaru, Sasaki Minoru

    IEEJ Transactions on Sensors and Micromachines   Vol. 139 ( 1 ) page: 27 - 28   2019.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:The Institute of Electrical Engineers of Japan  

    <p>A nano-gap electrode array is batch-fabricated based on photolithography. A high resolution is obtained by using an over-hanging resist cover on the under-etched metal film. The process includes two-time mask-patterning. The first photoresist is UV-cured, allowing second patterning without degrading the first pattern. A nano-gap width of 237±63 nm is obtained from a 704-electrode array with an yield of 97%.</p>

    DOI: 10.1541/ieejsmas.139.27

    CiNii Research

  166. Molecular mechanisms of non-thermal plasmainduced effects in cancer cells Reviewed

    Tanaka Hiromasa, Mizuno Masaaki, Ishikawa Kenji, Toyokuni Shinya, Kajiyama Hiroaki, Kikkawa Fumitaka, Hori Masaru

    BIOLOGICAL CHEMISTRY   Vol. 400 ( 1 ) page: 87 - 91   2019.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1515/hsz-2018-0199

    Web of Science

    Scopus

    PubMed

  167. Single-cell microscopic raman spectroscopy for rapid microbial detection Reviewed

    Onoshima D., Uchida K., Yukawa H., Ishikawa K., Hori M., Baba Y.

    23rd International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2019     page: 1374 - 1375   2019

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:23rd International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2019  

    We present a study of microbial Raman spectroscopy towards optimal approach of rapid microbiological methods (RMMs). Raman spectra of single microorganism cells were measured and analyzed by data clustering to identify the microbial species without culturing for colony formation.

    Scopus

  168. Effect of N-2/H-2 plasma on GaN substrate cleaning for homoepitaxial GaN growth by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) Reviewed

    Amalraj Frank Wilson, Dhasiyan Arun Kumar, Lu Yi, Shimizu Naohiro, Oda Osamu, Ishikawa Kenji, Kondo Hiroki, Sekine Makoto, Ikarashi Nobuyuki, Hori Masaru

    AIP ADVANCES   Vol. 8 ( 11 )   2018.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.5050819

    Web of Science

    Scopus

  169. Reaction mechanisms between chlorine plasma and a spin-on-type polymer mask for high-temperature plasma etching Reviewed

    Zhang Yan, Imamura Masato, Ishikawa Kenji, Tsutsumi Takayoshi, Kondo Hiroki, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 10 )   2018.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.106502

    Web of Science

    Scopus

  170. Elevated-temperature etching of gallium nitride (GaN) in dual-frequency capacitively coupled plasma of CH4/H-2 at 300-500 degrees C Reviewed

    Kako Takashi, Liu Zecheng, Ishikawa Kenji, Kondo Hiroki, Oda Osamu, Sekine Makoto, Hori Masaru

    VACUUM   Vol. 156   page: 219 - 223   2018.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.vacuum.2018.07.040

    Web of Science

    Scopus

  171. Cytotoxic effects of plasma-irradiated fullerenol Reviewed

    Kanno Daiki, Tanaka Hiromasa, Ishikawa Kenji, Hashizume Hiroshi, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 51 ( 37 )   2018.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aad510

    Web of Science

    Scopus

  172. Liquid phase plasma assisted synthesis of Tin oxide – Graphene composite Reviewed

    Borude Ranjit, Ishikawa Kenji, Tsutsumi Takayoshi, Kondo Hiroki, Hori Masaru

    JSAP Annual Meetings Extended Abstracts   Vol. 2018.2 ( 0 ) page: 1777 - 1777   2018.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:The Japan Society of Applied Physics  

    DOI: 10.11470/jsapmeeting.2018.2.0_1777

    CiNii Research

  173. Real-time control of a wafer temperature for uniform plasma process Reviewed

    Tsutsumi T., Fuknaga Y., Ishikawa K., Kondo H., Sekine M., Hori M.

    IEEE International Symposium on Semiconductor Manufacturing Conference Proceedings   Vol. 2018-December   2018.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IEEE International Symposium on Semiconductor Manufacturing Conference Proceedings  

    Our developed non-contact method for measurement of temperature of silicon (Si) wafer by using autocorrelation-type fourier domain low coherence interferometer has advantageous in accuracy and rapid response. We demonstrate measurements in temperature for Si wafer at real-time during plasma process and in estimation of heat flux to the wafer from plasma, involving heats balanced plasma source and conductive loss in Si. The analysis indicated that other heat sources like the chamber parts with relatively high temperature impact on the duty ratio during the process with feedback control of the wafer teperture.

    DOI: 10.1109/ISSM.2018.8651183

    Scopus

  174. Dissociative properties of 1,1,1,2-tetrafluoroethane obtained by computational chemistry Reviewed

    Hayashi Toshio, Ishikawa Kenji, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 6 )   2018.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.06JC02

    Web of Science

    Scopus

  175. Temperature dependence on plasma-induced damage and chemical reactions in GaN etching processes using chlorine plasma Reviewed

    Liu Zecheng, Ishikawa Kenji, Imamura Masato, Tsutsumi Takayoshi, Kondo Hiroki, Oda Osamu, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 6 )   2018.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.06JD01

    Web of Science

    Scopus

  176. Progress in nanoscale dry processes for fabrication of high-aspect-ratio features: How can we control critical dimension uniformity at the bottom? Reviewed

    Ishikawa Kenji, Karahashi Kazuhiro, Ishijima Tatsuo, Cho Sung Il, Elliott Simon, Hausmann Dennis, Mocuta Dan, Wilson Aaron, Kinoshita Keizo

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 6 )   2018.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.06JA01

    Web of Science

    Scopus

  177. Low-autofluorescence fluoropolymer membrane filters for cell filtration Reviewed

    Kihara Naoto, Kuboyama Daiki, Onoshima Daisuke, Ishikawa Kenji, Tanaka Hiromasa, Ozawa Naoya, Hase Tetsunari, Koguchi Ryohei, Yukawa Hiroshi, Odaka Hidefumi, Hasegawa Yoshinori, Baba Yoshinobu, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 6 )   2018.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.06JF03

    Web of Science

    Scopus

  178. Impact of helium pressure in arc plasma synthesis on crystallinity of single-walled carbon nanotubes Reviewed

    Ando Atsushi, Takeda Keigo, Ohta Takayuki, Ito Masafumi, Hiramatsu Mineo, Ishikawa Kenji, Kondo Hiroki, Sekine Makoto, Suzuki Tomoko, Inoue Sakae, Ando Yoshinori, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 6 )   2018.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.06JF01

    Web of Science

    Scopus

  179. Effects of gas residence time of CH4/H-2 on sp(2) fraction of amorphous carbon films and dissociated methyl density during radical-injection plasma-enhanced chemical vapor deposition Reviewed

    Sugiura Hirotsugu, Jia Lingyun, Kondo Hiroki, Ishikawa Kenji, Tsutsumi Takayoshi, Hayashi Toshio, Takeda Keigo, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 6 )   2018.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.06JE03

    Web of Science

    Scopus

  180. Dry Process FOREWORD Reviewed

    Karahashi Kazuhiro, Kinoshita Keizo, Higashi Seiichiro, Ishikawa Kenji, Ishijima Tatsuo, Kuboi Nobuyuki

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 6 )   2018.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.06J001

    Web of Science

    Scopus

  181. Nanographene synthesized in triple-phase plasmas as a highly durable support of catalysts for polymer electrolyte fuel cells Reviewed

    Amano Tomoki, Kondo Hiroki, Takeda Keigo, Ishikawa Kenji, Hiramatsu Mineo, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 4 )   2018.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.045101

    Web of Science

    Scopus

  182. Oxygen reduction reaction properties of nitrogen-incorporated nanographenes synthesized using in-liquid plasma from mixture of ethanol and iron phthalocyanine Reviewed

    Amano Tomoki, Kondo Hiroki, Takeda Keigo, Ishikawa Kenji, Hiramatsu Mineo, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 4 )   2018.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.040303

    Web of Science

    Scopus

  183. Cytotoxicity of cancer HeLa cells sensitivity to normal MCF10A cells in cultivations with cell culture medium treated by microwave-excited atmospheric pressure plasmas Reviewed

    Takahashi Yohei, Taki Yusuke, Takeda Keigo, Hashizume Hiroshi, Tanaka Hiromasa, Ishikawa Kenji, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 51 ( 11 )   2018.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aaab09

    Web of Science

    Scopus

  184. Free radical generation by non-equilibrium atmospheric pressure plasma in alcohol-water mixtures: an EPR-spin trapping study Reviewed

    Uchiyama Hidefumi, Ishikawa Kenji, Zhao Qing-Li, Andocs Gabor, Nojima Nobuyuki, Takeda Keigo, Krishna Murali C., Ishijima Tatsuo, Matsuya Yuji, Hori Masaru, Noguchi Kyo, Kondo Takashi

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 51 ( 9 )   2018.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aaa885

    Web of Science

    Scopus

  185. Facile fabrication of a poly(ethylene terephthalate) membrane filter with precise arrangement of through-holes Reviewed

    Kihara Naoto, Odaka Hidefumi, Kuboyama Daiki, Onoshima Daisuke, Ishikawa Kenji, Baba Yoshinobu, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 3 )   2018.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.037001

    Web of Science

    Scopus

  186. Reduced HeLa cell viability in methionine-containing cell culture medium irradiated with microwave-excited atmospheric-pressure plasma Reviewed

    Takahashi Yohei, Taki Yusuke, Takeda Keigo, Hashizume Hiroshi, Tanaka Hiromasa, Ishikawa Kenji, Hori Masaru

    PLASMA PROCESSES AND POLYMERS   Vol. 15 ( 3 )   2018.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.201700200

    Web of Science

    Scopus

  187. Nanographene synthesis employing in-liquid plasmas with alcohols or hydrocarbons Reviewed

    Ando Atsushi, Ishikawa Kenji, Kondo Hiroki, Tsutsumi Takayoshi, Takeda Keigo, Ohta Takayuki, Ito Masafumi, Hiramatsu Mineo, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 2 )   2018.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.026201

    Web of Science

    Scopus

  188. Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials FOREWORD Reviewed

    Naritsuka Shigeya, Miyazaki Seiichi, Fujiwara Yasufumi, Hiramatsu Mineo, Inoue Yasushi, Ishikawa Kenji, Ito Masafumi, Itoh Takashi, Kasu Makoto, Miyake Hideto, Sasaki Minoru, Shirafuji Tatsuru, Suda Yoshiyuki

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 1 )   2018.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.01A001

    Web of Science

  189. Electron impact ionization of perfluoro-methyl-vinyl-ether C3F6O Reviewed

    Kondo Yusuke, Ishikawa Kenji, Hayashi Toshio, Sekine Makoto, Hori Masaru

    PLASMA SOURCES SCIENCE & TECHNOLOGY   Vol. 27 ( 1 )   2018.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6595/aaa22e

    Web of Science

    Scopus

  190. Selective production of reactive oxygen and nitrogen species in the plasma-treated water by using a nonthermal high-frequency plasma jet Reviewed

    Uchida Giichiro, Takenaka Kosuke, Takeda Keigo, Ishikawa Kenji, Hori Masaru, Setsuhara Yuichi

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 1 )   2018.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.0102B4

    Web of Science

    Scopus

  191. Rapid growth of micron-sized graphene flakes using in-liquid plasma employing iron phthalocyanine-added ethanol Reviewed

    Amano Tomoki, Kondo Hiroki, Ishikawa Kenji, Tsutsumi Takayoshi, Takeda Keigo, Hiramatsu Mineo, Sekine Makoto, Hori Masaru

    APPLIED PHYSICS EXPRESS   Vol. 11 ( 1 )   2018.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/APEX.11.015102

    Web of Science

    Scopus

  192. Plasma-activated medium (PAM) kills human cancer-initiating cells Reviewed

    Ikeda Jun-ichiro, Tanaka Hiromasa, Ishikawa Kenji, Sakakita Hajime, Ikehara Yuzuru, Hori Masaru

    PATHOLOGY INTERNATIONAL   Vol. 68 ( 1 ) page: 23 - 30   2018.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1111/pin.12617

    Web of Science

    Scopus

    PubMed

  193. Cell Deposition Microchip with Micropipette Control over Liquid Interface Motion Reviewed

    Onoshima Daisuke, Hattori Yuya, Yukawa Hiroshi, Ishikawa Kenji, Hori Masaru, Baba Yoshinobu

    CELL MEDICINE   Vol. 10   page: 2155179017733152   2018

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1177/2155179017733152

    Web of Science

    PubMed

  194. Isotropic atomic level etching of tungsten using formation and desorption of tungsten fluoride Reviewed

    Shinoda Kazunori, Miyoshi Nobuya, Kobayashi Hiroyuki, Hanaoka Yuko, Kawamura Kohei, Izawa Masaru, Ishikawa Kenji, Hori Masaru

    ADVANCED ETCH TECHNOLOGY FOR NANOPATTERNING VII   Vol. 10589   2018

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1117/12.2297241

    Web of Science

    Scopus

  195. Glioblastoma Cell Lines Display Different Sensitivities to Plasma-Activated Medium Reviewed

    Tanaka Hiromasa, Mizuno Masaaki, Ishikawa Kenji, Takeda Keigo, Hashizume Hiroshi, Nakamura Kae, Utsumi Fumi, Kajiyama Hiroaki, Okazaki Yasumasa, Toyokuni Shinya, Akiyama Shinichi, Maruyama Shoichi, Kikkawa Fumitaka, Hori Masaru

    IEEE TRANSACTIONS ON RADIATION AND PLASMA MEDICAL SCIENCES   Vol. 2 ( 2 ) page: 99 - 102   2018

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1109/trpms.2017.2721973

    Web of Science

    Scopus

  196. Real-time control of a wafer temperature for uniform plasma process Reviewed

    Tsutsumi, T; Fuknaga, Y; Ishikawa, K; Kondo, H; Sekine, M; Hori, M

    2018 INTERNATIONAL SYMPOSIUM ON SEMICONDUCTOR MANUFACTURING (ISSM)     2018

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Web of Science

  197. Oncogene mutation analysis of circulating tumor cells using single-cell membrane separation and DNA amplification Reviewed

    Onoshima D., Kuboyama D., Kihara N., Tanaka H., Hase T., Yukawa H., Ishikawa K., Odaka H., Hasegawa Y., Hori M., Baba Y.

    22nd International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2018   Vol. 3   page: 1291 - 1293   2018

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:22nd International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2018  

    We present a study of genomic profiling for circulating tumor cells (CTCs) using a blood microfiltration system. DNA amplification of CTCs was performed with a microfilter for single cancer cells in a clinical setting. This strategy was successfully used to detect the driver mutations in epidermal growth factor (EGF) receptor oncogenes by quantitative PCR and characterize the dosing effect of molecularly targeted drug for lung cancer.

    Scopus

  198. Exosome isolation toward cancer diagnosis using glass filter with nanoporous structure Reviewed

    Aoki K., Yukawa H., Onoshima D., Yamazaki S., Kihara N., Koguchi R., Takahashi K., Odaka H., Ishikawa K., Hori M., Baba Y.

    22nd International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2018   Vol. 3   page: 1409 - 1410   2018

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:22nd International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2018  

    We present a study of centrifugal filtration device toward easy-to-use approach of non-invasive liquid biopsy for cancer diagnosis by cell-derived nanoscale vesicles (exosomes). A phase-dispersed glass filter having nanoporous structure was embedded into a spin column to trap exosomes with small centrifuge. This device enabled over 90% exosome isolation from biological samples within 10 minutes.

    Scopus

  199. Dynamic analysis of reactive oxygen nitrogen species in plasma-activated culture medium by UV absorption spectroscopy Reviewed

    Brubaker Timothy R., Ishikawa Kenji, Takeda Keigo, Oh Jun-Seok, Kondo Hiroki, Hashizume Hiroshi, Tanaka Hiromasa, Knecht Sean D., Bilen Sven G., Hori Masaru

    JOURNAL OF APPLIED PHYSICS   Vol. 122 ( 21 )   2017.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4999256

    Web of Science

    Scopus

  200. Dependence of absolute photon flux on infrared absorbance alteration and surface roughness on photoresist polymers irradiated with vacuum ultraviolet photons emitted from HBr plasma Reviewed

    Zhang Yan, Takeuchi Takuya, Ishikawa Kenji, Hayashi Toshio, Takeda Keigo, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 56 ( 12 )   2017.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.126503

    Web of Science

    Scopus

  201. State of the art in medical applications using non-thermal atmospheric pressure plasma Reviewed

    Tanaka H., Ishikawa K., Mizuno M., Toyokuni S., Kajiyama H., Kikkawa F., Metelmann H.R., Hori M.

    Reviews of Modern Plasma Physics   Vol. 1 ( 1 )   2017.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Reviews of Modern Plasma Physics  

    Plasma medical science is a novel interdisciplinary field that combines studies on plasma science and medical science, with the anticipation that understanding the scientific principles governing plasma medical science will lead to innovations in the field. Non-thermal atmospheric pressure plasma has been used for medical treatments, such as for cancer, blood coagulation, and wound healing. The interactions that occur between plasma and cells/tissues have been analyzed extensively. Direct and indirect treatment of cells with plasma has broadened the applications of non-thermal atmospheric pressure plasma in medicine. Examples of indirect treatment include plasma-assisted immune-therapy and plasma-activated medium. Controlling intracellular redox balance may be key in plasma cancer treatment. Animal studies are required to test the effectiveness and safety of these treatments for future clinical applications.

    DOI: 10.1007/s41614-017-0004-3

    Scopus

  202. Intracellular responses to reactive oxygen and nitrogen species, and lipid peroxidation in apoptotic cells cultivated in plasma-activated medium Reviewed

    Furuta Ryo, Kurake Naoyuki, Ishikawa Kenji, Takeda Keigo, Hashizume Hiroshi, Tanaka Hiromasa, Kondo Hiroki, Sekine Makoto, Hori Masaru

    PLASMA PROCESSES AND POLYMERS   Vol. 14 ( 11 )   2017.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.201700123

    Web of Science

    Scopus

  203. Surface roughening of photoresist after change of the photon/radical and ion treatment sequence Reviewed

    Zhang Yan, Takeuchi Takuya, Ishikawa Kenji, Takeda Keigo, Kondo Hiroki, Sekine Makoto, Hori Masaru

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A   Vol. 35 ( 6 )   2017.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/1.4994218

    Web of Science

    Scopus

  204. Crystallization of calcium oxalate dihydrate in a buffered calcium-containing glucose solution by irradiation with non-equilibrium atmospheric pressure plasma Reviewed

    Kurake Naoyuki, Tanaka Hiromasa, Ishikawa Kenji, Nakamura Kae, Kajiyama Hiroaki, Kikkawa Fumitaka, Mizuno Masaaki, Ikehara Yuzuru, Hori Masaru

    JOURNAL OF APPLIED PHYSICS   Vol. 122 ( 14 )   2017.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.5006598

    Web of Science

    Scopus

  205. High-durability catalytic electrode composed of Pt nanoparticle-supported carbon nanowalls synthesized by radical-injection plasma-enhanced chemical vapor deposition Reviewed

    Imai Shun, Kondo Hiroki, Cho Hyungjun, Kano Hiroyuki, Ishikawa Kenji, Sekine Makoto, Hiramatsu Mineo, Ito Masafumi, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 50 ( 40 )   2017.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aa8131

    Web of Science

    Scopus

  206. Cold atmospheric helium plasma causes synergistic enhancement in cell death with hyperthermia and an additive enhancement with radiation Reviewed

    Moniruzzaman Rohan, Rehman Mati Ur, Zhao Qing-Li, Jawaid Paras, Takeda Keigo, Ishikawa Kenji, Hori Masaru, Tomihara Kei, Noguchi Kyo, Kondo Takashi, Noguchi Makoto

    SCIENTIFIC REPORTS   Vol. 7 ( 1 ) page: 11659   2017.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1038/s41598-017-11877-8

    Web of Science

    Scopus

    PubMed

  207. Lipid droplets exhaustion with caspases activation in HeLa cells cultured in plasma-activated medium observed by multiplex coherent anti-Stokes Raman scattering microscopy Reviewed

    Furuta Ryo, Kurake Naoyuki, Takeda Keigo, Ishikawa Kenji, Ohta Takayuki, Ito Masafumi, Hashizume Hiroshi, Tanaka Hiromasa, Kondo Hiroki, Sekine Makoto, Hori Masaru

    BIOINTERPHASES   Vol. 12 ( 3 ) page: 031006   2017.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/1.4997170

    Web of Science

    Scopus

    PubMed

  208. Color change of raw horse meat with reduction treatment using H-radical irradiation Reviewed

    Kitada Yuto, Oh Jun-Seok, Hayashi Toshiya, Ishikawa Kenji, Hori Masaru, Ito Masafumi

    JSAP Annual Meetings Extended Abstracts   Vol. 2017.2 ( 0 ) page: 1787 - 1787   2017.8

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:The Japan Society of Applied Physics  

    DOI: 10.11470/jsapmeeting.2017.2.0_1787

    CiNii Research

  209. Chemically chlorine radical-etch of GaN at elevated temperatures Reviewed

    Ishikawa Kenji, Liu Zecheng, Imamura Masato, Tsutsumi Takayoshi, Kondo Hiroki, Oda Osamu, Sekine Makoto, Hori Masaru

    JSAP Annual Meetings Extended Abstracts   Vol. 2017.2 ( 0 ) page: 1758 - 1758   2017.8

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:The Japan Society of Applied Physics  

    DOI: 10.11470/jsapmeeting.2017.2.0_1758

    CiNii Research

  210. Laser diagnostics of properties of atmospheric pressure plasmas Reviewed

    Lin YuChun, Ando Atsushi, Ishikawa Kenji, Hori Masaru, Wang Meng-Jiy

    JSAP Annual Meetings Extended Abstracts   Vol. 2017.2 ( 0 ) page: 1701 - 1701   2017.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:The Japan Society of Applied Physics  

    DOI: 10.11470/jsapmeeting.2017.2.0_1701

    CiNii Research

  211. Reduction of chlorine radical chemical etching of GaN under simultaneous plasma-emitted photon irradiation Reviewed

    Liu Zecheng, Imamura Masato, Asano Atsuki, Ishikawa Kenji, Takeda Keigo, Kondo Hiroki, Oda Osamu, Sekine Makoto, Hori Masaru

    APPLIED PHYSICS EXPRESS   Vol. 10 ( 8 )   2017.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/APEX.10.086502

    Web of Science

    Scopus

  212. Temperature dependence of protection layer formation on organic trench sidewall in H-2/N-2 plasma etching with control of substrate temperature Reviewed

    Fukunaga Yusuke, Tsutsumi Takayoshi, Takeda Keigo, Kondo Hiroki, Ishikawa Kenji, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 56 ( 7 )   2017.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.076202

    Web of Science

    Scopus

  213. Intracellular-molecular changes in plasma-irradiated budding yeast cells studied using multiplex coherent anti-Stokes Raman scattering microscopy Reviewed

    Furuta Ryo, Kurake Naoyuki, Ishikawa Kenji, Takeda Keigo, Hashizume Hiroshi, Kondo Hiroki, Ohta Takayuki, Ito Masafumi, Sekine Makoto, Hori Masaru

    PHYSICAL CHEMISTRY CHEMICAL PHYSICS   Vol. 19 ( 21 ) page: 13438 - 13442   2017.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1039/c7cp00489c

    Web of Science

    Scopus

    PubMed

  214. Dry Process FOREWORD Reviewed

    Ishikawa Kenji, Kinoshita Keizo, Higashi Seiichiro, Ichiki Takanori, Karahashi Kazuhiro, Kuboi Nobuyuki

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 56 ( 6 )   2017.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.06H001

    Web of Science

    Scopus

  215. Progress and prospects in nanoscale dry processes: How can we control atomic layer reactions? Reviewed

    Ishikawa Kenji, Karahashi Kazuhiro, Ichiki Takanori, Chang Jane P., George Steven M., Kessels W. M. M., Lee Hae June, Tinck Stefan, Um Jung Hwan, Kinoshita Keizo

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 56 ( 6 )   2017.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.06HA02

    Web of Science

    Scopus

  216. Hydrogen peroxide sensor based on carbon nanowalls grown by plasma-enhanced chemical vapor deposition Reviewed

    Tomatsu Masakazu, Hiramatsu Mineo, Foord John S., Kondo Hiroki, Ishikawa Kenji, Sekine Makoto, Takeda Keigo, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 56 ( 6 )   2017.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.06HF03

    Web of Science

    Scopus

  217. Growth of InN films by radical-enhanced metal organic chemical vapor deposition at a low temperature of 200 degrees C Reviewed

    Takai Shinnosuke, Lu Yi, Oda Osamu, Takeda Keigo, Kondo Hiroki, Ishikawa Kenji, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 56 ( 6 )   2017.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.06HE08

    Web of Science

    Scopus

  218. Electron behaviors in afterglow of synchronized dc-imposed pulsed fluorocarbon-based plasmas Reviewed

    Ueyama Toshinari, Fukunaga Yusuke, Tsutsumi Takayoshi, Takeda Keigo, Kondo Hiroki, Ishikawa Kenji, Sekine Makoto, Iwata Manabu, Ohya Yoshinobu, Sugai Hideo, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 56 ( 6 )   2017.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.06HC03

    Web of Science

    Scopus

  219. Selective atomic-level etching using two heating procedures, infrared irradiation and ion bombardment, for next-generation semiconductor device manufacturing Reviewed

    Shinoda K., Miyoshi N., Kobayashi H., Miura M., Kurihara M., Maeda K., Negishi N., Sonoda Y., Tanaka M., Yasui N., Izawa M., Ishii Y., Okuma K., Saldana T., Manos J., Ishikawa K., Hori M.

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 50 ( 19 )   2017.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aa6874

    Web of Science

    Scopus

  220. Spatial distributions of O, N, NO, OH and vacuum ultraviolet light along gas flow direction in an AC-excited atmospheric pressure Ar plasma jet generated in open air Reviewed

    Takeda Keigo, Ishikawa Kenji, Tanaka Hiromasa, Sekine Makoto, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 50 ( 19 )   2017.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aa6555

    Web of Science

    Scopus

  221. Bactericidal pathway of Escherichia coli in buffered saline treated with oxygen radicals Reviewed

    Kobayashi Tsuyoshi, Iwata Natsumi, Oh Jun-Seok, Hahizume Hiroshi, Ohta Takayuki, Takeda Keigo, Ishikawa Kenji, Hori Masaru, Ito Masafumi

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 50 ( 15 )   2017.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aa61d7

    Web of Science

    Scopus

  222. Effects of center dot OH and center dot NO radicals in the aqueous phase on H2O2 and NO2- generated in plasma-activated medium Reviewed

    Kurake Naoyuki, Tanaka Hiromasa, Ishikawa Kenji, Takeda Keigo, Hashizume Hiroshi, Nakamura Kae, Kajiyama Hiroaki, Kondo Takashi, Kikkawa Fumitaka, Mizuno Masaaki, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 50 ( 15 )   2017.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aa5f1d

    Web of Science

    Scopus

  223. Spatial profiles of interelectrode electron density in direct current superposed dual-frequency capacitively coupled plasmas Reviewed

    Ohya Yoshinobu, Ishikawa Kenji, Komuro Tatsuya, Yamaguchi Tsuyoshi, Takeda Keigo, Kondo Hiroki, Sekine Makoto, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 50 ( 15 )   2017.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aa60f7

    Web of Science

    Scopus

  224. ESR Measurements of aqueous extract of raw horse meat irradiated with oxygen radicals. Reviewed

    Kitada Yuto, Hayashi Toshiya, Ishikawa Kenji, Hori Masaru, Ito Masafumi

    JSAP Annual Meetings Extended Abstracts   Vol. 2017.1 ( 0 ) page: 1802 - 1802   2017.3

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:The Japan Society of Applied Physics  

    DOI: 10.11470/jsapmeeting.2017.1.0_1802

    CiNii Research

  225. Multiplex coherent anti-Stokes Raman analysis of plasma-cells interactions Reviewed

    Furuta Ryo, Ishikawa Kenji, Hashizume Hiroshi, Tanaka Hiromasa, Takeda Keigo, Ohta Takayuki, Kondo Hiroki, Ito Masafumi, Sekine Makoto, Hori Masaru

    JSAP Annual Meetings Extended Abstracts   Vol. 2017.1 ( 0 ) page: 1801 - 1801   2017.3

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:The Japan Society of Applied Physics  

    DOI: 10.11470/jsapmeeting.2017.1.0_1801

    CiNii Research

  226. Behavior of absolute densities of atomic oxygen in the gas phase near an object surface in an AC-excited atmospheric pressure He plasma jet Reviewed

    Takeda Keigo, Kumakura Takumi, Ishikawa Kenji, Tanaka Hiromasa, Sekine Makoto, Hori Masaru

    APPLIED PHYSICS EXPRESS   Vol. 10 ( 3 )   2017.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/APEX.10.036201

    Web of Science

    Scopus

  227. Fabrication of superconductor-ferromagnet-insulator-superconductor Josephson junctions with critical current uniformity applicable to integrated circuits

    Ito Hiroshi, Taniguchi Soya, Ishikawa Kouta, Akaike Hiroyuki, Fujimaki Akira

    APPLIED PHYSICS EXPRESS   Vol. 10 ( 3 )   2017.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/APEX.10.033101

    Web of Science

  228. Characteristics of optical emissions of arc plasma processing for high-rate synthesis of highly crystalline single-walled carbon nanotubes Reviewed

    Ando Atsushi, Takeda Keigo, Ohta Takayuki, Ito Masafumi, Hiramatsu Mineo, Ishikawa Kenji, Kondo Hiroki, Sekine Makoto, Suzuki Tomoko, Inoue Sakae, Ando Yoshinori, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 56 ( 3 )   2017.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.035101

    Web of Science

    Scopus

  229. Investigation of effects of ion energies on both plasma-induced damage and surface morphologies and optimization of high-temperature Cl-2 plasma etching of GaN Reviewed

    Liu Zecheng, Pan Jialin, Asano Atsuki, Ishikawa Kenji, Takeda Keigo, Kondo Hiroki, Oda Osamu, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 56 ( 2 )   2017.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.026502

    Web of Science

    Scopus

  230. Absolute density of precursor SiH3 radicals and H atoms in H-2-diluted SiH4 gas plasma for deposition of microcrystalline silicon films Reviewed

    Abe Yusuke, Ishikawa Kenji, Takeda Keigo, Tsutsumi Takayoshi, Fukushima Atsushi, Kondo Hiroki, Sekine Makoto, Hori Masaru

    APPLIED PHYSICS LETTERS   Vol. 110 ( 4 )   2017.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4974821

    Web of Science

    Scopus

  231. Thermal Cyclic Atomic-Level Etching of Nitride Films: A Novel Way for Atomic-Scale Nanofabrication Reviewed

    Shinoda K., Miyoshi N., Kobayashi H., Kurihara M., Izawa M., Ishikawa K., Hori M.

    ATOMIC LAYER DEPOSITION APPLICATIONS 13   Vol. 80 ( 3 ) page: 3 - 14   2017

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/08003.0003ecst

    Web of Science

    Scopus

  232. TIME EVOLUTION OF REACTIVE OXYGEN NITROGEN SPECIES IN PLASMA-ACTIVATED ESSENTIAL MEDIA AND WATER Reviewed

    Brubaker Timothy, Ishikawa Kenji, Takeda Keigo, Hashizume Hiroshi, Tanaka Hiromasa, Kondo Hiroki, Sekine Makoto, Hori Masaru

    2017 IEEE INTERNATIONAL CONFERENCE ON PLASMA SCIENCE (ICOPS)     page: .   2017

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Web of Science

  233. Thermally enhanced formation of photon-induced damage on GaN films in Cl<inf>2</inf> plasma Reviewed

    Liu Z., Asano A., Imamura M., Ishikawa K., Takeda K., Kondo H., Oda O., Sekine M., Hori M.

    Japanese Journal of Applied Physics   Vol. 56 ( 9 )   2017

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    Deep ultraviolet (UV) photons emitted from Cl2 plasmas become a critical cause of degradation in both photoluminescence (PL) properties and surface stoichiometry as a result of plasma-induced damage on GaN films in Cl2 plasma etching at high temperatures. The damages were formed thermally by photon-irradiations of plasma UV emissions with wavelengths of >258-306 nm from Cl2 plasma at temperatures greater than 500 °C. The damage were observed with a depth of approximately 3.2 nm. The PL property degraded by the UV emission-induced damage at an early period of plasma etching and reached a constant value.

    DOI: 10.7567/JJAP.56.096501

    Scopus

  234. Nationwide epidemiological survey of idiopathic sudden sensorineural hearing loss in Japan. Reviewed

    Kitoh R, Nishio SY, Ogawa K, Kanzaki S, Hato N, Sone M, Fukuda S, Hara A, Ikezono T, Ishikawa K, Iwasaki S, Kaga K, Kakehata S, Matsubara A, Matsunaga T, Murata T, Naito Y, Nakagawa T, Nishizaki K, Noguchi Y, Sano H, Sato H, Suzuki M, Shojaku H, Takahashi H, Takeda H, Tono T, Yamashita H, Yamasoba T, Usami SI

    Acta oto-laryngologica   Vol. 137 ( sup565 ) page: S8 - S16   2017

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1080/00016489.2017.1297537

    PubMed

  235. Formation of a SiOF reaction intermixing layer on SiO2 etching using C4F6/O2/Ar plasmas Reviewed

    Yoshinobu Ohya, Maju Tomura, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    Journal of Vacuum Science and Technology A Letters   Vol. 34 ( 4 ) page: 040602   2016.5

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/1.4949570

  236. Effects of nitrogen on apoptosis and changes in gene expression in human lymphoma U937 cells exposed to argon-cold atmospheric pressure plasma Reviewed

    Yoshiaki Tabuchi, Hidefumi Uchiyama, Qing-li Zhao, Tatsuya Yunoki, Qabor Andocs, Nobuyuki Nojima, Keigo Takeda, Kenji Ishikawa, Masaru Hori and Takashi Kondo

    International Journal of Molecular Medicine   Vol. 37   page: 1706-1714   2016.5

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3892/ijmm.2016.2574

  237. Red Blood Cell Coagulation Induced by Low-temperature Plasma Treatment Reviewed

    Kenji Miyamoto, Sanae Ikehara, Hikaru Takei, Yoshihiro Akimoto, Hajime Sakakita, Kenji Ishikawa, Masashi Ueda, Jun-ichiro Ikeda, Masahiro Yamagishi, Jaeho Kim, Takashi Yamaguchi, Hayao Nakanishi, Nobuyuki Shimizu, Masaru Hori, and Yuzuru Ikehara

    Archives of Biochemistry and Biophysics     2016.4

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.abb.2016.03.023

  238. Hierarchical regrowth of flowerlike nanographene sheets on oxygen-plasma-treated carbon nanowalls

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori

    Appl. Phys. Express   Vol. 7   page: 046201   2016.3

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/APEX.7.046201

  239. Effects of Radical Species on Structural and Electronic Properties of Amorphous Carbon Films Deposited by Radical-injection Plasma-enhanced Chemical Vapor Deposition Reviewed

    Lingyun Jia, Hirotsugu Sugiura, Hiroki Kondo, Keigo Takeda, Kenji Ishikawa, Osamu Oda, Makoto Sekine, Mineo Hiramatsu, Masaru Hori

    Plasma Process Polym.     2016.2

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.201500229

  240. Cell survival of glioblastoma grown in medium containing hydrogen peroxide and/or nitrite, or in plasma-activated medium Reviewed

    Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Takashi Kondo, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Masaru Hori

    Archives of Biochemistry and Biophysics     2016.1

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.abb.2016.01.011

  241. Cover Picture: Plasma Process. Polym. 12∕2015 (page 1329) Reviewed

    Masashi Ueda, Daiki Yamagami, Keiko Watanabe, Asami Mori, Hiroyuki Kimura, Kohei Sano, Hideo Saji, Kenji Ishikawa, Masaru Hori, Hajime Sakakita, Yuzuru Ikehara and Shuichi Enomoto

    Plasma Processes and Polymers   Vol. 12 ( 12 ) page: 1329   2015.12

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.201570043

  242. Plasma Blood Coagulation Without Involving the Activation of Platelets and Coagulation Factors Reviewed

    Sanae Ikehara, Hajime Sakakita, Kenji Ishikawa, Yoshihiro Akimoto, Takashi Yamaguchi, Masahiro Yamagishi, Jaeho Kim, Masashi Ueda, Jun-ichiro Ikeda, Hayao Nakanishi, Nobuyuki Shimizu, Masaru Hori, and Yuzuru Ikehara

    Plasma Processes and Polymers   Vol. 12 ( 12 ) page: 1348–1353   2015.12

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.201500132

  243. Real-time temperature-monitoring of Si substrate during plasma processing and its heat-flux analysis Reviewed

    Takayoshi Tsutsumi, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, and Masaru Hori

    Japan. J. Appl. Phys.   Vol. 55   page: 01AB04   2015.11

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.55.01AB04

  244. Superhydrophilic glass membrane device with open-microhole array for filtering and counting rare tumor cells Reviewed

    Akihiro Yonese, Daisuke Onoshima, Hiroshi Yukawa, Kenji Ishikawa, Masaru Hori, and Yoshinobu Baba

    Micro Total Analysis Systems 2015     page: 493-495   2015.10

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  245. Histological and nuclear medical comparison of inflammation after haemostasis with non-thermal plasma and thermal coagulation Reviewed

    Masashi Ueda, Daiki Yamagami, Keiko Watanabe, Asami Mori, Hiroyuki Kimura, Kohei Sano, Hideo Saji, Kenji Ishikawa, Masaru Hori, Hajime Sakakita, Yuzuru Ikehara, and Shuichi Enomoto

    Plasma Processes and Polymers   Vol. 12 ( 12 ) page: 1338–1342   2015.9

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.201500099

  246. Plasma with high electron density and plasma-activated medium for cancer treatment Reviewed

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Hiroki Kondo, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Shin’ichi Akiyama, Shoichi Maruyama, Suguru Yamada, Yasuhiro Kodera, Hiroki Kaneko, Hiroko Terasaki, Hirokazu Hara, Tetsuo Adachi, Machiko Iida, Ichiro Yajima, Masashi Kato, Fumitaka Kikkawa, and Masaru Hori

    Clinical Plasma Medicine   Vol. 3   page: 72-76   2015.9

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.cpme.2015.09.001

  247. EPR-Spin Trapping and Flow cytometric Studies of Free Radicals Generated using Cold Atmospheric Argon Plasma and X-ray irradiation in Aqueous Solutions and Intracellular Milieu Reviewed

    Hidefumi Uchiyama, Qing-Li Zhao, Mariame Ali Hassan, Gabor Andocs, Nobuyuki Nojima, Keigo Takeda, Kenji Ishikawa, Masaru Hori, and Takashi Kondo

    PLoS One   Vol. 10 ( 8 ) page: e0136956   2015.8

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1371/journal.pone.0136956

  248. Feedback Control System of Wafer Temperature for Advanced Plasma Processing and its Application to Organic Film Etching Reviewed

    Takayoshi Tsutsumi, Yusuke Fukunaga, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, and Masaru Hori

    IEEE Trans Semiconductor manufacturing   Vol. 28 ( 4 ) page: 515-520   2015.8

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1109/TSM.2015.2470554

  249. Decreased expression levels of cell cycle regulators and matrix metalloproteinases in melanoma from RET-transgenic mice by single irradiation of non-equilibrium atmospheric pressure plasmas Reviewed

    Machiko Iida, Ichiro Yajima, Nobutaka Ohgami, Li Xiang, Cunchao Zou, Kenji Ishikawa, Masaru Hori, and Masashi Kato

    International Journal of Clinical and Experimental Pathology   Vol. 8 ( 8 ) page: 9326-9331   2015.8

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  250. Suppression of plasma-induced damage on GaN etched by a Cl2 plasma at high temperatures Reviewed

    Zecheng Liu, Jialin Pan, Takashi Kako Kenji Ishikawa, Osamu Oda, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Japan. J. Appl. Phys.   Vol. 54   page: 06GB04   2015.6

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.54.06GB04

  251. Electronic properties of HBr, O2 and Cl2 used in Si etching Reviewed

    Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    Japan. J. Appl. Phys.   Vol. 54   page: 06GA03   2015.5

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.54.06GA03

  252. Effect of gas residence time on near-edge X-ray absorption fine structures of hydrogenated amorphous carbon films grown by plasma-enhanced chemical vapor deposition Reviewed

    Lingyun Jia, Hirotsugu Sugiura, Hiroki Kondo, Keigo Takeda, Kenji Ishikawa, Osamu Oda, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    Japan. J. Appl. Phys.   Vol. 55 ( 4 ) page: 040305   2015.3

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.55.040305

  253. Silicon nitride (SiN) etch performance of CH2F2 plasmas diluted with argon or krypton Reviewed

    Yusuke Kondo, Kenji Ishikawa, Toshio Hayashi, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Japan. J. Appl. Phys.   Vol. 54 ( 4 ) page: 040303   2015.3

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.54.040303

  254. Plasma diagnostic approach for high rate nanocrystalline Si synthesis in RF/UHF hybrid plasmas using a PECVD process Reviewed

    Bibhuti Bhusan Sahu, Jeon G. Han, Kyung-Sik Shin, Kenji Ishikawa, Masaru Hori, and Yudai Miyawaki

    Plasma Sources Sci. Technol.   Vol. 24 ( 2 ) page: 025019   2015.3

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0963-0252/24/2/025019

  255. CF3+ fragmentation by electron impact ionization of perfluoro-propyl-vinyl-ethers, C5F10O, in gas phase Reviewed

    Yusuke Kondo, Kenji Ishikawa, Toshio Hayashi, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Japan. J. Appl. Phys.   Vol. 54 ( 4 ) page: 040301   2015.3

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.54.040301

  256. Study of the decomposition mechanism of PMMA-type polymers by hydrogen radicals Reviewed

    Yu Arai, Yusuke Noto, Yousuke Goto, Seiji Takahashi, Masashi Yamamoto, Akihiko Kono, Tatsuo Ishijima, Kenji Ishikawa, Masaru Hori, and Hideo Horibe

    Thin Solid Film   Vol. 575   page: 12-16   2015.2

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2014.10.021

  257. Estimation of activation energies for decomposition reaction of polymer by hydrogen radicals generated using hot-wire catalyzer Reviewed

    Akihiko Kono, Yu Arai, Yousuke Goto, Masashi Yamamoto, Seiji Takahashi, Tadaaki Yamagishi, Kenji Ishikawa, Masaru Hori, and Hideo Horibe

    Thin Solid Film   Vol. 575   page: 17-20   2015.2

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2014.10.020

  258. Experimental evidence of warm electron populations in magnetron sputtering plasmas Reviewed

    B. B. Sahu, Jeon G. Han, Hye R. Kim, Kenji Ishikawa, and Masaru Hori

    J. Appl. Phys.   Vol. 117   page: 033301   2015.1

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4905901

  259. Hydrofluorocarbon ion density of argon- or krypton-diluted CH2F2 plasmas: Generation of CH2F+ and CHF2+ by dissociative-ionization in charge exchange collisions Reviewed

    Yusuke Kondo, Yudai Miyawaki, Kenji Ishikawa, Toshio Hayashi, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    J. Phys. D: Appl. Phys.   Vol. 48 ( 4 ) page: 045202   2015.1

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0022-3727/48/4/045202

  260. Plasma Medical Science for Cancer Therapy: Toward Cancer Therapy Using Nonthermal Atmospheric Pressure Plasma Invited Reviewed

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinnya Toyokuni, Shoichi Maruyama, Fumitaka Kikkawa, and Masaru Hori

    IEEE Trans. on Plasma Sci.   Vol. 42 ( 12 ) page: 3760-3763   2014.12

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1109/TPS.2014.2353659

  261. Quantitative Clarification of Inactivation Mechanism of Penicillium digitatum Spores Treated with Neutral Oxygen Radicals Reviewed

    Hiroshi Hashizume, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, and Masafumi Ito

    Jpn. J. Appl. Phys.   Vol. 54   page: 01AG05   2014.11

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.54.01AG05

  262. Robust characteristics of semiconductor-substrate temperature-measurement method using auto-correlation type frequency-domain low-coherence interferometry Reviewed

    Takayoshi Tsutsumi, Takayuki Ohta, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori, and Masafumi Ito

    Jpn. J. Appl. Phys.   Vol. 54   page: 01AB03   2014.11

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.54.01AB03

  263. Effectiveness of plasma diagnostic in ultra high frequency and radio frequency hybrid plasmas for synthesis of silicon nitride film at low temperature Reviewed

    Bibhuti Bhusan Sahu, Kyung-Sik Shin, Su-Bong Jin, Jeon G. Han, Kenji Ishikawa and Masaru Hori

    J. Appl. Phys.   Vol. 116   page: 134903   2014.10

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4896833

  264. Recovery of Atom Density Drift Caused by Change in Reactor Wall Conditions by Real-time Autonomous Control Reviewed

    Toshiya Suzuki, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Journal of Physics D: Applied Physics   Vol. 47   page: 422002   2014.9

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0022-3727/47/42/422002

  265. Diagnostics of plasma-biological surface interactions in low pressure and atmospheric pressure plasmas Invited Reviewed

    Kenji Ishikawa, and Masaru Hori

    International Journal of Modern Physics: Conference Series   Vol. 32   page: 1460318   2014.8

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1142/S2010194514603184

  266. Cell survival and proliferation signaling pathways are downregulated by plasma-activated medium in glioblastoma brain tumor cells Reviewed

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Shoichi Maruyama, Fumitaka Kikkawa, and Masaru Hori

    Plasma Medicine   Vol. 4   page: 1   2014.7

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  267. Temporal Changes of Absolute Densities of Atoms in H2 and N2 Mixture Gas Plasmas by Surface Modifications of Reactor Wall Reviewed

    Toshiya Suzuki, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    Jpn. J. Appl. Phys.   Vol. 53   page: 050301   2014.4

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.53.050301

  268. Epitaxial Growth of GaN by Radical-Enhanced Metalorganic Chemical Vapor Deposition (REMOCVD) in the Downflow of a Very High Frequency (VHF) N2/H2 Excited Plasma– Effect of TMG Flow Rate and VHF Power Reviewed

    Yi Lu, Hiroki Kondo, Kenji Ishikawa, Osamu Oda, Keigo Takeda, Makoto Sekine, Hiroshi Amano, and Masaru Hori

    J. Cryst. Growth   Vol. 391   page: 97-103   2014.4

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.jcrysgro.2014.01.014

  269. Effects of nitrogen plasma post-treatment on electrical conduction of carbon nanowalls Reviewed

    Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

    Jpn. J. Appl. Phys.   Vol. 53   page: 040307   2014.3

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.53.040307

  270. Nanostructure modification to carbon nanowall surface employing hydrogen peroxide solution Reviewed

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori

    Jpn. J. Appl. Phys.   Vol. 53   page: 040305   2014.3

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.53.040305

  271. Spatial distribution of absolute atomic oxygen density of a non-equilibrium atmospheric pressure planar plasma jet Reviewed

    Fengdong Jia, Kenji Ishikawa, Keigo Takeda, Hiroyuki Kano, Jagath Kularatne, Hiroki Kondo, Makoto Sekine and Masaru Hori

    Plasma Source Sci Technol.   Vol. 23   page: 025004   2014.3

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0963-0252/23/2/025004

  272. Chemical Reactions during Plasma-enhanced Atomic Layer Deposition of SiO2 Films employing aminosilane and O2/Ar plasma at 50 C Reviewed

    Lu Yi, Akiko Kobayashi, H. Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 53   2013.12

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.53.

  273. Density Control of Carbon Nanowalls Grown by CH4/H2 plasma and Their Electrical Properties Reviewed

    Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

    Carbon   Vol. 66   2013.12

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.carbon.2013.11.014

  274. Effect of Indirect Nonequilibrium Atmospheric Pressure Plasma on Anti-proliferative Activity against Chronic Chemo-resistant Ovarian Cancer Cells in vitro and in vivo Reviewed

    Fumi Utsumi, Hiroaki Kajiyama, Kae Nakamura, Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Hiroki Kondo, Hiroyuki Kano, Masaru Hori, and Fumitaka Kikkawa

    PLOS One   Vol. 8   page: e81576   2013.12

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1371/journal.pone.0081576

  275. Oxidation mechanism of Penicillium digitatum spores through neutral oxygen radicals Reviewed

    Hiroshi Hashizume, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, Masafumi Ito

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 53   page: 010209   2013.12

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.53.010209

  276. High H Radical Density Produced by 1-m-Long Atmospheric Pressure Microwave Plasma System Reviewed

    Hitoshi Itoh, Yusuke Kubota, Yusaku Kashiwagi, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Hirotaka Toyoda, and Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 52   page: 11NE01   2013.11

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.11NE01

  277. Field emission of nano-organic-rods armored with metal nanoparticles Reviewed

    Toshiya Suzuki, Kenji Ishikawa, Makoto Sekine, Keigo Takeda, Hiroki Kondo, and Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 52   page: 120203   2013.11

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.120203

  278. Effect of gas flow on atomic radical transportation in AC Excited Non-equilibrium Atmospheric Pressure Plasma jet Reviewed

    Keigo Takeda, Masanori Kato, Kenji Ishikawa, Hiroki Kondo, Hiroyuki Kano, Makoto Sekine, and Masaru Hori

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 46   page: 464006   2013.10

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0022-3727/46/46/464006

  279. Highly Precise and Rapid Measurements on Substrate Temperature Using Frequency Domain Low Coherence Interferometer Reviewed

    Takayoshi Tsutsumi, Takayuki Ohta, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori, and Masafumi Ito

    APPLIED PHYSICS LETTERS   Vol. 101   page: 182102   2013.10

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4827426

  280. Inactivation effects of neutral reactive-oxygen species on Penicillium digitatum spores using non-equilibrium atmospheric-pressure oxygen radical source Reviewed

    Hiroshi Hashizume, Takayuki Ohta, Jia Fengdong, Keigo Takeda,Kenji Ishikawa,Masaru Hori, and Masafumi Ito

    APPLIED PHYSICS LETTERS   Vol. 101   page: 53708   2013.10

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4824892

  281. Formation of Nanoporous Features, Flat Surfaces, or Crystallographically Oriented Etched Profiles by the Si Chemical Dry Etching Using the Reaction of F2 + NO -> F + FNO at an Elevated Temperature Reviewed

    Satomi Tajima, Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    JOURNAL OF PHYSICAL CHEMISTRY C   Vol. 117 ( 40 ) page: 20810-20818   2013.9

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1021/jp4084794

  282. Atomic Oxygen Etching from the Top Edges of Carbon Nanowalls Reviewed

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori

    APPLIED PHYSICS EXPRESS   Vol. 6   page: 095201   2013.8

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/APEX.6.095201

  283. A Development of Atmospheric Pressure Plasma Equipment and Its Applications for Treatment of Ag Films Formed from Nano-Particle Ink Reviewed

    Hitoshi Itoh, Y. Kubota, Y. Kashiwagi, K. Takeda, Kenji Ishikawa, H. Kondo, M. Sekine, H. Toyoda, and M. Hori

    J. Phys.: Conf. Ser.   Vol. 441   page: 12019   2013.6

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1742-6596/441/1/012019

  284. A novel fast and flexible technique of radical kinetic behavior investigation based on pallet for plasma evaluation structure and numerical analysis Reviewed

    Malinowski, Arkadiusz; Takeuchi, Takuya; Chen, Shang; Suzuki, Toshiya; Ishikawa, Kenji; Sekine, Makoto; Hori, Masaru; Lukasiak, Lidia; Jakubowski, Andrzej

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 46   page: 265201   2013.6

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0022-3727/46/26/265201

  285. Surface morphology on high-temperature plasma-etched gallium nitride Reviewed

    Ryosuke Kometani, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Trans. Mater. Res. Soc. Jpn.   Vol. 38   page: 325   2013.6

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  286. Dissociations of C5F8 and C5HF7 in Etching Plasma Reviewed

    Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 5   page: 05EB02   2013.5

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.05EB02

  287. Wavelength dependence of photon-induced interface defects in hydrogenated silicon nitride/Si structure during plasma etching processes Reviewed

    Masanaga Fukasawa, Hiroyasu Matsugai, Takayoshi Honda, Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Kazunori Nagahata, Fumikatsu Uesawa, Masaru Hori, Tetsuya Tatsumi

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 5   page: 05ED01   2013.5

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.05ED01

  288. Surface analysis of gallium nitride (GaN) at elevated substrate temperature Reviewed

    Ryosuke Kometani, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    APPLIED PHYSICS EXPRESS   Vol. 6   page: 056201   2013.4

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/APEX.6.056201

  289. Supercritical Fluid Deposition of High-Density Nanoparticles of Photo-Catalytic TiO2 on Carbon Nanowalls Reviewed

    Takeyoshi Horibe, Hiroki Kondo, Kenji Ishikawa, Hiroyuki Kano, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

    Appl. Phys. Express   Vol. 6   page: 045103   2013.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/APEX.6.045103

  290. A Room Temperature Si Etching in NO/F2 Gas Chemistry and Its Reaction Mechanism Reviewed

    Satomi Tajima, Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    J. Phys. Chem. C   Vol. 117 ( 10 ) page: 5118–5125   2013.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1021/jp3119132

  291. Plasma-activated medium selectively kills glioblastoma brain tumor cells by downregulating a survival signaling molecule, AKT kinase Reviewed

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Fumitaka Kikkawa, and Masaru Hori

    Plasma Medicine   Vol. 3   page: 1   2013.2

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1615/PlasmaMed.2012006275

  292. Surface roughness development on ArF-photoresist studied by beam-irradiation of CF4 plasma Reviewed

    Takuya Takeuchi, Kenji Ishikawa, Yuichi Setsuhara, Hiroki Kondo, Keigo Takeda, Makoto Sekine, Masaru Hori

    J. Phys. D: Appl. Phys.   Vol. 46   page: 102001   2013.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0022-3727/46/10/102001

  293. Etching-Enhancement Followed by Nitridation on Low-k SiOCH Film in Ar/C5F10O Plasma Reviewed

    Yudai Miyawaki, Emi Shibata, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Hidekazu Okamoto, Makoto Sekine, and Masaru Hori

    Jpn. J. Appl. Phys.   Vol. 52 ( 2 ) page: 1   2013.1

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  294. Impact of hydrogen radical injection plasma on fabrication of microcrystalline silicon thin film for solar cells Reviewed

    Yusuke Abe, Sho Kawashima, Atsushi Fukushima, Ya Lu, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    J. Appl. Phys.   Vol. 113 ( 2 ) page: 033304   2013.1

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4778608

  295. Highly selective etching of SiO2 over Si3N4 and Si in capacitivlly coupled plasma employing C5HF7 gas Reviewed

    Yudai Miyawaki, Yusuke Kondo, Makoto Sekine, Kenji Ishikawa, Toshio Hayashi, Keigo Takeda, Hiroki Kondo, and Masaru Hori

    Jpn. J. Appl. Phys.   Vol. 52 ( 1 ) page: 016201   2013.1

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.016201

  296. Development of high-density nitrogen radical source for low mosaicity and high rate growth of InGaN films in molecular beam epitaxy Reviewed

    Shang Chen, Yohjiro Kawai, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Hiroyuki Kano, Makoto Sekine, Hiroshi Amano, and Masaru Hori

    Jpn. J. Appl. Phys.   Vol. 52 ( 1 ) page: 021001   2013.1

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.021001

  297. Development of the sputtering yields of ArF photoresist after the onset of argon ion bombardment Reviewed

    Takuya Takeuchi, Carles Corbella, Simon Grosse-Kreul, Achim von Keudell, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    J. Appl. Phys.   Vol. 113 ( 1 ) page: 014306   2013.1

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4772996

  298. Surface loss probability of H radicals on silicon thin films in SiH4/H2 plasma Reviewed

    Yusuke Abe, Atsushi Fukushima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    J. Appl. Phys.   Vol. 113 ( 1 ) page: 013303   2013.1

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4773104

  299. Individual roles for atoms and ions during hydrogen atom passivation of surface-defects on GaN created by plasma-etching Reviewed

    Shang Chen, Kenji Ishikawa, Yi Lu, Ryosuke Kometani, Hiroki Kondo, Yutaka Tokuda, Takashi Egawa, Hiroshi Amano, Makoto Sekine, and Masaru Hori

    Jpn. J. Appl. Phys.   Vol. 51 ( 11 ) page: 111002-1:6   2012.10

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.51.111002

  300. Critical flux ratio of hydrogen radical to fi lm precursor in microcrystalline silicon deposition for solar cells Reviewed

    Yusuke Abe, Atsushi Fukushima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    Appl. Phys. Lett.   Vol. 101 ( 17 ) page: 172109-1:4   2012.10

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4764065

  301. Decomposition Removal of the Polymers for Resist Material by the Hydrogen Radical Generated Using Tungsten Hot-Wire Catalyzer Reviewed

    Yu Arai, Makoto Watanabe, Akihiko Kono, Tadaaki Yamagishi, Kenji Ishikawa, Masaru Hori, Hideo Horibe

    Kobunshi Ronbunshu   Vol. 69 ( 6 ) page: 266-273   2012.9

     More details

    Authorship:Lead author   Language:Japanese   Publishing type:Research paper (scientific journal)  

  302. As-grown deep-level defects in n-GaN grown by metal-organic chemical vapor deposition on freestanding GaN Reviewed

    Shang Chen, Unhi Honda, Tatsunari Shibata, Toshiya Matumura, Yutaka Tokuda, Kenji Ishikawa, Masaru Hori, Hiroyuki Ueda, Tsutomu Uesugi, and Tetsu Kachi

    J. Appl. Phys.   Vol. 112 ( 5 ) page: 053513-1:4   2012.9

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4748170

  303. Real-time In Situ Electron Spin Resonance Measurements on Fungal Spores of Penicillium digitatum during Exposure of Oxygen Plasmas Reviewed

    Kenji Ishikawa, Hiroko Moriyama, Hiromasa Tanaka, Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Sachiko Iseki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Appl. Phys. Lett.   Vol. 101 ( 1 ) page: 013704-1:4   2012.7

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4733387

  304. Photoluminescence recovery by in-situ exposure of plasma-damaged n-GaN to atomic hydrogen at room temperature Reviewed

    Shang Chen, Yi Lu, Ryosuke Kometani, Kenji Ishikawa, Hiroki Kondo, Yutaka Tokuda, Makoto Sekine, and Masaru Hori

    AIP advance   Vol. 2 ( 2 ) page: 022149-1:6   2012.6

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4729448

  305. Ultrahigh-Speed Synthesis of Nanographene Using Alcohol In-Liquid Plasma Reviewed

    Tatsuya Hagino, Hiroki Kondo, Kenji Ishikawa, Hiroyuki Kano, Makoto Sekine, Masaru Hori

    Appl. Phys. Express   Vol. 5 ( 3 ) page: 035101-1:3   2012.3

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/APEX.5.035101

  306. Quantum Chemical Investigation of Si Chemical Dry Etching by Flowing NF3 into N2 Downflow Plasma Reviewed

    Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Akihiro Kono, and Koukou Suu

    Jpn. J. Appl. Phys.   Vol. 51 ( 2 ) page: 026505-1:5   2012.2

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.51.026505

  307. Direct current superposed dual-frequency capacitively-coupled-plasma in selective etch of SiOCH over SiC Reviewed

    Tsuyoshi Yamaguchi, Tetsuya Komuro, Chishio Koshimizu, Seigo Takashima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    J. Phys. D: Appl. Phys.   Vol. 45 ( 2 ) page: 025203-1:7   2012.1

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0022-3727/45/2/025203

  308. Vacuum Ultraviolet and Ultraviolet Radiation-Induced Effect of Hydrogenated Silicon Nitride Etching: Surface Reaction Enhancement and Damage Generation Reviewed

    Masanaga Fukasawa, Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Hiroyasu Matsugai, Takayoshi Honda, Masaki Minami, Fumikatsu Uesawa, Masaru Hori, and Tetsuya Tatsumi

    Jpn. J. Appl. Phys.   Vol. 51 ( 2 ) page: 026201-1:7   2012.1

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.51.026201

  309. Feature Profiles on Plasma Etch of Organic Films by a Temporal Control of Radical Densities and Real-Time Monitoring of Substrate Temperature Reviewed

    Hiroshi Yamamoto, Hiroki Kuroda, Masafumi Ito, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori

      Vol. 51 ( 1 ) page: 016202-1:6   2012.1

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.51.016202

  310. Quantum Chemical Investigation for Chemical Dry Etching of SiO2 by Flowing NF3 into H2 Downflow Plasma Reviewed

    Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Akihiro Kono, and Koukou Suu

    Jpn. J. Appl. Phys.   Vol. 51 ( 1 ) page: 016201-1:6   2012.1

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.51.016201

  311. Electron Spin Resonance (ESR) Observation of Radicals on Biological Organism Interacted with Plasmas Reviewed

    Kenji Ishikawa, Hiroko Moriyama, Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Sachiko Iseki, Hiromasa Tanaka, Keigo Takeda, Hiroki Kondo, Makoto Sekine and Masaru Hori

    MRS Online Proceedings Library   Vol. 1469   2012

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1557/opl.2012.928

  312. Chemical Bond Modification in Porous SiOCH Films by H2 and H2/N2 Plasmas Investigated by in situ Infrared Reflection Absorption Spectroscopy (IR-RAS) Reviewed

    Hiroshi Yamamoto, Kohei Asano, Kenji Ishikawa, Makoto Sekine, Hisataka Hayashi, Itsuko Sakai, Tokuhisa Ohiwa, Keigo Takeda, Hiroki Kondo, and Masaru Hori

    J. Appl. Phys.   Vol. 111 ( 12 ) page: 1   2011.12

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  313. Inactivation of Penicillium digitatum Spores by a High-Density Ground-State Atomic Oxygen-Radical Source Employing an Atmospheric-Pressure Plasma Reviewed

    Sachiko Iseki, Hiroshi Hashizume, Fengdong Jia, Keigo Takeda, Kenji Ishikawa, Takayuki Ohta, Masafumi Ito, and Masaru Hori

    Appl. Phys. Express   Vol. 4   page: 116201   2011.11

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/APEX.4.116201

  314. Impacts of CF+, CF2+, CF3+ and Ar Ion Beam Bombardment with energies from 100eV and 400eV on Surface Modification of Photoresist

    Takuya Takeuchi, Shinpei Amasaki, Hiroki Kondo, Kenji Ishikawa, Hirotaka Toyoda, Makoto Sekine, Song-Yun Kang, Ikuo Sawada, and Masaru Hori

    Jpn. J. Appl. Phys.   Vol. 50 ( 8 ) page: 08JE05-1:5   2011.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.50.08JE05

  315. Analysis of GaN Damage Induced by Cl2/SiCl4/Ar Plasma Reviewed

    Masaki Minami, Shigetaka Tomiya, Kenji Ishikawa, Ryosuke Matsumoto, Shang Chen, Masanaga Fukasawa, Fumikatsu Uesawa, Makoto Sekine, Masaru Hori, and Tetsuya Tatsumi

    Jpn. J. Appl. Phys.   Vol. 50 ( 8 ) page: 08JE03-1:4   2011.8

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.50.08JE03

  316. Spatial Distributions of Electron, CF, CF2 Radical Densities and Gas Temperature in dc-Superposed Dual- Frequency- Capacitively-Coupled Plasma Etch Reactor Employing c-C4F8/N2/Ar gas

    Tsuyoshi Yamaguchi, Tetsuya Kimura, Chishio Koshimizu, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    Jpn. J. Appl. Phys.   Vol. 50 ( 5 ) page: 0   2011.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/0022-3727/45/2/025203

  317. Synergistic Formation of Radicals with Irradiation with both Vacuum Ultraviolet and Atomic Hydrogen: a Real time in situ Electron-Spin-Resonance Study Reviewed

    Kenji Ishikawa, Naoya Sumi, Akihiko Kono, Hideo Horibe, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    J. Phys. Chem. Lett.   Vol. 2   page: 1278-1281   2011.5

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1021/jz2002937

  318. H2/N2 Plasma Damage on Porous Dielectric SiOCH Film Evaluated by In-situ Film Characterization and Plasma Diagnostics Reviewed

    Hiroshi Yamamoto, Keigo Takeda, Kenji Ishikawa, Masafumi Ito, Makoto Sekine, Masaru Hori, Takeshi Kaminatsui, Hisataka Hayashi, Itsuko Sakai, and Tokuhisa Ohiwa

    J. Appl. Phys.   Vol. 109   page: 084112:1-8   2011.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.3562161

  319. Dissociation Channels of c-C4F8 to CF2 Radical in Reactive Plasma Reviewed

    Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Akihiro Kono, and Koukou Suu

    Jpn. J. Appl. Phys.   Vol. 50   page: 036203:1-4   2011.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.50.036203

  320. Laser Scattering Diagnosis of a 60-Hz Non-Equilibrium Atmospheric Pressure Plasma Jet Reviewed

    Fengdong Jia, Naoya Sumi, Kenji Ishikawa, Hiroyuki Kano, Hirotoshi Inui, Jagath Kularatne, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Akihiro Kono, and Masaru Hori

    Appl. Phys. Express   Vol. 4   page: 026101:1-3   2011.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/APEX.4.026101

  321. Behaviors of Absolute Densities of N, H and NH3 at Remote Region of High Density Radical Source Employing N2-H2 Mixture Plasmas Reviewed

    Shang Chen, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Makoto Sekine, Hiroyuki Kano, Shoji Den and Masaru Hori

    Jpn. J. Appl. Phys.   Vol. 50   page: 01AE03:1-4   2011.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.50.01AE03

  322. Hydrophobic Treatment of Organics against Glass Employing nonequilibrium Atmospheric Pressure Pulsed Plasmas with a Mixture of CF4 and N2 Gases Reviewed

    Hirotoshi Inui, Keigo Takeda, Kenji Ishikawa, Takuya Yara, Tsuyoshi Uehara, Makoto Sekine and Masaru Hori

    J. Appl. Phys.   Vol. 109   page: 013310:1-6   2011.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.3525246

  323. Measurement of Hydrogen Radical Density and its Impact on Reduction of Copper Oxide in Atmospheric-Pressure Remote Plasma Using H2 and Ar Mixture Gases

    Hirotoshi Inui, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Sekine Makoto, Hiroyuki Kano, Naofumi Yoshida, and Masaru Hori

    Appl. Phys. Express   Vol. 3   page: 126101:1-3   2010.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/APEX.3.126101

  324. Etching Damage in Diamond Studied Using an Energy-Controlled Oxygen Ion Beam Reviewed

    Yuuichi Yamazaki, Kenji Ishikawa, Norikazu Mizuochi, and Satoshi Yamasaki

    Jpn. J. Appl. Phys.   Vol. 46 ( 1 ) page: 60-64   2007.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.46.60

  325. Defect creation in diamond by hydrogen plasma treatment at room temperature Reviewed

    Yuuichi Yamazaki, Kenji Ishikawa, Seiji Samukawa, and Satoshi Yamasaki

    Physica B   Vol. 376/377   page: 327-330   2006.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.physb.2005.12.084

  326. Structure of diamond surface defective layer damaged by hydrogen ion beam exposure Reviewed

    Yuuichi Yamazaki, Kenji Ishikawa, Norikazu Mizuochi, and Satoshi Yamasaki

    Diamond Related Mater.   Vol. 15 ( 4-8 ) page: 703-706   2006.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.diamond.2005.12.021

  327. Surface Reactions During Etching of Organic Low-k Films by Plasma of N2 and H2 Reviewed

    Kenji Ishikawa, Yoshikazu Yamaoka, Moritaka Nakamura, Yuichi Yamazaki, Satoshi Yamasaki, Yasushi Ishikawa, and Seiji Samukawa

    J. Appl. Phys.   Vol. 99 ( 8 ) page: 083305:1-6   2006.5

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.2191567

  328. Effcient Reduction of Standby Leakage Current in LSIs for Use in Mobile Devices Reviewed

    Hiroshi Kudo, Kenji Ishikawa, Yasuyoshi Mishima, et al.

    Jpn. J. Appl. Phys.   Vol. 45 ( 4B ) page: 3150-3153   2006.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.45.3150

  329. 理想的な界面形成を追求 半導体のドライ洗浄技術 Invited

    石川健治、安立なつ美

    工業材料   Vol. 54   page: 1   2006

     More details

    Language:Japanese  

  330. Structural change in diamond by hydrogen plasma treatment at room temperature Reviewed

    Yuuichi Yamazaki, Kenji Ishikawa, Norikazu Mizuochi, and Satoshi Yamasaki

    Diamond Related Mater.   Vol. 14 ( 11-12 ) page: 1939-1942   2005.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.diamond.2005.09.011

  331. In vacuo measurements of dangling bonds created during Ar-diluted fluorocarbon plasma etching of silicon dioxide films Reviewed

    Kenji Ishikawa, Mitsuru Okigawa, Yasushi Ishikawa, Seiji Samukawa, and Satoshi Yamasaki

    Appl. Phys. Lett.   Vol. 86   page: 264104:1-3   2005.6

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.1978982

  332. Mass-analyzed CFx+ (x=1,2,3) ion beam study on selectivity of SiO2-to-SiN etching and a-C:F film deposition Reviewed

    Ken-ichi Yanai, Kazuhiro Karahashi, Kenji Ishikawa, and Moritaka Nakamura

    J. Appl. Phys.   Vol. 97 ( 5 ) page: 053302:1-6   2005.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.1854726

  333. Etching yield of SiO2 irradiated by F+ CFx+ (x=1,2,3) ion with energies from 250 to 2000 eV Reviewed

    Kazuhiro Karahashi, Ken-ichi Yanai, Kenji Ishikawa, Hideo Tsuboi, Kazuaki Kurihara, and Moritaka Nakamura

    J. Vac. Sci. Technol. A   Vol. 22 ( 4 ) page: 1166   2004.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/1.1761119

  334. ドライ洗浄技術-半導体製造- Reviewed

    伊藤隆司、杉野林志、石川健治

    精密工学会誌   Vol. 70   page: 894   2004

     More details

    Language:Japanese  

    DOI: 10.2493/jjspe.70.894

  335. Transitional change to amorphous fluorinated carbon film deposition under energetic irradiation of mass-analyzed carbon mono-fluoride ions on silicon dioxide surfaces Reviewed

    Kenji Ishikawa, Kazuhiro Karahashi, Hideo Tsuboi, Ken-ichi Yanai, and Moritaka Nakamura

    J. Vac. Sci. Technol. A   Vol. 21   page: L1-L3   2003.6

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/1.1578653

  336. Surface and gas-phase observations of Ar diluted c-C4F8 plasma by using real-time infrared spectroscopy and planar laser-induced fluorescence Reviewed

    Kenji Ishikawa, Shigenori Hayashi, and Makoto Sekine

    J. Appl. Phys.   Vol. 93 ( 3 ) page: 1403-1408   2003.2

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.1536740

  337. Vapor Treatment of Copper Surface Using Organic Acids Reviewed

    Kenji Ishikawa, Teruo Yagishita and Moritaka Nakamura

    MRS Proceedings   Vol. 766   2003

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1557/PROC-766-E3.28

  338. In-vacuo electron spin resonance study on amorphous fluorinated carbon films for understanding of surface chemical reactions in plasma etching Reviewed

    Kenji Ishikawa, Shoji Kobayashi, Mitsuru Okigawa, Makoto Sekine, Satoshi Yamasaki, Tetsuji Yasuda, and Junichi Isoya

    Appl. Phys. Lett.   Vol. 81 ( 10 ) page: 1773-1775   2002.9

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.1505121

  339. Planar laser-induced fluorescence of fluorocarbon radicals in oxide etch process plasma Reviewed

    Shigenori Hayashi, Kenji Ishikawa, and Makoto Sekine

    Jpn. J. Appl. Phys.   Vol. 41 ( 4A ) page: 2207-2212   2002.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.41.2207

  340. Direct observation of surface dangling bonds during plasma process: chemical reactions during H2 and Ar plasma treatments Reviewed

    Satoshi Yamasaki, Ujjwal Das, and Kenji Ishikawa

    Thin Solid Films   Vol. 407 ( 1-2 ) page: 139-143   2002.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/S0040-6090(02)00028-7

  341. Early-stage modification of a silicon oxide surface in fluorocarbon plasma for selective etching over silicon Reviewed

    Kenji Ishikawa, and Makoto Sekine

    J. Appl. Phys.   Vol. 91 ( 3 ) page: 1661-1666   2002.2

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.1430882

  342. In-situ time-resolved infrared spectroscopic study of silicon-oxide surface during selective etching over silicon in fluorocarbon plasma Reviewed

    Kenji Ishikawa, and Makoto Sekine

    Jpn. J. Appl. Phys.   Vol. 39   page: 6990-6995   2000.12

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.39.6990

  343. Asymmetric peak line shape on infrared dielectric function spectra of thermally grown silicon dioxide films Reviewed

    Kenji Ishikawa, Kunihiro Suzuki, and Shigeru Okamura

    J. Appl. Phys.   Vol. 88   page: 7150-7156   2000

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.1325377

  344. Thickness-deconvolved structural properties of thermally grown silicon dioxide films Reviewed

    Kenji Ishikawa, Hiroki Ogawa, and Shuzo Fujimura

    J. Appl. Phys.   Vol. 86   page: 3472-3474   1999

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.371232

  345. Contribution of interface roughness to infrared spectra of thermally grown silicon dioxide films Reviewed

    Kenji Ishikawa, Hiroki Ogawa, and Shuzo Fujimura

    J. Appl. Phys.   Vol. 85   page: 4076-4082   1999

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.370313

  346. Analysis of native oxide growth process on an atomically flattened and hydrogen terminated Si(111) surface in pure water using fourier transformed infrared reflection absorption spectroscopy Reviewed

    Shuzo Fujimura, Kenji Ishikawa, and Hiroki Ogawa

    J. Vac. Sci. Technol. A   Vol. 16 ( 1 ) page: 375-381   1998.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/1.581008

  347. Dependence of TO and LO mode frequency of thermally grown silicon dioxide films on annealing temperature Reviewed

    Kenji Ishikawa, Yuji Uchiyama, Hiroki Ogawa, and Shuzo Fujimura

    Appl. Surf. Sci.   Vol. 117/118   page: 212-215   1997.6

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/S0169-4332(97)80081-5

  348. Infrared spectroscopy study of the RCA standard clean chemical oxides and their sequencing Reviewed

    Carlos Inomata, Hiroki Ogawa, Kenji Ishikawa, and Shuzo Fujimura

    J. Electrochem. Soc.   Vol. 143 ( 9 ) page: 2995-3000   1996.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/1.1837138

  349. Initial stage of native oxide growth on hydrogen terminated silicon (111) surfaces Reviewed

    Hiroki Ogawa, Kenji Ishikawa, Carlos Inomata, and Shuzo Fujimura

    J. Appl. Phys.   Vol. 79 ( 1 ) page: 472-477   1996.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.360853

  350. Observation of Oxygen Exposed Hydrogen Terminated Silicon Surface Reviewed

    Hiroki Ogawa, Kenji Ishikawa, M. Aoki, Shuzo Fujimura, N. Ueno, Yasuhiro Horiike, Y. Harada

    The Physics and Chemistry of SiO2 and the Si-SiO2 Interface 3, edited by H.Z. Massoud, E.H. Poindexter, and C.R. Helms, (The Electrochemical Society, NJ)     page: 428   1996

     More details

    Language:English  

  351. Effects of Dissolved Oxygen in HF Solution on Silicon Surface Morphology Reviewed

    Hiroki Ogawa, Kenji Ishikawa, Miki T. Suzuki, Yuka Hayami, and Shuzo Fujimura

    Jpn. J. Appl. Phys.   Vol. 34 ( 2B ) page: 732-736   1995.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.34.732

  352. FT-IR-RAS analysis of the structure of the SiO2/Si interface Reviewed

    Kenji Ishikawa, Hiroki Ogawa, Carlos Inomata, Shuzo Fujimura, and Haruhisa Mori

    Control of Semiconductor Interfaces, edited by I. Ohdomari, M. Oshima and A. Hiraki, (Elsevier Science B.V.)     page: 447   1994

     More details

    Authorship:Lead author   Language:English  

  353. Native Oxide Characterization on Silicon Surfaces Reviewed

    Hiroki Ogawa, Carlos Inomata, Kenji Ishikawa, Shuzo Fujimura, and Haruhisa Mori

    Control of Semiconductor Interfaces, edited by I. Ohdomari, M. Oshima and A. Hiraki, (Elsevier Science B.V.)     page: 383   1994

     More details

    Language:English  

  354. Observation of Thin SiO2 Films using IR-RAS Reviewed

    Shuzo Fujimura, Kenji Ishikawa, and Haruhisa Mori

    The Physics and Chemistry of SiO2 and the Si-SiO2 Interface 2, edited by C. R. Helms and B. E. Deal, (Plenum Press)     page: 91   1993

     More details

    Language:English  

  355. New Analytical Method of SiO2 Structure by Infrared Reflection Absorption Spectroscopy (IR-RAS) Reviewed

    Kenji Ishikawa, Hiroki Ogawa, Carlos Inomata, Shuzo Fujimura and Haruhisa Mori

    MRS Proceedings   Vol. 318   page: 425-431   1993

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1557/PROC-318-425

▼display all

Books 11

  1. Control of Plasma Behavior and Surface Reaction in High Aspect Ratio Etching

    Kenji Ishikawa( Role: Joint author ,  Development Trend of Dry Etching Technology and Process Control)

    2023.9 

     More details

    Language:Japanese Book type:Scholarly book

  2. Chapter 2. Physical and chemical basis of non-thermal plasma. In: "Plasma Medical Science"

    Kenji Ishikawa( Role: Contributor ,  Chapter 2-1, 2-4, 2-5, 2-6, and 2-8. )

    Academic Press  2018.7  ( ISBN:9780128150054

     More details

    Total pages:485   Language:English Book type:Scholarly book

    Plasma Medical Science describes the progress that has been made in the field over the past five years, illustrating what readers must know to be successful. As non-thermal, atmospheric pressure plasma has been applied for a wide variety of medical fields, including wound healing, blood coagulation, and cancer therapy, this book is a timely resource on the topics discussed.

    Other Link: https://www.amazon.co.jp/gp/product/0128150041

  3. Chapter 5. "Plasma Diagnostics" In: "Cold Plasma in Food and Agriculture, Fundamentals and Applications"

    Kenji Ishikawa( Role: Contributor)

    Academic Press  2016.8  ( ISBN: 9780128013656

     More details

    Language:Japanese

    Cold Plasma in Food and Agriculture: Fundamentals and Applications is an essential reference offering a broad perspective on a new, exciting, and growing field for the food industry. Written for researchers, industry personnel, and students interested in nonthermal food technology, this reference will lay the groundwork of plasma physics, chemistry, and technology, and their biological applications.

    Other Link: https://www.amazon.co.jp/gp/product/0128013656

  4. 7.2節 表面計測法 In: プラズマプロセス技術

    石川健治,堀勝( Role: Contributor)

    森北出版  2016.5  ( ISBN:978-4-627-77561-9

     More details

    Language:Japanese

    Other Link: https://www.amazon.co.jp/gp/product/462777561X

  5. 化学便覧 応用化学編 第7版 7.5.2「ドライエッチング」

    堀勝、石川健治( Role: Sole author)

    丸善  2014.1  ( ISBN:978-4-621-08759-6

     More details

    Language:Japanese

  6. ArFフォトレジストのプラズマエッチング技術

    石川健治,堀勝( Role: Joint author)

    技術情報協会  2013.7 

     More details

    Language:Japanese

  7. ドライエッチング In: 「ドライプロセスによる表面処理・薄膜形成(表面技術協会編)」

    石川健治,堀勝( Role: Joint author)

    丸善  2013.5  ( ISBN:978-4-339-04631-1

     More details

    Language:Japanese

    Other Link: https://www.amazon.co.jp/gp/product/4339046310

  8. 層間絶縁膜の成膜とエッチング

    石川健治,堀勝( Role: Joint author)

    エヌティエス出版  2012.7  ( ISBN:978-4-86469-039-3

     More details

    Language:Japanese

  9. エッチング工程の手法およびレジスト・レジストパターンへの影響

    石川健治,堀勝( Role: Joint author)

    情報機構  2011.9  ( ISBN:978-4-904080-90-0

     More details

    Language:Japanese

  10. 半導体プロセス洗浄方法とその効果

    石川健治( Role: Joint author)

    有機汚染物質/アウトガスの発生メカニズムとトラブル対策事例集(技術情報協会)  2008 

     More details

    Language:Japanese

  11. シリコン基板の洗浄

    石川健治( Role: Joint author)

    エレクトロニクス洗浄技術(技術情報協会)  2007 

     More details

    Language:Japanese

▼display all

Presentations 236

  1. 液中プラズマによるダングリングボンド形成を通じた六方晶窒化ホウ素への官能基修飾

    井上 健一, 高木 直人, 伊藤 剛仁, 清水 禎樹, 石川 健治, 堀 勝, 寺嶋 和夫

    第70回応用物理学会春季学術講演会 15p-PB03-8  2023.3.15 

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:上智大学四谷キャンパス+オンライン  

  2. 非平衡大気圧プラズマがゼブラフィッシュに及ぼす影響とその機構解明

    紅林 佑弥, 石川 健治, 田中 宏昌, 秋山 真一, 橋爪 博司, 堀 勝

    第70回応用物理学会春季学術講演会 17p-A409-9  2023.3.17 

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:上智大学四谷キャンパス+オンライン  

  3. プラズマ活性乳酸リンゲル液によるがん細胞死経路上のオートファジー観察

    山川 太嗣, 石川 健治, 橋爪 博司, 田中 宏昌, 堀 勝

    第70回応用物理学会春季学術講演会 17a-A409-6  2023.3.17 

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:上智大学四谷キャンパス+オンライン  

  4. カーボンナノウォール足場上での電気刺激重畳培養におけるヒト間葉系幹細胞の形態変化

    小島 悠暉, 近藤 博基, 田中 宏昌, 石川 健治, 橋爪 博司, 堀 勝

    第70回応用物理学会春季学術講演会 17a-A409-2  2023.3.17 

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:上智大学四谷キャンパス+オンライン  

  5. C2F4の電子物性とPTFEの生成機構

    林 俊雄, 石川 健治, 関根 誠, 堀 勝, 兒玉 直人, 豊田 浩孝

    第70回応用物理学会春季学術講演会 17p-A205-18  2023.3.17 

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:上智大学四谷キャンパス+オンライン  

  6. F2添加 Ar プラズマを用いた基板昇温下での AlGaN の原子層エッチング

    中村 昭平, 谷出 敦, 灘原 壮一, 石川 健治, 小田 修, 堀 勝

    第70回応用物理学会春季学術講演会 17p-A205-11  2023.3.17 

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:上智大学四谷キャンパス+オンライン  

  7. 成膜パラメータの寄与度解析に基づく、 水素化アモルファスカーボン薄膜のエッチ耐性の向上

    安藤 悠介, 近藤 博基, 石川 健治, 堤 隆嘉, 関根 誠, 堀 勝

    第70回応用物理学会春季学術講演会 17a-A205-7  2023.3.17 

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:上智大学四谷キャンパス+オンライン  

  8. プラズマ駆動型科学とは何か~プラズマプロセスの新展開に期待して~ パネルディスカッション

    石川 健治, 浜口 智志, 成田 絵美, 白谷 正治, 冨谷 茂隆, 室賀 駿, 佐藤 孝紀, 野崎 智洋, 吉田 朋子

    第70回応用物理学会春季学術講演会 16p-A402-10  2023.3.16 

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:上智大学四谷キャンパス+オンライン  

  9. ウエハプロセスのグリーン化イノベーションに向けた戦略的挑戦 Invited

    堀 勝、関根 誠、石川 健治

    第83回 応用物理学会秋季学術講演会 21p-B104-3  2022.9.21 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:東北大学川内北キャンパス+オンライン  

  10. プラズマ活性乳酸リンゲル液によるマクロファージの形質発現誘導

    出野 雄大、柏倉 慧史、田中 宏昌、石川 健治、橋爪 博司、中村 香江、豊國 伸哉、水野 正明、梶山 広明、堀 勝

    第83回 応用物理学会秋季学術講演会 20a-A106-7  2022.9.20 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東北大学川内北キャンパス+オンライン  

  11. イオン照射角制御によるカーボンナノウォールの配向成長

    射場 信太朗、近藤 博基、石川 健治、堤 隆嘉、平松 美根男、関根 誠、堀 勝

    第83回 応用物理学会秋季学術講演会 23p-B102-5  2022.9.23 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東北大学川内北キャンパス+オンライン  

  12. プラズマ活性溶液による細胞運命の制御 Invited

    田中 宏昌、水野 正明、石川 健治、梶山 広明、豊國 伸哉、吉川 史隆、堀 勝

    第83回 応用物理学会秋季学術講演会 21p-B200-5  2022.9.21 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:東北大学川内北キャンパス+オンライン  

  13. 非平衡大気圧プラズマを用いた陸上養殖実現に向けた基礎的研究

    紅林 佑弥、石川 健治、田中 宏昌、秋山 真一、橋爪 博司、堀 勝

    第83回 応用物理学会秋季学術講演会 20p-A106-13  2022.9.20 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東北大学川内北キャンパス+オンライン  

  14. 水素化アモルファスカーボン薄膜の合成機構における活性種の寄与度の機械学習を用いた解析

    近藤 博基、黒川 純平、堤 隆嘉、関根 誠、石川 健治、堀 勝

    第83回 応用物理学会秋季学術講演会 23a-B101-3  2022.9.23 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東北大学川内北キャンパス+オンライン  

  15. プラズマアシスト熱サイクル法を用いたSiGeのセルフリミティング性サイクルエッチング

    篠田 和典、三浦 勝哉、前田 賢治、伊澤 勝、NGUYEN Thi-Thuy-Nga、石川 健治、堀 勝

    第83回 応用物理学会秋季学術講演会 22p-A406-4  2022.9.22 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東北大学川内北キャンパス+オンライン  

  16. ラジカル注入型プラズマ励起化学気相堆積法で成長したカーボンナノウォールの3次元構造解析

    近藤 博基、尾崎 敦士、堤 隆嘉、関根 誠、石川 健治、堀 勝、平松 美根男

    第69回応用物理学会春季学術講演会 24p-D114-6  2022.3.24 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ハイブリッド,青山学院大学相模原キャンパス  

  17. 稲穂への低温プラズマ照射がもたらす酒造品種玄米の品質向上

    橋爪 博司、北野 英己、水野 寛子、阿部 明子、三田 薫、蕭 世男、湯浅 元気、東野 里江、田中 宏昌、石川 健治、松本 省吾、榊原 均、仁川 進、前島 正義、水野 正明、堀 勝

    第69回応用物理学会春季学術講演会 25p-E105-13  2022.3.24 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ハイブリッド,青山学院大学相模原キャンパス  

  18. 網羅的解析に基づくプラズマ活性溶液による細胞死の機構解明

    田中 宏昌、水野 正明、石川 健治、橋爪 博司、中村 香江、梶山 広明、吉川 史隆、岡崎 康昌、豊國 伸哉、堀 勝

    第69回応用物理学会春季学術講演会 25a-E105-8  2022.3.24 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ハイブリッド,青山学院大学相模原キャンパス  

  19. プラズマ照射乳酸リンゲル液の抗腫瘍成分の評価

    伊藤 大貴、岩田 直幸、石川 健治、橋爪 博司、中村 香江、ミロン カメリア、田中 宏昌、梶山 広明、豊國 伸哉、水野 正明、堀 勝

    第69回応用物理学会春季学術講演会 25a-E105-7  2022.3.24 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ハイブリッド,青山学院大学相模原キャンパス  

  20. 流液への照射によるプラズマ活性溶液の作製と短寿命活性種の測定

    柏倉 慧史、岩田 直幸、石川 健治、橋爪 博司、田中 宏昌、堀 勝

    第69回応用物理学会春季学術講演会 25a-E105-6  2022.3.24 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ハイブリッド,青山学院大学相模原キャンパス  

  21. 中性酸素ラジカル源を用いたポリエチレンテレフタレートの生分解速度の向上

    五藤 大智、岩田 直幸、石川 健治、橋爪 博司、田中 宏昌、伊藤 昌文、堀 勝

    第69回応用物理学会春季学術講演会 25a-E105-1  2022.3.24 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ハイブリッド,青山学院大学相模原キャンパス  

  22. C3H6/H2プラズマを用いた水素化アモルファスカーボン成膜における成膜前駆体と膜特性の相関関係

    黒川 純平、光成 正、近藤 博基、堤 隆嘉、関根 誠、石川 健治、堀 勝

    第69回応用物理学会春季学術講演会 25p-E104-13  2022.3.24 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ハイブリッド,青山学院大学相模原キャンパス  

  23. C4F8/SF6ガス変調サイクルにおいてバイアス印加位相がエッチング形状に及ぼす影響

    吉江 泰斗、堤 隆嘉、石川 健治、近藤 博基、関根 誠、堀 勝

    第69回応用物理学会春季学術講演会 25a-E104-7  2022.3.24 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ハイブリッド,青山学院大学相模原キャンパス  

  24. Ar/F2プラズマとBCl3の交互供給によるAlGaN原子層エッチングでの組成比制御

    中村 昭平、谷出 敦、木村 貴弘、灘原 壮一、石川 健治、小田 修、堀 勝

    第69回応用物理学会春季学術講演会 25a-E104-5  2022.3.24 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ハイブリッド,青山学院大学相模原キャンパス  

  25. 窒化ガリウムの基板昇温時サイクルエッチング特性

    南 吏玖、中村 昭平、谷出 敦、石川 健治、堤 隆嘉、近藤 博基、関根 誠、堀 勝

    第69回応用物理学会春季学術講演会 25a-E104-4  2022.3.24 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ハイブリッド,青山学院大学相模原キャンパス  

  26. プラズマ励起化学気相堆積法におけるカーボンナノウォールの配向成長に対するイオン照射角度の効果

    射場 信太朗、近藤 博基、石川 健治、堤 隆嘉、平松 美根男、関根 誠、堀 勝

    第69回応用物理学会春季学術講演会 24p-D114-8  2022.3.24 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ハイブリッド,青山学院大学相模原キャンパス  

  27. 高効率エクソソーム解析に向けたカーボンナノウォールテンプレートの表面電位制御

    橋本 拓海、近藤 博基、田中 宏昌、石川 健治、堤 隆嘉、関根 誠、安井 隆雄、馬場 嘉信、平松 美根男、堀 勝

    第69回応用物理学会春季学術講演会 24p-D114-7  2022.3.24 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ハイブリッド,青山学院大学相模原キャンパス  

  28. Stable production of high-quality strawberry fruits with cold plasma treatment during cultivation

    2022.1.24 

     More details

    Event date: 2022.1

    Language:Japanese   Presentation type:Oral presentation (general)  

  29. Morphological control of carbon nanowalls grown by a radical injection plasma enhanced chemical vapor deposition using C2F6/H2 mixture gas

    2022.1.24 

     More details

    Event date: 2022.1

    Language:Japanese   Presentation type:Oral presentation (general)  

  30. Deposition of nanographene on ethanol-immersed metal substrates by in-liquid plasma process

    2022.1.24 

     More details

    Event date: 2022.1

    Language:Japanese   Presentation type:Oral presentation (general)  

  31. Effect of morphology and heights of carbon nanowalls on their optical transmittance

    2022.1.24 

     More details

    Event date: 2022.1

    Language:Japanese   Presentation type:Oral presentation (general)  

  32. Biodegradation of polyethylene terephthalate treated neutral oxygen radical

    2022.1.24 

     More details

    Event date: 2022.1

    Language:Japanese   Presentation type:Oral presentation (general)  

  33. Molecular mechanisms of cancer cell death by plasma-activated Ringer's lactate solution

    2022.1.24 

     More details

    Event date: 2022.1

    Language:Japanese   Presentation type:Oral presentation (general)  

  34. Functional nitrogen science for plasma-processing in life and matter Invited International conference

    Kenji Ishikawa, Toshiro Kaneko, and Masaru Hori

    2021.12.12  Material Research Society of Japan

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  35. Optical transmission of carbon nanowalls from ultra-violet region to infra-red region International conference

    Shintaro Iba, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

    Material Research Meeting (MRM 2020)  2021.12.12 

     More details

    Event date: 2021.12

    Presentation type:Oral presentation (general)  

    Venue:Yokohama   Country:Japan  

  36. Enhancement of biodegradation technology of polyethylene terephthalate with plasma-pretreatment International conference

    Daichi Goto, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Masafumi Ito, and Masaru Hori

    31st Material Research Society in Japan (MRS-J)  2021.12.12 

     More details

    Event date: 2021.12

    Presentation type:Oral presentation (general)  

    Venue:Yokohama   Country:Japan  

  37. Plasma-biological reaction networks and aqueous radical chemistry Invited International conference

    Kenji Ishkawa, Camelia Miron, Takashi Kondo, Hiromasa Tanaka, and Masaru Hori

    31st Material Research Society in Japan (MRS-J)  2021.12.12 

     More details

    Event date: 2021.12

    Presentation type:Oral presentation (invited, special)  

    Venue:Yokohama   Country:Japan  

  38. Cellular Respiration System Affected by Low-temperature Plasma International conference

    Hiromasa Tanaka, Shogo Maeda, Shogo Matsumura, Masaaki Mizuno, Kenji Ishikawa, Masafumi Ito, Hiroshi Hashizume, Mikako Ito, Kinji Ohno, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Yasumasa Okazaki, Shinya Toyokuni, and Masaru Hori

    31st Material Research Society in Japan (MRS-J)  2021.12.12 

     More details

    Event date: 2021.12

    Presentation type:Oral presentation (general)  

    Venue:Yokohama   Country:Japan  

  39. Effects of cold plasma treatment with rice seedlings in a paddy on yield and grain quality for different cultivars International conference

    Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Kaoru Sanda, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori

    31st Material Research Society in Japan (MRS-J)  2021.12.12 

     More details

    Event date: 2021.12

    Presentation type:Oral presentation (general)  

    Venue:Yokohama   Country:Japan  

  40. Anti-tumor effect of plasma-activated solution produced by the flowing system International conference

    Satoshi Kashiwagura, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Camelia Miron, Kae Nakamura, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori

    31st Material Research Society in Japan (MRS-J)  2021.12.12 

     More details

    Event date: 2021.12

    Presentation type:Oral presentation (general)  

    Venue:Yokohama   Country:Japan  

  41. Production of plasma-activated Ringer's lactate solution with regulated surrounding atmosphere International conference

    Daiki Ito, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Kae Nakamura, Camelia Miron, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori

    31st Material Research Society in Japan (MRS-J)  2021.12.12 

     More details

    Event date: 2021.12

    Presentation type:Oral presentation (general)  

    Venue:Yokohama   Country:Japan  

  42. Growth promotion of cultured feed Artemia irradiated with low-temperature plasma International conference

    Takumi Yamauchi, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Shin'ichi Akiyama, and Masaru Hori

    31st Material Research Society in Japan (MRS-J)  2021.12.12 

     More details

    Event date: 2021.12

    Presentation type:Oral presentation (general)  

    Venue:Yokohama   Country:Japan  

  43. Rebound Tailing Pulse method for water reformation International conference

    Naohiro Shimizu, Ranjit Borude, Reiko Tanaka, Kenji Ishikawa, Osamu Oda, Hiroki Hosoe, Satoru Ino, Yosuke Inoue, and Masaru Hori

    31st Material Research Society in Japan (MRS-J)  2021.12.12 

     More details

    Event date: 2021.12

    Presentation type:Oral presentation (general)  

    Venue:Yokohama   Country:Japan  

  44. Transient effects in cyclic processes on fabrications of high-aspect-ratio trenches International conference

    Taito Yoshie, Takayoshi Tsutsumi, Kenji Ishikawa, and Masaru Hori

    42nd International Symposium on Dry Process (DPS)  2021.11.18 

     More details

    Event date: 2021.11

    Presentation type:Oral presentation (general)  

    Venue:online   Country:Japan  

  45. Atomic layer etching of GaN using F2-added Ar plasma removal of BCl3 modified layer at high temperature International conference

    Shohei Nakamura Atsushi Tanide, Takahiro Kimura, Soichi Nadahara, Kenji Ishikawa, and Masaru Hori

    42nd International Symposium on Dry Process (DPS)  2021.11.18 

     More details

    Event date: 2021.11

    Presentation type:Oral presentation (general)  

    Venue:online   Country:Japan  

  46. Random forest model for property control of plasma deposited hydrogenated amorphous carbon films International conference

    Junpei Kurokawa, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    42nd International Symposium on Dry Process (DPS)  2021.11.18 

     More details

    Event date: 2021.11

    Presentation type:Oral presentation (general)  

    Venue:online   Country:Japan  

  47. Toward plasma cancer therapy and intracellular metabolic modifications by treatments using low-temperature plasma-activated solutions Invited International conference

    Kenji Ishkawa, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori

    30th International Toki Conference on Plasma and Fusion Research  2021.11.15 

     More details

    Event date: 2021.11

    Presentation type:Oral presentation (invited, special)  

    Venue:online   Country:Japan  

  48. Floating wire assisted plasma with vapor injection of liquid mixtures for etching titanium compounds International conference

    Thi-Thuy-Nga Nguyen, Kazunori Shinoda, Hirotaka Hamamura, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, Kenji Ishikawa, and Masaru Hori

    67th AVS International Symposium and Exhibition  2021.10.24 

     More details

    Event date: 2021.10

    Presentation type:Oral presentation (general)  

    Venue:online   Country:United States  

  49. In-situ analysis of surface reactions for plasma-assisted thermal-cyclic atomic layer etching of tantalum nitride International conference

    Kazunori Shinoda, M. Hasegawa, H. Hamamura, K. Maeda, K. Yokogawa, M. Izawa, Kenji Ishikawa, and Masaru Hori

    67th AVS International Symposium and Exhibition  2021.10.24 

     More details

    Event date: 2021.10

    Presentation type:Oral presentation (general)  

    Venue:online   Country:United States  

  50. 原子層エッチングの反応素過程とその設計、制御 Invited

    石川 健治, Nguyen Thi-Thuy-Nga, 堤 隆嘉, 蕭 世男, 近藤 博基, 関根 誠, 堀 勝

    第82回応用物理学会秋季学術講演会 11a-S301-5  2021.9.10 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:オンライン  

  51. カーボンナノウォールの光透過率に対する壁密度および高さの効果 Invited

    射場 信太朗, 近藤 博基, 石川 健治, 堤 隆嘉, 平松 美根男, 関根 誠, 堀 勝

    第82回応用物理学会秋季学術講演会 13a-N323-8  2021.9.10 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:オンライン  

  52. C3H6/H2プラズマを⽤いたアモルファスカーボン成膜において水素ガス流量比が膜特性に与える影響 Invited

    ⿊川 純平, 光成 正, 堤 隆嘉, 近藤 博基, 関根 誠, 石川 健治, 堀 勝

    第82回応用物理学会秋季学術講演会 13p-N107-1  2021.9.10 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:オンライン  

  53. 低温プラズマ照射した養殖餌料アルテミアの成⻑促進 Invited

    山内 拓海, 石川 健治, 田中 宏昌, 秋山 真⼀, 橋爪 博司, 堀 勝

    第82回応用物理学会秋季学術講演会 13p-N107-15  2021.9.10 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:オンライン  

  54. CNW細胞培養基板上のSiCコートが細胞増殖に与える影響 Invited

    ⼩野 浩毅, ⼩出 崇史, 石川 健治, 田中 宏昌, 近藤 博基, 鳴瀧 彩絵, ⾦ 勇, 安原 重雄, 堀 勝, 竹内 和歌奈

    第82回応用物理学会秋季学術講演会 13p-N107-1  2021.9.10 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:オンライン  

  55. 酸素ラジカル照射したL-トリプトファン溶液の殺菌効果 Invited

    岩田 直幸, 石川 健治, 橋爪 博司, 田中 宏昌, 伊藤 昌文, 堀 勝

    第82回応用物理学会秋季学術講演会 12p-N204-6  2021.9.10 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:オンライン  

  56. 流液への照射によるプラズマ活性溶液の大量作製と抗腫瘍効果の評価 Invited

    柏倉 慧史, 岩田 直幸, 石川 健治, 橋爪 博司, カメリア ミロン, 中村 香江, 田中 宏昌, 梶山 広明, 豊國 伸哉, 水野 正明, 堀勝

    第82回応用物理学会秋季学術講演会 12p-N204-4  2021.9.10 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:オンライン  

  57. Arパージ下でプラズマ照射した乳酸リンゲル液の抗腫瘍効果の評価 Invited

    伊藤 大貴, 岩田 直幸, 石川 健治, 橋爪 博司, 中村 香江, ミロン カメリア, 田中 宏昌, 梶山 広明, 豊國 伸哉, 水野正明, 堀勝

    第82回応用物理学会秋季学術講演会 12p-N204-3  2021.9.10 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:オンライン  

  58. プラズマ活性溶液及びプラズマ照射が細胞呼吸に与える影響 Invited

    田中 宏昌, 前田 昌吾, 松村 翔伍, 水野 正明, 石川 健治, 伊藤 昌文, 橋爪 博司, 伊藤 美佳⼦, 大野 欽司, 中村 香江, 梶山広明, 吉川史隆, 岡崎泰昌, 豊國伸哉, 堀勝

    第82回応用物理学会秋季学術講演会 12p-N204-2  2021.9.10 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:オンライン  

  59. ポリエチレンテレフタラートの新しい生分解プラズマ技術の開発 Invited

    五藤 大智, 岩田 直幸, 石川 健治, 橋爪 博司, 田中 宏昌, 伊藤 昌文, 堀 勝

    第82回応用物理学会秋季学術講演会 12p-N204-1  2021.9.10 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:オンライン  

  60. Ar/C4F8/SF6を⽤いたガス変調サイクルプロセスにおける活性種の挙動 Invited

    吉江 泰斗, 堤 隆嘉, 石川 健治, 堀 勝

    第82回応用物理学会秋季学術講演会 12a-N102-3  2021.9.10 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:オンライン  

  61. 窒化ガリウムのプラズマエッチング中その場分光エリプソメトリー観測 Invited

    南 吏玖, 石川 健治, 堤 隆嘉, 近藤 博基, 関根 誠, ⼩田 修, 堀 勝

    第82回応用物理学会秋季学術講演会 12a-N102-2  2021.9.10 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:オンライン  

  62. Low-temperature plasma-activated solutions and metabolic modification Invited International conference

    Kenji Ishkawa, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori

    5th Asia Pacific Conference on Plasma Physics, Division of Plasma Physics, Association of Asia-Pacific Physical Societies (AAPPS-DPP2021)  2021.9.26 

     More details

    Event date: 2021.9 - 2021.10

    Presentation type:Oral presentation (invited, special)  

    Venue:online   Country:Japan  

  63. High ionization of analytes for oxygen doped carbon nanowall in surface-assisted laser desorption ionization mass spectrometry (SALDI-MS) International conference

    Kenji Ishikawa, Ryusei Sakai, Tomonori Ichikawa, Hiroki Kondo, Takayuki Ohta, Mineo Hiramatsu, and Masaru Hori

    20th Interfinish World Congress (INTERFINISH2020)  2021.9.6 

     More details

    Event date: 2021.9

    Presentation type:Oral presentation (general)  

    Venue:online   Country:Japan  

  64. Improvement of Hydrophilic Treatment of Atmospheric Pressure Plasma and its Oxygen Radical Densities International conference

    Seigo Takashima, Takahiro Jindo, Kenji Ishikawa, and Masaru Hori

    20th Interfinish World Congress (INTERFINISH2020)  2021.9.6 

     More details

    Event date: 2021.9

    Presentation type:Oral presentation (general)  

    Venue:online   Country:Japan  

  65. Epitaxial growth of InN film on GaN template by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) International conference

    Frank Wilson Amalraj, Arun Kumar Dhasiyan, Naohiro Shimizu, Osamu Oda, Kenji Ishikawa, and Masaru Hori

    20th Interfinish World Congress (INTERFINISH2020)  2021.9.6 

     More details

    Event date: 2021.9

    Presentation type:Oral presentation (general)  

    Venue:online   Country:Japan  

  66. Deformation properties of carbon nanowalls analyzed by nanoindentation International conference

    Swapnil Ghodke, Motoyuki Murashima, Dennis Christy, Ngo Van Nong, Osamu Oda, Noritsugu Umehara, Kenji Ishikawa, and Masaru Hori

    20th Interfinish World Congress (INTERFINISH2020)  2021.9.6 

     More details

    Event date: 2021.9

    Presentation type:Oral presentation (general)  

    Venue:online   Country:Japan  

  67. Nanosecond high-voltage pulse imposed chemical vapor deposition (ns HV CVD) of sparsely isolated carbon nanowalls International conference

    Tomonori Ichikawa, Kenji Ishikawa, Naohiro Shimizu, and Masaru Hori

    20th Interfinish World Congress (INTERFINISH2020)  2021.9.6 

     More details

    Event date: 2021.9

    Presentation type:Oral presentation (general)  

    Venue:online   Country:Japan  

  68. Development of the nanocarbon coating process on metal surfaces via in-liquid plasma International conference

    Ma. Shanlene D.C. Dela Vega, Hiroki Kondo, Takayoshi Tsutsumi, Thi-Thuy-Nga Nguyen, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    20th Interfinish World Congress (INTERFINISH2020)  2021.9.6 

     More details

    Event date: 2021.9

    Presentation type:Oral presentation (general)  

    Venue:online   Country:Japan  

  69. Development of new biodegradation technology by surface modification of polyethylene terephthalate using atmospheric pressure plasma International conference

    Daichi Goto, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Masafumi Ito, and Masaru Hori

    20th Interfinish World Congress (INTERFINISH2020)  2021.9.6 

     More details

    Event date: 2021.9

    Presentation type:Oral presentation (general)  

    Venue:online   Country:Japan  

  70. Cell Death Mechanisms by Plasma Activated Medium and Plasma Activated Ringer’s Lactate Solution International conference

    Masaru Hori, Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Yasumasa Okazaki, Shinya Toyokuni, and Fumitaka Kikkawa

    8th International Conference on Plasma Medicine (ICPM8)  2021.8.2 

     More details

    Event date: 2021.8

    Presentation type:Oral presentation (invited, special)  

    Venue:online  

  71. Efficacy for Rice Plant Growth with Plasma Irradiation to Seeds International conference

    Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori

    8th International Conference on Plasma Medicine (ICPM8)  2021.8.2 

     More details

    Event date: 2021.8

    Presentation type:Oral presentation (general)  

    Venue:online  

  72. Dynamical Changes in Free-radical Signals of Seeds during Water Imbibition and Seed Germination: Analysis of Plasma-Irradiation Effects Using an Electron Spin Resonance (ESR) Technique International conference

    Kenji Ishikawa, Ryo Arita, Takamasa Okumura, Pankaj Attri, Kazunori Koga, Ryoya Sato, Hayate Tanaka, Masaya Hiromatsu, Kayo Matsuo, Daisuke Yamashita, Kunihiro Kamataki, Naho Itagaki, Masaru Hori, and Masaharu Shiratani

    8th International Conference on Plasma Medicine (ICPM8)  2021.8.2 

     More details

    Event date: 2021.8

    Presentation type:Oral presentation (general)  

    Venue:online  

  73. Analysis of Cellular Respiration in Plasma-activated Solutions-treated Cancer Cells International conference

    Hiromasa Tanaka, Shogo Maeda, Masaaki Mizuno, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Yasumasa Okazaki, Shinaya Toyokuni, M. Ito, K. Ohno, Fumitaka Kikkawa, and Masaru Hori

    8th International Conference on Plasma Medicine (ICPM8)  2021.8.2 

     More details

    Event date: 2021.8

    Presentation type:Oral presentation (general)  

    Venue:online  

  74. Numerical analysis of high-electron-density atmospheric pressure argon streamer under pin-to-plane electrode geometry: Effects of applying voltage polarity International conference

    Yosuke Sato, Kenji Ishikawa, Takayoshi Tsutsumi, A. Ui, M. Akita, S. Oka, and Masaru Hori

    47th Conference on Plasma Physics (EPS47)  2021.6.21 

     More details

    Event date: 2021.6

    Presentation type:Oral presentation (general)  

    Venue:online  

  75. Dependency of bactericidal effect in oxygen-radical exposed E. coli suspension containing L-tryptophan on its concentration International conference

    Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Jun-Seok Oh, Masafumi Ito and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021.3.8 

     More details

    Event date: 2021.3

    Presentation type:Oral presentation (general)  

    Country:Japan  

  76. A comparative study on inn growth at very high frequencies (VHF) by radical enhanced metalorganic chemical vapor deposition (REMOCVD) International conference

    Frank Wilson Amalraj, Naohiro Shimizu, Osamu Oda, Kenji Ishikawa, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021.3.8 

     More details

    Event date: 2021.3

    Presentation type:Oral presentation (general)  

    Country:Japan  

  77. Crystalline structures and local electrical conductivity at crossing points of carbon nanowalls International conference

    Atsushi Ozaki, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021.3.8 

     More details

    Event date: 2021.3

    Presentation type:Oral presentation (general)  

    Country:Japan  

  78. Effects of non-equilibrium atmospheric pressure plasma on aquaculture feed International conference

    Takumi Yamauchi, Kenji Ishikawa, Hiromasa Tanaka, Shin'ichi Akiyama, Hiroshi Hashizume and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021.3.8 

     More details

    Event date: 2021.3

    Presentation type:Oral presentation (general)  

    Country:Japan  

  79. Efficacy of plasma treatment in a paddy field for yield and grain quality of rice International conference

    Kaoru Sanda, Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021.3.8 

     More details

    Event date: 2021.3

    Presentation type:Oral presentation (general)  

    Country:Japan  

  80. Dependence of nitrogen concentrations on cytotoxicity of air-free Ar-N2 mixed atmospheric pressure plasma-activated lactated solutions International conference

    Daiki Ito, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021.3.8 

     More details

    Event date: 2021.3

    Presentation type:Oral presentation (general)  

    Country:Japan  

  81. Effects on substrate temperature on the etching behaviors of PECVD- and LPCVD-prepared SiN thin films with CF4/H2 plasmas International conference

    Shih-Nan Hsiao, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021.3.8 

     More details

    Event date: 2021.3

    Presentation type:Oral presentation (general)  

    Country:Japan  

  82. Ion-attachment mass spectrometric analysis of odorous gas decomposition by atmospheric pressure plasma International conference

    Tatsuyuki Moriyama, Yosuke Sato, Akio Ui, Shotaro Oka, Kenji Ishikawa, Takayoshi Tsutsumi and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021.3.8 

     More details

    Event date: 2021.3

    Presentation type:Oral presentation (general)  

    Country:Japan  

  83. Study of etching process using gas condensed layer at cryogenic temperature 2. Evaluation of cycle etching using gas condensed layer International conference

    Masahiro Hazumi, Suganthamalar Selvaraj, Shih-Nan Hsiao, Chihiro Abe, Toshiyuki Sasaki, Hisataka Hayashi, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021.3.8 

     More details

    Event date: 2021.3

    Presentation type:Oral presentation (general)  

    Country:Japan  

  84. Study of etching process using gas condensed layer at cryogenic temperature 1. Evaluation of CHF3 condensed layer thickness on SiO2 surface International conference

    Suganthamalar Selvaraj, Masahiro Hazumi, Shih-Nan Hsiao, Chihiro Abe, Toshiyuki Sasaki, Hisataka Hayashi, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021.3.8 

     More details

    Event date: 2021.3

    Presentation type:Oral presentation (general)  

    Country:Japan  

  85. Pressure and flow rate dependence of active species in gas modulation cycle process using Ar/C4F8/SF6 International conference

    Taito Yoshie, Takayoshi Tsutsumi, Kenji Ishikawa, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021.3.8 

     More details

    Event date: 2021.3

    Presentation type:Oral presentation (general)  

    Country:Japan  

  86. Quantitative analyses of graphene layer etching using oxygen radicals generated in remote plasma for realization of atomic layer etching International conference

    Liugang Hu, Takayoshi Tsutsumi, Thi-Thuy-Nga Nguyen, Shih-Nan Hsiao, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021.3.8 

     More details

    Event date: 2021.3

    Presentation type:Oral presentation (general)  

    Country:Japan  

  87. Atomic Layer Etching of GaN Using Cl2/Ar Plasma at 400℃ International conference

    Shohei Nakamura, Atsushi Tanide, Takahiro Kimura, Soichi Nadahara, Kenji Ishikawa, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021.3.8 

     More details

    Event date: 2021.3

    Presentation type:Oral presentation (general)  

    Country:Japan  

  88. Initial growth kinetics of hydrogenated amorphous carbon films observed by real-time ellipsometry International conference

    Jumpei Kurokawa, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi,Makoto Sekine and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021.3.8 

     More details

    Event date: 2021.3

    Presentation type:Oral presentation (general)  

    Country:Japan  

  89. IR spectra of monosaccharide treated with atmospheric pressure plasma using sum frequency generation spectroscopy International conference

    Yuta Yoshida, Takayuki Ohta, Kenji Ishikawa, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021.3.8 

     More details

    Event date: 2021.3

    Presentation type:Oral presentation (general)  

    Country:Japan  

  90. Measurements of negative ion density and plasma parameters in Ar/O2/C4F8 etching plasmas by Langmuir probe-assisted laser photodetachment International conference

    B. B. Sahu, S.Hattori, T. Tsutsumi, N. Britun, Makoto Sekine, Kenji Ishikawa, H. Tanaka,T. Gohira, Y. Ohya, Noriyasu Ohno, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021.3.8 

     More details

    Event date: 2021.3

    Presentation type:Oral presentation (general)  

    Country:Japan  

  91. Selective killing effects of organics in plasma-activated Ringer's solutions International conference

    Yuki Suda, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021.3.8 

     More details

    Event date: 2021.3

    Presentation type:Oral presentation (general)  

    Country:Japan  

  92. Reversible change in surface morphology of lipid bilayer induced by indirect plasma irradiation International conference

    Hiroki Kondo, Takuya Tonami, Sotaro Yamaoka, Hiromasa Tanaka, Kenji Ishikawa, Makoto Sekine, Masafumi Ito and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021.3.8 

     More details

    Event date: 2021.3

    Presentation type:Oral presentation (general)  

    Country:Japan  

  93. Enhancement of alcohol production of budding yeast by direct irradiation of nonequilibrium atmospheric pressure plasma International conference

    Shogo Matsumura, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Masafumi Ito, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021.3.8 

     More details

    Event date: 2021.3

    Presentation type:Oral presentation (general)  

    Country:Japan  

  94. Effect of wall-to-wall distance of carbon nanowalls on survival yield in surface assisted laser desorption/ionization mass spectrometry International conference

    Ryusei Sakai, Hiroki Kondo, Kenji Ishikawa, Takayuki Ohta, Mineo Hiramatsu, Naohiro Shimizu, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021.3.8 

     More details

    Event date: 2021.3

    Presentation type:Oral presentation (general)  

    Country:Japan  

  95. Quality increase of fruits with plasma treatment on strawberry cultivation International conference

    Hiroshi Hashizume, Shogo Matsumoto, Kenki Tsubota, Kaoru Sanda, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Masafumi Ito, Hidemi Kitano, Hitoshi Sakakibara, Susumu Nikawa, Takayuki Okuma, Masayoshi Maeshima,Masaaki Mizuno, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021.3.8 

     More details

    Event date: 2021.3

    Presentation type:Oral presentation (general)  

    Country:Japan  

  96. Design of removal process of SnO2 on glass by H2/Ar plasma at atmospheric pressure and medium pressure International conference

    Thi-Thuy-Nga Nguyen, Minoru Sasaki, Takayoshi Tsutsumi, Kenji Ishikawa, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021.3.8 

     More details

    Event date: 2021.3

    Presentation type:Oral presentation (general)  

    Country:Japan  

  97. Plasma applications for agriculture from seeds to field International conference

    Hiroshi Hashizume, Hiroko Mizuno, Akiko Abe, Kenki Tsubota, Genki Yuasa, Satoe Tohno, Mikiko Kojima, Yumiko Takebayashi, Hiromasa Tanaka, Kenji Ishikawa, Masafumi Ito, Hidemi Kitano, Shogo Matsumoto, Hitoshi Sakakibara, Takayuki Okuma, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori

    3rd international workshop on plasma agriculture (IWOPA3) Greifswald, Germany. online, March 1-3, 2021  2021.3.1 

     More details

    Event date: 2021.3

    Country:Germany  

  98. Roles of seed pigments in responses of seeds to plasma treatment International conference

    Kazunori Koga, Pankaj Attri, Ryo Arita, Ryoya Sato, Hayate Tanaka, Masaya Hiromatsu, Kayo Matsuo, Daisuke Yamashita, Kenji Ishikawa, Naho Itagaki, Kunihiro Kamataki, Masaharu Shiratani, and Vida Mildaziene

    3rd international workshop on plasma agriculture (IWOPA3) Greifswald, Germany. online, March 1-3, 2021  2021.3.1 

     More details

    Event date: 2021.3

    Presentation type:Oral presentation (general)  

    Country:Germany  

  99. Electron spin resonace study on germination dynamics of plasma-activated seeds of radish sprouts International conference

    Kenji Ishikawa, Ryo Arita, Ryoya Sato, Hayate Tanaka, Masaya Hiromatsu, Tomoaki Yoshida, Pankaj Attri, Kunihiro Kamataki, Naho Itagaki, Daisuke Yamashita, Kayo Matsuo, Kazunori Koga, and Masaharu Shiratani

    3rd international workshop on plasma agriculture (IWOPA3) Greifswald, Germany. online, March 1-3, 2021  2021.3.1 

     More details

    Event date: 2021.3

    Presentation type:Oral presentation (general)  

    Country:Germany  

  100. (Plenary) Plasma-surface interactions in plasma etching of future device fabrication International conference

    Kenji Ishikawa, Y. Miyawaki, T. Takeuchi, K. Takeda, S. Tajima, H. Kondo, T. Hayashi, M. Sekine, and M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2013.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  101. Plasma-Biological Surface Interaction for Food Hygiene: Real-time in situ electron spin resonance measurements International conference

    Kenji Ishikawa, H. Mizuno, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Sekine, and M. Hori

    The 34th International Symposium on Dry Process (DPS) 

     More details

    Event date: 2012.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  102. A High Temperature Plasma Etching of GaN and Its Reaction Mechanism International conference

    R. Kometani, S. Chen, M. Liu, Kenji Ishikawa, H. Kondo, K. Takeda, T. Egawa, H. Amano, M. Sekine, and M. Hori

    The 34th International Symposium on Dry Process (DPS) 

     More details

    Event date: 2012.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  103. Studies on Plasma Etching of Si3N4 in Capacitively Coupled Plasma employing C5HF7 International conference

    Y. Miyawaki, Y. Kondo, M. Sekine, Kenji Ishikawa, T. Hayashi, K. Takeda, A. Ito, H. Matsumoto, and M. Hori

    The 34th International Symposium on Dry Process (DPS) 

     More details

    Event date: 2012.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  104. A reduction of degradation on ArF photoresist by C5HF7 plasma etching and its mechanism International conference

    K. Asano, Y. Miyawaki, Kenji Ishikawa, M. Sekine, K. Takeda, A. Ito, H. Matsumoto, H. Kondo, and M. Hori

    The 34th International Symposium on Dry Process (DPS) 

     More details

    Event date: 2012.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  105. Quantum chemical investigations for excitation dissociations of C5F8 and C5HF7 etching gases International conference

    T. Hayashi, Kenji Ishikawa, M. Sekine, M. Hori

    The 34th International Symposium on Dry Process (DPS) 

     More details

    Event date: 2012.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  106. Highly selective etching of gap-fill dielectrics over SiC and SiN by the dc-bias superposed dual-frequency CCP International conference

    T. Komuro, K. Takeda, Kenji Ishikawa, M. Sekine, Y. Ohya, H. Kondo, and M. Hori

    The 34th International Symposium on Dry Process (DPS) 

     More details

    Event date: 2012.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  107. Photon-stimulated surface reaction and generation of damage to hydrogenated silicon nitride in fluorocarbon plasma International conference

    M. Fukasawa, H. Matsugai, T. Honda, Y. Miyawaki, Y. Kondo, K. Takeda, H. Kondo, Kenji Ishikawa, M. Sekine, K. Nagahata, F. Uesawa, M. Hori, and T. Tatsumi

    The 34th International Symposium on Dry Process (DPS) 

     More details

    Event date: 2012.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  108. An in-situ sequential H and N radical exposure process for recovery of plasma-damaged GaN International conference

    Z. Liu, S. Chen, Y. Lu, R. Kometani, Kenji Ishikawa, H. Kano, K. Takeda, H. Kondo, M. Sekine, T. Egawa, H. Amano, and M. Hori

    The 34th International Symposium on Dry Process (DPS) 

     More details

    Event date: 2012.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  109. High performances of microcrystalline Si thin film formation for a solar cell by measurement and control of hydrogen radicals in the SiH4/H2 plasma International conference

    Y. Abe, A. Fukushima, Y. Lu, Y. Kim, K. Takeda, H. Kondo, Kenji Ishikawa, M. Sekine, and M. Hori

    The 34th International Symposium on Dry Process (DPS) 

     More details

    Event date: 2012.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  110. Evaluation of gas-surface reaction dynamics during the plasmaless Si etching using NO/F2 gas mixture International conference

    S. Tajima, T. Hayashi, Kenji Ishikawa, M. Sekine, and M. Hori

    The 34th International Symposium on Dry Process (DPS) 

     More details

    Event date: 2012.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  111. (INVITED) Real time In Situ Electron Spin Resonance (ESR) Study of Free Radicals on Materials Created by Plasmas International conference

    Kenji Ishikawa,

    American Vacuum Society (AVS) 

     More details

    Event date: 2012.10 - 2012.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  112. Evaluation of Surface Chemical Bonding State and Surface Roughness of Chemical Dry Etched Si using NO and F2 Gas Mixture International conference

    Satomi Tajima, Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    American Vacuum Society (AVS) 

     More details

    Event date: 2012.10 - 2012.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  113. Control of Surface Properties on Plasma-Etched Gallium Nitride (GaN) International conference

    Makoto Sekine, Ryosuke Kometani, Kenji Ishikawa,

    American Vacuum Society (AVS) 

     More details

    Event date: 2012.10 - 2012.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  114. Subsequent Temporal Change of Gaseous H and N Radical Density in Plasma after Different Processes International conference

    Toshiya Suzuki, Kenji Ishikawa,

    American Vacuum Society (AVS) 

     More details

    Event date: 2012.10 - 2012.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  115. (Coburn Winters Finalist) Mechanism of Generating Ions and Radicals in Fluorocarbon Plasma Investigated by Reaction Model Analysis International conference

    Yusuke Kondo, Kenji Ishikawa,

    American Vacuum Society (AVS) 

     More details

    Event date: 2012.10 - 2012.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  116. Investigation of Plasma-Surface Interactions Between Hydrogen Radical and Chemically Amplified Photoresist International conference

    Arkadiusz Malinowski, Makoto Sekine, Kenji Ishikawa,

    American Vacuum Society (AVS) 

     More details

    Event date: 2012.10 - 2012.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  117. Measurement of activated species generated by 60 Hz excited atmospheric pressure Ar plasma in atmospheric gas International conference

    Keigo Takeda, Jerome Jolibois, Kenji Ishikawa, Hiromasa Tanaka, Hiroyuki Kano, Makoto Sekine, and Masaru Hori

    65th Annual Gaseous Electronics Conference (GEC) 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  118. Reaction model for etching surface interacted with hydrofluorocarbon plasmas International conference

    Kenji Ishikawa, Yusuke Kondo, Yudai Miyawaki, Toshio Hayashi, Makoto Sekine, Keigo Takeda, Hiroki Kondo, and Masaru Hori

    65th Annual Gaseous Electronics Conference (GEC) 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  119. Study on synthesis processes and crystallinity changes of nanographene materials synthesized by alcohol liquid-plasma International conference

    Hiroki Kondo, Tatusya Hagino, Keigo Takeda, Kenji Ishikawa, Hiroyuki Kano, Makoto Sekine, and Masaru Hori

    65th Annual Gaseous Electronics Conference (GEC) 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  120. Precise plasma process control based on combinatorial plasma etching International conference

    Makoto Sekine, Toshiya Suzuki, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Yuichi Setsuhara, Masaharu Shiratani, and Masaru Hori

    65th Annual Gaseous Electronics Conference (GEC) 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  121. Temperature measurement of substrate with a thin film using low-coherence interference International conference

    Takayoshi Tsutsumi, Takehiro Hiraoka, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, and Masaru Hori

    65th Annual Gaseous Electronics Conference (GEC) 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  122. (Invited) Healing Process of Plasma Damaged Gallium Nitride (GaN) International conference

    Kenji Ishikawa, Shang Chen,

    International conference on emerging advanced nanomaterials (ICEAN) 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  123. Study of the decomposition mechanism of PMMA-type polymers by hydrogen radicals International conference

    Yu Arai, Yusuke Noto, Yousuke Goto, Seiji Takahashi, Akihiko Kono, Tatsuo Ishijima, Kenji Ishikawa, Masaru Hori, and Hideo Horibe

    7th International Conference on Hot-Wire Chemical Vapor Deposition (HWCVD-7) 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  124. Estimation of activation energies for decomposition reaction of polymer by hydrogen radicals generated using hot-wire catalyzer International conference

    Akihiko Kono, Yu Arai, Yousuke Goto, Seiji Takahashi, Kenji Ishikawa, Masaru Hori, and Hideo Horibe

    7th International Conference on Hot-Wire Chemical Vapor Deposition (HWCVD-7) 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  125. Real-time electron-spin-resonance measurement of plasma induced surface interactions International conference

    Naoya Sumi, Kenji Ishikawa, Akihiko Kono, Hideo Horibe, Keigo Takeda, Hiroki Kondo, Makoto Sekine and Masaru Hori

    3rd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  126. (INVITED) Surface analysis of chemical reactions during plasma etching

    Kenji Ishikawa, et al.

    133th Workshop on Silicon Technology 

     More details

    Event date: 2011.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  127. (INVITED) Real-time Electron-Spin-Resonance Study of Plasma-Surface interaction International conference

    Kenji Ishikawa, Naoya Sumi, Akihiko Kono, Hideo Horibe, Keigo Takeda, Hiroki Kondo, Makoto Sekine and Masaru Hori

    12th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop 

     More details

    Event date: 2011.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  128. (INVITED) In line Electron Spin Resonance Study of Plasma-Surface Interaction for plasma etching

    Kenji Ishikawa, Makoto Sekine, Masaru Hori

    20th MRS-Japan Academic Symposium, Session A: Frontier of Nano-Materials Based on Advanced Plasma Technologies 

     More details

    Event date: 2010.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  129. Polymer Surface Modification: Vibrational Sum Frequency Generation Study for Plasma Etching International conference

    Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  130. (INVITED) In line electron spin resonance observation of surface reactions during plasma etching

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  131. エタノールを用いた気液プラズマによるカーボンナノ材料の作製

    萩野達也,乾裕俊,加納浩之,石川建治,竹田圭吾,近藤博基,関根誠,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 14a-ZK-6" 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  132. 単一カーボンナノウォールの電気特性

    神田貴幸,山川晃司,竹田圭吾,石川健冶,近藤博基,平松美根男,関根誠,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 16a-ZQ-6" 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  133. カーボンナノウォールの成長に対する基板形状の効果

    渡邊均,近藤博基,石川健治,竹田圭吾,関根誠,堀勝,平松美根男

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 16p-ZM-3" 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  134. 和周波振動分光(SFG)によるポリフッ化ビニリデンの分極配向特性評価

    石川健治,河野昭彦,堀邊英夫,竹田圭吾,近藤博基,関根誠,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 14a-K-2" 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  135. Spatial distribution measurement of the electron temperature and density of 60 Hz nonequilibrium atmospheric pressure plasma by laser Thomson scattering

    賈鳳東,鷲見直也,石川健治,加納浩之,乾裕俊,竹田圭吾,近藤博基,関根誠,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 15p-D-4" 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  136. プラズマによるGaNエッチング損傷と反応機構の解析

    陳尚,米谷亮祐,竹田圭吾,石川健治,近藤博基,加納浩之,徳田豊,関根誠,節原裕一,江川孝志,天野浩,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 17a-ZA-3" 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  137. 高精度Cl2プラズマビームを用いたGaNエッチング表面反応の解明

    米谷亮祐,陳尚,竹田圭吾,石川健治,近藤博基,関根誠,江川孝志,節原裕一,天野浩,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 17a-ZA-2" 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  138. リアルタイム電子スピン共鳴によるプラズマ誘起表面反応の解析

    鷲見直也,石川健冶,河野昭彦,堀邊英夫,竹田圭吾,近藤博基,関根誠,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 16p-ZA-13" 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  139. O2プラズマ曝露によるポーラスSiOCH 膜へのダメージ発生メカニズム

    浅野高平,山本洋,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 16p-ZA-12" 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  140. 二周波容量結合型エッチングプラズマにおける上部電極へのDCバイアス印加効果(III)

    山口剛,竹田圭吾,輿水地塩,近藤博基,石川健治,関根誠,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 16p-ZA-9" 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  141. サブナノメーター形状揺らぎ制御有機膜エッチングのための主要因子の解明

    鈴木俊哉,竹田圭吾,近藤博基,石川健治,関根 誠,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 16p-ZA-8" 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  142. C5HF7/O2/ArプラズマによるArFレジスト表面ラフネス発生抑制機構

    山本洋,宮脇雄大,竹田圭吾,石川健治,近藤博基,関根誠,堀勝,伊東安曇,松本裕一

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 16p-ZA-6" 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  143. ガスデザインに基づいたSiO2膜エッチングとその機構解明(III)

    宮脇雄大,近藤祐介,竹田圭吾,伊東安曇,松本裕一,近藤博基,石川健治,関根誠,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 16p-ZA-5" 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  144. フルオロカーボンプラズマビームによるフォトレジスト表面改質層の解析

    竹内拓,尼崎新平,竹田圭吾,石川健治,近藤博基,豊田浩孝,関根誠,堀勝,康松潤,沢田郁夫

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 16p-ZA-4" 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  145. ラジカルが発生し易いエッチングガスと分子構造

    林俊雄,石川健治,関根誠,堀勝,河野明廣,鄒弘綱

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 16p-ZA-2" 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  146. C3F6O代替ガスを用いた絶縁膜の高速エッチングとその機構解明

    近藤祐介,宮脇雄大,竹田圭吾,石川健治,近藤博基,林俊雄,関根誠,岡本秀一,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 16p-ZA-1" 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  147. Radical Transport Simulation under Roof on Substrate in Processing Plasma

    アルカディウス マリノフスキ,堀勝,関根誠,石川健治,近藤博基,山本洋,竹内拓也,鈴木俊哉,宮脇雄大,リディア ルカシャック,アンジェイ ヤクボフスキ,ダニエル トマシェフスキ

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 16a-ZA-7" 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  148. SF6/O2プラズマを用いたSiエッチング機構

    尼崎新平,竹内拓也,竹田圭吾,石川健治,近藤博基,関根誠,堀勝,櫻井典子,林久貴,酒井伊都子,大岩徳久

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学),16a-ZA-3" 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  149. H2/Ar大気圧プラズマによる酸化銅還元反応の検討

    乾裕俊,吉田直史,竹田圭吾,近藤博基,石川健治,関根誠,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学),16p-ZF-10" 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  150. アモルファスカーボン膜の結晶構造に対する成長温度の効果

    木野徳重,近藤博基,石川健治,関根誠,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 16p-ZF-3" 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  151. N原子注入によるアモルファスカーボン膜の結晶性制御

    九鬼淳,木野徳重,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 16p-ZF-2" 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  152. 水素ラジカル注入型プラズマ源を用いた微結晶シリコン薄膜の成膜

    川嶋翔,阿部祐介,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 16a-ZF-8" 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  153. 非平衡大気圧プラズマによるミドリカビ殺菌速度の酸素ラジカル密度依存性

    井関紗千子,太田貴之,伊藤昌文,加納浩之,東島康裕,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 15p-D-17" 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  154. 非平衡大気圧プラズマにおける原子状ラジカルの挙動に関する研究

    加藤正規,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 15p-D-16" 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  155. 太陽電池用シリコン薄膜プラズマプロセスにおける水素原子表面損失確率(II)

    阿部祐介,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 15p-D-11" 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  156. Modification of Si-O-Si Structure in Porous SiOCH Films by O2 plasma International conference

    Hiroshi Yamamoto, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    The 11th International Workshop on Advanced Plasma Processing and Diagnostics, Ramada Jeju Hotel, Jeju, Korea 

     More details

    Event date: 2010.7

    Language:English   Presentation type:Oral presentation (general)  

  157. Control in optical properties of amorphous carbon films synthesized by plasma enhanced chemical vapor deposition for solar cell applications

    International Symposium on Technology Evolution for Silicon Nano-Electronics, Tokyo Institute of Technology, Tokyo, Japan 

     More details

    Event date: 2010.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  158. イオン照射誘起深い準位欠陥の水素ラジカルによる不活性化

    陳尚,永江陽一,石川健治,中井雅文,加納浩之,竹田圭吾,近藤博基,徳田豊,関根誠,堀勝

    2010年春季 第57回 応用物理学関係連合講演会(東海大学), 17p-D-7 

     More details

    Event date: 2010.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  159. プラズマCVD法における微結晶シリコン薄膜形成のメカニズム解明

    川嶋翔,阿部祐介,竹田圭吾,石川健治,近藤博基,関根誠,堀  勝

    2010年春季 第57回 応用物理学関係連合講演会(東海大学), 19a-ZB-8 

     More details

    Event date: 2010.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  160. ポーラスSiOCH low-k膜へのH2/N2プラズマアッシングダメージ発生機構の解明(II)

    山本洋,竹田圭吾,石川健治,近藤博基,関根誠,堀勝,上夏井健,林久貴,酒井伊都子,大岩徳久

    2010年春季 第57回 応用物理学関係連合講演会(東海大学), 18a-ZD-8 

     More details

    Event date: 2010.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  161. 反応性プラズマ中におけるc-C4F8の解離過程

    林俊雄,石川健治,関根誠,堀勝,河野明廣,鄒弘綱

    2010年春季 第57回 応用物理学関係連合講演会(東海大学), 18a-ZD-10 

     More details

    Event date: 2010.3

    Language:Japanese  

  162. 反応性プラズマ中におけるC2F4の解離過程

    林俊雄,石川健治,関根誠,堀勝,河野明廣,鄒弘綱

    2010年春季 第57回 応用物理学関係連合講演会(東海大学), 19a-ZB-11 

     More details

    Event date: 2010.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  163. O2プラズマおよびCO2プラズマによるレジストアッシング機構

    阿部祐介,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    2010年春季 第57回 応用物理学関係連合講演会(東海大学), 18p-ZD-2 

     More details

    Event date: 2010.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  164. SF6/O2プラズマによるフォトレジスト表面改質層の解析

    竹内拓也,尼崎新平,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    2010年春季 第57回 応用物理学関係連合講演会(東海大学), 18p-ZD-3 

     More details

    Event date: 2010.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  165. ガスデザインに基づいたSiO2膜エッチングとその機構解明(II)

    宮脇雄大,竹田圭吾,伊東安曇,中村昌洋,石川健治,関根誠,堀勝

    2010年春季 第57回 応用物理学関係連合講演会(東海大学), 18p-ZD-9 

     More details

    Event date: 2010.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  166. ポーラスSiOCH膜プラズマ処理後の大気曝露の影響(II)

    鈴木俊哉,山本洋,竹田圭吾,近藤博基,石川健治,関根誠,堀勝

    2010年春季 第57回 応用物理学関係連合講演会(東海大学), 18p-ZD-10 

     More details

    Event date: 2010.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  167. 誘導結合型H2/N2プラズマによる有機low-k薄膜のための小型コンビナトリアルプラズマエッチングプロセス

    堀勝,チャンソン ムン,竹田圭吾,関根誠,節原裕一,白谷正治,石川健治,近藤博基

    2010年春季 第57回 応用物理学関係連合講演会(東海大学), 18p-ZD-18 

     More details

    Event date: 2010.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  168. 和周波振動分光によるプラズマ処理表面の解析

    石川健治,竹田圭吾,近藤博基,関根誠,堀勝

    2010年春季 第57回 応用物理学関係連合講演会(東海大学), 19a-R-14 

     More details

    Event date: 2010.3

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  169. Dissociation channel of c-C4F8 to CF2 radical in reactive plasma

    The 3rd International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2010), Meijo University, Nagoya Japan 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  170. Quasi-Bragg grating with sub-wavelength particles

    The 3rd International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2010), Meijo University, Nagoya Japan 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  171. Radical Kinetics in N2-H2 Plasma Generated by Novel High Density Radical Source

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  172. Siloxane Polymer Surface Modifications by Exposure of Plasma-Beams: A Vibrational Sum-Frequency Generation Spectroscopy (SFG) Study

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  173. Fabrication of carbon nanomaterials synthesized by plasma enhanced chemical vapor deposition for solar cell applications

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  174. A Well-Established Compact Combinatorial Etching Process Employing Inductively Coupled H2/N2 Plasma

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  175. Surface Loss Probabilities of H Atom on Various Silicon Thin Films

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  176. Diagnostics in High Pressure SiH4/H2 Plasma for Deposition of Microcrystalline Si

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  177. Synthesis of Amorphous Carbon Films using Nonequilibrium Atmosperic-Pressure Plasma

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  178. Low-Temperature Treatment Using High-Density Non-Equilibrium Atmospheric of Pressure Plasma

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  179. Effect of Plasma Surface Treatments on Supporting of Platinum Nanoparticles to Graphite Materials in Supercritical Carbon Dioxide

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     More details

    Event date: 2010.3

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  180. Effect of Ion Irradiation on Carbon Nanowalls Growth

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  181. Initial Nucleation in Carbon Nnowalls Growth on Si and SiO2 Surface

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  182. Effect of Oxygen Etching on the Morphologies of Carbon Nanowalls

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  183. Measurement of Si Wafer Temperature with Metal Thin Film during Plasma Process Using Low-Coherence Interferometer

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  184. Deep-Level Defect Passivation by High Density Hydrogen Radical Exposure on Ion Irradiated Si

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  185. Analysis of ArF Photoresist Modified by Fluorocarbon Ion Bombardment

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  186. SiO2 Cotact Hole Etch Mechanism Using Environment-Friendly New Gas, C5F7H

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  187. Porous SiOCH Low-k Film Etch Process and its Surface Reactions Employing an Alternative Fluorocarbon Gas C5F10O

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  188. Modeling of Radical Tranformation under `PAPE' Structure and Method of Estimation for Surface Loss Probabilities of Radicals

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  189. Measurement of H Radical Density in H2/Ar Nonequilibrium Atmospheric Pressure Plasma

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  190. Nanoscale engineering for plasma etching of future device fabrication International conference

    The 10th International Workshop of Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2010.1

    Language:English   Presentation type:Oral presentation (invited, special)  

  191. A new framework for performance prediction of advanced MOSFETs with plasma-induced recess structure and latent defect site International conference

    2008 IEEE International Electron Devices Meeting (IEDM), (San Francisco, U.S.A., December 15-17, 2008), 18-2, pp. 443-447. 

     More details

    Event date: 2008.12

    Language:English   Presentation type:Oral presentation (general)  

  192. Enhancing Yield and Reliability by Applying Dry Organic Acid Vapor Cleaning to Copper Contact Via-Bottom for 32-nm Nodes and Beyond International conference

    The 11th International Interconnect Technology Conference (IITC) 2008, (San Francisco, June 10-12, 2008), pp. 93-96. 

     More details

    Event date: 2008.6

    Language:English   Presentation type:Oral presentation (general)  

  193. Reaction mechanism of low-temperature damageless cleaning of Cu2O by HCOOH International conference

    Advanced Metallization Conference (AMC) 2006: 16th Asian Session, (Tokyo, September 25-27, 2006), No. 3-6, pp. 111-116. 

     More details

    Event date: 2006.9

    Language:English   Presentation type:Oral presentation (general)  

  194. Large Reduction in Standby Power Consumption Achieved with Stress-controlled SRAM Cell Layout International conference

    Ext. Abst. the 2006 International conference on Solid State Devices and Materials (SSDM), (Yokohama, Japan, September 12-15, 2006), H-2-2, pp. 172-173. 

     More details

    Event date: 2006.9

    Language:English  

    Country:Japan  

  195. Reduction of Copper Surface with Formic Acid for 32-nm-Node ULSI Metallization: Surface Kinetics Study International conference

    The 209th Electrochemical Society Spring Meeting (ECS) (Colorado, U.S.A., May 7-12, 2006), vol. 601, p. 828. 

     More details

    Event date: 2006.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  196. Plasma emission irradiation effects on etching surface reactions: Analysis using in-vacuo electron-spin-resonance technique International conference

    International conference on reactive plasmas and Symposium on Plasma processing (ICRP 6/SPP 23), (Sendai, Japan, January 24-27, 2006), P-2A-38, p. 467. 

     More details

    Event date: 2006.1

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  197. Structural damage of diamond by oxygen ion beam exposure International conference

    International conference on reactive plasmas and Symposium on Plasma processing (ICRP 6/SPP 23), (Sendai, Japan, January 24-27, 2006), G-3A-5, p. 91. 

     More details

    Event date: 2006.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  198. Vacuum-ultraviolet photon irradiation effects in fluorocarbon plasmas on SiO2 etching surface reactions using In vacuo electron-spin-resonance

    AVS 52nd International Symposium American Vacuum Society (AVS), (Boston, MA, U. S. A., October 31-November 4, 2005), PS-TuA6, p.97. 

     More details

    Event date: 2005.10

    Language:English   Presentation type:Oral presentation (general)  

  199. Low temperature dry cleaning technology using formic acid in Cu/Low-k multilecel interconnects for 45 nm node and beyond International conference

    Advanced Metallization Conference (AMC) 2005, (Colorado, U. S. A., September 27-29, 2005), pp. 569-574. 

     More details

    Event date: 2005.9

    Language:English   Presentation type:Oral presentation (general)  

  200. Efficient reduction of standby leakage current in LSIs for use in mobile devices International conference

    Ext. Abst. the 2005 International conference on Solid State Devices and Materials (SSDM), (Kobe, September 13-15, 2005), pp. 878-879. 

     More details

    Event date: 2005.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  201. Defect creation in diamond by hydrogen plasma treatment at room temperature International conference

    23rd International Conference on Defects in Semiconductors (ICDS-23), (Hyogo, Japan, July 24 -29, 2005), Th-P17, p. 290. 

     More details

    Event date: 2005.7

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  202. Structural change in diamond by hydrogen plasma treatment at room temperature International conference

    10th International Conference New Diamond Science and Technology (ICNDST-10), (Tsukuba, Japan, May 11-14, 2005), P5-3, p. 21. 

     More details

    Event date: 2005.5

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  203. Using In-vacuo Electron-spin-resonance and infrared spectroscopy technique in the analysis of surface reactions of Low-k films during/after plasma processes

    AVS 51th International Symposium American Vacuum Society (AVS), (Anaheim, CA, U. S. A., November 14-17, 2004), PS1-MoM6, p. 62. 

     More details

    Event date: 2004.11

    Language:English   Presentation type:Oral presentation (general)  

  204. Dangling bond creation and annihilation during plasma processes studied by in-situ ESR technique International conference

    AVS 51st International Symposium American Vacuum Society (AVS), (Anaheim, CA, U. S. A., November 14-17, 2004), PS-ThA4, p. 140. 

     More details

    Event date: 2004.11

    Language:English   Presentation type:Oral presentation (invited, special)  

  205. Cleaning of copper surface using vapor-phase organic acids International conference

    2nd EU-Japan Joint Symposium on Plasma processing, (February 17-19, 2004), P-06, p. 322. 

     More details

    Event date: 2004.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  206. Incident angular dependence of SiO2 and Si3N4 etching with mass-analyzed CFx+ ion beam irradiation International conference

    2nd EU-Japan Joint Symposium on Plasma processing, (February 17-19, 2004), P-03, p. 295. 

     More details

    Event date: 2004.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  207. Incident angular dependence of SiO2 and Si3N4 etching with mass-analyzed CFx+ ion beam irradiation International conference

    4th International Symposium on Dry Process, (Hongoh, Tokyo, November 14-15, 2003), 7-3, pp. 271-276. 

     More details

    Event date: 2003.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  208. Study of SiO2 plasma etching with off-normal mass-analyzed CFx+ ion beam irradiation International conference

    AVS 50th International Symposium American Vacuum Society (AVS), (Baltimore, MD, U. S. A., November 2-7, 2003), PS1-WeA9, p. 171. 

     More details

    Event date: 2003.11

    Language:English   Presentation type:Oral presentation (general)  

  209. Mechanisms of vapor cleaning of copper surface using organic acids International conference

    204th Meeting of the Electrochemical Society (ECS), (Orlando, Florida, U. S. A., October 12-17, 2003), G1-613, pp. 259-263. 

     More details

    Event date: 2003.10

    Language:English   Presentation type:Oral presentation (general)  

  210. Study of fluorocarbon plasma etching and film deposition with mass separated CFx+ ion beam irradiation International conference

    16th International Symposium on Plasma Chemistry (ISPC16), (Taorumina, Italy, June 22-27, 2003), p. 307. 

     More details

    Event date: 2003.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  211. Cleaning of copper surface using vapor-phase organic acids International conference

    203rd Meeting of the Electrochemical Society (ECS), (Paris, France, April 27 – May 2, 2003), F2-425, pp. 320-323. 

     More details

    Event date: 2003.4

    Language:English   Presentation type:Oral presentation (general)  

  212. Vapor treatment of copper surface using organic acids International conference

    2003 Spring meeting of the Material Research Society (MRS), (San Francisco, April 21–25, 2003), E3-28, pp. 459-464. 

     More details

    Event date: 2003.4

    Language:English   Presentation type:Oral presentation (general)  

  213. Study of selective etching of SiO2-to-Si3N4 and a-C:F film deposition with mass-analyzed CFx+ ion beam irradiation International conference

    4th International Conference on Microelectronics and Interfaces (ICMI'03), (Santa Clara, CA, March 3-6, 2003), pp. . 

     More details

    Event date: 2003.3

    Language:English   Presentation type:Oral presentation (general)  

  214. Measurements of Desorbed Products and Etching Yield by CFx+(x=1,2,3) Ion Irradiation International conference

    AVS 49th International Symposium American Vacuum Society (AVS), (Denver Colorado, November 3-8, 2002), PS-FrM2, p.137. 

     More details

    Event date: 2002.11

    Language:English   Presentation type:Oral presentation (general)  

  215. Using Real-time Infrared Spectroscopy and In-vacuo Electron-Spin-Resonance Technique in the Analysis of Surface Reactions during Etching of Organic Low-k Film by a Plasma of N2 and H2 International conference

    2nd International Symposium on Dry Process, (Hongoh, Tokyo, October 11-12, 2002), I-7, pp. 39-44. 

     More details

    Event date: 2002.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  216. Decomposition Mechanism of c-C4F8 in Plasma Assisted Catalytic Technology (PACT) International conference

    2nd International Symposium on Dry Process, (Hongoh, Tokyo, October 11-12, 2002). VI-22, pp. 243-248. 

     More details

    Event date: 2002.10

    Language:English   Presentation type:Oral presentation (general)  

  217. Study of SiO2 plasma etching and fluorocarbon film deposition with mass separated CFx+ ion beam irradiation International conference

    2nd International Symposium on Dry Process, (Hongoh, Tokyo, October 11-12, 2002), VII-3, pp. 269-274. 

     More details

    Event date: 2002.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  218. Dangling Bond Observation during Plasma Etching Processes Using In-vacuo Electron-Spin-Resonance Technique International conference

    16th European Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG) and 5th International Conference on Reactive Plasmas (ICRP), (Grenoble, France, July 15-18, 2002), P1-65, pp.169-170. 

     More details

    Event date: 2002.7

    Language:English   Presentation type:Oral presentation (general)  

  219. Measurements of desorbed products and etching yield by CFx+ (x=1,2,3) ion irradiation on SiO2 International conference

    16th European Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG) and 5th International Conference on Reactive Plasmas (ICRP), (Grenoble, France, July 15-18, 2002), P1-80, pp. 199-200. 

     More details

    Event date: 2002.7

    Language:English   Presentation type:Oral presentation (general)  

  220. Measurements of SiO2 Etch Yields under F+ and CFx+ Ion Irradiation International conference

    3rd International Conference on Microelectronics and Interfaces (ICMI'02), (Santa Clara, CA, February 11-15, 2002), pp. . 

     More details

    Event date: 2002.2

    Language:English   Presentation type:Oral presentation (general)  

  221. Dangling Bond Observation during Fluorocarbon Plasma Etching Processes Using In-vacuo Electron-Spin-Resonance Technique International conference

    1st International Symposium on Dry Process, (Waseda, Tokyo, November 20-21, 2001), VII-6, pp. 301-306. 

     More details

    Event date: 2001.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  222. In-vacuo Electron-Spin-Resonance Study on Fluorocarbon Films for SiO2 Plasma Etching International conference

    AVS 48th International Symposium American Vacuum Society (AVS), (San Francisco, CA, October 28-November 2, 2001), PS1-MoA2, p.64. 

     More details

    Event date: 2001.10

    Language:English   Presentation type:Oral presentation (general)  

  223. Early-stage modification of Silicon dioxide surface during fluorocarbon plasma etching International conference

    25th Intern. Conf. on Phenomena in Ionized Gases (ICPIG), (Nagoya, July 17-22, 2001), 18a35, p. 89. 

     More details

    Event date: 2001.7

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  224. Electron-Spin-Resonance Investigation on Solid Surfaces Irradiated by Fluorocarbon Plasma International conference

    25th International Conference on Phenomena in Ionized Gases (ICPIG), (Nagoya, July 17-22, 2001), 18a34, p. 87. 

     More details

    Event date: 2001.7

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  225. Time-Resolved Planer Laser-Induced Fluorescence of Fluorocarbon Radicals in Oxide Etch Process Plasma International conference

    25th International Conference on Phenomena in Ionized Gases (ICPIG), (Nagoya, July 17-22, 2001), 18a36, p. 91. 

     More details

    Event date: 2001.7

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  226. Early-stage modification of silicon oxide surface in fluorocarbon plasma for selective etching over silicon International conference

    47th International Symposium American Vacuum Society (AVS), (Boston, MA, October 2-6, 2000), PS-MoM4, p. 6. 

     More details

    Event date: 2000.10

    Language:English   Presentation type:Oral presentation (general)  

  227. An in-situ time-resolved infrared spectroscopic study of silicon dioxide surface during selective etching over silicon using fluorocarbon plasma International conference

    Microprocess and Nanotechnology Conference (MNC), (Tokyo, July 11-13, 2000), 13B-9-3, pp. 270-271. 

     More details

    Event date: 2000.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  228. Early Stage of Native Oxide Growth on an Atomically Flat Hydrogen Terminated Si(111) Surface International conference

    Proc. 3rd Intern. Symp. Ultra Clean Processing of Silicon Surface (UCPSS 96), edited by M. Heyns, (Acco Leuven/Amersfoort, 1996), pp. 273-278. 

     More details

    Event date: 1996

    Language:English   Presentation type:Oral presentation (general)  

  229. Thickness-Deconvolved Structural Properties of Thermally Grown Silicon Dioxide Film International conference

    26th IEEE Semiconductor Interface Specialist Conf. (SISC 95), (Charleston, South Carolina, December 7-9, 1995), P1.2. 

     More details

    Event date: 1995.12

    Language:English   Presentation type:Poster presentation  

  230. Thickness-Deconvolved Structural Properties of Thermally Grown Silicon Dioxide Film International conference

    Ext. Abst. of the 1995 Intern. Conf. on Solid State Devices and Mater. (SSDM), (Osaka, August 21-24, 1995), PA-1-8, pp. 500-502. 

     More details

    Event date: 1995.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  231. In-situ Observation of Oxygen Exposed Hydrogen Terminated Silicon Surfaces International conference

    Ext. Abst. of the 1995 Intern. Conf. on Solid State Devices and Mater. (SSDM), (Osaka, August 21-24, 1995), S-1-1-2, pp.13-15. 

     More details

    Event date: 1995.8

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  232. Study on Reaction of Fluorine Radicals with Si(111) Surface Employing an In-situ Combinated of ATR and XPS International conference

    8th Intern. Micro Process Conf., (Sendai, July 17-20, 1995), pp. 170-171. 

     More details

    Event date: 1995.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  233. Contribution of Si/SiO2 Interface Roughness in the Observation of Chemical Structure International conference

    Ext. Abst. of the 1994 Intern. Conf. on Solid State Devices and Mater.(SSDM), (Yokohama, August 23-26, 1994), C-8-5, pp. 850-852. 

     More details

    Event date: 1994.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  234. Effects of Dissolved Oxygen in HF solution on Silicon Surface Morphology International conference

    Ext. Abst. of the 1994 Intern. Conf. on Solid State Devices and Mater. (SSDM), (Yokohama, August 23-26, 1994), pp. 437-439. 

     More details

    Event date: 1994.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  235. New Analytical Method of SiO2 Structure by Infrared Reflection Absorption Spectorscopy (IR-RAS) International conference

    1993 Fall Meeting of the Material Research Society (MRS), Proc. 318 (Boston, November 28-December 5, 1993), pp. 425-430. 

     More details

    Event date: 1993.11

    Language:English   Presentation type:Oral presentation (general)  

  236. FT-IR-RAS Analysis of Native Oxide Grown on Si(111) International conference

    S. Fujimura, H. Ogawa, K. Ishikawa, C. Inomata, and H. Mori

    Ext. Abst. of the 1993 Intern. Conf. on Solid State Devices and Mater. (SSDM), (Makuhari, August 29-September 1, 1993), pp.618-620. 

     More details

    Event date: 1993.8

    Language:English   Presentation type:Oral presentation (general)  

▼display all

KAKENHI (Grants-in-Aid for Scientific Research) 8

  1. Innovation in atomically controlled engineering of plasma etching technology with builiding a collaborative environment for theory, computation, and measurement

    Grant number:21H01073  2021.4 - 2024.3

    Grants-in-Aid for Scientific Research  Grant-in-Aid for Scientific Research (B)

      More details

    Authorship:Coinvestigator(s) 

  2. Spatiotemporal analysis of aqueous reaction field of plasma-generated free radicals

    Grant number:21H04451  2021.4 - 2024.3

    Grants-in-Aid for Scientific Research  Grant-in-Aid for Scientific Research (A)

      More details

    Authorship:Principal investigator 

    Grant amount:\43030000 ( Direct Cost: \33100000 、 Indirect Cost:\9930000 )

  3. Mechanism elucidation of spatio-temporal structure formation of sheath fluctuation using optically trapped fine particles in plasmas

    Grant number:20H00142  2020.4 - 2024.3

    Grants-in-Aid for Scientific Research  Grant-in-Aid for Scientific Research (A)

      More details

    Authorship:Coinvestigator(s) 

  4. Plasma Biochemistry and Metabolic Profiles of Cells Interacted with Non-thermal Plasmas

    Grant number:17H02805  2017.4 - 2020.3

    Ishikawa Kenji

      More details

    Authorship:Principal investigator 

    Grant amount:\18460000 ( Direct Cost: \14200000 、 Indirect Cost:\4260000 )

    The alternation of intracellular metabolites cultured in plasma-activated solutions was observed in brain tumor cells (U251SPs). Bioenergetics and biomass production were taken place through catabolism from glucose uptake in the cell culture medium; (i) bioenergetics were deficient in the plasma-activated culture medium due to reduced activity of glycolytic enzymes due to oxidative stress; (ii) lactate-containing solutions enhanced anabolism from lactate uptake while maintaining a reduced intracellular state; and (iii) plasma-activated organics acted as inhibitors of glutamine assimilation and lipid metabolism in the plasma-activated lactate solution (PAL). In particular, we found that intracellular metabolism was altered by plasma-activated organic matter in PAL, leading to plasma-induced cell death.

  5. Health risk assessment and development of remediation systems for elemental contamination of drinking water in Asian countries

    Grant number:15H02588  2015.4 - 2019.3

    Grants-in-Aid for Scientific Research  Grant-in-Aid for Scientific Research (A)

    Kato Masashi

      More details

    Authorship:Coinvestigator(s) 

    Contamination of toxic elements in well drinking water has caused various element-originating diseases in tens of millions of people in Asian countries. In this study, the comprehensive study was conducted to quickly resolve the international environmental problems related to contamination of toxic elements in well drinking water. First, the present situation of elemental contamination of well drinking water was investigated in the areas including Afghanistan. Then, harmful elements that may induce carcinogenesis and/or disorders of sensory organs (melanosis, hearing loss, etc.) were detected by our multidisciplinary health risk assessment system consisted of experimental and epidemiological analyses. Finally, we developed a new remediation system that can remove harmful elements from well drinking water based on our results of health risk assessment.

  6. Construction of carbon nanowall sheet edge electronics and differentiation induction control of single cell

    Grant number:15H02032  2015.4 - 2018.3

    Hori Masaru

      More details

    Authorship:Collaborating Investigator(s) (not designated on Grant-in-Aid) 

    In order to cultivate biotechnology devices and plasma bioelectronics that can control adhesion, morphology and differentiation induction of cells by systematic elucidation interaction between electronic properties developed from nanosheet-edges in carbon nanowall (CNW) and cells, the effects of superimposed electrical stimulation on the CNW scaffold on the proliferation / differentiation (ossification) of osteoblasts were elucidated. Proliferation promotion and suppression of ossification were specifically confirmed only when the electrical stimulation at the frequency of 10 Hz was superimposed. Furthermore, the dependence on wall density and the formation of cell clumps without ossification were also found. These suggest changes in cell proliferation and differentiation due to the synergy between the sheet edge on the CNW surface and electrical stimulation, and establishment of new cell control technology in various regenerative medicine is expected.

  7. プラズマで生成された生体内フリーラジカルの実時間計測とプラズマ滅菌処理の研究

    2014.4 - 2017.3

    基盤研究(B)  基盤研究(B)

      More details

    Authorship:Principal investigator 

  8. 実時間その場電子スピン共鳴分析によるプラズマの生体に及ぼす作用に関する研究

    2012.4 - 2014.3

    挑戦的萌芽 

      More details

    Authorship:Principal investigator 

▼display all

Industrial property rights 8

  1. シリコン酸化膜の評価方法及び装置並びに半導体装置の製造方法及び装置

     More details

    Date applied:2005.3

    Patent/Registration no:3844770  Date registered:2006.8 

    Country of applicant:Domestic  

  2. 半導体装置の製造方法

     More details

    Date applied:2004.9

    Patent/Registration no:4283189  Date registered:2009.3 

    Country of applicant:Domestic  

  3. シリコン酸化膜の評価方法及び半導体装置の製造方法

     More details

    Date applied:2002.12

    Patent/Registration no:3816440  Date registered:2006.6 

    Country of applicant:Domestic  

  4. 半導体装置の製造方法

     More details

    Date applied:1999.3

    Patent/Registration no:3326718  Date registered:2002.7 

    Country of applicant:Domestic  

  5. 半導体装置の製造方法

     More details

    Date applied:1999.2

    Patent/Registration no:3326717  Date registered:2002.7 

    Country of applicant:Domestic  

  6. シリコン酸化膜の評価方法及び半導体装置の製造方法

     More details

    Date applied:1995.3

    Patent/Registration no:3670336  Date registered:2005.4 

    Country of applicant:Domestic  

  7. Silicon oxide film evaluation method

     More details

    Date applied:1994.3

    Patent/Registration no:US 5,595,916  Date registered:1997.1 

    Country of applicant:Foreign country  

  8. シリコン酸化膜の評価方法及び装置、並びに半導体装置の製造方法及び装置

     More details

    Date applied:1994.3

    Patent/Registration no:3452629  Date registered:2003.7 

    Country of applicant:Domestic  

▼display all

 

Teaching Experience (On-campus) 8

  1. Electromagnetism

    2023

  2. Dielectric engineering

    2023

  3. ナノプロセス工学特論

    2020

  4. Nanoprocessing technology

    2018

  5. ナノプロセス工学特論

    2017

  6. ナノプロセス工学特論

    2016

  7. ナノプロセス工学特論

    2014

  8. ナノプロセス工学特論

    2012

▼display all