2025/11/24 更新

写真a

アマノ ヒロシ
天野 浩
AMANO, Hiroshi
所属
未来材料・システム研究所 附属未来エレクトロニクス集積研究センター 未来デバイス部 教授
大学院担当
大学院工学研究科
学部担当
工学部
職名
教授
連絡先
メールアドレス
外部リンク

学位 7

  1. 名誉博士号 ( 2017年1月   グアテマラパジェ大学 ) 

  2. 名誉博士号 ( 2016年6月   モンゴル国立大学 ) 

  3. 名誉博士号 ( 2016年5月   フランスオーベルニュ大学ブレイズパスカル大学 ) 

  4. 名誉博士号 ( 2016年4月   イタリアパドバ大学 ) 

  5. 名誉博士号 ( 2015年9月   スウェーデンリンショーピング大学 ) 

  6. 名誉博士号 ( 2015年6月   ロシアノボシビルスク州立大学 ) 

  7. 工学博士 ( 1989年1月   名古屋大学 ) 

▼全件表示

研究キーワード 10

  1. 発光ダイオード, レーザダイオード, トランジスタ, 太陽電池, 化合物半導体結晶成長, 半導体デバイス物理, ナノ構造

  2. 発光ダイオード

  3. 太陽電池

  4. 半導体デバイス物理

  5. 化合物半導体結晶成長

  6. レーザダイオード

  7. ナノ構造

  8. トランジスタ

  9. パワーデバイス 

  10. ミリ波 マイクロ波デバイス

研究分野 1

  1. その他 / その他  / 電子・電気材料工学

現在の研究課題とSDGs 3

  1. 安心・安全で省エネルギー化に貢献する半導体デバイス

  2. Ⅲ族窒化物半導体の結晶成長とデバイス応用

  3. 卓越大学院DIIプログラム

経歴 15

  1. 名古屋大学未来材料・システム研究所   未来エレクトロニクス集積研究センター   未来エレクトロニクス集積研究センターセンター長

    2015年10月 - 現在

      詳細を見る

    国名:日本国

  2. 名古屋大学   未来材料・システム研究所 附属未来エレクトロニクス集積研究センター 未来デバイス部   教授

    2015年10月 - 現在

      詳細を見る

  3. 名城大学特別栄誉教授

    2015年7月 - 現在

      詳細を見る

    国名:日本国

  4. 名城大学

    2015年7月 - 現在

      詳細を見る

  5. 名古屋市立大学 客員教授

    2015年4月 - 2016年3月

      詳細を見る

    国名:日本国

  6. 名古屋大学特別教授

    2015年3月 - 現在

      詳細を見る

    国名:日本国

  7. 名古屋大学   教授

    2015年3月 - 現在

      詳細を見る

  8. 中国清華大学   名誉教授

    2014年11月 - 現在

      詳細を見る

  9. 名古屋大学   大学院工学研究科 共通/大学院工学研究科)   赤﨑記念研究センター センター長

    2011年4月 - 現在

  10. 名古屋大学   大学院工学研究科 共通/大学院工学研究科)   赤﨑記念研究センター センター長

    2011年4月 - 現在

      詳細を見る

  11. 名古屋大学   工学研究科電子情報システム専攻   教授

    2010年4月 - 2015年10月

      詳細を見る

    国名:日本国

  12. 名城大学理工学部教授

    2002年4月 - 2010年3月

      詳細を見る

    国名:日本国

  13. 名城大学理工学部助教授

    1998年4月 - 2002年3月

      詳細を見る

    国名:日本国

  14. 名城大学理工学部講師

    1992年4月 - 1998年3月

      詳細を見る

    国名:日本国

  15. 名古屋大学助手(工学部)

    1988年4月 - 1992年3月

      詳細を見る

    国名:日本国

▼全件表示

学歴 3

  1. 名古屋大学   工学研究科   電気工学・電気工学第二及び電子工学専攻

    1985年4月 - 1988年3月

      詳細を見る

    国名: 日本国

  2. 名古屋大学   工学研究科   電気工学・電気工学第二及び電子工学専攻

    1983年4月 - 1985年3月

      詳細を見る

    国名: 日本国

  3. 名古屋大学   工学部   電子工学科

    1979年4月 - 1983年3月

      詳細を見る

    国名: 日本国

所属学協会 34

  1. 日本学士院   会員

    2022年12月 - 現在

  2. IEEE   メンバー

    2022年1月 - 現在

  3. 中国工程院   外国籍院士

    2019年11月 - 現在

  4. National Academy of Inventors,USA   NAI Fellow

    2017年10月 - 現在

  5. 照明学会   名誉会員

    2016年9月 - 現在

  6. American Physical Society   フェロー

    2015年9月 - 現在

  7. 日本工学アカデミー   会員

    2015年6月 - 現在

  8. 日本化学会   名誉会員

    2015年6月 - 現在

  9. NAE(United States National Academy of Engineering)

    2015年6月 - 現在

  10. 電気学会   名誉員

    2015年5月 - 現在

  11. 日本表面科学会   特別栄誉会員

    2015年5月 - 現在

  12. 日本物理学会   名誉会員

    2015年4月 - 現在

  13. 電子情報通信学会    名誉員

    2015年3月 - 現在

  14. 電子情報通信学会   名誉員

    2014年12月 - 現在

  15. Institute of Physics UK   Fellow

    2014年12月 - 現在

  16. Material Research Society   Regular Member

    2010年1月 - 現在

  17. 応用物理学会   名誉会員

    1984年10月 - 現在

  18. Optical Society of America

  19. SPIE

  20. 応用物理学会   Fellow、名誉会員

  21. Institute of Physics   Fellow

  22. 応用物理学会

      詳細を見る

  23. SPIE

      詳細を見る

  24. Optical Society of America

      詳細を見る

  25. NAE(United States National Academy of Engineering)

      詳細を見る

  26. Material Research Society

      詳細を見る

  27. American Physical Society

      詳細を見る

  28. 日本化学会

      詳細を見る

  29. 電気学会

      詳細を見る

  30. 電子情報通信学会

      詳細を見る

  31. 照明学会

      詳細を見る

  32. 日本表面科学会

      詳細を見る

  33. 日本物理学会

      詳細を見る

  34. 日本工学アカデミー

      詳細を見る

▼全件表示

委員歴 30

  1. IWUMD-2017   組織委員長  

    2016年10月 - 2017年12月   

  2. 国立研究会開発法人産業術総合研究所   柱冠フェロー  

    2016年8月 - 現在   

      詳細を見る

    団体区分:政府

  3. International Solid State Lighting Alliance   国際諮問委員  

    2016年8月 - 現在   

      詳細を見る

    団体区分:学協会

  4. OPIC2017   組織委員  

    2016年8月 - 現在   

      詳細を見る

    団体区分:学協会

  5. 国際物理オリンピック日本大会   組織委員会副委員長  

    2016年5月 - 2023年8月   

      詳細を見る

    団体区分:その他

  6. 日本結晶成長学会   評議員  

    2016年4月 - 2019年3月   

      詳細を見る

    団体区分:学協会

  7. 33rd International Conference on the Physics of Semiconductors国際諮問委員会   委員長  

    2015年9月 - 2016年8月   

  8. ・結晶成長の科学と技術第161委員会   委員  

    2015年8月 - 2016年3月   

  9. 2015 Rusnanoprize Award Committee   Member  

    2015年7月 - 現在   

  10. 2015 Rusnanoprize Award Committee   Member  

    2015年7月 - 現在   

      詳細を見る

  11. 2015 Rusnanoprize Award Committee   Member  

    2015年7月 - 現在   

      詳細を見る

  12. Optics & Photonics International Congress 2016組織委員会   委員  

    2015年7月 - 2016年6月   

  13. 江崎玲於奈賞委員会   委員  

    2015年6月 - 現在   

      詳細を見る

    団体区分:その他

  14. 日本フォトニクス協議会 JPC関西   特別顧問  

    2015年5月 - 現在   

      詳細を見る

    団体区分:その他

  15. 大阪大学光科学センター   特別顧問  

    2015年5月 - 2017年9月   

  16. ISPlasma2016/IC-PLANT2016組織委員会   委員長  

    2015年5月 - 2016年4月   

  17. 組織委員会委員     

    2014年7月 - 2015年3月   

  18. ISCS2014   Regional program chair  

    2013年4月 - 現在   

  19. Program Committee Chair  

    2013年4月 - 2014年3月   

  20. OPIC2013   組織委員  

    2012年7月 - 現在   

  21. OPIC2013   組織委員  

    2012年7月 - 現在   

      詳細を見る

  22. レーザ学会   専門委員会  

    2012年5月 - 2015年3月   

  23. 産業用LED応用研究会   委員長  

    2012年4月 - 現在   

  24. プログラム委員会副委員長  

    2012年2月 - 2012年4月   

  25. 4th International Symposium on Growth of III-Nitrides   Program Committee Chair  

    2011年7月 - 2012年7月   

  26. ICMOVPE-XVI   International Advisory COmmittee  

    2011年6月 - 2012年5月   

  27. 実行委員長  

    2011年4月 - 2012年10月   

  28. 名古屋市科学館企画調査委員会   企画調査委員  

    2010年8月 - 現在   

  29. 電子部品・材料研究専門委員会   専門委員  

    2010年5月 - 2012年5月   

  30. ワイドギャップ半導体光・電子デバイス第162委員会   特別顧問  

    2010年4月 - 2017年3月   

▼全件表示

受賞 36

  1. 卓越教授

    2023年4月   名古屋大学   卓越教授

     詳細を見る

    受賞国:日本国

  2. 特別教授

    2019年10月   広島大学   特別教授

     詳細を見る

    受賞国:日本国

  3. 応用物理学会化合物半導体エレクトロニクス業績賞(赤﨑勇賞)

    2016年3月   応用物理学会   青色及び紫外光デバイスの開発

    天野 浩

     詳細を見る

    受賞区分:国内学会・会議・シンポジウム等の賞  受賞国:日本国

  4. 丸八会顕彰

    2015年10月   丸八会  

     詳細を見る

    受賞国:日本国

  5. 第10回業績賞及び赤﨑勇賞

    2015年10月   日本結晶成長学会   高品質窒化物半導体の創出と青色・紫外光素子の実現

    天野 浩

     詳細を見る

    受賞区分:国内学会・会議・シンポジウム等の賞  受賞国:日本国

  6. 2015 Asia Game Changers

    2015年10月   Asia Society  

     詳細を見る

    受賞区分:国内外の国際的学術賞  受賞国:アメリカ合衆国

  7. 愛知県名誉県民

    2015年9月   愛知県  

     詳細を見る

    受賞国:日本国

  8. 産学官連携功労者表彰 日本学術会議会長賞,

    2015年8月   日本学術会議会長   「短波長紫外LED」の開発

    天野 浩

     詳細を見る

    受賞国:日本国

  9. 浜松市名誉市民

    2015年7月   浜松市  

     詳細を見る

    受賞国:日本国

  10. 中日文化賞

    2015年5月   中日新聞  

     詳細を見る

    受賞国:日本国

  11. 科学技術分野の文部科学大臣表彰 科学技術賞研究部門

    2015年4月   文部科学大臣   

     詳細を見る

    受賞国:日本国

  12. 特別教授

    2015年4月   名古屋大学   特別教授

     詳細を見る

    受賞国:日本国

  13. 特別栄誉教授

    2015年4月   名城大学   特別栄誉教授

     詳細を見る

    受賞国:日本国

  14. 日本スウェーデン協会 名誉会員

    2015年3月   日本スウェーデン協会  

     詳細を見る

    受賞国:日本国

  15. 電子情報通信学会 特別功績賞

    2015年3月   電子情報通信学会  

     詳細を見る

    受賞国:日本国

  16. 静岡県民栄誉賞

    2015年1月   静岡県  

     詳細を見る

    受賞国:日本国

  17. 名古屋市学術表彰

    2015年1月   名古屋市  

     詳細を見る

    受賞国:日本国

  18. 愛知県学術顕彰

    2015年1月   愛知県  

     詳細を見る

    受賞国:日本国

  19. ノーベル物理学賞

    2014年12月   ノーベル財団  

     詳細を見る

    受賞国:スウェーデン王国

  20. 文化勲章

    2014年11月   首相  

     詳細を見る

    受賞国:日本国

  21. 文化功労者顕彰

    2014年11月   文部科学大臣  

     詳細を見る

    受賞国:日本国

  22. APEX/JJAP編集貢献賞

    2014年3月   応用物理学会  

     詳細を見る

    受賞国:日本国

  23. IOP Fellow

    2011年10月   Institute of Physics  

     詳細を見る

    受賞国:グレートブリテン・北アイルランド連合王国(英国)

  24. 英国物理学会 フェロー

    2011年10月  

    天野 浩

     詳細を見る

    受賞国:グレートブリテン・北アイルランド連合王国(英国)

  25. ナイスステップな研究者2009

    2009年12月   文部科学省 科学技術政策研究所  

     詳細を見る

    受賞国:日本国

  26. ナイスステップな研究者2009

    2009年12月   科学技術・政策研究所   青色及び紫外光デバイスの開発

    天野 浩

     詳細を見る

    受賞国:日本国

  27. 応用物理学会フェロー

    2009年9月   応用物理学会  

     詳細を見る

    受賞国:日本国

  28. 日本結晶成長学会論文賞

    2008年11月   日本結晶成長学会  

     詳細を見る

    受賞国:日本国

  29. 第1回 P&I パテント・オブ・ザ・イヤー

    2004年11月   東京工業大学精密工学研究所  

     詳細を見る

    受賞国:日本国

  30. SSDM論文賞

    2003年9月   SSDM  

     詳細を見る

    受賞国:日本国

  31. 武田賞

    2002年11月   竹田財団  

     詳細を見る

    受賞国:日本国

  32. 丸文学術賞

    2001年3月   丸文財団  

     詳細を見る

    受賞国:日本国

  33. 英国Rank賞

    1998年12月   Rank Foundation  

  34. 応用物理学会賞C(会誌賞)

    1998年9月   応用物理学会  

     詳細を見る

    受賞国:日本国

  35. 米国IEEE/LEOS エンジニアリングアチーブメント賞

    1996年11月  

  36. オプトエレクトロニクス会議特別賞

    1994年7月  

▼全件表示

 

論文 873

  1. Observation of 2D-magnesium-intercalated gallium nitride superlattices. 査読有り Open Access

    Wang J, Cai W, Lu W, Lu S, Kano E, Agulto VC, Sarkar B, Watanabe H, Ikarashi N, Iwamoto T, Nakajima M, Honda Y, Amano H

    Nature   631 巻 ( 8019 ) 頁: 67 - 72   2024年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Nature  

    Since the demonstration of p-type gallium nitride (GaN) through doping with substitutional magnesium (Mg) atoms1,2, rapid and comprehensive developments, such as blue light-emitting diodes, have considerably shaped our modern lives and contributed to a more carbon-neutral society3–5. However, the details of the interplay between GaN and Mg have remained largely unknown6–11. Here we observe that Mg-intercalated GaN superlattices can form spontaneously by annealing a metallic Mg film on GaN at atmospheric pressure. To our knowledge, this marks the first instance of a two-dimensional metal intercalated into a bulk semiconductor, with each Mg monolayer being intricately inserted between several monolayers of hexagonal GaN. Characterized as an interstitial intercalation, this process induces substantial uniaxial compressive strain perpendicular to the interstitial layers. Consequently, the GaN layers in the Mg-intercalated GaN superlattices exhibit an exceptional elastic strain exceeding −10% (equivalent to a stress of more than 20 GPa), among the highest recorded for thin-film materials12. The strain alters the electronic band structure and greatly enhances hole transport along the compression direction. Furthermore, the Mg sheets induce a unique periodic transition in GaN polarity, generating polarization-field-induced net charges. These characteristics offer fresh insights into semiconductor doping and conductivity enhancement, as well as into elastic strain engineering of nanomaterials and metal–semiconductor superlattices13.

    DOI: 10.1038/s41586-024-07513-x

    Open Access

    Scopus

    PubMed

  2. 15 GHz GaN Hi-Lo IMPATT Diodes With Pulsed Peak Power of 25.5 W 査読有り

    Kawasaki, S; Kumabe, T; Deki, M; Watanabe, H; Tanaka, A; Honda, Y; Arai, M; Amano, H

    IEEE TRANSACTIONS ON ELECTRON DEVICES   71 巻 ( 3 ) 頁: 1408 - 1415   2024年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE Transactions on Electron Devices  

    The p+-n-n - n+ structure, known as Hi-Lo structure, was investigated in gallium nitride (GaN) single-drift-region (SDR) impact ionization avalanche transit-time (IMPATT) diodes to improve the output power and efficiency. The 15 GHz GaN Hi-Lo IMPATT diode was designed according to the Scharfetter and Gummel model under realistic conditions, suppressing the tunneling current (<10-4 cm2) and breakdown voltage (< 400 V). Even in such conditions, the calculated efficiency was higher than that of the p+-n abrupt junction structure and the improvement of RF characteristics was expected. The fabricated GaN Hi-Lo IMPATT diodes showed a clear avalanche breakdown and a pulsed microwave oscillation in the frequency range from 15 to 17 GHz. The maximum peak output power of 25.5 W and the efficiency of 2% were achieved, showing the highest values on microwave band GaN IMPATT diodes, and we confirmed that the Hi-Lo structure is effective for the high-power and high-efficiency operation of GaN IMPATT diodes.

    DOI: 10.1109/TED.2023.3345822

    Web of Science

    Scopus

  3. Demonstration of AlGaN-on-AlN p-n Diodes With Dopant-Free Distributed Polarization Doping 査読有り Open Access

    Kumabe T., Yoshikawa A., Kawasaki S., Kushimoto M., Honda Y., Arai M., Suda J., Amano H.

    IEEE Transactions on Electron Devices     2024年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE Transactions on Electron Devices  

    Nearly ideal vertical Al<inline-formula> <tex-math notation="LaTeX">$_{\textit{x}}$</tex-math> </inline-formula>Ga<inline-formula> <tex-math notation="LaTeX">$_{\text{1}-\textit{x}}$</tex-math> </inline-formula>N (<inline-formula> <tex-math notation="LaTeX">$\text{0.7} \leq \textit{x} &lt; \text{1.0}$</tex-math> </inline-formula>) p-n diodes are fabricated on an aluminum nitride (AlN) substrate. Distributed polarization doping (DPD) was employed for both p-type and n-type layers of the p-n junction, instead of conventional impurity doping, to overcome the major bottleneck of AlN-based material: the control of conductivity. Capacitance&#x2013;voltage measurements revealed that the net charge concentration agreed well with the DPD charge concentration expected from the device layer structure. The fabricated devices exhibited a low turn-on voltage of 6.5 V, a low differential specific ON-resistance of 3 M<inline-formula> <tex-math notation="LaTeX">$\Omega$</tex-math> </inline-formula> cm<inline-formula> <tex-math notation="LaTeX">$^{\text{2}}$</tex-math> </inline-formula>, electroluminescence (maximum at 5.1 eV), and an ideality factor of 2 for a wide range of temperatures (room temperature&#x2014;573 K). Moreover, the breakdown electric field was 7.3 MV cm<inline-formula> <tex-math notation="LaTeX">$^{-\text{1}}$</tex-math> </inline-formula>, which was almost twice as high as the reported critical electric field of GaN at the same doping concentration. These results clearly demonstrate the usefulness of DPD in the fabrication of high-performance AlN-based power devices.

    DOI: 10.1109/TED.2024.3367314

    Open Access

    Scopus

  4. Junction Diameter Dependence of Oscillation Frequency of GaN IMPATT Diode Up to 21 GHz 査読有り

    Kawasaki, S; Kumabe, T; Ando, Y; Deki, M; Watanabe, H; Tanaka, A; Honda, Y; Arai, M; Amano, H

    IEEE ELECTRON DEVICE LETTERS   44 巻 ( 8 ) 頁: 1328 - 1331   2023年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE Electron Device Letters  

    An experimental study on the effects of junction capacitance and current density on the oscillation characteristics of GaN single-drift-region (SDR) impact ionization avalanche transit-time (IMPATT) diodes were carried out using GaN p+-n abrupt junction diodes of various diameters, 200, 150, and 100 μ m , with a depletion layer width of 2 μ m. The fabricated diodes showed a clear avalanche breakdown at 315 V and a pulsed microwave oscillation with a peak output power exceeding 30 dBm. The oscillation frequency depended on junction diameter and current density. It was widely modulated from 8.56 to 21.1 GHz with decreasing junction diameter and increasing current density. The highest oscillation frequency was obtained with a current density of 13.8 kA/cm2 and a junction diameter of 100 μ m. A numerical calculation based on Read-type small-signal theory was carried out and found to well explain the experimental results.

    DOI: 10.1109/LED.2023.3285938

    Web of Science

    Scopus

  5. Reverse Leakage Mechanism of Dislocation-Free GaN Vertical p-n Diodes 査読有り

    Kwon, W; Kawasaki, S; Watanabe, H; Tanaka, A; Honda, Y; Ikeda, H; Iso, K; Amano, H

    IEEE ELECTRON DEVICE LETTERS   44 巻 ( 7 ) 頁: 1172 - 1175   2023年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE Electron Device Letters  

    The reverse leakage mechanism of threading dislocation (TD)-free gallium nitride (GaN) vertical p-n diode was investigated in various temperature range, and it was compared with that of the p-n diode having a threading dislocation density (TDD) of around 10^6 cm -2. The reverse leakage current was increased markedly by increasing the temperature from 400 K, the dominant mechanism was explained by thermionic and Poole-Frenkel emissions for TD-free and high-TDD p-n diodes, respectively. At high temperatures and electric fields, the leakage current of the high TDD p-n diode showed 2 times higher than the TD-free p-n diode. These results indicate that the performance of vertical GaN devices, especially when employed at high temperatures and electric fields, can be enhanced by removing TDs.

    DOI: 10.1109/LED.2023.3274306

    Web of Science

    Scopus

  6. Hole mobility limiting factors in dopant-free p-type distributed polarization-doped AlGaN 査読有り Open Access

    Kumabe, T; Kawasaki, S; Watanabe, H; Honda, Y; Amano, H

    APPLIED PHYSICS LETTERS   122 巻 ( 25 )   2023年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Letters  

    We investigated the hole mobility limiting factors in dopant-free p-type distributed polarization-doped (DPD) AlGaN layers by an experimental method. p-DPD AlGaN exhibited a higher hole mobility than GaN:Mg with a similar room temperature hole concentration across all temperature ranges owing to the absence of ionized impurity scattering. In addition, unlike in n-DPD AlGaN, alloy scattering was not always critical in p-DPD AlGaN. The extracted alloy scattering potential was only 0.3 eV, which resulted in a reduced effect of alloy scattering and originated from a small valence band offset in the GaN/AlN heterojunction system. The results suggest that DPD principally enables the fabrication of low-resistance p-type nitride semiconductor thin films as a result of the high hole concentration and high hole mobility.

    DOI: 10.1063/5.0155363

    Open Access

    Web of Science

    Scopus

  7. High In content nitride sub-micrometer platelet arrays for long wavelength optical applications 査読有り

    Cai, WT; Furusawa, Y; Wang, J; Park, JH; Liao, YQ; Cheong, HJ; Nitta, S; Honda, Y; Pristovsek, M; Amano, H

    APPLIED PHYSICS LETTERS   121 巻 ( 21 )   2022年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Letters  

    We demonstrate high, up to 30% In content InGaN sub-micrometer platelets on GaN by metalorganic vapor phase epitaxy. These InGaN platelets were selectively grown on flat GaN seeds formed in sub-micrometer-scale openings in a SiNx mask. The platelets were highly uniform without any dislocations or pits, with an atomically flat (0001) surface. The typical height was ∼120 nm, which significantly exceeded the normal critical layer thickness of a c-plane InGaN film. The strain state was comprehensively characterized by microbeam x-ray diffraction and transmission electron microscopy. Due to a gradual elastic relaxation of strain, the In content increased almost linearly from bottom to top because of the strong strain-dependent In incorporation. These platelets can serve as high-quality strain-relaxed templates for long wavelength micro-light-emitting diodes.

    DOI: 10.1063/5.0120723

    Web of Science

    Scopus

  8. Tuning the p-type doping of GaN over three orders of magnitude via efficient Mg doping during halide vapor phase epitaxy 査読有り Open Access

    Ohnishi, K; Fujimoto, N; Nitta, S; Watanabe, H; Lu, S; Deki, M; Honda, Y; Amano, H

    JOURNAL OF APPLIED PHYSICS   132 巻 ( 14 )   2022年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Applied Physics  

    The precise control of Mg concentration ([Mg]) in p-type GaN layers from 2.3 × 1016 to 2.0 × 1019 cm-3 was demonstrated by halide vapor phase epitaxy (HVPE) on n-type GaN (0001) freestanding substrates. [Mg] in GaN layers could be controlled well by varying the input partial pressure of MgCl2 formed by a chemical reaction between MgO solid and HCl gas under the thermodynamic equilibrium condition. In the sample with [Mg] of 2.0 × 1019 cm-3, a step-bunched surface was observed because the surface migration of Ga adatoms was enhanced by the surfactant effect of Mg atoms. The samples show high structural qualities determined from x-ray rocking curve measurements. The acceptor concentration was in good agreement with [Mg], indicating that almost all Mg atoms act as acceptors. The compensating donor concentrations in the samples were higher than the concentrations of Si, O, and C impurities. We also obtained the Mg acceptor level at a sufficiently low net acceptor concentration of 245 ± 2 meV. These results show that the HVPE method is promising for fabricating GaN vertical power devices, such as n-channel metal-oxide-semiconductor field-effect transistors.

    DOI: 10.1063/5.0122292

    Open Access

    Web of Science

    Scopus

  9. Laser slice thinning of GaN-on-GaN high electron mobility transistors 査読有り Open Access

    Tanaka, A; Sugiura, R; Kawaguchi, D; Wani, Y; Watanabe, H; Sena, H; Ando, Y; Honda, Y; Igasaki, Y; Wakejima, A; Ando, Y; Amano, H

    SCIENTIFIC REPORTS   12 巻 ( 1 ) 頁: 7363   2022年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Scientific Reports  

    As a newly developed technique to slice GaN substrates, which are currently very expensive, with less loss, we previously reported a laser slicing technique in this journal. In the previous report, from the perspective of GaN substrate processing, we could only show that the GaN substrate could be sliced by a laser and that the sliced GaN substrate could be reused. In this study, we newly investigated the applicability of this method as a device fabrication process. We demonstrated the thinning of GaN-on-GaN high-electron-mobility transistors (HEMTs) using a laser slicing technique. Even when the HEMTs were thinned by laser slicing to a thickness of 50 mm after completing the fabrication process, no significant fracture was observed in these devices, and no adverse effects of laser-induced damage were observed on electrical characteristics. This means that the laser slicing process can be applied even after device fabrication. It can also be used as a completely new semiconductor process for fabricating thin devices with thicknesses on the order of 10 mm, while significantly reducing the consumption of GaN substrates.

    DOI: 10.1038/s41598-022-10610-4

    Open Access

    Web of Science

    Scopus

    PubMed

  10. Continuous-wave lasing of AlGaN-based ultraviolet laser diode at 274.8 nm by current injection 査読有り Open Access

    Zhang, ZY; Kushimoto, M; Yoshikawa, A; Aoto, K; Schowalter, LJ; Sasaoka, C; Amano, H

    APPLIED PHYSICS EXPRESS   15 巻 ( 4 )   2022年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    We demonstrated continuous-wave lasing of an AlGaN-based ultraviolet laser diode, fabricated on a single-crystal AlN substrate when operating at 5 °C. The threshold current density and device series resistance were reduced by improvements to the epitaxial structure and electrode arrangement. A peak wavelength of 274.8 nm was observed for lasing at a drive current over 110 mA, which corresponded to a threshold current density of 3.7 kA cm-2. The operating voltage at the threshold current was as low as 9.6 V.

    DOI: 10.35848/1882-0786/ac6198

    Open Access

    Web of Science

    Scopus

  11. Ohmic contact on low-doping-density p-type GaN with nitrogen-annealed Mg 査読有り Open Access

    Lu, S; Deki, M; Wang, J; Ohnishi, K; Ando, Y; Kumabe, T; Watanabe, H; Nitta, S; Honda, Y; Amano, H

    APPLIED PHYSICS LETTERS   119 巻 ( 24 )   2021年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Letters  

    We have demonstrated a fabrication process for the Ohmic contact on low-doping-density p-type GaN with nitrogen-annealed Mg. An Ohmic contact with a contact resistance of 0.158 ω cm2 is realized on p-GaN ([Mg] = 1.3 × 1017 cm-3). The contact resistance of p-type GaN with higher Mg concentration ([Mg]=1.0 × 1019 cm-3) can also be reduced to 2.8 × 10-5 ω cm2. A localized contact layer is realized without any etching or regrowth damage. The mechanism underlying this reduced contact resistance is studied by scanning transmission electron microscopy with energy dispersive x-ray spectroscopy and secondary ion mass spectrometry, representing a mutual diffusion of Ga and Mg atoms on the interface. Reductions in the barrier height and surface depletion width with the nitrogen-annealed Mg layer are confirmed by XPS and Hall effect measurements qualitatively.

    DOI: 10.1063/5.0076764

    Open Access

    Web of Science

    Scopus

  12. Smart-cut-like laser slicing of GaN substrate using its own nitrogen 査読有り Open Access

    Tanaka, A; Sugiura, R; Kawaguchi, D; Yui, T; Wani, Y; Aratani, T; Watanabe, H; Sena, H; Honda, Y; Igasaki, Y; Amano, H

    SCIENTIFIC REPORTS   11 巻 ( 1 ) 頁: 17949   2021年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Scientific Reports  

    We have investigated the possibility of applying lasers to slice GaN substrates. Using a sub-nanosecond laser with a wavelength of 532 nm, we succeeded in slicing GaN substrates. In the laser slicing method used in this study, there was almost no kerf loss, and the thickness of the layer damaged by laser slicing was about 40 µm. We demonstrated that a standard high quality homoepitaxial layer can be grown on the sliced surface after removing the damaged layer by polishing.

    DOI: 10.1038/s41598-021-97159-w

    Open Access

    Web of Science

    Scopus

    PubMed

  13. Complete-Mapping Bidirectional Light Communication Using Monolithic III-Nitrides Based Photonic Circuit

    Xie M., Qi Z., Wang L., Jiang Y., Gao X., Liang Y., Yuan J., Gao X., Shi Z., Liu Y., Amano H., Guo Y., Wang Y.

    ACS Photonics   12 巻 ( 10 ) 頁: 5630 - 5638   2025年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:ACS Photonics  

    III-nitrides based multiquantum well (MQW) structures exhibit unique advantages due to their spectral overlap between electroluminescence and responsivity spectra, facilitating seamless integration of light emission, detection, modulation, and transmission within a single chip. Here, we demonstrate a dynamically reconfigurable bidirectional light communication system using a monolithic III-nitrides based photonic circuit operating approximately in the wavelength range from 385 to 410 nm. Optical isolators and electrical isolation trenches effectively reduce optical and electrical crosstalk down to picoampere levels. Identical MQW diodes interchangeably serve as transmitters and receivers, facilitating simultaneous on-chip transmission of video and audio signals via two parallel optical links. Additionally, the dynamically switchable emitting and detecting functionalities of MQW diodes enable bidirectional communication through a single waveguide, highlighting monolithic III-nitrides photonic integration as a promising approach for next-generation complete-mapping light communication networks and decentralized computational systems.

    DOI: 10.1021/acsphotonics.5c01345

    Scopus

  14. Highly Oriented Epitaxial Hexagonal Boron Nitride Multilayers on High-Temperature-Resistant Single-Crystal Aluminum Nitride (0001). Open Access

    Yang X, Pristovsek M, Nitta S, Honda Y, Ohtake A, Sakuma Y, Hiroto T, Ishida T, Ikezawa M, Guo Q, Amano H

    Advanced science (Weinheim, Baden-Wurttemberg, Germany)     頁: e09354   2025年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Advanced Science  

    The epitaxy of high-quality hexagonal boron nitride (hBN) multilayers on dielectric wafers is essential for hBN applications but remains challenging. Herein, highly-oriented hBN multilayers grown on single-crystal aluminum nitride (AlN)—AlN on sapphire and bulk AlN substrates—via metalorganic vapor phase epitaxy and high-temperature annealing is reported. Hexagonal AlN (0001) not only provides a crystallographically commensurate base for hBN epitaxy but is thermally stable for hBN annealing up to 1800 °C, enabling the first instance of large-area multilayer hBN with both superior out-of-plane and in-plane alignments grown directly on dielectrics using a fully industry-compatible approach. Elevated temperatures also reduce carbon and allow control over the separation of related single photon emission centers in hBN. These centers exhibit a record-narrow wavelength distribution (578 ± 5 nm) with small zero-phonon linewidths down to 1.44 meV, indicating the high uniformity of the achieved multilayer hBN films. This work paves an industry-compatible way toward producing highly-oriented homogeneous hBN multilayers on dielectrics, promising for future device and integration applications.

    DOI: 10.1002/advs.202509354

    Open Access

    Scopus

    PubMed

  15. On barrier-free vertical GaN PN junction diode enabled by ion-implantation and ex-situ Mg diffusion process Open Access

    Singh S., Kwon W., Li X., Wang J., Watanabe H., Honda Y., Amano H., Sarkar B.

    Japanese Journal of Applied Physics Part 1 Regular Papers and Short Notes and Review Papers   64 巻 ( 9 )   2025年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics Part 1 Regular Papers and Short Notes and Review Papers  

    This letter reports the application of ex-situ thermal diffusion of metallic Mg into GaN in mitigating the Schottky barrier that may arise underneath the standard Ni/Au contact to Mg ion-implanted GaN. The GaN PN junction diode realized after the Mg ion-implantation process resulted in a Schottky barrier in the p-side of the diode, in close agreement with the previous report. However, the diode that had an ex-situ thermal diffusion of Mg process after the ion-implantation process showed a dramatic increase in the forward current without introducing a compromise in the reverse breakdown voltage.

    DOI: 10.35848/1347-4065/adfeef

    Open Access

    Scopus

  16. SiN interlayer to improve external quantum efficiency and reduce sidewall recombination for blue (micro) light-emitting diodes Open Access

    Pristovsek M., Park J.H., Kwon W., Cheong H., Amano H.

    Applied Physics Letters   127 巻 ( 7 )   2025年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Letters  

    We demonstrate that inserting a fractional monolayer of SiN below the first InGaN quantum well (QW) does not change the In content but increases the external quantum efficiency (EQE) of light-emitting diodes (LEDs) and reduces the sidewall recombination, together with a small redshift and slight broadening of the emission. At low currents, we could directly measure the reduced emission from the sidewall of 80 μm diameter circular LEDs by inserting an SiN interlayer. This points to a reduced lateral diffusion of carriers in the QWs via an enhanced composition fluctuation indicated by the broadening of x-ray diffraction satellite peaks in addition to the formation of V-defects. Thus, the SiN interlayer increases the carrier localization in the QWs, which increases the EQE of LEDs due to fewer carriers reaching the sidewall as well as dislocations and point defects. The lateral localization of carriers could also explain the large scattering of the reported size dependencies of micro LEDs.

    DOI: 10.1063/5.0272825

    Open Access

    Scopus

  17. Advancements in ohmic contact technology for AlGaN/GaN high-electron-mobility transistors

    Kim H.Y., Horng R.H., Amano H., Seong T.Y.

    Progress in Quantum Electronics   102 巻   2025年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Progress in Quantum Electronics  

    AlGaN/GaN-based high electron mobility transistors (HEMTs) hold significant technological importance due to their applications in power electronics, radio frequency (RF) amplifiers, and microwave communication systems. A critical factor affecting the performance of AlGaN/GaN HEMTs is the formation of high-quality ohmic contacts to the source and drain, which facilitates efficient carrier injection from metal electrodes to the semiconductor. Therefore, various approaches have been employed to achieve the formation of high-quality ohmic contacts. This review presents recent advancements in ohmic contact technology for AlGaN/GaN HEMTs. Specifically, we introduce and discuss contact technologies focusing on multilayer schemes under different annealing conditions, Au-free metallization schemes, surface treatments, non-traditional annealing processes, recess etching, selective area regrowth, and ion implantation.

    DOI: 10.1016/j.pquantelec.2025.100578

    Scopus

  18. Role of Sidewall Conditions in the External Quantum Efficiency of InGaN-Based Micro-LEDs Open Access

    Park, JH; Pristovsek, M; Han, DP; Seong, TY; Amano, H

    PHYSICA STATUS SOLIDI-RAPID RESEARCH LETTERS   19 巻 ( 6 )   2025年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Physica Status Solidi Rapid Research Letters  

    This study aims to investigate the external quantum efficiency (EQE) of InGaN-based blue micro light-emitting diodes (μLEDs) depending on sidewall conditions and current stress. To this end, this study prepares two sets of InGaN blue μLEDs with sizes of 10 × 10 μm<sup>2</sup>, 20 × 20 μm<sup>2</sup>, and 40 × 40 μm<sup>2</sup>: 1) μLEDs with and without a 10 nm-thick ALD-SiO<inf>2</inf> interlayer and 2) μLEDs with varying atomic layer deposition (ALD)-Al<inf>2</inf>O<inf>3</inf> passivation layer thickness and tetramethylammonium hydroxide (TMAH) treatment time. The results of set 1 demonstrate that the ALD-SiO<inf>2</inf> interlayer layer has a significant effect on the EQE of μLEDs only at low current densities, as the interlayer effectively protects against subsequent plasma damage. The results of current stress show that the ALD-SiO<inf>2</inf> interlayer plays an important role at low current densities. The results of set 2 demonstrate that a sufficiently thick ALD passivation layer should be deposited to minimize sidewall interface states, and a short TMAH treatment time of 1 min is sufficient. The results in this study highlight that sidewall conditions have a substantial impact on the EQE at low current densities and indicate that optimizing ALD thickness and TMAH treatment time can reduce processing time and cost.

    DOI: 10.1002/pssr.202500042

    Open Access

    Web of Science

    Scopus

  19. Comparison of chip size effects of thin film GaN-based μLEDs fabricated by plasma etching and ion implantation processing Open Access

    Wan T.C., Tsai P.H., Lin H.W., Lin C.C., Wuu D.S., Amano H., Seong T.Y., Horng R.H.

    Applied Surface Science Advances   27 巻   2025年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Surface Science Advances  

    We investigated the effects of chip size and N-polar n-GaN surface roughening on the performance of conventional thin-film blue-light micro-light-emitting diodes (TFFC μLEDs) and As<sup>+</sup> ion isolated TFFC blue μLEDs. For this, TFFC μLEDs with two different sizes of 10 × 10 μm<sup>2</sup> and 25 × 25 μm<sup>2</sup> pixel array were fabricated. In all samples, N-face n-GaN surfaces were etched using a 4 M KOH solution after laser lift-off (LLO) process. A 2 min-etching resulted in the formation of pyramids (size: ∼90 – ∼270 nm), while the 4 min-etching produces pyramids (∼370 – ∼780 nm). Regardless of treatments, all samples exhibit similar forward bias characteristics. For all samples, the light output power increased after n-GaN surface roughening. Before the LLO process, the 10 μm-μLEDs showed higher EQE than the other samples with the implanted μLEDs showing the lowest EQE. All samples exhibited their highest EQE after optimal 4-min etching. Unlike before LLO, after 4 min-etching, the 10 μm- and 25 μm-μLEDs show almost similar EQEs, while the implanted μLEDs reveal a slightly lower EQE than the mesa μLEDs, but produce up to 74 % EQE improvement. Furthermore, the 10 μm-μLEDs showed the shortest photoluminescence (PL) decay, while the 25 μm-μLEDs gave the longest PL decay. The 10 μm μLEDs showed the highest ideality factor and the As<sup>+</sup> ion-implanted μLEDs gave the lowest value. Based on time resolved PL and ideality factor, the size and etching time dependence of the EQE characteristics of all samples are described and discussed.

    DOI: 10.1016/j.apsadv.2025.100776

    Open Access

    Scopus

  20. Electron Spin Resonance and Photoluminescence Studies of Carbon-Induced Point Defects in GaN: Influence of Doping Concentration and Method Open Access

    Honda, A; Watanabe, H; Kato, T; Honda, Y; Amano, H

    PHYSICA STATUS SOLIDI B-BASIC SOLID STATE PHYSICS     2025年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Physica Status Solidi B Basic Research  

    The potential to modify the substitution of carbon (C) atom for gallium (Ga) or nitrogen (N) or the occupancy of the interstitial site for the different methods of C doping in gallium nitride is investigated. Herein, two types of samples with C doping concentrations of 1 × 10<sup>17</sup>, 1 × 10<sup>18</sup>, and 1 × 10<sup>19</sup> cm<sup>−3</sup> are prepared by supplying acetylene (C<inf>2</inf>H<inf>2</inf>) gas during growth and C autodoping. Based on the analysis of electron spin resonance and photoluminescence, it appears that the point defect generated by C substitution for the N site (C<inf>N</inf>) is formed in the C-doped sample with C<inf>2</inf>H<inf>2</inf> gas at C concentration of 1 × 10<sup>17</sup> cm<sup>−3</sup>, and the defect changes to the C interstitial (C<inf>i</inf>) at C concentrations of 1 × 10<sup>18</sup>–1 ×10<sup>19</sup> cm<sup>−3</sup>. In contrast, the C<inf>i</inf> defect is formed in the C autodoped samples at C concentrations of 1 × 10<sup>17</sup>–1 × 10<sup>18</sup> cm<sup>−3</sup> and changed to the C<inf>N</inf> defect at C concentration of 1 × 10<sup>19</sup> cm<sup>−3</sup>. These results indicate the possibility that the formation mechanism of yellow luminescence band is different depending on the C doping method even at the same C concentration.

    DOI: 10.1002/pssb.202500012

    Web of Science

    Scopus

  21. Characteristics of 2DEG generated at the heterointerface of an AlN/GaN structure grown on an AlN substrate using metal organic vapor phase epitaxy Open Access

    Yoshikawa, A; Kumabe, T; Sugiyama, S; Arai, M; Suda, J; Amano, H

    JOURNAL OF APPLIED PHYSICS   137 巻 ( 19 )   2025年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Applied Physics  

    A pseudomorphic AlN/GaN structure was grown on an AlN substrate using metalorganic vapor phase epitaxy (MOVPE). The Hall effect characteristics of two-dimensional electron gas induced at the interface between AlN and GaN and the properties of high-electron-mobility transistors (HEMTs) fabricated using the proposed AlN/GaN structures were investigated. The Hall effect measurements indicated that the electron mobility at room temperature was 294 cm<sup>2</sup> V<sup>−1</sup> s<sup>−1</sup>, the sheet carrier density was 1.1 × 10<sup>13</sup> cm<sup>−2</sup>, and the sheet resistance was 1930 Ω/sq. Cross-sectional transmission electron microscopy images revealed an extremely abrupt interface between the AlN and GaN layers. By contrast, surface atomic force microscopy measurements indicated that GaN exhibited a clear step-terrace surface and the AlN barrier layer exhibited the signs of transitioning to island-like growth. Furthermore, the HEMT devices exhibited clear transistor characteristics with a source-drain breakdown voltage of 2.3 kV and an ON/OFF ratio of >10<sup>7</sup>. These findings demonstrate the potential of MOVPE for fabricating AlN/GaN on AlN substrates, showing the inherent potential of AlN as a substrate.

    DOI: 10.1063/5.0255068

    Open Access

    Web of Science

    Scopus

  22. Quantum efficiency characteristics of low-threading-dislocation-density InGaN photocathode grown on GaN substrate

    Idei, M; Sato, D; Koizumi, A; Nishitani, T; Honda, Y; Amano, H

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B   43 巻 ( 3 )   2025年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Vacuum Science and Technology B  

    The dependence of the quantum efficiency (QE) of a Cs/O-activated negative-electron-affinity (NEA) state InGaN photocathode on threading dislocation density (TDD) was investigated. InGaN photocathodes with different TDDs were grown on sapphire and GaN substrates by metal-organic vapor-phase epitaxy (MOVPE). The TDDs were 1 × 10<sup>9</sup> cm<sup>−2</sup> on the sapphire substrate and less than 5 × 10<sup>6</sup> cm<sup>−2</sup> on the GaN substrate. After the activation of the NEA state of InGaN photocathode surfaces by Cs/O, the QEs were 1.1% on the sapphire substrate and 0.91% on the GaN substrate. Despite a TDD difference of approximately two orders of magnitude, the QEs were comparable. The results show that the QE is not limited by the high TDD in the InGaN photocathode.

    DOI: 10.1116/6.0004199

    Web of Science

    Scopus

  23. Modeling and Designing a GaN-Growth Reactor With Halogen-Free Vapor Phase Epitaxy: NH<sub>3</sub> Decomposition at the Catalytic Surface of Components to Replicate Parasitic Polycrystal Formation Open Access

    Shimazu, H; Nishizawa, SI; Nitta, S; Amano, H; Nakamura, D

    IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING   38 巻 ( 2 ) 頁: 311 - 323   2025年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE Transactions on Semiconductor Manufacturing  

    Achieving long-duration, large bulk GaN growth is crucial to supply low-cost, high-quality GaN. Halogen-free vapor phase epitaxy (HF-VPE) is a promising method for bulk GaN growth but faces challenges due to severe polycrystals deposition on reactor components, such as the source-gas nozzles, which impedes stable, extended growth. In this study, we developed models to simulate the polycrystal deposition in HF-VPE-GaN growth conditions by including surface reactions of GaN formation and NH3 decomposition. Moreover, we devised conditions for controlling gas flow and interdiffusion to suppress polycrystal deposition around the source-gas nozzles. Experimental results aligned with simulations, showing that increasing the distance between Ga and NH<inf>3</inf> nozzles and replacing the sheath gas from H<inf>2</inf> to N<inf>2 </inf>effectively minimized polycrystal formation. The findings confirm that reducing NH<inf>3</inf> concentration through catalytic surface decomposition on refractory components is crucial to polycrystal suppression. Optimizing nozzle dimensions and gas species synergistically controls the gas flow and interdiffusion. The constructed models contribute to advancing the design of polycrystal suppressive structures and conditions for long-duration bulk GaN growth.

    DOI: 10.1109/TSM.2025.3558328

    Open Access

    Web of Science

    Scopus

  24. Understanding the Ga Polar n-GaN Surface after Mg Diffusion Process Open Access

    Singh, S; Wang, J; Watanabe, H; Fregolent, M; De Santi, C; Meneghini, M; Badami, O; Asubar, JT; Amano, H; Sarkar, B

    PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE     2025年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Physica Status Solidi A Applications and Materials Science  

    This work reports on the control of the n-GaN surface using a simple Mg diffusion process. It is shown that the Mg diffusion process enables a GaN surface without Fermi-level pinning. The process results in the realization of n-GaN unipolar camel diodes where increasing the metal work function leads to an incremental barrier height increase. Notably, the camel diode yields a record Schottky barrier height, indicating the possibility of improving the reverse characteristics of future GaN unipolar diodes.

    DOI: 10.1002/pssa.202500090

    Web of Science

    Scopus

  25. All-GaN-Based Monolithic MIS-HEMT Integrated Micro-LED Pixels for Active-Matrix Displays Open Access

    Furusawa, Y; Cai, WT; Cheong, HJ; Honda, Y; Amano, H

    PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE     2025年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Physica Status Solidi A Applications and Materials Science  

    An all-GaN-based monolithic active-matrix micro-LED system that integrates metal-insulator-semiconductor high-electron-mobility transistors (MIS HEMTs) with light-emitting diodes (LEDs) is demonstrated. The proposed structure employs direct electron injection from the 2D electron gas (2DEG) in a HEMT, serving as the n-type layer, into the quantum wells of the LEDs. A 2-HEMT-1-LED pixel configuration is fabricated with one epitaxial growth, enabling the precise control of LED light output through a combination of select and drive HEMTs. The fabricated pixel achieved a maximum optical output density of 0.5 Wcm<sup>−2</sup>. A 2 × 2 pixel matrix is constructed with row and column lines connected via select HEMTs, demonstrating the capability of the 2-HEMT-1-LED pixel configuration for individual LED control.

    DOI: 10.1002/pssa.202500044

    Open Access

    Web of Science

    Scopus

  26. Characterization of Quaternary Al<sub>x</sub>Ga<sub>1-(x+y)</sub>In<sub>y</sub>N (<i>x</i> ≈ 0.5 and <i>y</i> ≤ 0.12) Metalorganic Vapor Phase Epitaxy Growth Focusing on Unintentionally Incorporated Impurities Open Access

    Yamada, Y; Kumabe, T; Watanabe, H; Nitta, S; Honda, Y; Amano, H

    PHYSICA STATUS SOLIDI B-BASIC SOLID STATE PHYSICS     2025年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Physica Status Solidi B Basic Research  

    Quaternary AlGaInN has attracted attention because its physical properties such as bandgap, polarization, and lattice constant can be independently changed by controlling the AlN, GaN, and InN mole fractions. However, the complexity of crystal growth is problematic, and reducing unintentionally incorporated impurities that cause carrier scattering and compensation is crucial. In this study, about 30-nm-thick Al<inf>x</inf>Ga<inf>1−(x+y)</inf>In<inf>y</inf>N (x ≈ 0.5 and y ≤ 0.12) is grown on GaN on sapphire by metalorganic vapor phase epitaxy under various growth conditions to systematically analyze the relationship between growth conditions and oxygen and carbon concentrations ([O] and [C], respectively) as well as surface morphology and to elucidate the factors affecting [O] and [C]. [O] is decreased in samples grown at low growth rates and high growth temperatures. This can be attributed to the realization of a smooth surface morphology free of V-pits and trench defects. [C] is decreased in samples grown at a low total of group III source flow rates. The [C] of UID-GaN and that of AlGaInN at various TMI flow rates suggest that TMA mainly affects [C]. Therefore, the key to impurity reduction is to obtain a smooth surface morphology and to promote the adequate decomposition of TMA.

    DOI: 10.1002/pssb.202500028

    Open Access

    Web of Science

    Scopus

  27. Vertical GaN p-n diode with deeply etched mesas by contactless photo-electrochemical etching Open Access

    Toyoda, H; Kwon, W; Watanabe, H; Tsukamoto, R; Furusawa, Y; Itoh, Y; Honda, Y; Amano, H

    APPLIED PHYSICS EXPRESS   18 巻 ( 3 )   2025年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    GaN p-n diodes were fabricated by contactless photo-electrochemical (PEC) etching, and their electrical characteristics were evaluated. A high vertical etching rate of 80 nm min<sup>−1</sup>, which is usable for device fabrication etching processes, was achieved using a H₃PO₄ based electrolyte containing S₂O₈<sup>2</sup>⁻ ions and intense UV light. The sidewalls after etching were perpendicular to the substrate owing to the directivity of UV light. Vertical GaN p-n diodes with deeply etched mesas were fabricated by contactless PEC etching and avalanche breakdown was confirmed. The results point to an alternative method of fabricating high-performance GaN power devices.

    DOI: 10.35848/1882-0786/adbc43

    Open Access

    Web of Science

    Scopus

  28. Normally-off AlGaN/GaN MIS-HEMTs with high 2DEG mobility enabled by shallow recess and oxygen plasma treatment Open Access

    Ishiguro, M; Sekiyama, K; Baratov, A; Maeda, S; Igarashi, T; Tajuddin, NSBA; Islam, N; Terai, S; Yamamoto, A; Kuzuhara, M; Sarkar, B; Amano, H; Asubar, JT

    JAPANESE JOURNAL OF APPLIED PHYSICS   64 巻 ( 2 )   2025年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    We demonstrate a normally-off operation in Al<inf>2</inf>O<inf>3</inf>/AlGaN/GaN MIS-HEMTs with a high two-dimensional electron gas (2DEG) mobility enabled by a shallow recess channel structure and oxygen plasma treatment. In the channel region, the original 25-nm-thick AlGaN barrier layer was thinned down to 9 nm, which in principle, should yield a normally-on operation. However, we show that a pre-insulator deposition oxygen plasma treatment shifts the threshold voltage to +1.4 V. The relatively thick AlGaN barrier facilitated a minimal sacrifice of channel 2DEG mobility retaining a value as high as 1800 cm<sup>2</sup>V<sup>−1</sup>s<sup>−1</sup>, resulting in a high drain current of 600 mA mm<sup>−1</sup>.

    DOI: 10.35848/1347-4065/adb256

    Open Access

    Web of Science

    Scopus

  29. Fabrication of GaN vertical junction barrier Schottky diode by Mg diffusion from shallow N/Mg ion-implantation segment Open Access

    Kwon, W; Itoh, Y; Tanaka, A; Watanabe, H; Honda, Y; Amano, H

    APPLIED PHYSICS EXPRESS   18 巻 ( 1 )   2025年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    A vertical GaN p-n junction diode (PND) and junction barrier Schottky diode (JBSD) were fabricated by selective Mg diffusion. Mg was diffused from a shallow N/Mg ion-implantation segment to a depth of 800 nm with a concentration of 1 × 10<sup>17</sup>-3 × 10<sup>18 </sup>cm<sup>−3</sup> by annealing with an AlN capping layer at 1300 ℃ for 5 min under atmospheric N<inf>2</inf> pressure. The PND and JBSD exhibited specific on-resistances of 2.3-2.6 mΩ cm<sup>2</sup> and repeatable breakdowns at 1.95 kV without degradation in breakdown voltage or leakage current. Additionally, the JBSD achieved a high-on/off ratio of 9.1 × 10<sup>7</sup> between 1.5 V and −1 kV.

    DOI: 10.35848/1882-0786/ada71a

    Open Access

    Web of Science

    Scopus

  30. High-speed modulation of probe current using scanning electron microscope with photocathode technology

    Nishitani T., Sato D., Arakawa Y., Niimi K., Yasuda M., Koizumi A., Iijima H., Honda Y., Amano H.

    Proceedings of SPIE the International Society for Optical Engineering   13426 巻   2025年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Proceedings of SPIE the International Society for Optical Engineering  

    Semiconductor inspection technology using e-beam-based voltage contrast (VC) observation provides information on the electrical state and characteristics of terminals with less than 100 nm size, such as the source, drain, and gate in a MOSFET. In VC observation, a nanometer-sized electron beam causes charge accumulation in the target electrode, which is detected as bright or dark according to its electrical state and characteristics. For reproducibility of VC observations for semiconductor inspection, it is essential to release the charge accumulation due to electron beam irradiation of the terminal via leakage currents around the terminal surface. For VC observation of target terminals in the field of view in SEM imaging, electron irradiation time can be used for charge accumulation and unirradiated time for release of charge accumulation within the electron beam scanning. Therefore, it is possible to repeatedly observe VC changes by appropriate selection of the irradiated electron beam current and scanning speed, in addition to the selection of the electron energy. In addition, more fine modulation of the irradiated electron beam current is essential to obtain VC observations with a higher dynamic range, but such modulation should not result in changes in the position or image quality of the SEM image. In this study, an SEM equipped with a photocathode electron gun (PC-SEM) was used to investigate the effect of beam current modulation by photoemission on the SEM image quality of position and focus. Furthermore, in VC observations integrating the local beam current modulation technology of the PC-SEM, the irradiation current was modulated only for the gate plugs in an nMOSFET, and changes in the contrast of the drain plugs were investigated.

    DOI: 10.1117/12.3050433

    Scopus

  31. On-State Current Increasing Structure of Source-Connected Polarization Superjunction Transistor Open Access

    Kokubo E., Watanabe H., Deki M., Tanaka A., Nitta S., Honda Y., Amano H.

    Physica Status Solidi A Applications and Materials Science     2025年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Physica Status Solidi A Applications and Materials Science  

    A structure is proposed for increasing the on-state current in a polarization superjunction (PSJ) field effect transistor (FET) using gallium nitride (GaN). A PSJ FET can achieve a high breakdown voltage, but in the simplest PSJ FET structure, the PSJ structure and the gate are integrated, so the input capacitance will increase, which is disadvantageous for high-speed switching. Therefore, a structure in which the PSJ structure is connected to the source electrode has been proposed. By connecting the PSJ structure to the source, the capacitance of the PSJ structure is on the output side, where it has little effect on switching. However, those structures suffer from reduced on-state current. To address this issue, a structure is investigated that increases the on-state current because the PSJ structure is etched into a comb shape, and a current path in the on-state is provided separately from the PSJ structure. It is confirmed that the on-state current can be improved by etching the PSJ region. The V<inf>BD</inf>·I<inf>D,sat</inf> product is calculated to evaluate the effectiveness of the comb-shaped PSJ structure. It is possible to improve the V<inf>BD</inf>·I<inf>D, sat</inf> product by 2.17 times compared to the PSJ FET without PSJ structure etching.

    DOI: 10.1002/pssa.202500046

    Open Access

    Scopus

  32. Technological Advancements in AlGaN-Based Deep Ultraviolet Laser Diodes

    Kushimoto M., Zhang Z., Yoshikawa A., Aoto K., Honda Y., Sasaoka C., Amano H.

    Cs Mantech 2025 2025 International Conference on Compound Semiconductor Manufacturing Technology     2025年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Cs Mantech 2025 2025 International Conference on Compound Semiconductor Manufacturing Technology  

    AlGaN-based deep-ultraviolet (DUV) laser diodes (LDs) are expected to be applied to various applications such as sterilization, sensing, and laser processing as compact, efficient, and eco-friendly deep-ultraviolet light sources. To realize laser diodes operating in the DUV wavelength range (200 nm to 280 nm), our research group has achieved major breakthroughs such as high-quality AlGaN thin film crystals grown on AlN single-crystal substrates and p-type conductivity control through distributed polarization doping. As a result, pulsed lasing at room temperature was demonstrated. Furthermore, continuous-wave (CW) lasing has been successfully achieved by suppressing dislocation formation through stress concentration suppression and further design improvements. In this presentation, we will review the research results of our group on DUV LD devices and describe the key technologies that played an important role in these achievements.

    Scopus

  33. Advances in Deep Ultraviolet Semiconductor Laser: From Material Challenges to Device Performance

    Kushimoto M., Zhang Z., Yoshikawa A., Aoto K., Honda Y., Sasaoka C., Amano H.

    2025 Conference on Lasers and Electro Optics Europe and European Quantum Electronics Conference CLEO Europe Eqec 2025     2025年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:2025 Conference on Lasers and Electro Optics Europe and European Quantum Electronics Conference CLEO Europe Eqec 2025  

    AlGaN-based deep ultraviolet (DUV) laser diodes (LDs) have garnered significant attention for a wide range of applications, including sterilization, sensing, and high-precision laser processing, owing to their compact size, high efficiency, and environmentally friendly properties. Despite these advantages, achieving practical device performance has been challenging due to the difficulty in realizing high-quality crystal growth and efficient carrier injection.

    DOI: 10.1109/CLEO/EUROPE-EQEC65582.2025.11110927

    Scopus

  34. Magnesium Intercalation in Gallium Nitride for Enhanced P-Type Doping and Device Performance

    Wang J., Amano H.

    Proceedings of the 16th IEEE International Conference on Electron Devices and Solid State Circuits Edssc 2025     頁: 52 - 54   2025年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Proceedings of the 16th IEEE International Conference on Electron Devices and Solid State Circuits Edssc 2025  

    P-type doping in gallium nitride (GaN) has traditionally relied solely on substitutional magnesium (Mg) incorporation. However, persistent limitations such as low hole mobility, limited hole concentration, and poor ohmic contact remain unresolved. Interstitial magnesium, long regarded as a deep-level compensating impurity detrimental to p-type doping, exhibits unexpected behavior at exceptionally high concentrations. This study demonstrates that, under such conditions, interstitial Mg atoms self-organize into aligned single-atomic layers, a phenomenon known as intercalation. Each Mg layer induces periodic polarity transitions in GaN, which may account for the experimentally observed increase in ionized acceptors and hole concentration post-intercalation. Furthermore, the uniaxial strain generated by these interstitial Mg layers modifies the valence band structure and enhances hole mobility. These synergistic advantages are attributed to '2D-doping' effects which offer fresh insights into semiconductor doping mechanisms. Crucially, achieving this 2D-doping regime requires only thermal annealing of a metallic Mg thin film deposited on GaN, offering a scalable and industrially viable pathway to overcome the constraints of conventional substitutional Mg doping. This discovery holds broad implications for optoelectronic and power device applications.

    DOI: 10.1109/EDSSC64492.2025.11182923

    Scopus

  35. Sn-doped n-type GaN freestanding layer: Thermodynamic study and fabrication by halide vapor phase epitaxy 査読有り Open Access

    Ohnishi K., Hamasaki K., Nitta S., Fujimoto N., Watanabe H., Honda Y., Amano H.

    Journal of Crystal Growth   648 巻   2024年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Crystal Growth  

    Results of a thermodynamic study of Sn doping and fabrication of a Sn-doped GaN freestanding layer with high structural quality by halide vapor phase epitaxy (HVPE) are described in this paper. Thermodynamic analysis revealed that SnCl2 and/or SnCl act as Sn precursors through the reaction between Sn metal and HCl gas. The equilibrium partial pressures of SnCl2 and SnCl increase with the input HCl partial pressure. To generate Sn precursors effectively, it is desirable that the reaction between Sn metal and HCl gas occurs in the inert gas ambient. On the basis of results of the thermodynamic study, the Sn-doped GaN freestanding layer with a Sn concentration of 5.7 × 1019 cm−3 is fabricated by removing the GaN seed substrate after HVPE growth. The Sn-doped GaN freestanding layer has high crystal quality, and the lattice constants along the c- and a-axes of the Sn-doped GaN freestanding layer are larger than those of the GaN seed substrate because of the high electron density and the size effect of Sn atoms.

    DOI: 10.1016/j.jcrysgro.2024.127923

    Open Access

    Scopus

  36. InGaN-based blue and red micro-LEDs: Impact of carrier localization

    Park J.H., Pristovsek M., Han D.P., Kim B., Lee S.M., Hanser D., Parikh P., Cai W., Shim J.I., Lee D.S., Seong T.Y., Amano H.

    Applied Physics Reviews   11 巻 ( 4 )   2024年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Reviews  

    Herein, we investigate micro-light-emitting diodes (μLEDs) ranging in size from 160 × 160 to 10 × 10 μm2 and report that the differences in the behavior of InGaN-based blue (∼460 nm) and red (∼600 nm) μLEDs are related to carrier localization. The external quantum efficiency (EQE) of blue μLEDs decreases with size regardless of sidewall conditions, whereas that of red μLEDs is insignificant due to carrier localization. Atomic probe tomography examination of 30%, 15%, and 7.5% indium-concentrated InGaN layers used in red μLEDs shows that higher indium concentrations result in greater indium fluctuations, which promote carrier localization and thus shorten the diffusion length of carriers. Finally, by observing the peak wavelength of electroluminescence and the current density at peak EQE for both blue and red μLEDs, we find that radiative recombination rate in μLEDs is likely to be chip size dependent.

    DOI: 10.1063/5.0195261

    Scopus

  37. (Ultra)wide bandgap semiconductor heterostructures for electronics cooling

    Cheng Z., Huang Z., Sun J., Wang J., Feng T., Ohnishi K., Liang J., Amano H., Huang R.

    Applied Physics Reviews   11 巻 ( 4 )   2024年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Reviews  

    The evolution of power and radiofrequency electronics enters a new era with (ultra)wide bandgap semiconductors such as GaN, SiC, and β-Ga2O3, driving significant advancements across various technologies. The elevated breakdown voltage and minimal on-resistance result in size-compact and energy-efficient devices. However, effective thermal management poses a critical challenge, particularly when pushing devices to operate at their electronic limits for maximum output power. To address these thermal hurdles, comprehensive studies into thermal conduction within semiconductor heterostructures are essential. This review offers a comprehensive overview of recent progress in (ultra)wide bandgap semiconductor heterostructures dedicated to electronics cooling and are structured into four sections. Part 1 summarizes the material growth and thermal properties of (ultra)wide bandgap semiconductor heterostructures. Part 2 discusses heterogeneous integration techniques and thermal boundary conductance (TBC) of the bonded interfaces. Part 3 focuses on the research of TBC, including the progress in thermal characterization, experimental and theoretical enhancement, and the fundamental understanding of TBC. Parts 4 shifts the focus to electronic devices, presenting research on the cooling effects of these heterostructures through simulations and experiments. Finally, this review also identifies objectives, challenges, and potential avenues for future research. It aims to drive progress in electronics cooling through novel materials development, innovative integration techniques, new device designs, and advanced thermal characterization. Addressing these challenges and fostering continued progress hold the promise of realizing high-performance, high output power, and highly reliable electronics operating at the electronic limits.

    DOI: 10.1063/5.0185305

    Scopus

  38. Growth and Characterization of High Internal Quantum Efficiency Semipolar (101̅3) GaN-Based Light Emitting Diodes

    Hu N., Park J.H., Wang J., Amano H., Pristovsek M.

    ACS Applied Electronic Materials   6 巻 ( 11 ) 頁: 7960 - 7971   2024年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:ACS Applied Electronic Materials  

    We report on the growth and characterization of semipolar (101̅3) InGaN/GaN light-emitting diodes (LEDs) on m-plane (101̅0) sapphire with high internal quantum efficiencies (IQEs). Based on earlier work on obtaining untwinned (101̅3) GaN templates on m-plane sapphire, we also succeeded in smoothing the relatively unstable (101̅3) surface using very low V/III ratios during metal-organic vapor phase epitaxy and in the growth of n-and p-doped layers to produce LEDs. Fitting the current-dependent emission, we found high IQEs, up to 86% at 460 nm. The IQEs decreased toward longer wavelengths, similar as for conventional Ga-polar (0001) oriented LEDs. However, the semipolar (101̅3) LEDs have their maximum IQE at much higher current densities than the polar (0001) LEDs due to the higher overlap between electron and hole wave function while maintaining a positive polarization. Together, this makes the (101̅3) orientation very attractive for future blue LEDs.

    DOI: 10.1021/acsaelm.4c01312

    Scopus

  39. 窒化物半導体結晶の魅力と人・社会・地球への貢献 Open Access

    天野 浩

    日本結晶学会誌   66 巻 ( Supplement ) 頁: s2 - s2   2024年11月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:日本結晶学会  

    DOI: 10.5940/jcrsj.66.s2

    Open Access

    CiNii Research

  40. Study on Degradation of Deep-Ultraviolet Laser Diode

    Zhang, ZY; Yoshikawa, A; Kushimoto, M; Sasaoka, C; Amano, H

    PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE   221 巻 ( 21 )   2024年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Physica Status Solidi (A) Applications and Materials Science  

    The degradation of an AlGaN-based deep-ultraviolet laser diode during operation is studied. A rapid increase in threshold current without any facet mirror damage under direct current stress below the threshold current is observed, which is considered to limit the lifetime of continuous wave lasing to the level of seconds. The evolution of current–light and current–voltage characteristics suggests that the dominant mechanism of degradation is the increased loss of carriers before they can reach the active layer, i.e., the decrease in carrier injection efficiency. The decrease in emission intensity, which is more pronounced at lower current densities, and subsequent increase in sub-threshold current indicate the increase in defect density under current stress, which is similar to the well-analyzed degradation mechanism found in AlGaN-based light-emitting diodes.

    DOI: 10.1002/pssa.202300946

    Web of Science

    Scopus

  41. Experimental study of gain characteristics in relation to quantum-well width of deep-ultraviolet laser diodes

    Zhang Z., Kushimoto M., Yoshikawa A., Aoto K., Sasaoka C., Amano H.

    Applied Physics Letters   125 巻 ( 18 )   2024年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Letters  

    The gain characteristics of electrically injected AlGaN-based deep-ultraviolet laser diodes were studied with respect to their quantum-well (QW) width dependence by the analysis of the threshold currents and gains for various cavity lengths. Among the fabricated QWs with widths of d = 9, 4.5, and 3 nm, the QW with the smallest width of 3 nm was found to have the highest material gain and lowest transparency carrier density. In contrast to recent arguments in favor of wider QWs for deep-ultraviolet laser diodes, the strategy of narrowing QWs is still found to be effective in practical terms of reducing the threshold current density.

    DOI: 10.1063/5.0240488

    Scopus

  42. Discovering the incorporation limits for wurtzite AlP<inf>y</inf>N<inf>1−y</inf> grown on GaN by metalorganic vapor phase epitaxy 査読有り

    Yang X., Furusawa Y., Kano E., Ikarashi N., Amano H., Pristovsek M.

    Applied Physics Letters   125 巻 ( 13 )   2024年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Letters  

    We report on the growth of AlPN on GaN/sapphire templates by metalorganic vapor phase epitaxy using tertiarybutylphosphine (tBP) and NH3 as group-V precursors. P is easy to incorporate into the group-III lattice site, forming PAl anti-site defects and shrinking lattice constants that are even beyond AlN since Al is larger than P. We found that higher temperatures favor P incorporation on the N-sublattice, forming AlPyN1−y, while growth temperatures below 1000 °C result in dominant P incorporation on the Al-sublattice, forming PAl anisites. Similarly, larger NH3 flows stabilize GaN, leading to flat interfaces, but favor the formation of PAl. Furthermore, the P incorporation into AlPyN1−y is non-linear. At very low tBP flows, it initially increases to reach a maximum. Further increasing the tBP flow increases mostly the incorporation of P on the Al-sublattice, and the c-lattice constant decreases again. This leaves a small window of low V/III ratios below 5 and low P/N ratios of 1% or smaller, leading up to ∼4% P incorporation at typical growth temperatures of GaN. However, at such low V/III ratios, GaN is not stable even with N2 carrier gas and requires optimized switching sequences to minimize its decomposition and preserve flat interfaces. Eventually, a 10 nm coherent layer of AlP0.01N0.99 could be reproducibly grown on top of GaN channels with a smooth surface, an abrupt AlPN/GaN interface, and a two-dimensional electron gas with an electron mobility of ∼675 cm2/V s and a sheet carrier density of 1.5 × 1013 cm−2 at room temperature.

    DOI: 10.1063/5.0225115

    Scopus

  43. Hole transport mechanism at high temperatures in p-GaN/AlGaN/GaN heterostructure 査読有り

    Sikder, B; Hossain, T; Xie, QY; Niroula, J; Rajput, NS; Teo, KH; Amano, H; Palacios, T; Chowdhury, N

    APPLIED PHYSICS LETTERS   124 巻 ( 24 )   2024年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Letters  

    This Letter reports an investigation of hole transport in p-GaN/AlGaN/GaN heterostructures through experimental and theoretical analyses under varied conditions. Highly non-linear current-voltage (I-V) characteristics, obtained via the linear transmission line method measurements, are utilized for this study. At low bias voltage, the transport can be ascribed to the Schottky nature of the contact, while at high bias, the conduction is observed to be governed by space-charge limited current (SCLC). The Schottky characteristics (Schottky barrier height and non-ideality factor) and the SCLC exponent were analyzed for devices with varying contact spacings and at different high temperatures. The SCLC exponent, m, is in the range of 2 ≤ m ≤ 4 depending on the applied voltage range, revealing the existence of the trap states in the channel region. The findings of this work indicate that the charge injection, field-induced ionization, and trap states in the p-GaN channel are critical factors in the current transport of p-GaN/AlGaN/GaN heterostructure.

    DOI: 10.1063/5.0203344

    Web of Science

    Scopus

  44. Recent advances in micro-pixel light emitting diode technology 査読有り Open Access

    Park, JH; Pristovsek, M; Amano, H; Seong, TY

    APPLIED PHYSICS REVIEWS   11 巻 ( 2 )   2024年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Reviews  

    Display technology has developed rapidly in recent years, with III-V system-based micro-light-emitting diodes (μLEDs) attracting attention as a means to overcome the physical limitations of current display systems related to their lifetime, brightness, contrast ratio, response time, and pixel size. However, for μLED displays to be successfully commercialized, their technical shortcomings need to be addressed. This review comprehensively discusses important issues associated with μLEDs, including the use of the ABC model for interpreting their behavior, size-dependent degradation mechanisms, methods for improving their efficiency, novel epitaxial structures, the development of red μLEDs, advanced transfer techniques for production, and the detection and repair of defects. Finally, industrial efforts to commercialize μLED displays are summarized. This review thus provides important insights into the potential realization of next-generation display systems based on μLEDs.

    DOI: 10.1063/5.0177550

    Open Access

    Web of Science

    Scopus

  45. Impacts of vacancy complexes on the room-temperature photoluminescence lifetimes of state-of-the-art GaN substrates, epitaxial layers, and Mg-implanted layers 査読有り Open Access

    Chichibu, SF; Shima, K; Uedono, A; Ishibashi, S; Iguchi, H; Narita, T; Kataoka, K; Tanaka, R; Takashima, S; Ueno, K; Edo, M; Watanabe, H; Tanaka, A; Honda, Y; Suda, J; Amano, H; Kachi, T; Nabatame, T; Irokawa, Y; Koide, Y

    JOURNAL OF APPLIED PHYSICS   135 巻 ( 18 )   2024年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Applied Physics  

    For rooting the development of GaN-based optoelectronic devices, understanding the roles of midgap recombination centers (MGRCs), namely, nonradiative recombination centers and deep-state radiative recombination centers, on the carrier recombination dynamics is an essential task. By using the combination of time-resolved photoluminescence and positron annihilation spectroscopy (PAS) measurements, the origins of major MGRCs in the state-of-the-art GaN epilayers, bulk crystals, and Mg-implanted layers were identified, and their concentrations were quantified for deriving the capture coefficients of minority carriers. In this article, potential standardization of the room-temperature photoluminescence lifetime for the near-band-edge emission ( τ PL RT ) as the concentration of major MGRCs well below the detection limit of PAS is proposed. For n-GaN substrates and epilayers grown from the vapor phase, τ PL RT was limited by the concentration of carbon on N sites or divacancies comprising a Ga vacancy (VGa) and a N vacancy (VN), [VGaVN], when carbon concentration was higher or lower, respectively, than approximately 1016 cm−3. Here, carbon and VGaVN act as major deep-state radiative and nonradiative recombination centers, respectively, while major MGRCs in bulk GaN crystals were identified as VGa(VN)3 vacancy clusters in Na-flux GaN and VGa or VGaVN buried by a hydrogen and/or VGa decorated with oxygen on N sites, VGa(ON)3-4, in ammonothermal GaN. The values of τ PL RT in n-GaN samples are compared with those of p-GaN, in which τ PL RT was limited by the concentration of VGa(VN)2 in Mg-doped epilayers and by the concentrations of VGaVN and (VGaVN)3 in Mg-implanted GaN right after the implantation and after appropriate activation annealing, respectively.

    DOI: 10.1063/5.0201931

    Open Access

    Web of Science

    Scopus

  46. Metastable atomic-ordered configurations for Al<sub>1/2</sub>Ga<sub>1/2</sub>N predicted by Monte-Carlo method based on first-principles calculations 査読有り Open Access

    Gueriba, JS; Mizuseki, H; Cadatal-Raduban, M; Sarukura, N; Kawazoe, Y; Nagasawa, Y; Hirano, A; Amano, H

    JOURNAL OF PHYSICS-CONDENSED MATTER   36 巻 ( 13 )   2024年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Physics Condensed Matter  

    Metastability of Aln/12Ga1−n/12N (n = 2–10: integer) with the 1–2 monolayer (ML) in-plane configuration towards the c [0001] direction has been demonstrated recently. To theoretically explain the existence of these metastable structures, relatively large calculation cells are needed. However, previous calculations were limited to the use of small calculation cell sizes to estimate the local potential depth (∆σ) of ordered Al1/2Ga1/2N models. In this work, we were able to evaluate large calculation cells based on the interaction energies between proximate Al atoms (δEAl–Al) in AlGaN alloys. To do this, δEAl–Al values were estimated by first-principles calculations (FPCs) using a (5a1 × 5a2 × 5c) cell. Next, a survey of the possible ordered configurations using various large calculation cell models was performed using the estimated δEAl–Al values and the Monte-Carlo method. Then, various ∆σ values were estimated by FPCs and compared with the configurations previously reported by other research groups. We found that the ordered configuration obtained from the (4a1 × 2a2 × 1c) calculation cell (C42) has the lowest ∆σ of −9.3 meV/cation and exhibited an in-plane configuration at the c(0001) plane having (–Al–Al–Ga–Ga–) and (–Al–Ga–) sequence arrangements observed along the m{11̄00} planes. Hence, we found consistencies between the morphology obtained from experiment and the shape of the primitive cell based on our numerical calculations.

    DOI: 10.1088/1361-648X/ad1137

    Open Access

    Web of Science

    Scopus

    PubMed

  47. Investigation of carbon-related complexes in highly C-doped GaN grown by metalorganic vapor phase epitaxy 査読有り Open Access

    Honda, A; Watanabe, H; Takeuchi, W; Honda, Y; Amano, H; Kato, T

    JAPANESE JOURNAL OF APPLIED PHYSICS   63 巻 ( 4 )   2024年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    We investigated the C-related complexes in highly C-doped GaN by electron spin resonance (ESR) spectroscopy, Fourier transform IR spectroscopy (FTIR), and minority carrier transient spectroscopy (MCTS) measurements. In the ESR spectra, two resonances with g values of 2.02 and 2.04 were found to be assigned by (0/−) deep acceptor and (+/0) charge transition levels of carbon substituting for nitrogen site (CN). In the FTIR spectra, two local vibrational modes positioned at 1679 and 1718 cm−1 were confirmed to be associated with tri-carbon complexes of CN-CGa-CN (basal) and CN-CGa-CN (axial), respectively. In the MCTS spectra, we observed the hole trap level of E v + 0.25 ± 0.1 eV associated with the tri-carbon complexes, which are the dominant C-related defects, suggesting that these complexes affect the electronic properties in the highly C-doped GaN.

    DOI: 10.35848/1347-4065/ad3b54

    Open Access

    Web of Science

    Scopus

  48. Improving the Barrier Height of N-Polar GaN Schottky Diodes Using Mg-Diffusion Process 査読有り

    Sarkar, B; Wang, J; Watanabe, H; Amano, H

    IEEE TRANSACTIONS ON ELECTRON DEVICES   71 巻 ( 3 ) 頁: 1416 - 1420   2024年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE Transactions on Electron Devices  

    In this work, we report a low-cost methodology to increase the barrier height of N-polar GaN Schottky diodes. Physical vapor deposition (PVD) of Mg followed by a thermal diffusion anneal at 800 °C leads to the formation of N-polar GaN Camel diode offering a larger barrier height than the conventional N-polar GaN Schottky diodes. The increase in barrier height after the Mg diffusion process is validated using current-voltage ({I} - {V}) and capacitance-voltage (CV) measurements. A barrier height of 0.7 eV and a near-unity ideality factor observed in the N-polar GaN Camel diode confirms that the proposed Mg diffusion process is an alternative method for improving the performance of future N-polar GaN diodes.

    DOI: 10.1109/TED.2023.3341831

    Web of Science

    Scopus

  49. Impacts of off-angle and off-direction on surface morphology of GaN grown by metalorganic vapor phase epitaxy on (0001) GaN substrate 査読有り

    Watanabe, H; Nitta, S; Ando, Y; Ohnishi, K; Honda, Y; Amano, H

    JOURNAL OF CRYSTAL GROWTH   628 巻   2024年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Crystal Growth  

    DOI: 10.1016/j.jcrysgro.2023.127552

    Web of Science

    Scopus

  50. Sn-doped n-type GaN layer with high electron density of 1020 cm-3 grown by halide vapor phase epitaxy 査読有り Open Access

    Hamasaki, K; Ohnishi, K; Nitta, S; Fujimoto, N; Watanabe, H; Honda, Y; Amano, H

    JOURNAL OF CRYSTAL GROWTH   628 巻   2024年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Crystal Growth  

    A Sn-doped n-type GaN layer with a high electron density of 2 × 1020 cm−3 and a low resistivity of 8.7 × 10−4 Ω∙cm was grown by halide vapor phase epitaxy (HVPE). Sn doping was performed through the reaction between Sn metal and HCl gas. The Sn concentration markedly increased with decreasing growth temperature and the activation energy of Sn desorption from the GaN surface was found to be 4.1 eV. Smooth surfaces were obtained by introducing the Sn precursor even though the samples were grown at a low temperature of 905 °C, suggesting that Sn atoms act as surfactants and promote the migration of Ga adatoms. Almost all the Sn atoms act as donors in GaN below the Sn concentration of 2 × 1020 cm−3. These results indicate that using the Sn donor is promising for fabricating low-resistivity n-type GaN substrates by HVPE.

    DOI: 10.1016/j.jcrysgro.2023.127529

    Open Access

    Web of Science

    Scopus

  51. Impact of unintentionally formed compositionally graded layer on carrier injection efficiency in AlGaN-based deep-ultraviolet laser diodes 査読有り

    Zhang Z., Yoshikawa A., Kushimoto M., Aoto K., Sasaoka C., Amano H.

    Applied Physics Letters   124 巻 ( 6 )   2024年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Letters  

    Increasing the injection efficiency, a critical factor constraining the reduction in threshold current in AlGaN-based deep-ultraviolet laser diodes, represents one of the paramount remaining technical challenges. In this study, the impact of compositionally graded layers that were unintentionally formed at the interface between the p-cladding and the core layer on carrier injection efficiency was analyzed. Experimental evaluations using laser diodes have shown that the elimination of an unintentionally formed layer increases the injection efficiency above the threshold current, from the conventional 3% to 13%. It has been postulated that the electron overflow toward the p-side exerts a substantial deleterious effect on the injection efficiency. An improvement in this aspect is achieved by increasing the electron-blocking capability due to the improved interface abruptness between the p-cladding layer and the core layer. The lasing threshold was strongly reduced, and characteristic temperature increased from 76 to 107 K for the improved devices.

    DOI: 10.1063/5.0184543

    Scopus

  52. Optical activation of praseodymium ions implanted in gallium nitride after ultra-high pressure annealing 査読有り

    Ito, S; Sato, S; Bockowski, M; Deki, M; Watanabe, H; Nitta, S; Honda, Y; Amano, H; Yoshida, K; Minagawa, H; Hagura, N

    NUCLEAR INSTRUMENTS & METHODS IN PHYSICS RESEARCH SECTION B-BEAM INTERACTIONS WITH MATERIALS AND ATOMS   547 巻   2024年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Nuclear Instruments and Methods in Physics Research, Section B: Beam Interactions with Materials and Atoms  

    Lanthanide (Ln)-implanted gallium nitride (GaN) semiconductors have a variety of potential applications as light-emitting devices and quantum light sources, but their optical properties are not well understood. In this study, we investigate the room temperature optical properties of praseodymium (Pr) ion implanted single-crystal GaN and their changes upon ultrahigh pressure annealing (UHPA) up to 1480 °C. Photoluminescence (PL) spectra, luminescence transition lifetime, and excitation cross section of the implanted Pr ions are analyzed. In addition, the recovery of implantation-induced damage and the thermal diffusion of implanted Pr ions by UHPA are investigated by X-ray diffraction, Raman spectroscopy, and secondary ion mass spectrometry. The results show that the implantation damage is recovered by annealing at temperatures above 1200 °C, but Pr ions thermally diffuse to the surface as the annealing temperature increases. The annealing temperature at which a maximum PL intensity is obtained increases with increasing the implantation dose. However, the PL intensity decreases in all cases after annealing at 1480 °C, indicating that a quenching factor is dominant in this temperature range.

    DOI: 10.1016/j.nimb.2023.165181

    Web of Science

    Scopus

  53. Impurity reduction in lightly doped <i>n</i>-type gallium nitride layer grown via halogen-free vapor-phase epitaxy 査読有り Open Access

    Kimura, T; Shimazu, H; Kataoka, K; Itoh, K; Narita, T; Uedono, A; Tokuda, Y; Tanaka, D; Nitta, S; Amano, H; Nakamura, D

    APPLIED PHYSICS LETTERS   124 巻 ( 5 )   2024年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Letters  

    The development of gallium nitride (GaN) vertical-type metal-oxide-semiconductor field-effect transistors and p-i-n diode devices has gathered increasing attention. These devices require an n-type drift layer with a low doping level of 1016 cm−3 or less, minimized point defects inhibiting electron conduction, and a layer approximately 10 μm thick. Therefore, a practical method with a growth rate of at least several tens of μm/h and impurity concentrations of less than 1015 cm−3, except for that of dopants, is necessary. Halogen-free vapor-phase epitaxy (HF-VPE) has a high growth rate suitable for fabricating thick drift layers and utilizes a simple reaction between Ga vapor and ammonia gas (without a corrosive halogen gas), resulting in lower impurity levels. Herein, we eliminated the quartz content from the high-temperature zone to reduce the excess unintentional Si doping and identified that the nitrile gloves used for the growth preparation are other impurity contamination sources. We obtained a lightly n-type ([Si]=∼1016 cm−3) GaN layer, in which C, O, B, Fe, Mg, Al, Ca, Cr, Zn, Ni, Mn, and Ti impurity contents were below the detection limits of secondary ion mass spectrometry. Deep-level transient spectroscopy revealed that electron traps at EC − 0.26 and at EC − 0.59 eV were 2.7 × 1013 and 5.2 × 1014 cm−3, respectively. Moreover, the Hall effect analysis showed the acceptor-type defect-compensating donor content as approximately 2.7 × 1015 cm−3, resulting in a high electron mobility of HF-VPE GaN in the 30-710 K temperature range. Furthermore, we identified the Ca impurity as a deep acceptor, another killer defect leading to mobility collapse.

    DOI: 10.1063/5.0191774

    Open Access

    Web of Science

    Scopus

  54. Droop and light extraction of InGaN-based red micro-light-emitting diodes 査読有り

    Park, JH; Pristovsek, M; Cai, WT; Kumabe, T; Choi, SY; Lee, DS; Seong, TY; Amano, H

    SEMICONDUCTOR SCIENCE AND TECHNOLOGY   39 巻 ( 1 )   2024年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Semiconductor Science and Technology  

    In this letter, we investigate the impact of periphery, width, length and area on the external quantum efficiency (EQE) of stripe-type InGaN-based red micro-light-emitting diodes (µLEDs). A longer periphery resulted in a higher light extraction efficiency ( η e ) via the sidewall regardless of the area of the µLEDs. However, as the injection current increased a somewhat larger efficiency droop was observed at the longer periphery due to current crowding. Additionally, larger µLEDs experienced more self-heating than smaller ones, resulting in a red shift of wavelengths and a larger efficiency droop. When the current density exceeded 100 A cm−2, the EQE ratio of smaller-area μLEDs to larger-area ones increased significantly due to the difference in efficiency droop. Besides, a short light propagation length and a long emission width yielded a higher η e . Hence, the periphery, width, length and area of the µLEDs determine EQE, which provides insight into the pixel design of µLED displays.

    DOI: 10.1088/1361-6641/ad0b88

    Web of Science

    Scopus

  55. Temperature Dependence of α-Particle Detection Performance of GaN PIN Diode Detector 査読有り Open Access

    Nakagawa, H; Hayashi, K; Miyazawa, A; Honda, Y; Amano, H; Aoki, T; Nakano, T

    SENSORS AND MATERIALS   36 巻 ( 1 ) 頁: 169 - 176   2024年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Sensors and Materials  

    Group-III nitride semiconductors, such as gallium nitride (GaN), have been proposed as novel materials for radiation detection owing to their wide bandgap and their ability to operate at high temperatures. In this study, the radiation detection properties of GaN PIN diode detectors were evaluated at high temperatures (~573 K). The energy spectrum peak profiles of241Am α-particles were obtained at different temperatures, confirming the operation of GaN PIN diodes up to 573 K. The peak positions shifted toward the lower-energy side and the full width at half maximum (FWHM) of the detection energy peak improved with increasing temperature. Furthermore, the variation in electron carrier mobility–lifetime product (μeτe) between 293 and 573 K was not significant. These results indicate the potential high-temperature operation of group-III nitride semiconductors. Additionally, the variation in each detection characteristic was caused by increasing the atmospheric temperature, which affected the mobility, lattice scattering, bandgap, and built-in potential differently.

    DOI: 10.18494/SAM4647

    Open Access

    Web of Science

    Scopus

  56. Light-Stimulated Artificial Synapses with Accelerating Photopic Adaption Based on III-Nitride Heterojunction Transistor 査読有り

    Yan J., Sun Z., Fang L., Yan Y., Shi Z., Shi F., Jiang C., Choi H.W., Amano H., Liu Y., Wang Y.

    ACS Photonics     2024年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:ACS Photonics  

    Neuromorphic computing, inspired by biological synapses, has emerged as a pivotal approach to overcome the limitations of von Neumann architecture. In this work, we employ a three-terminal III-nitride metal-oxide-semiconductor field-effect transistor (MOSFET) structure based on the GaN-on-silicon platform, characterized by ultralow power consumption, to emulate artificial synapses through light stimulation. Remarkably, our device exhibits a minimal power consumption of 7 fJ per synaptic event, surpassing that of biological synapses (∼10 fJ). Notably, we observe that the paired pulse facilitation (PPF) index can be modulated by the external light intensity, pulse width, and light pulse interval. By manipulating the light intensity and pulse width, we achieve a PPF index exceeding 300% in our device. Furthermore, our devices demonstrate gate-tunable synaptic plasticity, enabling electric/light cooperative control and increasing the tuning freedom. Finally, acceleration of photopic adaption and a 91.28% handwritten digit recognition accuracy are achieved, which provide strong support in potential visual sensory applications.

    DOI: 10.1021/acsphotonics.4c01038

    Scopus

  57. SEM imaging of high aspect ratio trench by selectively controlling the electron beam irradiation using photocathode 査読有り

    Arakawa, Y; Niimi, K; Otsuka, Y; Sato, D; Koizumi, A; Shikano, H; Iijima, H; Nishitani, T; Honda, Y; Amano, H

    METROLOGY, INSPECTION, AND PROCESS CONTROL XXXVIII   12955 巻   2024年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Proceedings of SPIE - The International Society for Optical Engineering  

    Observation of high aspect ratio (HAR) structures is a difficult challenge in metrology and inspection in semiconductor manufacturing. In imaging HAR trenches using a scanning electron microscope (SEM), obtaining SEM images without information loss due to whiteouts and blackouts is challenging. One reason for the difficulty is that the probe current is constant in conventional SEM imaging. Suppose the probe current is increased to detect more secondary electrons from the bottom of the trench. In that case, excessive secondary electron emission from the top of the trench will result in a whiteout. The SEM equipped with a photocathode electron gun (PC-SEM) can change the probe current on a pixel-by-pixel basis by applying a pulsed electron beam. In this study, we propose two methods of SEM observation for HAR trenches. The first method uses a lower probe current at the top of the trench and a higher probe current at the bottom. With this method, the top and bottom of the trench could be observed simultaneously without any whiteout or blackout. Another method is to adjust the probe current so that the SEM image is in a constant grayscale. In this case, information about the sample appears in the probe current. The image of the probe current captured the trench bottom more clearly than the conventional SEM image under equivalent conditions.

    DOI: 10.1117/12.3010733

    Web of Science

    Scopus

  58. Photoelectron beam from semiconductor photocathodes leading to new inspection technologies

    Nishitani T., Arakawa Y., Niimi K., Otsuka Y., Sato D., Koizumi A., Shikano H., Iijima H., Honda Y., Amano H.

    Proceedings of SPIE - The International Society for Optical Engineering   12955 巻   2024年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Proceedings of SPIE - The International Society for Optical Engineering  

    Semiconductor photocathodes are electron beam sources with versatile electron beam performance such as pulsed structure as well as high beam current with high monochromaticity. Photocathode using GaN semiconductor material has solved the durability problem, resulting in the development of a compact photocathode electron gun suitable for industrial technology. The photocathode electron gun can be retrofitted to existing electron microscopes, has the same brightness as a cold field emitter cathode, and the pulsed beam not only brings selective beam irradiation to arbitrary area in the field of view in SEM imaging, but also allows blur-free TEM imaging of moving samples.

    DOI: 10.1117/12.3010730

    Scopus

  59. Local voltage contrast changes in MOSFET using scanning electron microscopy with photoelectron beam technology

    Sato, D; Arakawa, Y; Niimi, K; Fukuroi, K; Tajiri, Y; Koizumi, A; Shikano, H; Iijima, H; Nishitani, T; Honda, Y; Amano, H

    METROLOGY, INSPECTION, AND PROCESS CONTROL XXXVIII   12955 巻   2024年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Proceedings of SPIE - The International Society for Optical Engineering  

    Scanning electron microscopy (SEM) is used for metrology and inspection in semiconductor manufacturing. In addition, electrical defects such as short circuits and unintentional insulation appear as contrast differences called voltage contrast (VC) in SEM under low acceleration voltage conditions. Moreover, by using pulsed electron beams from a photocathode, the probe current can be arbitrarily changed by pixel in the SEM image. Using this technology, we succeeded in observing the change in the VC of the drain in the metal-oxide-semiconductor field effect transistor (MOSFET) by changing in electron beam irradiation on the gate only. In this study, to estimate the threshold voltage of n-type MOSFET (nMOS) from VC, we investigated quantitative changes in the specimen current of the drain (Id) and the gate (Ig) due to gate e-beam irradiation ON/OFF during SEM imaging. The landing energy of the electron beam was set to 0.8 keV, the probe current was 6.3 pA, and the e-beam was irradiated onto only the gate and drain electrodes. Id and Ig, which showed a positive value at the beginning, decreased with time, and saturated at negative values. When the electron beam irradiation to the gate was turned OFF, the Id decreased further and reached saturation. When the gate e-beam irradiation was turned ON again, Ig recovered to a positive and then saturated again to a negative value. On the other hand, the drain Id increased when the gate irradiation was turned ON and returned to the same value as before it was turned OFF.

    DOI: 10.1117/12.3009947

    Web of Science

    Scopus

  60. 単結晶AlN 基板を用いたUV-C 波長域レーザーダイオード Open Access

    張 梓懿, 久志本 真希, 吉川 陽, 笹岡 千秋, 天野 浩

    レーザー研究   52 巻 ( 1 ) 頁: 6   2024年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人 レーザー学会  

    DOI: 10.2184/lsj.52.1_6

    Open Access

    CiNii Research

  61. Anisotropic hole transport along [0001] and [1120] direction in p-doped (1010) GaN 査読有り Open Access

    Lin, YY; Wang, J; Pristovsek, M; Honda, Y; Amano, H

    JOURNAL OF APPLIED PHYSICS   134 巻 ( 23 )   2023年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Applied Physics  

    The anisotropic hole transport along [0001] and [1120] in the p-doped (1010) GaN layer was compared for layers grown on bulk (1010) GaN substrates and on (1010) sapphire. The sheet resistance along [0001] was 1.1 times larger on GaN substrates and even 1.2 times larger on sapphire than that along [1120]. The anisotropic hole transport on bulk GaN substrates is due to the anisotropy of the hole’s effective mass and the different contribution of carriers in different bands, whereas the larger anisotropy for GaN on sapphire is also due to additional scattering at stacking faults. The annealing process of metal Mg applied to the m-plane p-type GaN successfully results in a robust p-type ohmic contact, functioning as a p++ layer.

    DOI: 10.1063/5.0177681

    Web of Science

    Scopus

  62. Electron lifetime and diffusion coefficient in dopant-free p-type distributed polarization doped AlGaN 査読有り Open Access

    Kumabe, T; Kawasaki, S; Watanabe, H; Honda, Y; Amano, H

    APPLIED PHYSICS LETTERS   123 巻 ( 25 )   2023年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Letters  

    Minority carrier properties in dopant-free p-type distributed polarization doped (DPD) AlGaN layers were investigated on the basis of the forward-biased current density-voltage (J-V) characteristics of p-n+ diodes. The fabricated p-DPD AlGaN/n+-AlGaN:Si diodes exhibited ideal electrical characteristics despite the absence of acceptor atoms in the p-type layer. The extracted Shockley-Read-Hall lifetime exceeded 300 ps, which was longer than that reported for p-GaN:Mg on GaN substrates with a similar acceptor concentration (20-50 ps). Moreover, the electron diffusion coefficient was about 20 cm2 s−1 at any temperature, which was convincing in terms of the electron mobility in DPD layers. The results suggest that p-DPD AlGaN has more desirable minority carrier properties than conventional p-GaN:Mg, particularly for bipolar device applications.

    DOI: 10.1063/5.0180062

    Web of Science

    Scopus

  63. Ga-polar GaN Camel diode enabled by a low-cost Mg-diffusion process 査読有り Open Access

    Sarkar, B; Wang, J; Badami, O; Pramanik, T; Kwon, W; Watanabe, H; Amano, H

    APPLIED PHYSICS EXPRESS   16 巻 ( 12 )   2023年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    In this letter, we show that low-cost physical vapor deposition of Mg followed by a thermal diffusion annealing process increases the effective barrier height at the metal/Ga-polar GaN Schottky interface. Thus, for the first time, GaN Camel diodes with improved barrier height and turn-on voltage were realized compared to regular GaN Schottky barrier diodes. Temperature-dependent current-voltage characteristics indicated a near-homogeneous and near-ideal behavior of the GaN Camel diode. The analysis performed in this work is thought to be promising for improving the performance of future GaN-based unipolar diodes.

    DOI: 10.35848/1882-0786/ad0db9

    Open Access

    Web of Science

    Scopus

  64. Using low-temperature growth to resolve the composition pulling effect of UV-C LEDs 査読有り Open Access

    Yoshikawa, A; Zhang, ZY; Kushimoto, M; Aoto, K; Sasaoka, C; Amano, H

    APPLIED PHYSICS LETTERS   123 巻 ( 22 )   2023年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Letters  

    One approach to improving the output power of ultraviolet (UV-C) light-emitting diodes (LEDs) is to adopt an electron-blocking layer (EBL) with a high barrier. However, the intended effect may not be realized because of the composition pulling effect, which is the unintended occurrence of a gradient layer at an AlGaN/AlGaN hetero-interface with substantial differences in the Al composition. Here, we demonstrate that low-temperature growth (i.e., <1000 °C) can be used to control the unintentional gradient layer at an AlN/AlGaN hetero-interface between a barrier layer and AlN-EBL with a difference in Al compositions of more than 30%. LEDs with an emission wavelength of 265 nm were fabricated, and an AlN-EBL was grown at low temperature to realize an abrupt interface. At an applied current of 100 mA, growing the EBL under low-temperature conditions improved the forward voltage by 0.5 V and remarkably improved the peak luminous intensity by 1.4-1.6 times. Our results can be used to realize UV-C LEDs with a steep EBL and further improve their device characteristics.

    DOI: 10.1063/5.0183320

    Open Access

    Web of Science

    Scopus

  65. Multiplexing of bias-controlled modulation modes on a monolithic III-nitride optoelectronic chip 査読有り

    Zhang, H; Ye, ZQ; Yan, JB; Shi, F; Shi, ZM; Li, DB; Liu, YH; Amano, H; Wang, YJ

    OPTICS LETTERS   48 巻 ( 19 ) 頁: 5069 - 5072   2023年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Optics Letters  

    III-nitride optoelectronic chips have tremendous potential for developing integrated computing and communication systems with low power consumption. The monolithic, top–down approaches are advantageous for simplifying the fabrication process and reducing the corresponding manufacturing cost. Herein, an ultraviolet optical interconnection system is investigated to discover the way of multiplexing between emission and absorption modulations on a monolithic optoelectronic chip. All on-chip components, the transmitter, monitor, waveguide, modulator, and receiver, share the same quantum well structure. As an example, two bias-controlled modulation modes are used to modulate video and audio signals in the experiment presented in this Letter. The results show that our on-chip optoelectronic system works efficiently in the near ultraviolet band, revealing the potential breadth of GaN optoelectronic integration.

    DOI: 10.1364/OL.503429

    Web of Science

    Scopus

    PubMed

  66. Dislocation Suppresses Sidewall-Surface Recombination of Micro-LEDs 査読有り

    Park, JH; Pristovsek, M; Cai, WT; Cheong, HJ; Kang, CM; Lee, DS; Seong, TY; Amano, H

    LASER & PHOTONICS REVIEWS   17 巻 ( 10 )   2023年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Laser and Photonics Reviews  

    Nonradiative recombination rate that consists of dislocation-related nonradiative recombination rate (A0) and surface recombination rate (As) is one of the major parameters determining the performance of microlight-emitting diodes (µLEDs). Recent demonstrations improving the efficiency of blue InGaN or red AlGaInP µLEDs using specific methods such as atomic layer deposition or chemical treatment confirm the suppression of As. However, it is hardly found that those methods effectively improve the efficiency of red InGaN µLEDs so far. Here, it is discovered that the dislocation leads to an ineffective As. First, an intrinsic As degrades the external quantum efficiency (EQE) of blue InGaN µLEDs, resulting in EQE decreases with shrinking size. Second, panchromatic cathodoluminescence finds evidence that most of the carriers can be trapped before reaching the sidewall due to high A0. This results in shortened diffusion length of carriers and reduces the number of carriers reaching the sidewall. Consequently, the opposite trend of increasing EQE with shrinking size occurs in the case of red InGaN µLEDs due to an ineffective As. Furthermore, an 8.3 nm quantum well of InGaN with 13% Indium content that can reach a ≈690 nm wavelength at the low current is shown.

    DOI: 10.1002/lpor.202300199

    Web of Science

    Scopus

  67. UV/DUV light emitters 査読有り Open Access

    Khan, A; Kneissl, M; Amano, H

    APPLIED PHYSICS LETTERS   123 巻 ( 12 )   2023年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Letters  

    DOI: 10.1063/5.0174270

    Web of Science

    Scopus

  68. Impact of graphene state on the orientation of III-nitride 査読有り

    Park, JH; Hu, N; Park, MD; Wang, J; Yang, X; Lee, DS; Amano, H; Pristovsek, M

    APPLIED PHYSICS LETTERS   123 巻 ( 12 )   2023年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Letters  

    We attempted to grow (10-13) semi-polar GaN on graphene to confirm the possibility of a remote epitaxy of semi-polar GaN. Single crystalline (10-13) GaN was obtained on an optimized template using optimized growth conditions. However, (10-13), (0002), and other GaN orientations were found under the same growth conditions on a graphene-coated template. Scanning transmission electron microscopy and energy-dispersive x-ray spectroscopy revealed that multi-domain GaN and (0002) GaN occurred in areas with a damaged graphene interfacial layer and intact graphene, respectively. Raman spectroscopy confirmed that graphene could survive under the growth conditions used here. Using cross-sectional scanning electron microscopy, we propose a simple approach to distinguish damaged graphene. Although the remote epitaxy of semi-polar GaN has not been demonstrated despite the usage of an optimized template and growth conditions, our results confirm the importance of the interfacial state in determining the crystallinity of the overgrown layer.

    DOI: 10.1063/5.0157588

    Web of Science

    Scopus

  69. Investigation of Electrical Properties of N-Polar AlGaN/AlN Heterostructure Field-Effect Transistors 査読有り

    Inahara, D; Matsuda, S; Matsumura, W; Okuno, R; Hanasaku, K; Kowaki, T; Miyamoto, M; Yao, YZ; Ishikawa, Y; Tanaka, A; Honda, Y; Nitta, S; Amano, H; Kurai, S; Okada, N; Yamada, Y

    PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE   220 巻 ( 16 )   2023年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Physica Status Solidi (A) Applications and Materials Science  

    AlN-based field-effect transistors (FETs) enable high-breakdown voltage, high drain current, and high-temperature operation. To realize high-frequency devices, N-polar AlGaN/AlN heterostructure FETs are focused on. N-polar Al0.1Ga0.9N/Al0.9Ga0.1N/AlN FET is fabricated using metal–organic vapor-phase epitaxy, and its electrical characteristics are evaluated. An N-polar AlN layer is grown on a sapphire substrate with a misorientation angle of 2.0° toward m-axis, on which a 20 nm thick Al0.9Ga0.1N base layer and a 20 nm Al0.1Ga0.9N channel layer are grown. The static FET operation is confirmed to exhibit an n-channel and pinch-off. Normally, during operation with a turn-on voltage of −3.2 V, a high operating breakdown voltage of 620 V and high operating temperature of 280 °C are also confirmed.

    DOI: 10.1002/pssa.202200871

    Web of Science

    Scopus

  70. Inactivation characteristics of a 280 nm Deep-UV irradiation dose on aerosolized SARS-CoV-2 査読有り Open Access

    Takamure, K; Iwatani, Y; Amano, H; Yagi, T; Uchiyama, T

    ENVIRONMENT INTERNATIONAL   177 巻   頁: 108022   2023年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Environment International  

    A non-filter virus inactivation unit was developed that can control the irradiation dose of aerosolized viruses by controlling the lighting pattern of a 280 nm deep-UV (DUV)-LED and the air flowrate. In this study, the inactivation properties of aerosolized SARS-CoV-2 were quantitatively evaluated by controlling the irradiation dose to the virus inside the inactivation unit. The RNA concentration of SARS-CoV-2 remained constant when the total irradiation dose of DUV irradiation to the virus exceeded 16.5 mJ/cm2. This observation suggests that RNA damage may occur in regions below the detection threshold of RT-qPCR assay. However, when the total irradiation dose was less than 16.5 mJ/cm2, the RNA concentration monotonically increased with a decreasing LED irradiation dose. However, the nucleocapsid protein concentration of SARS-CoV-2 was not predominantly dependent on the LED irradiation dose. The plaque assay showed that 99.16% of the virus was inactivated at 8.1 mJ/cm2 of irradiation, and no virus was detected at 12.2 mJ/cm2 of irradiation, resulting in a 99.89% virus inactivation rate. Thus, an irradiation dose of 23% of the maximal irradiation capacity of the virus inactivation unit can activate more than 99% of SARS-CoV-2. These findings are expected to enhance versatility in various applications. The downsizing achieved in our study renders the technology apt for installation in narrow spaces, while the enhanced flowrates establish its viability for implementation in larger facilities.

    DOI: 10.1016/j.envint.2023.108022

    Open Access

    Web of Science

    Scopus

    PubMed

  71. Stress relaxation of AlGaN on nonpolar m-plane GaN substrate 査読有り Open Access

    Lin, YY; Sena, H; Frentrup, M; Pristovsek, M; Honda, Y; Amano, H

    JOURNAL OF APPLIED PHYSICS   133 巻 ( 22 )   2023年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Applied Physics  

    The stress relaxation with increasing thickness of metal-organic vapor phase epitaxy grown Al0.19Ga0.81N on quasi-bulk (101 ¯0) m-plane GaN substrates was investigated by x-ray diffraction. The anisotropic in-plane stress leads to an orthorhombic distortion of the lattice, which requires special mathematical treatment. Extending earlier works, we developed a method to calculate the distortion along [12 ¯10], [0001], and [101 ¯0] and obtained the lattice parameters, Al content, and strain values. The stress relaxation along the two in-plane directions involves two different mechanisms. First, the stress along [12 ¯10] relaxes by the onset of misfit dislocations through the { 10 1 ¯ 0 } ⟨ 1 2 ¯ 10 ⟩ slip system while for thicker layers the stress along [0001] relaxes by crack formation. Comparing the cathodoluminescence emission at room temperature with the expected bandgap showed that both tensile in-plane strains along [12 ¯10] and [0001] decrease the bandgap.

    DOI: 10.1063/5.0149838

    Open Access

    Web of Science

    Scopus

  72. Impact of Sidewall Conditions on Internal Quantum Efficiency and Light Extraction Efficiency of Micro-LEDs 査読有り Open Access

    Park, JH; Pristovsek, M; Cai, WT; Cheong, HJ; Tanaka, A; Furusawa, Y; Han, DP; Seong, TY; Amano, H

    ADVANCED OPTICAL MATERIALS   11 巻 ( 10 )   2023年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Advanced Optical Materials  

    The sidewall condition is a key factor determining the performance of micro-light emitting diodes (µLEDs). In this study, equilateral triangular III-nitride blue µLEDs are prepared with exclusively m-plane sidewall surfaces to confirm the impact of sidewall conditions. It is found that inductively coupled plasma-reactive ion etching (ICP-RIE) causes surface damages to the sidewall and results in rough surface morphology. As confirmed by time-resolved photoluminescence (TRPL) and X-ray photoemission spectroscopy (XPS), tetramethylammonium hydroxide (TMAH) eliminates the etching damage and flattens the sidewall surface. After ICP-RIE, 100 µm2-µLEDs yield higher external quantum efficiency (EQE) than 400 µm2-µLEDs. However, after TMAH treatment, the peak EQE of 400 µm2-µLEDs increases by ≈10% in the low current regime, whereas that of 100 µm2-µLEDs slightly decreases by ≈3%. The EQE of the 100 µm2-µLEDs decreases after TMAH treatment although the internal quantum efficiency (IQE) increases. Further, the IQE of the 100 µm2-µLEDs before and after TMAH treatment is insignificant at temperatures below 150 K, above which it becomes considerable. Based on PL, XPS, scanning transmission electron microscopy, and scanning electron microscopy results, mechanisms for the size dependence of the EQE of µLEDs are explained in terms of non-radiative recombination rate and light extraction.

    DOI: 10.1002/adom.202203128

    Web of Science

    Scopus

  73. Lateral p-type GaN Schottky barrier diode with annealed Mg ohmic contact layer demonstrating ideal current-voltage characteristic 査読有り

    Lu, S; Deki, M; Kumabe, T; Wang, J; Ohnishi, K; Watanabe, H; Nitta, S; Honda, Y; Amano, H

    APPLIED PHYSICS LETTERS   122 巻 ( 14 )   2023年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Letters  

    We have demonstrated the fabrication process for a lateral p-type Schottky barrier diode (SBD) with the annealed Mg ohmic contact layer on a MOVPE-grown p-GaN wafer and measured the electrical characteristic of the diode. Because of the selective-area ohmic contact, the interface between the Schottky electrode and p-type GaN is well protected from any damage introduced by dry-etching or regrowth. The ideality factor of the forward current-voltage characteristic is as low as 1.09 at room temperature and an on-off ratio above 109 is also achieved. Various metals are deposited as the Schottky electrode and the work function dependence of the Schottky barrier height is confirmed with a pinning factor of 0.58. The temperature dependence of the current-voltage characteristic indicates that the GaN p-type SBD still fits the thermionic emission mode at 600 K with an ideality factor of 1.1. The reverse current of the p-SBD is also studied with the Poole-Frenkel emission model, and the trap energy level in the p-GaN is confirmed.

    DOI: 10.1063/5.0146080

    Web of Science

    Scopus

  74. Simultaneous light emission and detection of an AlGaInP quantum well diode 査読有り Open Access

    Ye, ZQ; Zhang, H; Gao, XM; Fu, K; Zeng, HB; Liu, YH; Wang, YJ; Amano, H

    AIP ADVANCES   13 巻 ( 4 )   2023年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:AIP Advances  

    When a quantum well (QW) diode is biased with a forward voltage and illuminated with an external shorter-wavelength light, the device simultaneously emits and detects light, with the injected current and the induced current mixed inside the wells. Separating these superimposed and dynamic electrical signals is useful for the development of multifunctional displays that can simultaneously transmit and receive light signals. By utilizing the unique overlap between the electroluminescence and detection spectra, we establish a wireless optical communication system using two AlGaInP diodes that have identical QW structures. The communication distance is 25 m, with one diode functioning as the transmitter and the other as the receiver. In particular, at the receiver end, the QW diode demonstrates simultaneous light emission and reception ability, and the mixed signals can be efficiently extracted, suggesting great potential for applications from light communication to advanced displays.

    DOI: 10.1063/5.0142093

    Open Access

    Web of Science

    Scopus

  75. 2D-GaN/AlN Multiple Quantum Disks/Quantum Well Heterostructures for High-Power Electron-Beam Pumped UVC Emitters. 査読有り Open Access

    Jmerik V, Nechaev D, Semenov A, Evropeitsev E, Shubina T, Toropov A, Yagovkina M, Alekseev P, Borodin B, Orekhova K, Kozlovsky V, Zverev M, Gamov N, Wang T, Wang X, Pristovsek M, Amano H, Ivanov S

    Nanomaterials (Basel, Switzerland)   13 巻 ( 6 )   2023年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/nano13061077

    Open Access

    PubMed

  76. A Review on the Progress of AlGaN Tunnel Homojunction Deep-Ultraviolet Light-Emitting Diodes 招待有り 査読有り Open Access

    Nagata, K; Matsubara, T; Saito, Y; Kataoka, K; Narita, T; Horibuchi, K; Kushimoto, M; Tomai, S; Katsumata, S; Honda, Y; Takeuchi, T; Amano, H

    CRYSTALS   13 巻 ( 3 )   2023年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Crystals  

    Conventional deep-ultraviolet (UV) light-emitting diodes (LEDs) based on AlGaN crystals have extremely low light-emission efficiencies due to the absorption in p-type GaN anode contacts. UV-light-transparent anode structures are considered as one of the solutions to increase a light output power. To this end, the present study focuses on developing a transparent AlGaN homoepitaxial tunnel junction (TJ) as the anode of a deep-UV LED. Deep-UV LEDs composed of n+/p+-type AlGaN TJs were fabricated under the growth condition that reduced the carrier compensation in the n+-type AlGaN layers. The developed deep-UV LED achieved an operating voltage of 10.8 V under a direct current (DC) operation of 63 A cm−2, which is one of the lowest values among devices composed of AlGaN tunnel homojunctions. In addition, magnesium zinc oxide (MgZnO)/Al reflective electrodes were fabricated to enhance the output power of the AlGaN homoepitaxial TJ LED. The output power was increased to 57.3 mW under a 63 A cm−2 DC operation, which was 1.7 times higher than that achieved using the conventional Ti/Al electrodes. The combination of the AlGaN-based TJ and MgZnO/Al reflective contact allows further improvement of the light output power. This study confirms that the AlGaN TJ is a promising UV-transmittance structure that can achieve a high light-extraction efficiency.

    DOI: 10.3390/cryst13030524

    Open Access

    Web of Science

    Scopus

  77. Temperature Field, Flow Field, and Temporal Fluctuations Thereof in Ammonothermal Growth of Bulk GaN-Transition from Dissolution Stage to Growth Stage Conditions. 査読有り Open Access

    Schimmel S, Tomida D, Ishiguro T, Honda Y, Chichibu SF, Amano H

    Materials (Basel, Switzerland)   16 巻 ( 5 )   2023年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Materials  

    With the ammonothermal method, one of the most promising technologies for scalable, cost-effective production of bulk single crystals of the wide bandgap semiconductor GaN is investigated. Specifically, etch-back and growth conditions, as well as the transition from the former to the latter, are studied using a 2D axis symmetrical numerical model. In addition, experimental crystal growth results are analyzed in terms of etch-back and crystal growth rates as a function of vertical seed position. The numerical results of internal process conditions are discussed. Variations along the vertical axis of the autoclave are analyzed using both numerical and experimental data. During the transition from quasi-stable conditions of the dissolution stage (etch-back process) to quasi-stable conditions of the growth stage, significant temperature differences of 20 K to 70 K (depending on vertical position) occur temporarily between the crystals and the surrounding fluid. These lead to maximum rates of seed temperature change of 2.5 K/min to 1.2 K/min depending on vertical position. Based on temperature differences between seeds, fluid, and autoclave wall upon the end of the set temperature inversion process, deposition of GaN is expected to be favored on the bottom seed. The temporarily observed differences between the mean temperature of each crystal and its fluid surrounding diminish about 2 h after reaching constant set temperatures imposed at the outer autoclave wall, whereas approximately quasi-stable conditions are reached about 3 h after reaching constant set temperatures. Short-term fluctuations in temperature are mostly due to fluctuations in velocity magnitude, usually with only minor variations in the flow direction.

    DOI: 10.3390/ma16052016

    Open Access

    Scopus

    PubMed

  78. Red emission from InGaN active layer grown on nanoscale InGaN pseudosubstrates 査読有り

    Cai, WT; Wang, J; Park, JH; Furusawa, Y; Cheong, HJ; Nitta, S; Honda, Y; Pristovsek, M; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   62 巻 ( 2 )   2023年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    We demonstrated nanoplatelet In x Ga1−x N pseudosubstrates with In content varying from 0 to 0.3 on low-dislocation-density GaN substrates. These nanoplatelets efficiently relax in-plane strain, thus allowing for the use of a thick active layer to reduce built-in polarization. The 15 nm thick InGaN active layers grown under the same conditions on these nanoplatelets showed a remarkable cathodoluminescence redshift from 460 to 617 nm, suggesting enhanced In incorporation efficiency in InGaN nanoplatelets with higher In content. Moreover, the 617 nm-emitting sample presented an imperceptible blueshift under excitation-power-dependent photoluminescence, indicating a weak polarization field introduced by the high-In-content pseudosubstrates and the thick active layer.

    DOI: 10.35848/1347-4065/acb74c

    Web of Science

    Scopus

  79. 平板捕集電極をもつ電気集塵装置の粒子捕集特性 Open Access

    高牟礼 光太郎, 岩谷 靖雅, 天野 浩, 八木 哲也, 内山 知実

    年次大会   2023 巻 ( 0 ) 頁: S054-05   2023年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人 日本機械学会  

    DOI: 10.1299/jsmemecj.2023.s054-05

    Open Access

    CiNii Research

  80. MOVPE法によるGaNエピタキシャル成長における炭素混入に関する数値解析とその評価 Open Access

    向山 裕次, 渡邊 浩崇, 新田 州吾, 飯塚 将也, 天野 浩

    計算力学講演会講演論文集   2023.36 巻 ( 0 ) 頁: OS-1406   2023年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人 日本機械学会  

    DOI: 10.1299/jsmecmd.2023.36.os-1406

    Open Access

    CiNii Research

  81. Metal Stop Laser Drilling for Blind via Holes of GaN-on-GaN Devices 査読有り Open Access

    Sasaoka C., Ando Y., Takahashi H., Ikarashi N., Amano H.

    Physica Status Solidi (A) Applications and Materials Science     2023年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Physica Status Solidi (A) Applications and Materials Science  

    The metal stop laser drilling of GaN-on-GaN devices is demonstrated using a UV sub-nanosecond laser as a light source. By monitoring the Bremsstrahlung emission at the drilling point, metal stops with a precision higher than 1 μm are realized for vias with a depth of 100 μm. From in situ laser-induced breakdown spectroscopy measurements, it is shown that endpoint detection is realized with high signal-to-noise ratio owing to the difference in the emission process between the strongly excited semiconductor and the metal. Herein, a through-substrate electrode with a resistance of less than 5 mΩ on GaN-on-GaN high-electron-mobility transistor (HEMT) wafers is demonstrated. The fabrication of through-substrate electrodes by this technique provides a simple process that does not require lithography or other complex processes. This process is expected to be useful in the fabrication of future GaN-on-GaN devices, including very thin GaN-on-GaN HEMTs.

    DOI: 10.1002/pssa.202200739

    Open Access

    Scopus

  82. Photoelectron beam technology for SEM imaging with pixel-specific control of irradiation beam current

    Nishitani T., Arakawa Y., Noda S., Koizumi A., Sato D., Shikano H., Iijima H., Honda Y., Amano H.

    Proceedings of SPIE - The International Society for Optical Engineering   12496 巻   2023年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Proceedings of SPIE - The International Society for Optical Engineering  

    The scanning electron microscope (SEM) with photocathode technology was launched by retrofitting the photocathode electron gun to a commercial-based SEM system. In this SEM system, the excitation laser for photoelectron generation from the photocathode is synchronized to the scanning signal. SEM images were obtained by high-speed modulation of the photoelectron beam current using the photocathode SEM, where the location in the field of view and its irradiation current were arbitrarily selected on a pixel-by-pixel basis (Selective e-Beaming technology). As a demonstration experiment contributing to non-contact electrical inspection, low-voltage SEM imaging of MOS-FET structures in 3D-NAND flash memory was performed using this selective e-beam technology. As a result, changes in the voltage contrast of the drain electrode were observed in response to on/off selective electron beam irradiation to the gate electrode in the MOS-FET structure. As an extension of the selective electron beaming technology, a Yield Controlled e-beaming (YCeB) technology was invented to control the secondary electron yield generated in the entire field of view of the SEM image by feedback control of the laser power irradiating the photocathode to the intensity of each pixel in the SEM image. The YCeB image, in which the laser power intensity corresponding to the probe intensity is modulated so that the secondary electron yield generated in the entire field of view of the SEM image is constant, is a clearer image with less noise than the original SEM image.

    DOI: 10.1117/12.2657853

    Web of Science

    Scopus

  83. Novel Electron Beam Technology using InGaN Photocathode for High-Throughput Scanning Electron Microscope Imaging

    Sato, D; Koizumi, A; Shikano, H; Noda, S; Otsuka, Y; Yasufuku, D; Mori, K; Iijima, H; Nishitani, T; Honda, Y; Amano, H

    METROLOGY, INSPECTION, AND PROCESS CONTROL XXXVII   12496 巻   2023年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Proceedings of SPIE - The International Society for Optical Engineering  

    An InGaN photocathode with a negative electron affinity (NEA) surface is suitable for industrial use because of features such as a long quantum efficiency lifetime, availability with a visible laser as an excitation light source, and the presence of a transmission-type structure. The first objective is the development of an InGaN photocathode electron gun that can be mounted on a scanning electron microscope (SEM) and the evaluation of the electron beam size at the emission point, maximum emission current, and transverse energy of the electron beam, which are important factors for realizing a high probe current in the SEM. The second objective is the evaluation of emission current stability, while the third objective is the generation of a pulsed electron beam and multi-electron beam from the InGaN photocathode. The parameters of the electron beam from the photocathode electron gun were an emission beam radius of 1 µm, transverse energy of 44 meV, and an emission current of up to 110 µA. Using a high beam current with low transverse energy from the photocathode, a 13 nA probe current with 10 nm SEM resolution was observed with 15 µA emission. At 15 µA, the continuous electron beam emission for 1300 h was confirmed; at 30 µA, the cycle time between the NEA surface reactivations was confirmed to be 90 h with 0.043% stability. Moreover, a 4.4 ns pulsed e-beam with a 4.7 mA beam current was generated, and a 5 × 5 multielectron beam with 12% uniformity was then obtained. The advantages of the InGaN photocathode, such as high electron beam current, low transverse energy, long quantum efficiency lifetime, pulsed electron beam, and multi-electron beam, are useful in industries including semiconductor device inspection tools.

    DOI: 10.1117/12.2657032

    Web of Science

    Scopus

  84. Challenges and opportunities of nitride light emitting devices by HVPE thanks to a stable Mg source

    Matsumoto K., Ohnishi K., Amano H.

    Proceedings of SPIE - The International Society for Optical Engineering   12441 巻   2023年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Proceedings of SPIE - The International Society for Optical Engineering  

    Until recently, lack of stable p-type doping source in HVPE hindered its use to the application of III-nitride light emitting devices. Recently, K. Ohnishi discovered the stable MgO source for p-type doping in GaN HVPE. This has enabled the use of HVPE for light emitting devices as well as electron devices such as vertical MOSFET. In this article, we will review the current HVPE technology of p-GaN HVPE, multi-junction AlInGaP/InGaP/GaAs solar cell, InGaN HVPE by tri-chlorides, and discuss the challenge and opportunities of III-nitride HVPE in terms of epitaxial layer design and the remaining issues of the growth of the low temperature buffer, MQWs as well as the source supply design to grow multilayer structures.

    DOI: 10.1117/12.2647336

    Scopus

  85. Development in AlGaN homojunction tunnel junction deep UV LEDs

    Nagata K., Anada S., Saito Y., Kushimoto M., Honda Y., Takeuchi T., Amano H.

    Proceedings of SPIE - The International Society for Optical Engineering   12441 巻   2023年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Proceedings of SPIE - The International Society for Optical Engineering  

    To reduce the operating voltage, we analyzed the p-n junction of an aluminum gallium nitride (AlGaN) homojunction tunnel junction (TJ) deep-ultraviolet light-emitting diode using phase-shifting electron holography. We obtained a phase image reflecting the band alignment of the p-n homojunction and derived a depletion layer width of approximately 10 nm. We found the AlGaN homojunction TJ forms a p-n junction. Furthermore, the operating voltage reached 8.8 V at 63 A cm-2 by optimizing the structural characteristics of the AlGaN TJ, such as the thickness and impurity concentration, where the thickness of the TJ was 23 nm. We found that the TJ thickness should be at least the same as the depletion layer width at the AlGaN TJ.

    DOI: 10.1117/12.2646757

    Scopus

  86. Demonstration of AlN-based Vertical p-n Diodes with Dopant-Free Distributed-Polarization Doping

    Kumabe T., Yoshikawa A., Kushimoto M., Honda Y., Arai M., Suda J., Amano H.

    Technical Digest - International Electron Devices Meeting, IEDM     2023年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Technical Digest - International Electron Devices Meeting, IEDM  

    Nearly ideal AlN-based vertical p-n diodes are demonstrated on an AIN substrate utilizing dopant-free distributed-polarization doping (DPD). Capacitance-voltage measurements revealed that the effective doping concentration agreed well with the designed DPD charge concentration. The fabricated devices exhibited a low tum-on voltage of 6.5 V, a low differential specific ON-resistance of 3 mO cm2, and an ideality factor of 2 for a wide range of temperatures (room temperature-573 K). Moreover, the breakdown electric field was 7.3 MV/cm, which was almost twice as high as the reported critical electric field of 4H-SÌC and GaN. These results clearly demonstrate the usefulness of DPD in the fabrication of high-performance AlN-based power devices.

    DOI: 10.1109/IEDM45741.2023.10413866

    Scopus

  87. Evaluation of Switching Characteristics of High Breakdown Voltage GaN-PSJ Transistors at Liquid Nitrogen Temperature

    Deki M., Kawarabayashi H., Honda Y., Amano H.

    AIAA Aviation and Aeronautics Forum and Exposition, AIAA AVIATION Forum 2023     2023年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:AIAA Aviation and Aeronautics Forum and Exposition, AIAA AVIATION Forum 2023  

    We demonstrated static characteristics testing and Double-Pulse-Testing (DPT) at 77 K using GaN-Polarization Super Junction (PSJ) transistors, commercialized GaN HEMTs and commercialized SiC-MOSFETs. In the results of SiC-MOSFETs, total switching losses increased at 77 K due to the increase in on-resistance (Ron). On the other hand, in the results of GaN-PSJ transistors, total switching losses decreased at 77 K due to the increase in channel mobilities. In the case of GaN-PSJ transistors, energy losses which are induced self-charging and discharging (Eqoss) in the upper side DUT increased at 77 K. From the measurement of Vds dependence of Cds, Cds increased at 77 K compared with room temperature conditions. However, total switching losses decreased at low temperatures because Eqoss accounts for about 10% of the switching losses.

    DOI: 10.2514/6.2023-4538

    Scopus

  88. GaN substrate cut-out process and GaN on GaN device thinning process with laser slicing Open Access

    Tanaka A., Matsushima K., Yui T., Aratani T., Hara K., Kawaguchi D., Watanabe H., Kanemura T., Nagasato Y., Nagaya M., Honda Y., Wakejima A., Ando Y., Onda S., Suda J., Amano H.

    Cs Mantech 2023 2023 International Conference on Compound Semiconductor Manufacturing Technology     2023年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Cs Mantech 2023 2023 International Conference on Compound Semiconductor Manufacturing Technology  

    We have developed a technique to slice GaN substrates with reduced kerf loss and processing time with laser. This technique can also be applied to slicing wafers after device fabrication, allowing a thin device layer to be sliced off from the wafer. This means that the electrical and thermal resistance of the devices can be reduced while the base GaN substrate remains reusable. We believe that the use of both wafer and device processing with laser slicing will greatly reduce the cost of GaN substrates in devices. With this technique, we believe that we can realize the practical application of devices using GaN substrates.

    Open Access

    Scopus

  89. Photon extraction enhancement of praseodymium ions in gallium nitride nanopillars 査読有り Open Access

    Sato, SI; Li, S; Greentree, AD; Deki, M; Nishimura, T; Watanabe, H; Nitta, S; Honda, Y; Amano, H; Gibson, BC; Ohshima, T

    SCIENTIFIC REPORTS   12 巻 ( 1 ) 頁: 21208   2022年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Scientific Reports  

    Lanthanoid-doped Gallium Nitride (GaN) integrated into nanophotonic technologies is a promising candidate for room-temperature quantum photon sources for quantum technology applications. We manufactured praseodymium (Pr)-doped GaN nanopillars of varying size, and showed significantly enhanced room-temperature photon extraction efficiency compared to unstructured Pr-doped GaN. Implanted Pr ions in GaN show two main emission peaks at 650.3 nm and 651.8 nm which are attributed to 3P0-3F2 transition in the 4f-shell. The maximum observed enhancement ratio was 23.5 for 200 nm diameter circular pillars, which can be divided into the emitted photon extraction enhancement by a factor of 4.5 and the photon collection enhancement by a factor of 5.2. The enhancement mechanism is explained by the eigenmode resonance inside the nanopillar. Our study provides a pathway for Lanthanoid-doped GaN nano/micro-scale photon emitters and quantum technology applications.

    DOI: 10.1038/s41598-022-25522-6

    Open Access

    Web of Science

    Scopus

    PubMed

  90. Characteristics of collection and inactivation of virus in air flowing inside a winding conduit equipped with 280 nm deep UV-LEDs Open Access

    Takamure, K; Sakamoto, Y; Iwatani, Y; Amano, H; Yagi, T; Uchiyama, T

    ENVIRONMENT INTERNATIONAL   170 巻   頁: 107580   2022年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Environment International  

    A general-purpose virus inactivation unit that can inactivate viruses was developed using deep ultraviolet (DUV) LEDs that emit DUV rays with a wavelength of 280 nm. The inside of the virus inactivation unit is a rectangular conduit with a sharp turn of 180° (sharp-turned rectangular conduit). Virus inactivation is attempted by directly irradiating the air passing through the conduit with DUV rays. The flow characteristics of air and virus particles inside the virus inactivation unit were investigated using numerical simulations. The air was locally accelerated at the sharp turn parts and flowed along the partition plate in the sharp-turned rectangular conduit. The aerosol particles moving in the sharp-turned rectangular conduit were greatly bent in orbit at the sharp turn parts, and then rapidly approached the partition plate at the lower part of the conduit. Consequently, many particles collided with the partition plates behind the sharp-turn parts. SARS-CoV-2 virus was nebulized in the virus inactivation unit, and the RNA concentration and virus inactivation rate with and without the emission of DUV-LEDs were measured in the experiment. The concentration of SARS-CoV-2 RNA was reduced to 60% through DUV-LED irradiation. In addition, SARS-CoV-2 passing through the virus inactivation unit was inactivated below the detection limit by the emission of DUV-LEDs. The virus inactivation rate and the value of the detection limit corresponded to 99.38% and 35.36 TCID50/mL, respectively.

    DOI: 10.1016/j.envint.2022.107580

    Open Access

    Web of Science

    Scopus

    PubMed

  91. Scanning electron microscope imaging by selective e-beaming using photoelectron beams from semiconductor photocathodes 査読有り

    Nishitani, T; Arakawa, Y; Noda, S; Koizumi, A; Sato, D; Shikano, H; Iijima, H; Honda, Y; Amano, H

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B   40 巻 ( 6 )   2022年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Vacuum Science and Technology B  

    Pulsed electron beams from a photocathode using an InGaN semiconductor have brought selectively scanning technology to scanning electron microscopes, where the electron beam irradiation intensity and area can be arbitrarily selected within the field of view in SEM images. The p-type InGaN semiconductor crystals grown in the metalorganic chemical vapor deposition equipment were used as the photocathode material for the electron beam source after the surface was activated to a negative electron affinity state in the electron gun under ultrahigh vacuum. The InGaN semiconductor photocathode produced a pulsed electron beam with a rise and fall time of 3 ns, consistent with the time structure of the irradiated pulsed laser used for the optical excitation of electrons. The InGaN photocathode-based electron gun achieved a total beam operation time of 1300 h at 15 μA beam current with a downtime rate of 4% and a current stability of 0.033% after 23 cycles of surface activation and continuous beam operation. The InGaN photocathode-based electron gun has been installed in the conventional scanning electron microscope by replacing the original field emission gun. SEM imaging was performed by selective electron beaming, in which the scanning signal of the SEM system was synchronized with the laser for photocathode excitation to irradiate arbitrary regions in the SEM image at arbitrary intensity. The accuracy of the selection of regions in the SEM image by the selective electron beam was pixel by pixel at the TV scan speed (80 ns/pix, 25 frame/s) of the SEM.

    DOI: 10.1116/6.0002111

    Web of Science

    Scopus

  92. Miniature optical fiber curvature sensor via integration with GaN optoelectronics Open Access

    Shi F., Zhang H., Ye Z., Tang X., Qin F., Yan J., Gao X., Zhu H., Wang Y., Liu Y., Amano H.

    Communications Engineering   1 巻 ( 1 )   2022年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Communications Engineering  

    Optical fiber curvature sensors have been considered as a promising option for human motion detection due to its good toughness, bending flexibility and anti-electromagnetic interference. However, for wearable devices, the miniature configuration is preferred, and a high integration of the light emitter, receiver and guided fiber is essential to configure the miniaturized sensing system. Here, we present a miniaturized curvature sensing system by integrating a GaN-based optoelectronic chip with the plastical optical fiber (POF). The light emitter and detector are fabricated on a GaN-on-sapphire wafer to form a tiny chip sized at 2.5 × 1.5 mm2. The on-chip photodetector (PD) effectively senses the reflected light intensity, extracting information on the fiber bending deformation. A compact curvature sensing system is demonstrated for finger motion detection with movement angles of 30–90° and frequencies of 0.4, 1, and 1.6 Hz. The results show that the monolithically integrated LED and PD chip can be combined with the POF with reliable operation. The demonstration of the monolithically integrated optoelectronic device suggests a promising potential technology for future wearable fiber optical sensor system.

    DOI: 10.1038/s44172-022-00049-w

    Open Access

    Scopus

  93. Key temperature-dependent characteristics of AlGaN-based UV-C laser diode and demonstration of room-temperature continuous-wave lasing 査読有り Open Access

    Zhang, ZY; Kushimoto, M; Yoshikawa, A; Aoto, K; Sasaoka, C; Schowalter, LJ; Amano, H

    APPLIED PHYSICS LETTERS   121 巻 ( 22 )   2022年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Letters  

    Although the pulsed operation of AlGaN-based laser diodes at UV-C wavelengths has been confirmed in the previous studies, continuous oscillation without cooling is difficult because of the high operating voltage. In this study, the temperature dependence of key parameters was investigated and their impact on achieving continuous-wave lasing was discussed. A reduction in the threshold voltage was achieved by tapering the sides of the laser diode mesa and reducing the lateral distance between the n- and p-electrodes. As a result, continuous-wave lasing at room temperature was demonstrated at a threshold current density of 4.2 kA / cm 2 and a threshold voltage of 8.7 V.

    DOI: 10.1063/5.0124480

    Open Access

    Web of Science

    Scopus

  94. Local stress control to suppress dislocation generation for pseudomorphically grown AlGaN UV-C laser diodes 査読有り Open Access

    Kushimoto, M; Zhang, ZY; Yoshikawa, A; Aoto, K; Honda, Y; Sasaoka, C; Schowalter, LJ; Amano, H

    APPLIED PHYSICS LETTERS   121 巻 ( 22 )   2022年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Letters  

    Previously reported UV-C laser diode (LD) structures have been subject to design constraints owing to dark line defects at the edge of the mesa stripe after device fabrication. To address this issue, a detailed analysis revealed that the dark line defects were dislocations generated by local residual shear stresses associated with mesa formation on highly strained epitaxial layers. A technique for controlling the local concentration of shear stress using a sloped mesa geometry was proposed based on insight gained by modeling the stress distribution at the edge of the mesa stripe. Experimental results showed that this technique succeeded in completely suppressing the emergence of dark-line defects. This technique will be useful in improving the performance of pseudomorphic AlGaN/AlN-based optoelectronic devices, including UV-C LDs.

    DOI: 10.1063/5.0124512

    Open Access

    Web of Science

    Scopus

  95. Electrical Characteristics of Thermally Stable Ag-Pd-Cu Alloy Schottky Contacts on <i>n-</i>Al<sub>0.6</sub>Ga<sub>0.4</sub>N Open Access

    Sim, KB; Kim, SK; Seong, TY; Amano, H

    ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY   11 巻 ( 11 )   2022年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:ECS Journal of Solid State Science and Technology  

    We report the fabrication of high-barrier-height and thermally reliable Schottky contacts to n-Al0.6Ga0.4N by using an Ag-Pd-Cu (APC) alloy. The Schottky barrier heights (SBHs) and ideality factors computed using the current-voltage (I-V) model ranged from 0.82 to 0.97 eV and from 3.15 to 3.44, respectively. The barrier inhomogeneity model and capacitance-voltage (C-V) method yielded higher SBHs (1.62-2.19 eV) than those obtained using the I-V model. The 300 °C-annealed APC sample exhibited more uniform electrical characteristics than the 500 °C-annealed Ni/Au Schottky samples (each with the best Schottky behavior). Furthermore, the scanning electron microscopy (SEM) and scanning transmission electron microscopy (STEM) results indicated that the APC Schottky contacts were more thermally stable than the Ni/Au contacts. On the basis of the X-ray photoemission spectroscopy (XPS) results, the improved Schottky characteristics of the APC alloy contacts are described and discussed.

    DOI: 10.1149/2162-8777/aca1df

    Open Access

    Web of Science

    Scopus

  96. Substitutional diffusion of Mg into GaN from GaN/Mg mixture 査読有り Open Access

    Itoh, Y; Lu, S; Watanabe, H; Deki, M; Nitta, S; Honda, Y; Tanaka, A; Amano, H

    APPLIED PHYSICS EXPRESS   15 巻 ( 11 )   2022年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    We evaluated Mg-diffusion into GaN from GaN/Mg mixture. The diffusion depth of Mg increased with diffusion temperature from 1100 °C to 1300 °C, whereas the Mg concentration remained constant at 2-3 × 1018 cm−3 independent of temperature. The estimated activation energy for Mg diffusion was 2.8 eV, from which the substitutional diffusion mechanism was predicted. Mg-diffused GaN samples showed p-type conductivity with a maximum hole mobility of 27.7 cm2 V−1 s−1, suggesting that substitutional diffusion contributes to Mg activation. This diffusion technique can be used to easily form p-type GaN and has potential as a p-type selective doping technique.

    DOI: 10.35848/1882-0786/ac9c83

    Open Access

    Web of Science

    Scopus

  97. Adsorption structure deteriorating negative electron affinity under the H<sub>2</sub>O environment 査読有り

    Kashima, M; Ishiyama, S; Sato, D; Koizumi, A; Iijima, H; Nishitani, T; Honda, Y; Amano, H; Meguro, T

    APPLIED PHYSICS LETTERS   121 巻 ( 18 )   2022年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Letters  

    Photocathodes with negative electron affinity (NEA) characteristics have various advantages, such as small energy spread, high spin polarization, and ultrashort pulsing. Nitride semiconductors, such as GaN and InGaN, are promising materials for NEA photocathodes because their lifetimes are longer than those of other materials. In order to further prolong the lifetime, it is important to better understand the deterioration of NEA characteristics. The adsorption of residual gases and back-bombardment by ionized residual gases shorten the lifetime. Among the adsorbed residual gases, H2O has a significant influence. However, the adsorption structures produced by the reaction with H2O are not comprehensively studied so far. In this study, we investigated adsorption structures that deteriorated the NEA characteristics by exposing InGaN and GaAs to an H2O environment and discussed the differences in their lifetimes. By comparing the temperature-programmed desorption curves with and without H2O exposure, the generation of CsOH was confirmed. The desorption of CsOH demonstrated different photoemission behaviors between InGaN and GaAs results. InGaN recovered its NEA characteristics, whereas GaAs did not. Considering the Cs desorption spectra, it is difficult for an NEA surface on InGaN to change chemically, whereas that for GaAs changes easily. The chemical reactivity of the NEA surface is different for InGaN and GaAs, which contributes to the duration of photoemission. We have attempted to prolong the lifetime of InGaN by recovering its NEA characteristics. We found that InGaN with NEA characteristics can be reused easily without thermal treatment at high temperatures.

    DOI: 10.1063/5.0125344

    Web of Science

    Scopus

  98. Monolithic GaN optoelectronic system on a Si substrate 査読有り

    Zhang, H; Yan, JB; Ye, ZQ; Shi, F; Piao, JL; Wang, W; Gao, XM; Zhu, HB; Wang, YJ; Liu, YH; Amano, H

    APPLIED PHYSICS LETTERS   121 巻 ( 18 )   2022年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Letters  

    GaN-based devices have grown rapidly in recent decades, due to their important research value and application prospects. There is a desire to monolithically integrate different GaN devices into a single chip for the development of future optoelectronic systems with low power consumption. In addition to improved multifunctional performance, a miniature integrated system can result in a significant reduction in material costs, processing costs, and packaging costs. In view of such prospects, we propose monolithic, top-down approaches to build III-nitride transmitter, modulator, waveguide, beam splitter, receiver, and monitor as a single unit onto a conventional GaN-on-silicon wafer without involving regrowth or postgrowth doping. Data communication among these components is realized through light propagation, opening up horizons for GaN optoelectronic systems on a chip.

    DOI: 10.1063/5.0125324

    Web of Science

    Scopus

  99. The photoemission characteristics of a NEA InGaN photocathode by simultaneously supplying Cs and O2 査読有り Open Access

    Kashima, M; Itokawa, Y; Kanai, T; Sato, D; Koizumi, A; Iijima, H; Nishitani, T; Honda, Y; Amano, H; Meguro, T

    APPLIED SURFACE SCIENCE   599 巻   2022年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Surface Science  

    A high quantum efficiency (QE) can be obtained on negative electron affinity (NEA) surfaces. It is well-known that NEA surfaces can be formed on semiconductor materials such as GaAs by the alternating supply of cesium (Cs) and oxygen (O2), which is called the yo-yo method. While GaN and related compounds such as InGaN are expected to realize an NEA photocathode with a long lifetime, the surface reactions between GaAs and nitride semiconductors are completely different with respect to the increasing rate of QE induced by the supply of O2. In addition, the surface processes of photoemission from NEA nitride semiconductors have not yet been elucidated. In the present study, a higher QE was achieved in InGaN by simultaneously supplying Cs and O2 instead of using the conventional yo-yo method. The possible Cs adsorption states in relation to the photoemission are also discussed based on the QE tendencies and the temperature programmed desorption (TPD) spectra of the NEA surfaces formed under elevated temperature conditions. This study suggests that the Cs oxide species, which is one of the key compounds for imparting the NEA nature, decomposes at approximately 350 °C and that the InGaN-Cs2O2 structure is a possible candidate for NEA photocathodes.

    DOI: 10.1016/j.apsusc.2022.153882

    Open Access

    Web of Science

    Scopus

  100. High-Energy Computed Tomography as a Prospective Tool for In Situ Monitoring of Mass Transfer Processes inside High-Pressure Reactors-A Case Study on Ammonothermal Bulk Crystal Growth of Nitrides including GaN 査読有り Open Access

    Schimmel, S; Salamon, M; Tomida, D; Neumeier, S; Ishiguro, T; Honda, Y; Chichibu, SF; Amano, H

    MATERIALS   15 巻 ( 17 )   2022年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Materials  

    For the fundamental understanding and the technological development of the ammonothermal method for the synthesis and crystal growth of nitrides, an in situ monitoring technique for tracking mass transport of the nitride throughout the entire autoclave volume is desirable. The feasibility of using high-energy computed tomography for this purpose was therefore evaluated using ex situ measurements. Acceleration voltages of 600 kV were estimated to yield suitable transparency in a lab-scale ammonothermal setup for GaN crystal growth designed for up to 300 MPa operating pressure. The total scan duration was estimated to be in the order of 20 to 40 min, which was sufficient given the comparatively slow crystal growth speed in ammonothermal growth. Even shorter scan durations or, alternatively, lower acceleration voltages for improved contrast or reduced X-ray shielding requirements, were estimated to be feasible in the case of ammonoacidic growth, as the lower pressure requirements for this process variant allow for thinned autoclave walls in an adapted setup designed for improved X-ray transparency. Promising nickel-base and cobalt-base alloys for applications in ammonothermal reactors with reduced X-ray absorption in relation to the maximum operating pressure were identified. The applicability for the validation of numerical simulations of the growth process of GaN, in addition to the applicability of the technique to further nitride materials, as well as larger reactors and bulk crystals, were evaluated.

    DOI: 10.3390/ma15176165

    Open Access

    Web of Science

    Scopus

    PubMed

  101. N極性面AlGaN/AlNヘテロ接合型FETの性能改善

    稲原 大輔, 松田 駿佑, 松村 航, 奥野 椋, 花咲 光基, 小脇 岳士, 宮本 弥凪, 金崎 蓮, 齊藤 俊介, 藤井 開, 倉井 聡, 岡田 成仁, 姚 永昭, 石川 由加里, 田中 敦之, 新田 州吾, 本田 善央, 天野 浩, 山田 陽一

    応用物理学会学術講演会講演予稿集   2022.2 巻 ( 0 ) 頁: 2869 - 2869   2022年8月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2022.2.0_2869

    CiNii Research

  102. Understanding indium incorporation of InGaN grown on polar, semi-polar, and non-polar orientation by metal-organic vapor phase epitaxy 査読有り

    Hu, N; Avit, G; Pristovsek, M; Honda, Y; Amano, H

    APPLIED PHYSICS LETTERS   121 巻 ( 8 )   2022年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Letters  

    We studied indium incorporation into InGaN/GaN quantum wells grown by metal-organic vapor phase epitaxy by systematically varying of gallium and indium precursor flows on (0001), (10 1 ¯3), (11 2 ¯2), and (10 1 ¯0) orientations. The layer thickness and indium composition obtained from x-ray diffraction analysis were correlated with a model based on indium and gallium incorporation efficiencies. In the model, the indium incorporation efficiency is reproduced by the Langmuir surface coverage of gallium, indicating that indium atoms close to gallium atoms can be incorporated preferably.

    DOI: 10.1063/5.0088908

    Web of Science

    Scopus

  103. Surface kinetics in halide vapor phase epitaxial growth of GaN layers on GaN (0001) freestanding substrates 査読有り Open Access

    Ohnishi, K; Fujimoto, N; Nitta, S; Watanabe, H; Honda, Y; Amano, H

    JOURNAL OF CRYSTAL GROWTH   592 巻   2022年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Crystal Growth  

    The effects of halide vapor phase epitaxial (HVPE) growth conditions such as input V/III ratio and substrate off-cut angle on the surface morphology of n-type GaN layers grown on GaN (0001) freestanding substrates were investigated to develop a model for growing smooth surfaces. The spiral hillock density increased with increasing input V/III ratio and/or decreasing off-cut angle. The critical off-cut angle between the spiral growth and the step-flow growth depended on the vapor supersaturation calculated by thermodynamic analysis. To understand the transition of the growth mode, we proposed a Burton–Cabrera–Frank-theory-based model considering the effect of spiral growth, which was utilized to explain the obtained experimental results. The developed growth model can be effective for predicting the HVPE growth mode between the spiral growth and the step-flow growth.

    DOI: 10.1016/j.jcrysgro.2022.126749

    Open Access

    Web of Science

    Scopus

  104. Improved performance of deep ultraviolet AlGaN-based light-emitting diode by reducing contact resistance of Al-based reflector 査読有り

    Sim K.B., Jin J.Y., Kim S.K., Ko Y.J., Hwang G.W., Seong T.Y., Amano H.

    Journal of Alloys and Compounds   910 巻   2022年7月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Alloys and Compounds  

    In this study, chlorine (Cl) treatment was carried out on p-AlGaN to enhance the performance of ultraviolet-C light emitting diodes (UVC LEDs) by modifying ITO work function and hence reducing the contact resistance of ITO/Al reflector. The Cl-treated UVC LEDs exhibit the forward voltage of 6.88 V at 20 mA, whereas the reference samples show 7.50 V. The light output power and relative wall plug efficiency (WPE) of the Cl-treated UVC LEDs are enhanced by 17.1% at 500 mW and 19.5% at 100 mA, respectively, as compared to the reference. Additionally, the Cl-treated LEDs also display reduction in both the leakage current and ideality factor. Further, the photoluminescence (PL) intensity of AlGaN micro-disks is also enhanced by the Cl-treatment. X-ray photoemission spectroscopy (XPS) results indicate the formation of Cl-ITO at the ITO/p-AlGaN interface and the passivation of the surface states of AlGaN by Cl radicals. Based on the XPS results, a possible mechanism for the improved performance of Cl-treated UVC AlGaN-based LEDs is described and discussed.

    DOI: 10.1016/j.jallcom.2022.164895

    Scopus

  105. Weak metastability of Al<i> <sub>x</sub> </i>Ga<sub>1-<i>x</i> </sub>N (<i>x</i>=13/24, 15/24, 17/24) shown by analyzing AlGaN grown on AlN with dense macrosteps 査読有り

    Hirano, A; Nagasawa, Y; Ippommatsu, M; Sako, H; Hashimoto, A; Sugie, R; Honda, Y; Amano, H; Kojima, K; Chichibu, SF

    APPLIED PHYSICS EXPRESS   15 巻 ( 7 )   2022年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    Energy-dispersive X-ray signals calibrated by Rutherford backscattering indicated the generation of Al13/24Ga11/24N in Ga-rich stripes in a nonflat Al0.58Ga0.42N layer. Also, the CL peak wavelengths of ∼259 and 272 nm also showed the generation of Al15/24Ga9/24N and Al13/24Ga11/24N in Al-rich zones and Ga-rich stripes, respectively. The wavelength of a strong CL peak at ∼246 nm, which was observed from the Al0.7Ga0.3N layer in our previous study, is also considered to correspond to the near-band-emission wavelengths of Al17/24Ga7/24N. In particular, the stronger reproducibility of metastable Al15/24Ga9/24N generation was confirmed, in agreement with the computed predictions by other research groups.

    DOI: 10.35848/1882-0786/ac79a1

    Web of Science

    Scopus

  106. Space-Charge Profiles and Carrier Transport Properties in Dopant-Free GaN-Based p-n Junction Formed by Distributed Polarization Doping 査読有り

    Kumabe, T; Kawasaki, S; Watanabe, H; Nitta, S; Honda, Y; Amano, H

    PHYSICA STATUS SOLIDI-RAPID RESEARCH LETTERS   16 巻 ( 7 )   2022年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Physica Status Solidi - Rapid Research Letters  

    Herein, the operation of dopant-free GaN-based p-n junctions formed by distributed polarization doping (DPD) is experimentally demonstrated and their space charge profiles and carrier transport properties are investigated. The device exhibits ideal space charge profiles explained by polarization effects and demonstrates the excellent controllability of DPD. In addition, it shows rectification and electroluminescence under forward-biased conditions. The carrier transport properties could be explained by the conventional recombination/diffusion model used for impurity-doped p-n junctions. Repeatable breakdowns are also observed in all devices and the temperature-dependent breakdown voltages reveal that the breakdowns are caused by avalanche multiplication, which is also the same as those reported in impurity-doped GaN p-n diodes. These results indicate that DPD is a promising doping technology for GaN-based power devices overcoming any issues associated with conventional impurity doping.

    DOI: 10.1002/pssr.202200127

    Web of Science

    Scopus

  107. Dual-peak electroluminescence spectra generated from Al <i><sub>n</sub></i> <sub>/12</sub>Ga<sub>1-<i>n</i>/12</sub>N (<i>n</i>=2, 3, 4) for AlGaN-based LEDs with nonflat quantum wells 査読有り

    Nagasawa, Y; Kojima, K; Hirano, A; Ippommatsu, M; Honda, Y; Amano, H; Chichibu, SF

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   55 巻 ( 25 )   2022年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Physics D: Applied Physics  

    The metastability of Al n/12Ga1-n/12N (n = 2, 3, and 4) was investigated by the statistical analysis of electroluminescence (EL) spectra having dual peaks with a peak-to-peak distance (pp) of >10 nm generated from nonflat Al x Ga1-x N (x ∼0.2) quantum wells (QWs) fabricated on c(0001) sapphire substrates with a miscut of 1.0° towards the m[1 1ˉ 00] axis. To explain the origins of the dual-peak EL (DPEL) spectra, which are often observed for AlGaN-QWs with Ga content of greater than 0.7, a nonflat QW model incorporating two metastable compositions, Al(n-1)/12Ga1-(n-1)/12N and Al n/12Ga1-n/12N (n: integer), is proposed. By the statistical analysis of peak wavelengths in DPEL spectra and the verification of EL spectral shapes, two series of featured EL peak wavelengths with intervals of 2-3 nm were obtained from five out of six LED wafers. The two series of featured EL peak wavelengths were assigned by comparison with the calculated EL wavelengths. Then, Al2/12Ga10/12N and Al3/12Ga9/12N were determined to be the origins of peaks with the longer and shorter wavelengths in the DPEL, respectively, in addition to the metastable Al n/12Ga1-n/12N (n= 4-9) compositions observed in our previous studies. When DPEL (pp> 10 nm) appeared, the difference in QW thickness between Al2/12Ga10/12N and Al3/12Ga9/12N tended to be larger than one monolayer (ML), indicating a significant amount of Ga or GaN mass transport. Furthermore, the Al2/12Ga10/12N and Al3/12Ga9/12N QWs are considered to have thicknesses of m ML (m: consecutive integers), suggesting the 1 ML configuration of Al and Ga atoms on the c(0001) plane. In addition, the DPEL obtained from nonflat Al x Ga1-x N (x ∼0.25) QWs by another research group was shown to be related to two metastable Al n/12Ga1-n/12N (n = 3, 4), similarly to our one exceptional LED wafer, which also agreed with the model proposed in this work.

    DOI: 10.1088/1361-6463/ac5d03

    Web of Science

    Scopus

  108. Author Correction: Laser slice thinning of GaN-on-GaN high electron mobility transistors. Open Access

    Tanaka A, Sugiura R, Kawaguchi D, Wani Y, Watanabe H, Sena H, Ando Y, Honda Y, Igasaki Y, Wakejima A, Ando Y, Amano H

    Scientific reports   12 巻 ( 1 ) 頁: 8175   2022年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1038/s41598-022-12628-0

    Open Access

    PubMed

  109. Interplay of sidewall damage and light extraction efficiency of micro-LEDs 査読有り

    Park, JH; Pristovsek, M; Cai, WT; Cheong, H; Kumabe, T; Lee, DS; Seong, TY; Amano, H

    OPTICS LETTERS   47 巻 ( 9 ) 頁: 2250 - 2253   2022年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Optics Letters  

    This Letter describes the impact of shape on micro light-emitting diodes (µLEDs), analyzing 400 µm2 area µLEDs with various mesa shapes (circular, square, and stripes). Appropriate external quantum efficiency (EQE) can yield internal quantum efficiency (IQE) which decreases with increasing peripheral length of the mesas. However, light extraction efficiency (ηe) increased with increasing mesa periphery. We introduce analysis of Jpeak (the current at peak EQE) since it is proportional to the non-radiative recombination. Etching the sidewalls using tetramethylammonium hydroxide (TMAH) increased the peak EQE and decreased the sidewall dependency of Jpeak. Quantitatively, the TMAH etching reduced non-radiative surface recombination by a factor of four. Hence, shrinking µLEDs needs an understanding of the relationship between non-radiative recombination and ηe, where analyzing Jpeak can offer new insights.

    DOI: 10.1364/OL.456993

    Web of Science

    Scopus

    PubMed

  110. <p>The effect of dry etching condition on the performance of blue micro light-emitting diodes with reduced quantum confined Stark effect epitaxial layer</p> 査読有り

    Park Jeong-Hwan, Cai Wentao, Cheong Heajeong, Ushida Yasuhisa, Lee Da-Hoon, Ando Yuto, Furusawa Yuta, Honda Yoshio, Lee Dong-Seon, Seong Tae-Yeon, Amano Hiroshi

    JOURNAL OF APPLIED PHYSICS   131 巻 ( 15 )   2022年4月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Applied Physics  

    As the size of micro light-emitting diodes (μLEDs) decreases, μLEDs encounter etching damage especially at the sidewalls that critically affects their properties. In this study, we investigated the influence of etching bias power (Pbias) on the performance of μLEDs and found that the current-voltage and light output-current characteristics of μLEDs were enhanced when Pbias was reduced. It was shown that at low Pbias, the chemical reaction between etching gas and gallium nitride, rather than ion sputtering, dominated the etching process, leading to low plasma damage and rough surface morphology. Additionally, to understand the etching-induced surface roughening behaviors, various substrates with different threading dislocation densities were treated at low Pbias. It was found that for the sample (with p-contact size of 10 × 10 μm2), the efficiency droop was approximately 20%, although the current reached 10 mA due most probably to the suppressed polarization effect in the quantum well. It was further observed that the external quantum efficiency (EQE) was dependent on Pbias, where the lowest Pbias yielded the highest maximum EQE, indicating that the plasma damage was mitigated by reducing Pbias. Optimization of dry etching and polarization-suppression conditions could pave the way for realizing high-performance and brightness μLEDs for next-generation displays.

    DOI: 10.1063/5.0085384

    Web of Science

    Scopus

  111. "Regrowth-free" fabrication of high-current-gain AlGaN/GaN heterojunction bipolar transistor with N-p-n configuration 査読有り Open Access

    Kumabe, T; Watanabe, H; Ando, Y; Tanaka, A; Nitta, S; Honda, Y; Amano, H

    APPLIED PHYSICS EXPRESS   15 巻 ( 4 )   2022年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    An AlGaN/GaN heterojunction bipolar transistor (HBT) with N-p-n configuration was fabricated by the "regrowth-free"method, resulting in a contamination-free emitter-base AlGaN/GaN heterojunction. The low-bias-power-based low-damage inductively coupled plasma-reactive ion etching was employed in this study for emitter mesa definition instead of the conventional selective-area-regrowth technique. The method successfully minimized the etching-induced damage in the p-GaN base layer and the contamination at the emitter-base AlGaN/GaN heterojunction. Consequently, the fabricated device exhibited a high current gain of 25, the highest current density of 15.0 kA cm-2, and the lowest on-state voltage offset of 0.75 V ever reported for AlGaN/GaN HBTs.

    DOI: 10.35848/1882-0786/ac6197

    Open Access

    Web of Science

    Scopus

  112. Mechanism and enhancement of anti-parasitic-reaction catalytic activity of tungsten-carbide-coated graphite components for the growth of bulk GaN crystals 査読有り Open Access

    Nakamura, D; Iida, K; Horibuchi, K; Aoki, Y; Takahashi, N; Mori, Y; Moriyama, M; Nitta, S; Amano, H

    APPLIED PHYSICS EXPRESS   15 巻 ( 4 )   2022年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    The working mechanism of the anti-parasitic-reaction (APR) catalyst of tungsten carbide (WC) coating on graphite in hydride vapor phase epitaxy GaN growth were examined. During NH3 annealing, the surface of WC is reduced as well as nitrided. The W2N topmost layer was found to work as an APR-active catalyst to suppress the formation of GaN polycrystals during high-rate HVPE-GaN growth, while the regions covered with thick pyrolytic graphite residues were catalytically inert. The formation of an additional W2C top layer on the WC underlayer was demonstrated to exhibit superior APR activity, i.e. complete suppression of GaN polycrystal formation.

    DOI: 10.35848/1882-0786/ac5ba4

    Open Access

    Web of Science

    Scopus

  113. Sputtered polycrystalline MgZnO/Al reflective electrodes for enhanced light emission in AlGaN-based homojunction tunnel junction DUV-LED 査読有り Open Access

    Matsubara, T; Nagatat, K; Kushimoto, M; Tomai, S; Katsumata, S; Honda, Y; Amano, H

    APPLIED PHYSICS EXPRESS   15 巻 ( 4 )   2022年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    In this study, we enhanced the emission power of AlGaN-based tunnel junction deep-ultraviolet LEDs (TJ LEDs) by using a MgZnO and aluminum stacked structure as UV reflective electrodes on the anode side. The emission wavelength of the fabricated TJ LED was 284 nm, and the emission power was 57.3 mW at 63 A cm-2. The polycrystalline MgZnO enabled both conductivity and UV transmittance to be achieved, approximately doubling with a reflectance of the fabricated TJ LED at 284 nm compared to conventional TJ LED with Ti/Al. These factors contributed to the increased emission power of TJ LEDs.

    DOI: 10.35848/1882-0786/ac5acf

    Open Access

    Web of Science

    Scopus

  114. Structural design optimization of 279 nm wavelength AlGaN homojunction tunnel junction deep-UV light-emitting diode 査読有り Open Access

    Nagata K., Anada S., Miwa H., Matsui S., Boyama S., Saito Y., Kushimoto M., Honda Y., Takeuchi T., Amano H.

    Applied Physics Express   15 巻 ( 4 )   2022年4月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    We demonstrated the structural optimization of AlGaN tunnel junction (TJ) deep-ultraviolet light-emitting diodes by changing the thickness and impurity concentrations of p+-type and n+-type AlGaN constituting the TJ. By decreasing the total thickness of the TJ to 23 nm, the operating voltage reached a minimum of 8.8 V at 63 A cm-2. Further decrease in TJ thickness markedly increases the operating voltage. This finding implies that the depletion layer width becomes greater than the TJ thickness if it is smaller than 12 nm. Therefore, we conclude that the TJ thickness must be greater than the depletion layer width.

    DOI: 10.35848/1882-0786/ac60c7

    Open Access

    Web of Science

    Scopus

  115. Improved device performance of vertical GaN-on-GaN nanorod Schottky barrier diodes with wet-etching process 査読有り Open Access

    Liao Y., Chen T., Wang J., Cai W., Ando Y., Yang X., Watanabe H., Tanaka A., Nitta S., Honda Y., Chen K.J., Amano H.

    Applied Physics Letters   120 巻 ( 12 )   2022年3月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Letters  

    In this work, a deliberate etching-based top-down approach is proposed to fabricate the GaN nanorod (NR) Schottky barrier diode (SBD). As a key step during the fabrication, the impact of the wet-etching process on device performance is systematically studied. By virtue of the reduced surface states at the sidewall, the performance of NR SBD with the wet-etching process is substantially improved, delivering a forward turn-on voltage of 0.65 V, a current density of ∼10 kA/cm2 at 3 V, an ideality factor of 1.03, an ON/OFF current ratio of ∼1010, and no severe current collapse, along with a reverse breakdown voltage of 772 V.

    DOI: 10.1063/5.0083194

    Open Access

    Scopus

  116. Inhomogeneous Barrier Height Characteristics of <i>n</i>-Type AlInP for Red AlGaInP-Based Light-Emitting Diodes 査読有り

    Cha, JS; Lee, DH; Sim, KB; Lee, TJ; Seong, TY; Amano, H

    ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY   11 巻 ( 3 )   2022年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:ECS Journal of Solid State Science and Technology  

    For micro-light-emitting diode (LED)-based display applications, such as virtual reality and augmented reality, high-performance Ohmic contacts (namely, the improvement of current injection efficiency) is vital to the realization of high-efficiency micro-LEDs. The surface Fermi level pinning characteristics could be comprehended in terms of the relation between work function of metals (M) and Schottky barrier height (SBH, B). In this study, we have investigated the surface Fermi level pinning characteristics of (001) n-AlInP surfaces by employing Schottky diodes with different metals. With an increase in the temperature, B increases linearly and ideality factors (n) decreases. This behavior is related to the barrier height inhomogeneity. Inhomogeneity-modelbased B is evaluated to be in the range of 0.86 1.30 eV, which is dependent on the metal work functions and are similar to those measured from capacitance-voltage relation. Further, The S-parameter, the relation between B and M (d B/d M), is 0.36. This is indicative of the partial pinning of the surface Fermi level at the surface states placed at 0.95 eV below the conduction band. Furthermore, it is also shown that (NH4)2S-passivation results in an increases the mean SBH and the S-parameter (e.g., 0.52).

    DOI: 10.1149/2162-8777/ac5d66

    Web of Science

    Scopus

  117. Visualization of depletion layer in AlGaN homojunction p-n junction 査読有り Open Access

    Nagata, K; Anada, S; Saito, Y; Kushimoto, M; Honda, Y; Takeuchi, T; Yamamoto, K; Hirayama, T; Amano, H

    APPLIED PHYSICS EXPRESS   15 巻 ( 3 )   2022年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    We analyzed the p-n junction of an aluminum gallium nitride (AlGaN) homojunction tunnel junction (TJ) deep-ultraviolet light-emitting diode by phase-shifting electron holography. We clearly obtained a phase image reflecting the band alinement of the p-n homojunction and derived a depletion layer width of approximately 10 nm. In addition, the observed depletion layer width for the AlGaN TJ was in good agreement with the simulated one reflecting the diffusion profile of Mg and Si, thus enabling a discussion on the electrical conduction mechanism for an AlGaN p-n junction.

    DOI: 10.35848/1882-0786/ac53e2

    Open Access

    Web of Science

    Scopus

  118. 加熱下でのNEA活性化におけるInGaNの電子放出特性

    鹿島 将央, 糸川 佑也, 金井 俊也, 佐藤 大樹, 小泉 淳, 飯島 北斗, 西谷 智博, 本田 善央, 天野 浩, 目黒 多加志

    応用物理学会学術講演会講演予稿集   2022.1 巻 ( 0 ) 頁: 1343 - 1343   2022年2月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2022.1.0_1343

    CiNii Research

  119. Effect of beam current on defect formation by high-temperature implantation of Mg ions into GaN 査読有り Open Access

    Itoh, Y; Watanabe, H; Ando, Y; Kano, E; Deki, M; Nitta, S; Honda, Y; Tanaka, A; Ikarashi, N; Amano, H

    APPLIED PHYSICS EXPRESS   15 巻 ( 2 )   2022年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    We evaluated the beam current dependence of defect formation during Mg ion implantation into GaN at a high temperature of 1100 °C with two beam currents. Photoluminescence spectra suggest that low-beam-current implantation reduced the vacancy concentration and activated Mg to a greater extent. Moreover, scanning transmission electron microscopy analysis showed that low-beam-current implantation reduced the density of Mg segregation defects with inactive Mg and increased the density of intrinsic dislocation loops, suggesting decreases in the densities of Ga and N vacancies. The formation of these defects depended on beam current, which is an important parameter for defect suppression.

    DOI: 10.35848/1882-0786/ac481b

    Open Access

    Web of Science

    Scopus

  120. Defect characterization of { 10 1 ¯ 3 } GaN by electron microscopy 査読有り Open Access

    Kusch G., Frentrup M., Hu N., Amano H., Oliver R.A., Pristovsek M.

    Journal of Applied Physics   131 巻 ( 3 )   2022年1月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Applied Physics  

    Advances in obtaining untwinned (10 1 ¯3)-oriented semi-polar GaN enable a new crystal orientation for the growth of green and red LED structures. We present a scanning electron microscopy study that combines the structural characterization of electron channeling contrast imaging with the optical characterization of cathodoluminescence hyperspectral imaging on a (10 1 ¯ 3) GaN layer. An extensive defect analysis revealed that the dominant defects consist of basal plane stacking faults (BSFs), prismatic stacking faults, partial dislocations, and threading dislocations. With a defect density of about an order of magnitude lower than in comparable. The optical properties of the defects have been characterized from 10 to 320 K, showing BSF luminescence at room temperature indicating a reduced density of non-radiative recombination centers in the as-grown samples compared to established semi- and non-polar orientations. Our findings suggest that growth along (10 1 ¯3) has the potential for higher radiative efficiency than established semi-polar orientations.

    DOI: 10.1063/5.0077084

    Open Access

    Scopus

  121. Perspective on thermal conductance across heterogeneously integrated interfaces for wide and ultrawide bandgap electronics 査読有り

    Cheng, Z; Graham, S; Amano, H; Cahill, DG

    APPLIED PHYSICS LETTERS   120 巻 ( 3 )   2022年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Letters  

    Heterogeneous integration is important to create multi-functionality in future electronic devices. However, few thermal studies of the interfaces formed in these integrated devices have been reported before. Recently, integrated interfaces by surface-Activated bonding were found to have high thermal boundary conductance, which provides a solution for heat dissipation of GaN and β-Ga2O3-based power electronics. Here, we review the recent progress on the interfacial thermal transport across heterogeneously integrated interfaces, including transferred van der Waals force bonded interfaces, surface-Activated bonded interfaces, plasma bonded interfaces, and hydrophilic bonded interfaces. This Perspective specifically focuses on applications of thermal management strategies of electronics, especially power electronics. Finally, the challenges, such as high-Throughput thermal measurements of buried interfaces, thermal property-structure relations of interfaces bonded under different conditions, theoretical understanding of interfacial thermal transport, and device demonstrations, are pointed out.

    DOI: 10.1063/5.0077039

    Web of Science

    Scopus

  122. Threshold increase and lasing inhibition due to hexagonal-pyramid-shaped hillocks in AlGaN-based DUV laser diodes on single-crystal AlN substrate 査読有り Open Access

    Kushimoto, M; Zhang, ZY; Honda, Y; Schowalter, LJ; Sasaoka, C; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   61 巻 ( 1 )   2022年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    The presence of hexagonal-pyramid-shaped hillocks (HPHs) in AlGaN epitaxial films affects device characteristics; this effect is significant in DUV laser diodes (LDs) on AlN substrates, where the presence of HPHs under the p-electrode increases the threshold current density and inhibits the lasing. In this study, we investigated the difference between the lasing characteristics of LDs with and without HPHs. It was found that in the presence of HPHs, the threshold excitation power density increased and the slope efficiency decreased by optical excitation. To investigate the cause of these phenomena, we performed structural, optical, and electrical analyses of the HPHs. Various imaging techniques were used to directly capture the characteristics of the HPHs. As a result, we concluded that HPHs cause the degradation of LD characteristics due to a combination of structural, optical, and electrical factors.

    DOI: 10.35848/1347-4065/ac3a1d

    Web of Science

    Scopus

  123. Ohmic Contact to <i>p</i>-Type GaN Enabled by Post-Growth Diffusion of Magnesium 査読有り Open Access

    Wang, J; Lu, S; Cai, WT; Kumabe, T; Ando, Y; Liao, YQ; Honda, Y; Xie, YH; Amano, H

    IEEE ELECTRON DEVICE LETTERS   43 巻 ( 1 ) 頁: 150 - 153   2022年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE Electron Device Letters  

    We demonstrated the formation of excellent Ohmic contact to p-type GaN (including the plasma etching-damaged p-type GaN which otherwise exhibited undetectable current within ±5 V) by the post-growth diffusion of magnesium. The specific contact resistivity on the order of 10-4Omega cm2 (extracted at V=0 V) was achieved on the plasma-damaged p-GaN with linear current-voltage characteristics by the transfer length method (TLM) measurement. The improvement in current by a factor of over 109 was also obtained on the plasma-damaged p-n junction diode after the same Mg-treatment. These experimental results indicate a great potential of post-growth diffusion of Mg to overcome the bottleneck of forming a good Ohmic contact to p-GaN.

    DOI: 10.1109/LED.2021.3131057

    Open Access

    Web of Science

    Scopus

  124. Frontier electronics in memory of Professor Isamu Akasaki 招待有り

    Amano, H

    GALLIUM NITRIDE MATERIALS AND DEVICES XVII   12001 巻   2022年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Proceedings of SPIE - The International Society for Optical Engineering  

    ISAMU AKASAKI, crystal grower, a pioneer of GaN-based blue light-emitting diodes (LEDs), and a Nobel Laureate in Physics, passed away because of pneumonia on April 1, 2021 at the age of 92. According to the Nobel Foundation, the LED lamp holds considerable promise for improving the quality of life of over 1.5 billion people worldwide who lack access to electricity grids. Owing to its low power requirements, it can be powered by cheap local solar energy. In this article, his pursuit of blue LEDs for 20 years is described.

    DOI: 10.1117/12.2619005

    Web of Science

    Scopus

  125. An Accurate Approach to Develop Small Signal Circuit Models for AlGaN/GaN HEMTs Using Rational Functions and Dependent Current Sources 査読有り Open Access

    Jadhav, A; Ozawa, T; Baratov, A; Asubar, JT; Kuzuhara, M; Wakejima, A; Yamashita, S; Deki, M; Nitta, S; Honda, Y; Amano, H; Roy, S; Sarkar, B

    IEEE JOURNAL OF THE ELECTRON DEVICES SOCIETY   10 巻   頁: 797 - 807   2022年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE Journal of the Electron Devices Society  

    In this paper, a technique to develop small signal circuit (SSC) models of AlGaN/GaN high electron mobility transistors (HEMTs) using dependent current sources is presented. In this technique, experimentally measured broadband Y-parameters of AlGaN/GaN HEMTs are mathematically modeled as a sum of pole-residue terms. By representing each pole-residue term as a dependent current source, it is possible to develop an accurate SSC models for HEMTs which otherwise may not be possible using passive resistive-inductive-capacitive elements. The accuracy of the proposed SSC model is validated against the conventional SSC model using a 2nd, 3rd and 4th order rational function representation of the admittance branches of AlGaN/GaN HEMTs. Therefore, the proposed SSC model turns out to be highly robust in nature and can take care of any form of the transfer functions of the admittance branches between the gate, drain, and source terminal of an AlGaN/GaN HEMT.

    DOI: 10.1109/JEDS.2022.3208028

    Open Access

    Web of Science

    Scopus

  126. Promising Results of National Project by Japanese Ministry of the Environment to Develop GaN on GaN Power Devices and Prove their Usefulness in Real Systems

    Otoki Y., Shibata M., Mishima T., Ohta H., Mori Y., Imanishi M., Tamura S., Kidera K., Takino J., Okayama Y., Watanabe K., Okamoto N., Honda Y., Yamamoto M., Shiozaki K., Amano H.

    2022 International Conference on Compound Semiconductor Manufacturing Technology, CS MANTECH 2022     頁: 237 - 242   2022年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:2022 International Conference on Compound Semiconductor Manufacturing Technology, CS MANTECH 2022  

    Exciting high performance power electronic devices have been widely demonstrated and manufactured using GaN epitaxial layers, but the majority of these devices have been fabricated on lattice mismatched substrates, including SiC, Si, or sapphire. Unfortunately, using lattice mismatched substrates inevitably introduces high concentrations of point defects and dislocations into the GaN epilayers, and these defects degrade the electrical performance of the fabricated GaN devices. Also, the mismatch of lattice constant and coefficient of thermal expansion cause strain and wafer bowing in the GaN epi, which further degrade the quality material for device fabrication. Using lattice matched GaN substrates provides solutions to these problems. In 2014, the Ministry of the Environment launched a national project to develop the required technology and to prove the superiority of GaN on GaN devices in real systems, with more than 10 partnerships from academia and industry. The project included work in several areas, including GaN substrate growth, GaN epitaxy, material characterization, and fabrication of devices and ICs for application in various systems. Large area GaN substrates have been grown with low defect densities, which has enabled fabrication of new types of vertical and horizontal GaN devices. The GaN devices have been used in servers, solar cell power conditioners, microwave ICs, distribution transformers, electric vehicle power converters. The performance improvements were compared with conventional approaches in each case. An “ALL GaN vehicle” has also been demonstrated, in which GaN devices are used in all power components. In this talk, we will present these results which show the great potential of GaN on GaN devices in the industry.

    Scopus

  127. 呼気中のエアロゾルを遮断するデスクトップ型エアカーテン装置の開発 Open Access

    高牟礼 光太郎, 坂本 恭晃, 八木 哲也, 岩谷 靖雅, 天野 浩, 内山 知実

    年次大会   2022 巻 ( 0 ) 頁: S055-10   2022年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人 日本機械学会  

    DOI: 10.1299/jsmemecj.2022.s055-10

    Open Access

    CiNii Research

  128. 深紫外線LEDを搭載したつづら折り流路を通過するウイルスの壁面付着および不活化性能 Open Access

    高牟礼 光太郎, 岩谷 靖雅, 坂本 恭晃, 八木 哲也, 天野 浩, 内山 知実

    年次大会   2022 巻 ( 0 ) 頁: S055-11   2022年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人 日本機械学会  

    DOI: 10.1299/jsmemecj.2022.s055-11

    Open Access

    CiNii Research

  129. 卓上型エアカーテン装置によるエアロゾル粒子の遮断および捕集性能 Open Access

    高牟礼 光太郎, 小林 大亮, 武藤 広将, 春木 健杜, 天野 浩, 八木 哲也, 岩谷 靖雅, 内山 知実

    流体工学部門講演会講演論文集   2022 巻 ( 0 ) 頁: OS03-22   2022年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人 日本機械学会  

    DOI: 10.1299/jsmefed.2022.os03-22

    Open Access

    CiNii Research

  130. Uniting a III-Nitride Transmitter, Waveguide, Modulator, and Receiver on a Single Chip 査読有り

    Xie, MY; Jiang, Y; Gao, XM; Cai, W; Yuan, JL; Zhu, HB; Wang, YJ; Zeng, XF; Zhang, ZY; Liu, YH; Amano, H

    ADVANCED ENGINEERING MATERIALS   23 巻 ( 12 )   2021年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Advanced Engineering Materials  

    The integration of III-nitride electronics and photonics is of great interest toward future computing systems with low power consumption. Multifunctioning multiple quantum well (MQW) diodes can address the challenging issue for on-chip integration of a light source, which is a key component to drive the photonic circuits. Herein, a transmitter, waveguide, modulator, and receiver are monolithically integrated on a III-nitride-on-silicon platform to perform light emission, transmission, modulation, and detection simultaneously. Both the receiver and modulator exhibit sufficient sensitivity to optical signals from the transmitter, which has an identical InGaN/AlGaN multiple quantum well (MQW) structure because the III-nitride diode provides spectral overlap between the emission and absorption spectra. On-chip data communication among these optical components is achieved using light, and the effective wavelength range is from 365 to 385 nm, in which multifunctional devices can be operated.

    DOI: 10.1002/adem.202100582

    Web of Science

    Scopus

  131. Discrete wavelengths observed in electroluminescence originating from Al<inf>1/2</inf>Ga<inf>1/2</inf>N and Al<inf>1/3</inf>Ga<inf>2/3</inf>N created in nonflat AlGaN quantum wells 査読有り

    Nagasawa Y., Kojima K., Hirano A., Sako H., Hashimoto A., Sugie R., Ippommatsu M., Honda Y., Amano H., Chichibu S.F.

    Journal of Physics D: Applied Physics   54 巻 ( 48 )   2021年12月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Physics D: Applied Physics  

    When nonflat Al x Ga1-x N quantum wells (QWs) for producing 285 nm light emitting diodes (LEDs) were fabricated on n-AlGaN on AlN templates with dense macrosteps on c(0001) sapphire substrates with a 1.0 miscut relative to the m[1-100] axis, composite electroluminescence (EL) spectra from both inclined and terrace zones in Al x Ga1-x N QWs (x∼ 1/3) were generated owing to compositional and thickness modulations. The shoulder or main peaks in composite EL spectra tended to locate at fixed discrete wavelengths of ∼287, ∼292, and ∼296 nm from 12 nonuniform 285 nm LED wafers that were involved in nonnegligible run-to-run drift, even though these wafers were fabricated using the same source gas flow parameters for metal-organic vapor phase epitaxy. The discrete wavelengths of ∼287, ∼292, and ∼296 nm were attributed to EL from Al1/3Ga2/3N QWs with thicknesses of 8, 9, and 10 monolayers (ML), respectively, by referring to the results of cathodoluminescence (CL) analysis. Also, when nonflat Al x Ga1-x N QWs (x∼ 1/2) for 265 nm LEDs were grown, single-peak-like EL spectra were mainly generated from the inclined zones in nonflat QWs. The EL spectra taken from four nonuniform 265 nm LED wafers tended to show weak structures or main peaks at ∼257, ∼261, ∼266, and ∼271 nm, which were also attributed to emissions from Al1/2Ga1/2N QWs with thicknesses of 5, 6, 7, and 8 ML, respectively, by referring to CL analysis results. The creation of Al1/3Ga2/3N and Al1/2Ga1/2N in nonflat QWs in this work was in agreement with the results of our previous studies that indicated the creation of metastable Al n/12Ga1-n/12N (n: consecutive natural numbers). Furthermore, QW thicknesses of consecutive n ML may imply that Al1/3Ga2/3N and Al1/2Ga1/2N have 1 ML configurations of Al and Ga atoms on a c(0001) plane.

    DOI: 10.1088/1361-6463/ac2065

    Web of Science

    Scopus

  132. Multiple electron beam generation from InGaN photocathode 査読有り

    Sato Daiki, Shikano Haruka, Koizumi Atsushi, Nishitani Tomohiro, Honda Yoshio, Amano Hiroshi

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B   39 巻 ( 6 )   2021年12月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Vacuum Science and Technology B: Nanotechnology and Microelectronics  

    In this study, we generated 25 multielectron beam (MEB) using an InGaN photocathode with a negative electron affinity state irradiating with 25 multilaser beam. The uniformity of the MEB and the total electron beam current were evaluated. A laser beam was split into 25 laser beams using a spatial light modulator. The coefficient of variation (CV) of laser power was 20%. The CV of quantum efficiency was 1.1%. The CV of electron beam current was 12%, and the total current was about 1.2 μA. These results will enhance the development of the MEB-defect inspection using the InGaN photocathode.

    DOI: 10.1116/6.0001272

    Web of Science

    Scopus

  133. Modified Small Signal Circuit of AlGaN/GaN MOS-HEMTs Using Rational Functions 査読有り

    Jadhav, A; Ozawa, T; Baratov, A; Asubar, JT; Kuzuhara, M; Wakejima, A; Yamashita, S; Deki, M; Nitta, S; Honda, Y; Amano, H; Roy, S; Sarkar, B

    IEEE TRANSACTIONS ON ELECTRON DEVICES   68 巻 ( 12 ) 頁: 6059 - 6064   2021年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE Transactions on Electron Devices  

    Conventional lumped small signal circuit (SSC) models of AlGaN/GaN metal oxide semiconductor high electron mobility transistors (MOS-HEMTs) are derived from the low frequency portion of the experimentally measured ${Y}$ -parameters. Consequently, these models cannot faithfully capture the high frequency behavior of the device. In this work, modified SSC models of AlGaN/GaN MOS-HEMTs are developed by fitting the entire broadband measured ${Y}$ -parameters of the device with rational functions. These rational functions are then physically realized using additional passive circuit elements added to the conventional SSC model. The accuracy of the proposed SSC models can be improved by increasing the order of the rational functions. The proposed models are demonstrably more accurate than the conventional SSC models in estimating the higher order poles and zeroes present in the experimentally measured ${Y}$ -parameters of AlGaN/GaN MOS-HEMTs.

    DOI: 10.1109/TED.2021.3119528

    Web of Science

    Scopus

  134. Vertical GaN p<SUP>+</SUP>-n junction diode with ideal avalanche capability grown by halide vapor phase epitaxy (vol 119, 152102, 2021) 査読有り Open Access

    Ohnishi, K; Kawasaki, S; Fujimoto, N; Nitta, S; Watanabe, H; Honda, Y; Amano, H

    APPLIED PHYSICS LETTERS   119 巻 ( 20 )   2021年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Letters  

    After the paper was published,1we found errors in the manuscript shown in page 152102-2. In the original published article, the SIMS detec-tion limits of [O] and [H] were written as 7 × 1015and 3 × 1015cm-3, respectively. The corrected detection limits of [O] and [H] are 6 × 1015and 3 × 1016cm-3, respectively. The SIMS depth profiles shown in Fig. 2(b) and the conclusions of the paper are not affected by this erratum.

    DOI: 10.1063/5.0077364

    Web of Science

    Scopus

  135. OBITUARY Isamu Akasaki 査読有り

    Amano, H

    PHYSICS TODAY   74 巻 ( 11 ) 頁: 63 - 63   2021年11月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  136. Vertical GaN p<SUP>+</SUP>-n junction diode with ideal avalanche capability grown by halide vapor phase epitaxy 査読有り Open Access

    Ohnishi, K; Kawasaki, S; Fujimoto, N; Nitta, S; Watanabe, H; Honda, Y; Amano, H

    APPLIED PHYSICS LETTERS   119 巻 ( 15 )   2021年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Letters  

    A vertical GaN p+-n junction diode with an ideal breakdown voltage was grown by halide vapor phase epitaxy (HVPE). A steep p+-n interface was observed even with the use of the HVPE method. No Si-accumulating layer was formed at the p+-n interface because of the continuous HVPE growth from the n-type drift layer to the p-type layer. This method provides improved electrical properties compared with the regrowth of p-type GaN layers. The minimum ideality factor of approximately 1.6 was obtained. The breakdown voltage increased from 874 to 974 V with the increase in the temperature from 25 to 200 °C, which suggests that avalanche multiplication causes the breakdown. The temperature-dependent breakdown voltage was in good agreement with the breakdown voltage calculated using the ideal critical electric field. These results indicate that HVPE is promising for the fabrication of vertical GaN power devices.

    DOI: 10.1063/5.0066139

    Open Access

    Web of Science

    Scopus

  137. Effective neutron detection using vertical-type BGaN diodes 査読有り

    Nakano, T; Mochizuki, K; Arikawa, T; Nakagawa, H; Usami, S; Honda, Y; Amano, H; Vogt, A; Schuett, S; Fiederle, M; Kojima, K; Chichibu, SF; Inoue, Y; Aoki, T

    JOURNAL OF APPLIED PHYSICS   130 巻 ( 12 )   2021年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Applied Physics  

    In this study, vertical-type thick BGaN PIN diodes were successfully fabricated to improve the neutron capture rate of BGaN diodes by improving the BGaN epitaxial growth technique. In this technique, 5-μm-thick epitaxial growth was achieved using trimethylboron as a B metal-organic source, which suppressed the gas-phase reaction. The α-particle energy spectrum, the neutron pulse signal, and the residual energy of particles emitted from a neutron capture reaction were measured using the fabricated BGaN diodes by performing radiation detection measurements. The detected signal position in the neutron detection signal spectrum was similar to that of 2.3 MeV α-particles. These results indicate that vertical-type BGaN diodes can be used as effective neutron detectors.

    DOI: 10.1063/5.0051053

    Web of Science

    Scopus

  138. Effects of Current, Temperature, and Chip Size on the Performance of AlGaInP-Based Red Micro-Light-Emitting Diodes with Different Contact Schemes

    Lee, DH; Lee, SY; Shim, JI; Seong, TY; Amano, H

    ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY   10 巻 ( 9 )   2021年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:ECS Journal of Solid State Science and Technology  

    We have investigated the performance of AlGaInP-based red micro-light-emitting diodes (micro-LEDs) with different n-type contact schemes as functions of current, ambient temperature, and chip size. The samples with AuGe/Ni/Au contact revealed wider full width at half maximum of electroluminescence than that with the Pd/Ge contact. All samples also exhibited broad peaks at wavelengths between ∼632 and ∼640 nm, whose intensity depended on the type of contact schemes and temperature. Regardless of the contact schemes, the 10 μm-size samples showed a larger temperature-dependent reduction in the output power at current density of <50 A cm-2 than the 100 μm-size ones. Above 100 A cm-2, however, both samples showed similar temperature dependence. Irrespective of the contact schemes, the main peak of the 100-μm samples was red-shifted, whereas no red-shift was detected in the 10-μm samples. The third peak of the AuGe-based contact samples became more dominant at 700 A cm-2 than the main peak, whereas that of the PdGe contact samples became more dominant at 1000 A cm-2. Based on the chip size, current, contact scheme, and temperature dependence, the performance degradation of the red micro-LEDs is described and discussed.

    DOI: 10.1149/2162-8777/ac2029

    Web of Science

    Scopus

  139. Quasi-ballistic thermal conduction in 6H-SiC 査読有り Open Access

    Cheng, Z; Lu, W; Shi, J; Tanaka, D; Protik, NH; Wang, S; Iwaya, M; Takeuchi, T; Kamiyama, S; Akasaki, I; Amano, H; Graham, S

    MATERIALS TODAY PHYSICS   20 巻   2021年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Materials Today Physics  

    The minimization of electronics makes heat dissipation of related devices an increasing challenge. When the size of materials is smaller than the phonon mean free paths, phonons transport without internal scattering and laws of diffusive thermal conduction need to be revisited. This work reports the temperature dependent thermal conductivity of doped epitaxial 6H–SiC and monocrystalline porous 6H–SiC below room temperature probed by time-domain thermoreflectance. Strong quasi-ballistic thermal transport was observed in these samples, especially at low temperatures. Doping and structural boundaries were applied to tune the quasi-ballistic thermal transport since dopants selectively scatter high-frequency phonons while boundaries scatter phonons with long mean free paths. Exceptionally strong phonon scattering by boron dopants are observed, compared to nitrogen dopants. Furthermore, orders of magnitude reduction in the measured thermal conductivity was observed at low temperatures for the porous 6H–SiC compared to the epitaxial 6H–SiC. Finally, first principles calculations and a simple Callaway model are built to understand the measured thermal conductivities. Our work sheds light on the fundamental understanding of thermal conduction in technologically-important wide bandgap semiconductors such as 6H–SiC and will impact applications such as thermal management of 6H–SiC-related electronics and devices.

    DOI: 10.1016/j.mtphys.2021.100462

    Web of Science

    Scopus

  140. Gallium nitride wafer slicing by a sub-nanosecond laser: effect of pulse energy and laser shot spacing 査読有り Open Access

    Sena, H; Tanaka, A; Wani, Y; Aratani, T; Yui, T; Kawaguchi, D; Sugiura, R; Honda, Y; Igasaki, Y; Amano, H

    APPLIED PHYSICS A-MATERIALS SCIENCE & PROCESSING   127 巻 ( 9 )   2021年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics A: Materials Science and Processing  

    Gallium nitride (GaN)-based devices surpass the traditional silicon-based power devices in terms of higher breakdown voltage, faster-switching speed, higher thermal conductivity, and lower on-resistance. However, heteroepitaxial GaN growths like GaN on sapphire are not suitable for power devices due to the threading dislocation densities as high as 108/cm2. Recently, homoepitaxial GaN growth has become possible thanks to the native GaN substrates with dislocation densities in the order of 104/cm2 but the extremely high cost of the GaN substrates makes the homoepitaxy method unacceptable for industrial applications, and the slicing of wafers for reusing them is an effective solution for cost reduction. In this study, we will investigate a route for slicing the GaN single crystal substrate by controlling the laser pulse energy and changing the distance between each laser shot. The 2D and 3D crack propagations are observed by a multiphoton confocal microscope, and the cross section of samples is observed by a scanning electron microscope (SEM). The results showed that two types of radial and lateral cracking occurred depending on the pulse energy and shot pitch, and controlling them was of importance for attaining a smooth GaN substrate slicing. Cross-sectional SEM images showed that at suitable pulse energy and distance, crack propagation could be controlled with respect to the irradiation plane.

    DOI: 10.1007/s00339-021-04808-y

    Open Access

    Web of Science

    Scopus

  141. High-Gain Gated Lateral Power Bipolar Junction Transistor 査読有り Open Access

    Wang, J; Xie, YH; Amano, H

    IEEE ELECTRON DEVICE LETTERS   42 巻 ( 9 ) 頁: 1370 - 1373   2021年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE Electron Device Letters  

    We demonstrated a prototype Gated Lateral power bipolar junction transistor (GLP-BJT) on wide bandgap semiconductor. The device combined the intrinsic advantages of high current gain of a Gated Lateral-BJT and good current handling and voltage blocking capabilities of GaN material. As a result, the common-emitter current gain remained over 300 at a high collector current density of 2 kA/cm2 despite a wide p-base region of 2 &#x03BC;m. The open base breakdown voltage BVCEO was over 300 V corresponding to a high critical field of 2.5 MV/cm. These figures of merit show great promise of GaN-based GLP-BJT in power applications and also shed light on the development of state-of-the-art bipolar transistors based on other wide bandgap semiconductors.

    DOI: 10.1109/LED.2021.3099982

    Web of Science

    Scopus

  142. Stable electrical performance of AlGaInP-based red micro-light emitting diode by controlling interfacial morphologies of metal contacts

    Lee, DH; Seong, TY; Amano, H

    JOURNAL OF ALLOYS AND COMPOUNDS   872 巻   頁: 159629   2021年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Alloys and Compounds  

    The stable electrical performance of micro-light-emitting diodes (micro-LEDs) is critical to display application. We investigated the effect of the interface morphologies of contacts to n-AlInP on the electrical stability of AlGaInP-based red micro-LEDs. Regardless of chip sizes (100 µm or 10 µm-size), micro-LEDs with Pd/Ge contacts gave lower and stable forward voltages than those with AuGe/Ni/Au contacts. When annealed at 450 °C, the AuGe/Ni/Au contact underwent seriously inhomogeneous interfacial reactions, resulting in a large variation of interfacial morphologies across the whole contact/AlInP interface. However, the Pd/Ge contact exhibited similar morphologies across the whole interface when annealed. Further, when operated at 800 A/cm2, micro-LEDs with the Pd/Ge contacts underwent less electrical degradation than the ones with the AuGe/Ni/Au contacts. Based on the electrical and scanning transmission electron microscope (STEM) results, the unstable electrical behavior of red micro-LEDs with the AuGe/Ni/Au contact is discussed.

    DOI: 10.1016/j.jallcom.2021.159629

    Web of Science

    Scopus

  143. Improving light output power of AlGaN-based deep-ultraviolet light-emitting diodes by optimizing the optical thickness of p-layers

    Matsukura, Y; Inazu, T; Pernot, C; Shibata, N; Kushimoto, M; Deki, M; Honda, Y; Amano, H

    APPLIED PHYSICS EXPRESS   14 巻 ( 8 )   2021年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    In this study, we investigated the relationship of light output power with the optical thickness of the p-layers in AlGaN-based deep ultraviolet light-emitting diodes with a transparent high-Al-composition p-AlGaN clad layer, a thin p-GaN contact layer, and a reflective p-type electrode. By adjusting the thickness of the transparent high-Al-composition p-AlGaN clad layer, we observed a marked change in light output power. A maximum light output power of 385 mW at 1500 mA, a maximum external quantum efficiency of 15.7% at 10 mA, and a maximum wall-plug efficiency of 15.3% at 10 mA were obtained at an emission wavelength of 275 nm.

    DOI: 10.35848/1882-0786/ac154c

    Web of Science

    Scopus

  144. Reduction in operating voltage of AlGaN homojunction tunnel junction deep-UV light-emitting diodes by controlling impurity concentrations Open Access

    Nagata K., Makino H., Miwa H., Matsui S., Boyama S., Saito Y., Kushimoto M., Honda Y., Takeuchi T., Amano H.

    Applied Physics Express   14 巻 ( 8 )   2021年8月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    We reduced the operating voltage of AlGaN homojunction tunnel junction (TJ) deep-ultraviolet (UV) light-emitting diodes (LEDs) by two approaches: the suppression of carbon incorporation and the doping of a high concentration of silicon in an n+-AlGaN layer. The AlGaN homojunction TJ deep-UV LEDs had a significantly reduced forward voltage upon suppressing the incorporation of carbon in the n+-AlGaN layer. The suppression of electron compensation by carbon in nitrogen sites and the doping of a high concentration of silicon in an n+-AlGaN layer are important for reducing the operating voltage of AlGaN homojunction TJ deep-UV LEDs.

    DOI: 10.35848/1882-0786/ac0fb6

    Open Access

    Web of Science

    Scopus

  145. Impact of gate electrode formation process on Al<inf>2</inf>O<inf>3</inf>/GaN interface properties and channel mobility

    Ando Y., Deki M., Watanabe H., Taoka N., Tanaka A., Nitta S., Honda Y., Yamada H., Shimizu M., Nakamura T., Amano H.

    Applied Physics Express   14 巻 ( 8 )   2021年8月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    The interface properties of GaN metal-insulator-semiconductor (MIS) structures with a gate electrode metal deposited by electron beam (EB) or resistive heating evaporation were investigated. Also, the impact of the interface properties on the channel mobility in GaN MIS field-effect transistors was investigated. It was confirmed that interface charges including both interface states and positive fixed charges were introduced to an Al2O3/GaN interface by the formation of a gate electrode by EB evaporation. Consequently, the introduced interface charges degraded the electron mobility in the MIS channel.

    DOI: 10.35848/1882-0786/ac0ffa

    Web of Science

    Scopus

  146. Electrical properties and structural defects of p-type GaN layers grown by halide vapor phase epitaxy

    Ohnishi, K; Amano, Y; Fujimoto, N; Nitta, S; Watanabe, H; Honda, Y; Amano, H

    JOURNAL OF CRYSTAL GROWTH   566 巻   2021年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Crystal Growth  

    The electrical properties and structural defects of p-type GaN layers with Mg concentrations from 8.0 × 1018 to 8.3 × 1019 cm−3 grown by halide vapor phase epitaxy (HVPE) were investigated. In all samples, p-type conduction was confirmed at room temperature. The hole concentration at room temperature decreased in a heavily Mg-doped sample. By analyzing the results of Hall-effect measurements at various temperatures, the acceptor concentration decreased in a heavily Mg-doped sample, whereas the compensating donor concentration increased. These results affect the decrease in the hole concentration. The hole mobility decreased with increasing acceptor concentration. In the heavily Mg-doped sample, pyramidal inversion domains (PIDs) were formed. The size of each PID in an HVPE-grown sample is in good agreement with that Mg-doped GaN layers grown by metalorganic vapor phase epitaxy (MOVPE). Thus, the formation mechanism of PIDs in HVPE-grown samples is possibly the same as that in MOVPE-grown samples. Energy-dispersive X-ray spectroscopy shows that Mg atoms accumulate in PIDs, which suggests that Mg atoms in PIDs are electrically inactive, inhibiting the increase in the acceptor concentration. These results are useful guidelines for fabricating p-type GaN layers with higher hole concentrations by HVPE.

    DOI: 10.1016/j.jcrysgro.2021.126173

    Web of Science

    Scopus

  147. 赤﨑勇先生のご逝去を悼む

    天野 浩

    応用物理   90 巻 ( 7 ) 頁: 455 - 455   2021年7月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/oubutsu.90.7_455

    CiNii Research

  148. 赤﨑 勇先生を偲んで Open Access

    天野 浩

    日本物理学会誌   76 巻 ( 7 ) 頁: 478 - 478   2021年7月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人 日本物理学会  

    <p>追悼</p><p>赤﨑 勇先生を偲んで</p>

    DOI: 10.11316/butsuri.76.7_478

    Open Access

    CiNii Research

  149. Vertical GaN-on-GaN nanowire Schottky barrier diodes by top-down fabrication approach

    Liao Y., Chen T., Wang J., Ando Y., Cai W., Yang X., Watanabe H., Hirotani J., Tanaka A., Nitta S., Honda Y., Chen K.J., Amano H.

    Japanese Journal of Applied Physics   60 巻 ( 7 )   2021年7月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    An optimized top-down approach was utilized to fabricate vertical GaN-on-GaN nanowire Schottky barrier diodes (NWSBDs) in this letter. The suppressed reverse leakage current and enhanced breakdown voltage (BV) of the vertical GaN NWSBDs are attributed to the reduced electric field at the interface of the Schottky junction achieved through the dielectric reduced surface field technique. As-fabricated NWSBD delivers a low turn-on voltage of 0.80 V, a near-unity ideality factor of 1.04, along with a soft BV of 480 V. The measured soft BV is comparable with the avalanche BV of the p-n diode with a similar net doping concentration in the drift region.

    DOI: 10.35848/1347-4065/ac06b5

    Web of Science

    Scopus

  150. Isamu Akasaki: The Pioneer of Blue LEDs and his Collaboration with <i>pss</i> OBITUARY Open Access

    Amano, H

    PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE   218 巻 ( 14 )   2021年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssa.202100329

    Web of Science

  151. Non-polar true-lateral GaN power diodes on foreign substrates Open Access

    Wang, J; Yu, G; Zong, H; Liao, YQ; Lu, WF; Cai, WT; Hu, XD; Xie, YH; Amano, H

    APPLIED PHYSICS LETTERS   118 巻 ( 21 )   2021年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Letters  

    We have demonstrated non-polar GaN power diodes (Schottky barrier diode and p-n junction diode) on foreign substrates featuring the true-lateral p-n and metal-semiconductor junctions. The diodes were fabricated on GaN islands laterally overgrown on the mask-patterned sapphire and Si substrates by metalorganic vapor phase epitaxy. The anode and cathode were formed on the opposed a-plane sidewalls of the island, making the device architecture essentially like the 90° rotation of the desired true-vertical power diodes. The ideality factor of the Schottky barrier diode remained 1.0 (from 1.00 to 1.05) over 7 decades in current. Specifically, a high critical electric field of 3.3 MV/cm was demonstrated on the p-n junction diode with avalanche capability. These performances reveal a strong potential of non-polar GaN with the true-lateral junctions for high power applications.

    DOI: 10.1063/5.0051552

    Open Access

    Web of Science

    Scopus

  152. The stability of graphene and boron nitride for III-nitride epitaxy and post-growth exfoliation. Open Access

    Park JH, Yang X, Lee JY, Park MD, Bae SY, Pristovsek M, Amano H, Lee DS

    Chemical science   12 巻 ( 22 ) 頁: 7713 - 7719   2021年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Chemical Science  

    A challenging approach, but one providing a key solution to material growth, remote epitaxy (RE)—a novel concept related to van der Waals epitaxy (vdWE)—requires the stability of a two-dimensional (2-D) material. However, when graphene, a representative 2-D material, is present on substrates that have a nitrogen atom, graphene loss occurs. Although this phenomenon has remained a hurdle for over a decade, restricting the advantages of applying graphene in the growth of III-nitride materials, few previous studies have been conducted. Here, we report the stability of graphene on substrates containing oxygen or nitrogen atoms. Graphene has been observed on highly decomposed Al2O3; however, graphene loss occurred on decomposed AlN at temperatures over 1300 °C. To overcome graphene loss, we investigated 2-D hexagonal boron nitride (h-BN) as an alternative. Unlike graphene on AlN, it was confirmed that h-BN on AlN was intact after the same high-temperature process. Moreover, the overgrown AlN layers on both h-BN/AlN and h-BN/Al2O3could be successfully exfoliated, which indicates that 2-D h-BN survived after AlN growth and underlines its availability for the vdWE/RE of III-nitrides with further mechanical transfer. By enhancing the stability of the 2-D material on the substrate, our study provides insights into the realization of a novel epitaxy concept.

    DOI: 10.1039/d1sc01642c

    Open Access

    Web of Science

    Scopus

    PubMed

  153. Etching-induced damage in heavily Mg-doped p-type GaN and its suppression by low-bias-power inductively coupled plasma-reactive ion etching Open Access

    Kumabe, T; Ando, Y; Watanabe, H; Deki, M; Tanaka, A; Nitta, S; Honda, Y; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   60 巻 ( SB ) 頁: SBBD03   2021年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Inductively coupled plasma–reactive ion etching (ICP–RIE)-induced damage in heavily Mg-doped p-type GaN ([Mg] = 2 × 1019 cm−3) was investigated by low-temperature photoluminescence (PL) and depth-resolved cathodoluminescence (CL) spectroscopy. From PL measurements, we found broad yellow luminescence (YL) with a maximum at around 2.2–2.3 eV, whose origin was considered to be isolated nitrogen vacancies (VN), only in etched samples. The depth-resolved CL spectroscopy revealed that the etching-induced YL was distributed up to the electron-beam penetration depth of around 200 nm at a high ICP–RIE bias power (Pbias). Low-bias-power (low-Pbias) ICP–RIE suppressed the YL and its depth distribution to levels similar to those of an unetched sample, and a current–voltage characteristic comparable to that of an unetched sample was obtained for a sample etched with Pbias of 2.5 W.

    DOI: 10.35848/1347-4065/abd538

    Open Access

    Web of Science

    Scopus

  154. Impact of heat treatment process on threshold current density in AlGaN-based deep-ultraviolet laser diodes on AlN substrate Open Access

    Kushimoto, M; Zhang, ZY; Sugiyama, N; Honda, Y; Schowalter, LJ; Sasaoka, C; Amano, H

    APPLIED PHYSICS EXPRESS   14 巻 ( 5 ) 頁: 051003   2021年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    The electroluminescence (EL) uniformity of AlGaN-based deep UV laser diodes on AlN substrate was analyzed by using the EL imaging technique. Although nonuniform EL patterns were observed, the uniformity was improved by changing the position of the p-electrode. The threshold current density was also reduced by suppressing the inhomogeneity of the EL. Cathodoluminescence analysis revealed that the cause of the non-uniformity is the degradation of the active layer and the nonuniformity emission formed by rapid thermal annealing at high temperature after mesa structure formation.

    DOI: 10.35848/1882-0786/abf443

    Open Access

    Web of Science

    Scopus

  155. Micro-Light Emitting Diode: From Chips to Applications

    Parbrook, PJ; Corbett, B; Han, J; Seong, TY; Amano, H

    LASER & PHOTONICS REVIEWS   15 巻 ( 5 ) 頁: 2000133   2021年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Laser and Photonics Reviews  

    Typical light-emitting diodes (LEDs) have a form factor >(300 × 300) µm2. Such LEDs are commercially mature in illumination and ultralarge displays. However, recent LED research includes shrinking individual LED sizes from side lengths >300 µm to values <100 µm, leading to devices called micro-LEDs. Their advent creates a number of exciting new application spaces. Here, a review of the principles and applications of micro-LED technology is presented. In particular, the implications of reduced LED size in necessitating mitigation strategies for nonradiative device edge damage as well as the potential for higher drive current densities are discussed. The opportunities to integrate micro-LEDs with electronics, and into large-scale arrays, allow pixel addressable scalable integrated displays, while the small micro-LED size is ideal for high-speed modulation for visible light communication, and for integration into biological systems as part of optogenetic therapies.

    DOI: 10.1002/lpor.202000133

    Web of Science

    Scopus

  156. Discrete AlN mole fraction of n/12 (n = 4-8) in Ga-rich zones functioning as electron pathways created in nonflat AlGaN layers grown on high-miscut sapphire substrates

    Nagasawa Y., Hirano A., Ippommatsu M., Sako H., Hashimoto A., Sugie R., Honda Y., Amano H., Akasaki I., Kojima K., Chichibu S.F.

    Journal of Applied Physics   129 巻 ( 16 ) 頁: 164503   2021年4月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Applied Physics  

    Ga-rich zones created along macrosteps in n-AlGaN plausibly function as electron pathways of AlGaN-based deep-ultraviolet (DUV) LEDs fabricated on AlN templates using 1.0°-miscut c(0001) sapphire substrates toward the m[1-100] axis. This work was performed to clarify AlN mole fractions (xAl) of Ga-rich zones. xAl ≃ (7/12, 6/12, and 5/12) was observed in Ga-rich zones in AlαGa1−αN (α ≃ 0.63, 0.55, and 0.43, respectively) by the method proposed in our previous article in which we showed that Ga-rich zones of Al8/12Ga4/12N were created in Al0.7Ga0.3N. xAl in the Ga-rich zones obtained from an energy-dispersive x-ray signal by scanning transmission electron microscopy calibrated by Rutherford backscattering well agreed with xAl obtained by cross-sectional cathodoluminescence (CL) spectroscopy using scanning electron microscopy. A weak CL shoulder peak corresponding to Al4/12Ga8/12N was also observed for Al0.43Ga0.57N. In addition, xAl ≃ n/12 (n = 6-9) in Al-rich zones appeared in the rest of the Ga-rich zones. Furthermore, nanobeam electron diffraction patterns of the Ga-rich zones indicated a high possibility of a regular configuration of Ga and Al atoms on the c(0001) plane in our samples. Consequently, xAl values in nonflat AlGaN layers with macrosteps were often determined to be near n/12 (n: integer). Thus, Ga-rich zones (xAl = n/12: n = 4-8) formed in our nonflat AlGaN layers, which originated from the macrosteps along [11-20] edgelines normal to the m[1-100] axis, are suggested to be metastable. The creation of discrete xAl in Ga-rich zones should contribute to the stable production of DUV-LEDs using high-miscut sapphire substrates.

    DOI: 10.1063/5.0042036

    Web of Science

    Scopus

  157. Experimental demonstration of GaN IMPATT diode at X-band

    Kawasaki, S; Ando, Y; Deki, M; Watanabe, H; Tanaka, A; Nitta, S; Honda, Y; Arai, M; Amano, H

    APPLIED PHYSICS EXPRESS   14 巻 ( 4 ) 頁: 046501   2021年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    We report the first experimental demonstration of microwave oscillation in GaN impact ionization avalanche time transit (IMPATT) diodes at the X-band. The device used in this study is a single drift diode with a p+–n simple abrupt junction and vertical mesa termination. The reverse I–V characteristic of the diode shows low leakage current, clear avalanche breakdown, and high avalanche capability, as required for IMPATT operation. Microwave testing is performed in an X-band waveguide circuit with a reduced-height waveguide resonant cavity. Oscillations are observed at 9.52 GHz at a power of ∼56 mW.

    DOI: 10.35848/1882-0786/abe3dc

    Web of Science

    Scopus

  158. Optimization of Ni/Ag-Based Reflectors to Improve the Performance of 273 nm Deep Ultraviolet AlGaN-Based Light Emitting Diodes

    Sim, KB; Kim, SK; Lee, HS; Lee, SY; Seong, TY; Amano, H

    ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY   10 巻 ( 4 ) 頁: 045005   2021年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:ECS Journal of Solid State Science and Technology  

    We optimized Ni/Ag-based p-type reflectors for the improvement of efficiency of 273 nm deep ultraviolet (DUV) AlGaN-based flip-chip light emitting diodes (FCLEDs). The Ni(3 nm)/Ag(5-15 nm)/Al/Ni and Ni(25-50 nm)/Ag/Ni contacts exhibited higher reflectance (36.4-39.5%) at 273 nm than reference Ni(5 nm)/Au(5 nm)/Al/Ni contact (26.1%). The Ni(3 nm)/Ag/Al(200 nm)/Ni(20 nm) and Ni/Ag(200 nm)/Ni(20 nm)-based FCLEDs gave forward voltages in the rage of 6.93-7.11 V and 5.5-6.28 V at 20 mA, respectively, whereas the Ni/Au-based sample showed 6.35 V. Further, the Ni(3 nm)/Ag(10 nm)/Al/Ni-based and Ni(50 nm)/Ag(200 nm)/Ni-based samples exhibited 4.85% and 13.4% larger output power at 1.2 W than the reference sample. The Ni(3 nm)/Ag(10 nm)-based and Ni(50 nm)/Ag(200 nm)/Ni-based samples produced 5.6% and 8.5% higher peak external quantum efficiency than the reference sample. It was further shown that the Ni(3 nm)/Ag(10 nm)/Al/Ni-based and Ni(50 nm)/Ag(200 nm)/Ni-based samples experienced less efficiency droop (namely, 27.9 and 26.4%, respectively) than the reference sample (31.4%). Based on the scanning transmission electron microscopy and X-ray photoemission spectroscopy results, the ohmic formation mechanism is described and discussed.

    DOI: 10.1149/2162-8777/abf49b

    Web of Science

    Scopus

  159. Numerical Simulation of Ammonothermal Crystal Growth of GaN-Current State, Challenges, and Prospects Open Access

    Schimmel, S; Tomida, D; Ishiguro, T; Honda, Y; Chichibu, S; Amano, H

    CRYSTALS   11 巻 ( 4 ) 頁: 356   2021年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Crystals  

    Numerical simulations are a valuable tool for the design and optimization of crystal growth processes because experimental investigations are expensive and access to internal parameters is limited. These technical limitations are particularly large for ammonothermal growth of bulk GaN, an important semiconductor material. This review presents an overview of the literature on simulations targeting ammonothermal growth of GaN. Approaches for validation are also reviewed, and an overview of available methods and data is given. Fluid flow is likely in the transitional range between laminar and turbulent; however, the time-averaged flow patterns likely tend to be stable. Thermal boundary conditions both in experimental and numerical research deserve more detailed evaluation, especially when designing numerical or physical models of the ammonothermal growth system. A key source of uncertainty for calculations is fluid properties under the specific conditions. This originates from their importance not only in numerical simulations but also in designing similar physical model systems and in guiding the selection of the flow model. Due to the various sources of uncertainty, a closer integration of numerical modeling, physical modeling, and the use of measurements under ammonothermal process conditions appear to be necessary for developing numerical models of defined accuracy.

    DOI: 10.3390/cryst11040356

    Open Access

    Web of Science

    Scopus

  160. Strain-induced yellow to blue emission tailoring of axial InGaN/GaN quantum wells in GaN nanorods synthesized by nanoimprint lithography Open Access

    Avit, G; Robin, Y; Liao, YQ; Nan, H; Pristovsek, M; Amano, H

    SCIENTIFIC REPORTS   11 巻 ( 1 ) 頁: 6754   2021年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Scientific Reports  

    GaN nanorods (NRds) with axial InGaN/GaN MQWs insertions are synthesized by an original cost-effective and large-scale nanoimprint-lithography process from an InGaN/GaN MQWs layer grown on c-sapphire substrates. By design, such NRds exhibit a single emission due to the c-axis MQWs. A systematic study of the emission of the NRds by time-resolved luminescence (TR-PL) and power dependence PL shows a diameter-controlled luminescence without significant degradation of the recombination rate thanks to the diameter-controlled strain tuning and QSCE. A blueshift up to 0.26 eV from 2.28 to 2.54 eV (543 nm to 488 nm) is observed for 3.2 nm thick InGaN/GaN QWs with an In composition of 19% when the NRds radius is reduced from 650 to 80 nm. The results are consistent with a 1-D based strain relaxation model. By combining state of the art knowledge of c-axis growth and the strong strain relieving capability of NRds, this process enables multiple and independent single-color emission from a single uniform InGaN/GaN MQWs layer in a single patterning step, then solving color mixing issue in InGaN based nanorods LED devices.

    DOI: 10.1038/s41598-021-86139-9

    Open Access

    Web of Science

    Scopus

    PubMed

  161. Progress in Modeling Compound Semiconductor Epitaxy: Unintentional Doping in GaN MOVPE

    Kangawa, Y; Kusaba, A; Kempisty, P; Shiraishi, K; Nitta, S; Amano, H

    CRYSTAL GROWTH & DESIGN   21 巻 ( 3 ) 頁: 1878 - 1890   2021年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Crystal Growth and Design  

    To improve the properties of semiconductors, it is necessary to construct an integrated crystal growth model that covers all elementary processes of metal-organic vapor phase epitaxy (MOVPE). Although there are several theoretical models that can reproduce any elemental growth process, they are inadequate for controlling semiconductor epitaxy: the elementary processes of (1) the vapor phase reaction, (2) the surface reaction, and (3) incorporation are entangled with each other. That is, sequential analyses of elementary growth processes from upstream (1) to downstream (3) are indispensable for an understanding of the entire process of MOVPE. In this Review, the recent progress of theoretical models based on calculations from first-principles calculations are summarized. The possibility of predicting carbon concentrations in GaN grown by MOVPE are explored as an example. The results of calculations using a model that integrates (1) → (2) → (3) reproduce the experimental tendencies of carbon incorporation. Calculations show that the contribution of each elementary growth process to a change in carbon concentration can be discussed separately, but the relationship between the input parameters and the resulting outputs can only be determined through experiment. Although this examination explores a special case, the development of a precise integrated crystal growth model would greatly contribute to innovation in semiconductor manufacturing.

    DOI: 10.1021/acs.cgd.0c01564

    Web of Science

    Scopus

  162. Fabrication of GaN cantilever on GaN substrate by photo-electrochemical etching

    Yamada, T; Ando, Y; Watanabe, H; Furusawa, Y; Tanaka, A; Deki, M; Nitta, S; Honda, Y; Suda, J; Amano, H

    APPLIED PHYSICS EXPRESS   14 巻 ( 3 ) 頁: 036505   2021年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    Photo-electrochemical (PEC) etching is a promising technique for fabricating GaN microelectromechanical systems devices. In this study, we demonstrate the fabrication of GaN cantilevers by the bandgap-selective PEC etching of an InGaN superlattice sacrificial layer. By using an InGaN superlattice as a sacrifice layer, we found the PEC etching rate became higher than using a normal InGaN layer. As a result, the InGaN superlattice was completely etched and we fabricated GaN-based cantilevers whose resonance characteristics were measured. The Young’s modulus of GaN was determined from the resonance characteristics of GaN cantilevers to be the same as the highest value reported previously.

    DOI: 10.35848/1882-0786/abe657

    Web of Science

    Scopus

  163. Boundary Conditions for Simulations of Fluid Flow and Temperature Field during Ammonothermal Crystal Growth-A Machine-Learning Assisted Study of Autoclave Wall Temperature Distribution Open Access

    Schimmel Saskia, Tomida Daisuke, Saito Makoto, Bao Quanxi, Ishiguro Toru, Honda Yoshio, Chichibu Shigefusa, Amano Hiroshi

    CRYSTALS   11 巻 ( 3 ) 頁: 1 - 27   2021年3月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Crystals  

    Thermal boundary conditions for numerical simulations of ammonothermal GaN crystal growth are investigated. A global heat transfer model that includes the furnace and its surroundings is presented, in which fluid flow and thermal field are treated as conjugate in order to fully account for convective heat transfer. The effects of laminar and turbulent flow are analyzed, as well as those of typically simultaneously present solids inside the autoclave (nutrient, baffle, and multiple seeds). This model uses heater powers as a boundary condition. Machine learning is applied to efficiently determine the power boundary conditions needed to obtain set temperatures at specified locations. Typical thermal losses are analyzed regarding their effects on the temperature distribution inside the autoclave and within the autoclave walls. This is of relevance because autoclave wall temperatures are a convenient choice for setting boundary conditions for simulations of reduced domain size. Based on the determined outer wall temperature distribution, a simplified model containing only the autoclave is also presented. The results are compared to those observed using heater-long fixed temperatures as boundary condition. Significant deviations are found especially in the upper zone of the autoclave due to the important role of heat losses through the autoclave head.

    DOI: 10.3390/cryst11030254

    Open Access

    Web of Science

    Scopus

  164. Development of Pulsed TEM Equipped with Nitride Semiconductor Photocathode for High-Speed Observation and Material Nanofabrication Open Access

    Yasuda, H; Nishitani, T; Ichikawa, S; Hatanaka, S; Honda, Y; Amano, H

    QUANTUM BEAM SCIENCE   5 巻 ( 1 ) 頁: 5   2021年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Quantum Beam Science  

    The development of pulsed electron sources is applied to electron microscopes or electron beam lithography and is effective in expanding the functions of such devices. The laser photocathode can generate short pulsed electrons with high emittance, and the emittance can be increased by changing the cathode substrate from a metal to compound semiconductor. Among the substrates, nitride-based semiconductors with a negative electron affinity (NEA) have good advantages in terms of vacuum environment and cathode lifetime. In the present study, we report the development of a photocathode electron gun that utilizes photoelectron emission from a NEA-InGaN substrate by pulsed laser excitation, and the purpose is to apply it to material nanofabrication and high-speed observation using a pulsed transmission electron microscope (TEM) equipped with it.

    DOI: 10.3390/qubs5010005

    Open Access

    Web of Science

    Scopus

  165. Suppression of the regrowth interface leakage current in AlGaN/GaN HEMTs by unactivated Mg doped GaN layer Open Access

    Liu, T; Watanabe, H; Nitta, S; Wang, J; Yu, G; Ando, Y; Honda, Y; Amano, H; Tanaka, A; Koide, Y

    APPLIED PHYSICS LETTERS   118 巻 ( 7 ) 頁: 072103   2021年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Letters  

    The leakage current caused by the Si pileup at the regrowth interface of AlGaN/GaN high electron mobility transistors (HEMTs) is significantly suppressed by the semi-insulating Mg-doped GaN layer. Mg is unintentionally doped and can be originated from the graphite susceptor of metal organic vapor phase epitaxy. Before regrowth of the AlGaN/GaN heterostructure, the GaN template is treated with hydrochloric acid (HCl) and hydrogen peroxide/potassium hydroxide (H2O2/KOH) solution to promote the adsorption of Mg on the GaN surface. The Mg-doped GaN channel layer is highly resistive due to the passivation of hydrogen. The p-n junction formed by the weakly p-type Mg-doped GaN layer and the n-type Si pileup layer depletes the excess electrons at the regrowth interface. As a result, the off-state drain leakage current of the HEMT device can be decreased by two orders of magnitude at 40 V.

    DOI: 10.1063/5.0034584

    Open Access

    Web of Science

    Scopus

  166. Optical properties of neodymium ions in nanoscale regions of gallium nitride (vol 10, pg 2614, 2020) Open Access

    Sato, SI; Deki, M; Watanabe, H; Nitta, S; Honda, Y; Nishimura, T; Gibson, BC; Greentree, AD; Amano, H; Ohshima, T

    OPTICAL MATERIALS EXPRESS   11 巻 ( 2 ) 頁: 524 - 524   2021年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Optical Materials Express  

    We correct an error in the Eq. (1).

    DOI: 10.1364/OME.420328

    Open Access

    Web of Science

    Scopus

  167. 3D GaN Power Switching Electronics: A Revival of Interest in ELO

    Wang, J; Amano, H; Xie, YH

    2021 5TH IEEE ELECTRON DEVICES TECHNOLOGY & MANUFACTURING CONFERENCE (EDTM)     2021年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:2021 5th IEEE Electron Devices Technology and Manufacturing Conference, EDTM 2021  

    We reported the first-time utilization of ELO (epitaxial lateral overgrowth) GaN (gallium nitride) for power diodes. The undesired stage of coalescence related to ELO is avoided by virtue of a novel 3D device architecture built on the ELO GaN islands on foreign substrate which features pure-lateral p-n and n+ -n-junctions and electrodes lying on the opposing sidewalls of the island. Excellent electrical performance was demonstrated, revealing a strong potential of ELO GaN with 3D device architecture for power switching applications.

    DOI: 10.1109/EDTM50988.2021.9420859

    Web of Science

    Scopus

  168. Development of UV-C laser diodes on AlN substrate

    Kushimoto M.

    Proceedings of SPIE - The International Society for Optical Engineering   11686 巻   2021年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Proceedings of SPIE - The International Society for Optical Engineering  

    DOI: 10.1117/12.2575872

    Scopus

  169. Decrease in the injection efficiency and generation of midgap states in UV-C LEDs: A model based on rate equations

    Piva F.

    Proceedings of SPIE - The International Society for Optical Engineering   11686 巻   2021年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Proceedings of SPIE - The International Society for Optical Engineering  

    DOI: 10.1117/12.2578134

    Scopus

  170. Generalized Frequency Dependent Small Signal Model for High Frequency Analysis of AlGaN/GaN MOS-HEMTs Open Access

    Jadhav A., Ozawa T., Baratov A., Asubar J.T., Kuzuhara M., Wakejima A., Yamashita S., Deki M., Honda Y., Roy S., Amano H., Sarkar B.

    IEEE Journal of the Electron Devices Society   9 巻   頁: 570 - 581   2021年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE Journal of the Electron Devices Society  

    Traditional lumped small signal equivalent circuit models of AlGaN/GaN metal oxide semiconductor high electron mobility transistors (MOS-HEMTs) are made up of constant valued circuit elements. Such models are unable to capture the high frequency behavior (above 20 GHz) of the device. In this work, a modified small signal equivalent circuit model of AlGaN/GaN MOS-HEMTs is presented. The key feature of the proposed model is that the values of the different circuit elements in the model are considered to be frequency dependent in nature and not constants. The frequency dependent value of each circuit element is mathematically represented using polynomial functions where the coefficients of the functions are determined via a least-square curve fitting approach. This frequency dependent attribute of the circuit element values ensures that the proposed model is very accurate at high frequencies without sacrificing the compactness of the model topology. The accuracy of the proposed model has been verified up to 50 GHz using experimentally measured Y-parameters of AlGaN/GaN MOS-HEMTs having a different gate dielectric and gate length.

    DOI: 10.1109/JEDS.2021.3081463

    Open Access

    Web of Science

    Scopus

  171. Electrical properties of GaN metal-insulator-semiconductor field-effect transistors with Al<sub>2</sub>O<sub>3</sub>/GaN interfaces formed on vicinal Ga-polar and nonpolar surfaces

    Ando, Y; Nagamatsu, K; Deki, M; Taoka, N; Tanaka, A; Nitta, S; Honda, Y; Nakamura, T; Amano, H

    APPLIED PHYSICS LETTERS   117 巻 ( 24 ) 頁: 242104   2020年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/5.0028516

    Web of Science

  172. The 2020 UV emitter roadmap Open Access

    Amano H., Collazo R., De Santi C., Einfeldt S., Funato M., Glaab J., Hagedorn S., Hirano A., Hirayama H., Ishii R., Kashima Y., Kawakami Y., Kirste R., Kneissl M., Martin R., Mehnke F., Meneghini M., Ougazzaden A., Parbrook P.J., Rajan S., Reddy P., Römer F., Ruschel J., Sarkar B., Scholz F., Schowalter L.J., Shields P., Sitar Z., Sulmoni L., Wang T., Wernicke T., Weyers M., Witzigmann B., Wu Y.R., Wunderer T., Zhang Y.

    Journal of Physics D: Applied Physics   53 巻 ( 50 ) 頁: 503001   2020年12月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Physics D: Applied Physics  

    Solid state UV emitters have many advantages over conventional UV sources. The (Al,In,Ga)N material system is best suited to produce LEDs and laser diodes from 400 nm down to 210 nm - due to its large and tuneable direct band gap, n- and p-doping capability up to the largest bandgap material AlN and a growth and fabrication technology compatible with the current visible InGaN-based LED production. However AlGaN based UV-emitters still suffer from numerous challenges compared to their visible counterparts that become most obvious by consideration of their light output power, operation voltage and long term stability. Most of these challenges are related to the large bandgap of the materials. However, the development since the first realization of UV electroluminescence in the 1970s shows that an improvement in understanding and technology allows the performance of UV emitters to be pushed far beyond the current state. One example is the very recent realization of edge emitting laser diodes emitting in the UVC at 271.8 nm and in the UVB spectral range at 298 nm. This roadmap summarizes the current state of the art for the most important aspects of UV emitters, their challenges and provides an outlook for future developments.

    DOI: 10.1088/1361-6463/aba64c

    Open Access

    Web of Science

    Scopus

  173. Detailed analysis of Ga-rich current pathways created in an n-Al<inf>0.7</inf>Ga<inf>0.3</inf>N layer grown on an AlN template with dense macrosteps

    Nagasawa Y., Hirano A., Ippommatsu M., Sako H., Hashimoto A., Sugie R., Honda Y., Amano H., Akasaki I., Kojima K., Chichibu S.F.

    Applied Physics Express   13 巻 ( 12 ) 頁: 124001   2020年12月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    To clarify the behavior of the AlGaN in 20 nm wide Ga-rich current pathways in an n-AlGaN layer, which assists carrier localization in AlGaN-based light-emitting diodes, we performed a detailed analysis using an n-Al0.7Ga0.3N layer on AlN with dense macrosteps on a 1.0 miscut sapphire substrate. Energy-dispersive X-ray spectra, obtained using cross-sectional scanning transmission electron microscopy calibrated by Rutherford backscattering and cross-sectional cathodoluminescence spectra, indicated that AlN mole fraction in the Ga-rich current pathways was nearly ∼2/3. This result is consistent with those of other research groups, suggesting that metastable Al2/3Ga1/3N is created in Ga-rich current pathways.

    DOI: 10.35848/1882-0786/abcb49

    Web of Science

    Scopus

  174. Surface passivation of light emitting diodes: From nano-size to conventional mesa-etched devices

    Seong, TY; Amano, H

    SURFACES AND INTERFACES   21 巻   頁: 100765   2020年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Surfaces and Interfaces  

    III-V compound semiconductor-based light emitting devices (LEDs) operating in ultraviolet to visible wavelength ranges are greatly important for their applications, including displays, solid-state lighting, biomedical applications, optogenetics, and high bandwidth visible light communications. The performance of such LEDs is unavoidably affected by the surface characteristics of semiconductor layers. In particular, for LEDs, plasma-etching processes are inevitably adopted to define mesas, via holes and waveguides. The presence of surface defects is exceedingly detrimental to the device performance. It is therefore vital to understand the passivation mechanisms and approaches in order to control and so to maximize the efficiency. Here, we review recent progress in the surface treatment and passivation of III-V compound semiconductors-based LEDs. We show how different types of passivation approaches, including treatments with aqueous solutions, dielectric materials, or combination of both, affect the surface states and plasma-induced defects and hence the electrical and optical performance of LEDs.

    DOI: 10.1016/j.surfin.2020.100765

    Web of Science

    Scopus

  175. State-of-the-art and prospects for intense red radiation from core-shell InGaN/GaN nanorods Open Access

    Evropeitsev, EA; Kazanov, DR; Robin, Y; Smirnov, AN; Eliseyev, IA; Davydov, VY; Toropov, AA; Nitta, S; Shubina, TV; Amano, H

    SCIENTIFIC REPORTS   10 巻 ( 1 ) 頁: 19048   2020年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Scientific Reports  

    Core–shell nanorods (NRs) with InGaN/GaN quantum wells (QWs) are promising for monolithic white light-emitting diodes and multi-color displays. Such applications, however, are still a challenge because intensity of the red band is too weak compared with blue and green. To clarify this problem, we measured photoluminescence of different NRs, depending on power and temperature, as well as with time resolution. These studies have shown that dominant emission bands come from nonpolar and semipolar QWs, while a broad yellow-red band arises mainly from defects in the GaN core. An emission from polar QWs located at the NR tip is indistinguishable against the background of defect-related luminescence. Our calculations of electromagnetic field distribution inside the NRs show a low density of photon states at the tip, which additionally suppresses the radiation of polar QWs. We propose placing polar QWs inside a cylindrical part of the core, where the density of photon states is higher and the well area is much larger. Such a hybrid design, in which the excess of blue radiation from shell QWs is converted to red radiation in core wells, can help solve the urgent problem of red light for many applications of NRs.

    DOI: 10.1038/s41598-020-76042-0

    Open Access

    Web of Science

    Scopus

    PubMed

  176. Limitation of simple np-n tunnel junction based LEDs grown by metal-organic vapor phase epitaxy Open Access

    Robin, Y; Bournet, Q; Avit, G; Pristovsek, M; André, Y; Trassoudaine, A; Amano, H

    SEMICONDUCTOR SCIENCE AND TECHNOLOGY   35 巻 ( 11 ) 頁: 115005   2020年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Semiconductor Science and Technology  

    We show evidence that tunnel junctions (TJs) in GaN grown by metal-organic vapor phase epitaxy are dominated by defect level-assisted tunneling. This is in contrast with the common belief that highly doped layers (>1020 cm−3) are required to narrow the TJ space charge region and promote the band-to-band tunneling. Our conclusion stems from the study and the review of the major doping limitations of carefully optimized p++ and n++ layers. The secondary ions mass spectroscopy profiles of GaN based TJ LEDs show a strong oxygen concentration located close to the p++/n++ interface, typical for three dimensional growth. In addition, considering the doping limitation asymmetry and Mg carry-over, our simulations indicate a depletion region of more than 10 nm which is buried in a rough and defective n++ layer. However, decent electrical characteristics of the studied TJ based LEDs are obtained, with a low penalty voltage of 1.1 V and a specific differential resistance of about 10-2 Ω.cm2 at 20 mA. This indicates that a common TJ could be greatly optimized by using a moderate doping (∼1019 cm−3) while intentionally introducing local defects within the TJ.

    DOI: 10.1088/1361-6641/abad73

    Open Access

    Web of Science

    Scopus

  177. Modeling the degradation mechanisms of AlGaN-based UV-C LEDs: from injection efficiency to mid-gap state generation

    Piva, F; De Santi, C; Deki, M; Kushimoto, M; Amano, H; Tomozawa, H; Shibata, N; Meneghesso, G; Zanoni, E; Meneghini, M

    PHOTONICS RESEARCH   8 巻 ( 11 ) 頁: 1786 - 1791   2020年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Photonics Research  

    In this work, we analyze and model the effect of a constant current stress on an ultraviolet light-emitting diode with a nominal wavelength of 285 nm. By carrying out electrical, optical, spectral, and steady-state photocapacitance (SSPC) analysis during stress, we demonstrate the presence of two different degradation mechanisms. The first one occurs in the first 1000 min of stress, is ascribed to the decrease in the injection efficiency, and is modeled by considering the defect generation dynamics related to the de-hydrogenation of gallium vacancies, according to a system of three differential equations; the second one occurs after 1000 min of stress and is correlated with the generation of mid-gap defects, for which we have found evidence in the SSPC measurements. Specifically, we detected the presence of deep-level states (at 1.6 eV) and mid-gap states (at 2.15 eV), indicating that stress induces the generation of non-radiative recombination centers.

    DOI: 10.1364/PRJ.401785

    Web of Science

    Scopus

  178. Epitaxial Combination of Two-Dimensional Hexagonal Boron Nitride with Single-Crystalline Diamond Substrate

    Yang, X; Pristovsek, M; Nitta, S; Liu, YH; Honda, Y; Koide, Y; Kawarada, H; Amano, H

    ACS APPLIED MATERIALS & INTERFACES   12 巻 ( 41 ) 頁: 46466 - 46475   2020年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:ACS applied materials &amp; interfaces  

    Hexagonal boron nitride (hBN) and diamond are promising materials for next-generation electronics and optoelectronics. However, their combination is rarely reported. In this study, we for the first time demonstrate the success to direct growth of two-dimensional (2D) hBN crystal layers on diamond substrates by metalorganic vapor phase epitaxy. Compared with the disordered growth we found on diamond (100), atomic force microscopy, X-ray diffraction, and transmission electron microscopy results all support 2D hBN with highly oriented lattice formation on diamond (111). Also, the epitaxial relationship between hBN and diamond (111) substrate is revealed to be [0 0 0 1]hBN // [1 1 1]diamond and [1 0 1̅ 0]hBN // [1 1 2̅]diamond. The valence band offset at hBN/diamond (111) heterointerface determined by X-ray photoelectron spectroscopy is 1.4 ± 0.2 eV, thus yielding a conduction band offset of 1.0 ± 0.2 eV and type II staggered band alignment with a bandgap of 5.9 eV assumed for hBN. Furthermore, prior thermal cleaning of diamond in a pure H2 atmosphere smoothens the surface for well-ordered layered hBN epitaxy, while thermal cleaning in a mixed H2 and NH3 atmosphere etches the diamond surface, creating many small faceted pits that destroy the following epitaxy of hBN.

    DOI: 10.1021/acsami.0c11883

    Web of Science

    Scopus

    PubMed

  179. Space charge profile study of AlGaN-based p-type distributed polarization doped claddings without impurity doping for UV-C laser diodes

    Zhang, ZY; Kushimoto, M; Horita, M; Sugiyama, N; Schowalter, LJ; Sasaoka, C; Amano, H

    APPLIED PHYSICS LETTERS   117 巻 ( 15 ) 頁: 152104   2020年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Letters  

    The space charge density profile of the nondoped AlGaN-based p-type cladding layer for UV-C laser diodes realized by distributed polarization doping is examined theoretically and experimentally. The analysis of the capacitance-voltage measurement revealed that the average effective acceptor density of 4.2×1017 cm-3 is achieved even without impurity doping, and it is in good agreement with the theoretical prediction from the measured Al composition profile. This result suggests that the cladding layer is ideal for UV-C LDs because it provides sufficient hole injection while potentially avoiding internal losses due to impurity doping.

    DOI: 10.1063/5.0027789

    Web of Science

    Scopus

  180. Optical properties of neodymium ions in nanoscale regions of gallium nitride Open Access

    Sato S.I., Deki M., Watanabe H., Nitta S., Honda Y., Nishimura T., Gibson B.C., Greentree A.D., Amano H., Ohshima T.

    Optical Materials Express   10 巻 ( 10 ) 頁: 2614 - 2623   2020年10月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Optical Materials Express  

    Wide bandgap semiconductors are increasingly important for bioimaging applications, as they can possess good biocompatibility and host a large range of fluorescent defects spanning the visible to infrared. Gallium nitride is one promising host for photostable fluorophores. In particular, neodymium (Nd)-doped gallium nitride (GaN) shows bright near-infrared fluorescence and narrow room temperature linewidth and is therefore a candidate material for fluorescent probes for bioimaging. To explore the conditions necessary to generate biomarkers based on Nd:GaN, this paper reports the room temperature photoluminescence (PL) properties of small ensembles of Nd ions implanted into the nanoscale regions of GaN epilayers. The minimum volume of Nd-implanted GaN that can be optically detected in this study is about 8×104 nm3 and the minimum detected ensemble of Nd ions is about 4×103, although not all of implanted Nd ions activate as luminescence centers. We show from the PL excitation spectra that the strongest resonant excitation appears at 619 nm, attributed to the 4I9/2 → 4G5/2 (4G7/2) transition in the 4f -shell. We measure the luminescence lifetime to be several tens of microseconds. We also identify the presence of a different excitation mechanism from the resonant excitation when excited below 510 nm (above 2.43 eV).

    DOI: 10.1364/OME.401765

    Open Access

    Web of Science

    Scopus

  181. Single-chip imaging system that simultaneously transmits light

    Wang Y., Gao X., Fu K., Qin F., Zhu H., Liu Y., Amano H.

    Applied Physics Express   13 巻 ( 10 )   2020年10月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    Multiple-quantum-well diodes (MQW diodes) naturally have key functionalities of light emission, transmission, modulation and detection all at the same time, enabling new forms of information and energy conversion between photons and electrons. When approximately biased and illuminated at the same time, a multifunctional MQW diode allows simultaneous light emission and detection within a single diode. A single-chip imaging system that uses a 4 × 4 MQW diode array is experimentally demonstrated here that can simultaneously transmit light and capture an image, showing the great development potential of advanced monolithic III-nitride information systems.

    DOI: 10.35848/1882-0786/abb786

    Scopus

  182. WPTシステム実現のための 高周波GaNパワーデバイス 招待有り 査読有り

    天野 浩

    電子情報通信学会誌 IEICE誌   103 巻 ( 10 ) 頁: 1016 - 1022   2020年10月

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    その他リンク: https://www.journal.ieice.org/bin/pdf_link.php?fname=k103_10_1016&lang=J&year=2020

  183. Single-chip imaging system that simultaneously transmits light

    Wang, YJ; Gao, XM; Fu, K; Qin, FF; Zhu, HB; Liu, YH; Amano, H

    APPLIED PHYSICS EXPRESS   13 巻 ( 10 )   2020年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1882-0786/abb786

    Web of Science

  184. Photoluminescence properties of implanted Praseodymium into Gallium Nitride at elevated temperatures

    Sato S.i., Deki M., Nishimura T., Okada H., Watanabe H., Nitta S., Honda Y., Amano H., Ohshima T.

    Nuclear Instruments and Methods in Physics Research, Section B: Beam Interactions with Materials and Atoms   479 巻   頁: 7 - 12   2020年9月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Nuclear Instruments and Methods in Physics Research, Section B: Beam Interactions with Materials and Atoms  

    Since Rare-Earth (RE) doped Gallium Nitride (GaN) is expected to be used as electrically driven single photon source at room temperature, low dose RE-ion implantation and their activation as luminescent centers are of interest. This paper reports photoluminescence (PL) properties of Praseodymium (Pr) implanted GaN at different temperatures ranging from room temperature to 1200 °C. All the Pr-implanted GaN samples are thermally annealed after implantation and show strong PL emissions at 650.2 nm and 652.0 nm, originated from 3P0→3F2 transition in 4f-shell of Pr3+ ions. It is shown that the PL intensity originating from Pr3+ ions is reduced as the implantation temperature increases for the Pr-implanted samples annealed at 1200 °C. This result suggests that Pr3+ ions quench due to the formation of complex defects and defect clusters. The effect of high temperature implantation on the recovery of GaN crystallinity is discussed in terms of critical dose and displacement damage.

    DOI: 10.1016/j.nimb.2020.06.007

    Web of Science

    Scopus

  185. Low interface state densities at Al<sub>2</sub>O<sub>3</sub>/GaN interfaces formed on vicinal polar and non-polar surfaces Open Access

    Ando, Y; Nagamatsu, K; Deki, M; Taoka, N; Tanaka, A; Nitta, S; Honda, Y; Nakamura, T; Amano, H

    APPLIED PHYSICS LETTERS   117 巻 ( 10 ) 頁: 102012   2020年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Letters  

    Ni/Al2O3/GaN structures with vicinal GaN surfaces from the c- or m-plane were formed. Then, electrical interface properties of the structures were systematically investigated. It was found that interface state density (Dit) at the Al2O3/GaN interface for the c-plane is higher than that for the m-plane, and post-metallization annealing is quite effective to reduce Dit for both c- and m-planes. As a result, the low Dit value of ∼3 × 1010 eV-1 cm-2 was demonstrated for both planes.

    DOI: 10.1063/5.0010774

    Open Access

    Web of Science

    Scopus

  186. Design and characterization of a low-optical-loss UV-C laser diode

    Zhang Z., Kushimoto M., Sakai T., Sugiyama N., Schowalter L.J., Sasaoka C., Amano H.

    Japanese Journal of Applied Physics   59 巻 ( 9 )   2020年9月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    We present an optical modeling and characterization study of prototype ultraviolet laser diode (LD) structures grown on single-crystal AlN substrates, with focus on the reduction of modal loss caused by optical mode coupling to the absorptive layers on the p-side (i.e. p-contact and p-metal layers). The transparent AlN substrates enabled optical pumping for measuring modal loss without requiring functioning LDs. The modal loss measured in this way was in good agreement with electrically evaluated results of processed LDs, and both results were consistent with optical modeling predictions. By using 0.32 μm thick p-side cladding, we were able to suppress the modal loss of the designed LD structure to 8.4 cm-1, where the contribution from the absorptive p-contact layers was less than 3 cm-1.

    DOI: 10.35848/1347-4065/abaac6

    Scopus

  187. Design and characterization of a low-optical-loss UV-C laser diode

    Zhang Ziyi, Kushimoto Maki, Sakai Tadayoshi, Sugiyama Naoharu, Schowalter Leo J., Sasaoka Chiaki, Amano Hiroshi

    JAPANESE JOURNAL OF APPLIED PHYSICS   59 巻 ( 9 )   2020年9月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/abaac6

    Web of Science

  188. Increasing the Luminescence Efficiency of Long-Wavelength (In,Ga)N Quantum Well Structures by Electric Field Engineering Using an (Al,Ga)N Capping Layer

    Vichi Stefano, Robin Yoann, Sanguinetti Stefano, Pristovsek Markus, Amano Hiroshi

    PHYSICAL REVIEW APPLIED   14 巻 ( 2 ) 頁: 024018   2020年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1103/PhysRevApplied.14.024018

    Web of Science

  189. Thermodynamic analysis of the gas phase reaction of Mg-doped GaN growth by HVPE using MgO

    Kimura T., Ohnishi K., Amano Y., Fujimoto N., Araidai M., Nitta S., Honda Y., Amano H., Kangawa Y., Shiraishi K.

    Japanese Journal of Applied Physics   59 巻 ( 8 ) 頁: 088001   2020年8月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    The halide vapor phase epitaxy (HVPE) of Mg-doped GaN using solid MgO is investigated. Thermodynamic analysis of the reactions amongst MgO, HCl and N2 is performed based on first-principles calculations. It is found that the equilibrium partial pressure of MgCl2 is the highest amongst magnesium related molecules at 900 °C. By increasing the input partial pressure of HCl, the pressure of MgCl2 is increased, which agrees well with recently reported experiments. From these results, it is concluded that MgCl2 is the key molecule which plays the most important role for Mg-doping in fabricating p-type GaN using HVPE with MgO.

    DOI: 10.35848/1347-4065/aba0d5

    Scopus

  190. Growth of high-quality GaN by halogen-free vapor phase epitaxy

    Kimura T., Kataoka K., Uedono A., Amano H., Nakamura D.

    Applied Physics Express   13 巻 ( 8 ) 頁: 085509   2020年8月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    We report the electrical and optical properties of gallium nitride grown by halogen-free vapor phase epitaxy (HF-VPE). The electron mobility of the HF-VPE-GaN layers was found to be comparable to or better than the GaN layers obtained using MOCVD. The positron annihilation spectroscopy analyses revealed that the density of the electroneutral or negatively charged vacancy-type defects in the HF-VPE-GaN layers was below the detection limit (≤1015 cm-3), equivalent to that of a defect-free hydride vapor phase epitaxy (HVPE)-GaN reference sample. Our study shows that the HF-VPE technique can be employed to achieve high-quality and cost-effective bulk crystal and epitaxial layer growth for GaN devices.

    DOI: 10.35848/1882-0786/aba494

    Scopus

  191. Thermodynamic analysis of the gas phase reaction of Mg-doped GaN growth by HVPE using MgO

    Kimura Tomoya, Ohnishi Kazuki, Amano Yuki, Fujimoto Naoki, Araidai Masaaki, Nitta Shugo, Honda Yoshio, Amano Hiroshi, Kangawa Yoshihiro, Shiraishi Kenji

    JAPANESE JOURNAL OF APPLIED PHYSICS   59 巻 ( 8 )   2020年8月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/aba0d5

    Web of Science

  192. Growth of high-quality GaN by halogen-free vapor phase epitaxy

    Kimura Taishi, Kataoka Keita, Uedono Akira, Amano Hiroshi, Nakamura Daisuke

    APPLIED PHYSICS EXPRESS   13 巻 ( 8 )   2020年8月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1882-0786/aba494

    Web of Science

  193. Pulsed-flow growth of polar, semipolar and nonpolar AlGaN

    Dinh, DV; Hu, N; Honda, Y; Amano, H; Pristovsek, M

    JOURNAL OF MATERIALS CHEMISTRY C   8 巻 ( 25 ) 頁: 8668 - 8675   2020年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Materials Chemistry C  

    The impacts of pulsed-flow growth on aluminium incorporation in polar (0001), semipolar (1013) and (1122), as well as nonpolar (1010) AlGaN layers have been investigated. The layers were grown simultaneously on differently oriented AlN/sapphire templates by metal-organic vapour phase epitaxy. The AlN mole fraction (0 < xAlN ≤ 0.85) of the layers was varied by simply changing the supply time of the aluminium precursor while keeping nitrogen and gallium precursors constant. Phase separation has been observed for the (0001) and (1122) layers by X-ray diffraction, and is attributed to their different surface reconstructions during growth. In contrast, no phase separation has been observed for the (1010) and (1013) layers, attributed to their stable surfaces during growth. The AlN mole fraction of the differently oriented layers generally follows the order: (1122) < (0001) < (1013) ≤ (1010), attributed to their different surface dangling-bond densities. By means of room-temperature photoluminescence measurements, high carbon-incorporation has quantitatively been found in all the layers. This journal is

    DOI: 10.1039/d0tc01369b

    Web of Science

    Scopus

  194. Screw dislocation that converts p-type GaN to n-type: Microscopic study on Mg condensation and leakage current in p-n diodes Open Access

    T. Nakano, Y. Harashima, K. Chokawa, K. Shiraishi, A. Oshiyama, Y. Kangawa, S. Usami, N. Mayama, K. Toda, A. Tanaka, Y. Honda, H. Amano

    Applied Physics Letters   117 巻 ( 1 ) 頁: 012105   2020年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/5.0010664

    Scopus

  195. Change of high-voltage conduction mechanism in vertical GaN-on-GaN Schottky diodes at elevated temperatures

    Sandupatla A., Arulkumaran S., Ng G.I., Ranjan K., Deki M., Nitta S., Honda Y., Amano H.

    Applied Physics Express   13 巻 ( 7 ) 頁: 074001   2020年7月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    Current-voltage-temperature characteristics (0 °C to 150 °C) of SBDs on highly compensated 15 μm and 30 μm n-type GaN drift layer were measured for voltages up to-300 V and up to-800 V, respectively. When the temperature is between 75 °C and 100 °C, both SBDs exhibited a similar change in conduction mechanism from thermionic field emission (TFE) to thermionic emission (TE) due to the activation of N-vacancies (VN) (Ea =-1.67 ± 0.02 eV). However, at high voltages when the temperature is >100 °C, the conduction mechanism changes from TE to TFE due to the de-trapping of electrons from activated carbon-traps (Ea = +0.69 eV) in the grown drift layers.

    DOI: 10.35848/1882-0786/ab93a0

    Scopus

  196. Change of high-voltage conduction mechanism in vertical GaN-on-GaN Schottky diodes at elevated temperatures

    Sandupatla Abhinay, Arulkumaran Subramaniam, Ng Geok Ing, Ranjan Kumud, Deki Manato, Nitta Shugo, Honda Yoshio, Amano Hiroshi

    APPLIED PHYSICS EXPRESS   13 巻 ( 7 )   2020年7月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1882-0786/ab93a0

    Web of Science

  197. Effect of Annealing on the Electrical and Optical Properties of MgZnO Films Deposited by Radio Frequency Magnetron Sputtering

    Kushimoto, M; Sakai, T; Ueoka, Y; Tomai, S; Katsumata, S; Deki, M; Honda, Y; Amano, H

    PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE   217 巻 ( 14 ) 頁: 1900955   2020年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Physica Status Solidi (A) Applications and Materials Science  

    The properties of phase-separated MgZnO induced by heat treatment are investigated, because the electrical conductivity of MgZnO deposited by sputtering can be improved by heat treatment. The absorption edge shows a red shift, but the transmittance in the ultraviolet (UV)-C region increases after heat treatment. This is due to the formation of wurtzite (WZ) MgZnO with a high Zn content and a rock salt (RS) structure with a low Zn content induced by phase separation. This suggests that the current path is formed by the WZ crystals with low resistivity, and the transmittance in the UV region is increased by the RS crystals with high transmittance. It is confirmed that the RS structure is partially mixed with the WZ crystal in the in-plane direction. In contrast, different distributions of crystals are observed in the stacking direction. A WZ structure is formed after RS-MgZnO is formed on the substrate interface. It is found that Mg is incorporated into the RS structure by heat treatment, and Mg-rich MgZnO is formed.

    DOI: 10.1002/pssa.201900955

    Web of Science

    Scopus

  198. Lattice bow in thick, homoepitaxial GaN layers for vertical power devices Open Access

    Liu Q., Fujimoto N., Shen J., Nitta S., Tanaka A., Honda Y., Sitar Z., Boćkowski M., Kumagai Y., Amano H.

    Journal of Crystal Growth   539 巻   頁: 125643   2020年6月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Crystal Growth  

    Lattice bow generated by 40 µm thick HVPE homoepitaxial layers on commercial free-standing, ammonothermal and HVPE GaN wafers was studied. While a change in lattice bow was measured for all wafers, the additional bow on the ammonothermal GaN wafers was minimal. The main driving force for the observed increase in the lattice bow for HVPE wafers was related to stress in the films generated by the elongation of dislocations via climb and generation of new dislocations at the homoepitaxial interface. Lattice bow is a crucial wafer parameter as it determines the variation of the offcut across the surface. If an offcut variation of 0.1° is allowed for desired control surface morphology, composition of alloys, and uniformity of doping on this surface, the measured bow on the two HVPE GaN wafers and one ammonothermal GaN wafer limits their uniformity-diameter to ~0.5″, 1″ and >4″, respectively.

    DOI: 10.1016/j.jcrysgro.2020.125643

    Open Access

    Scopus

  199. Halide vapor phase epitaxy of p-Type Mg-doped GaN utilizing MgO Open Access

    Ohnishi K., Amano Y., Fujimoto N., Nitta S., Honda Y., Amano H.

    Applied Physics Express   13 巻 ( 6 ) 頁: 016007   2020年6月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    Halide vapor phase epitaxy of p-Type GaN:Mg films was realized by using solid MgO as the Mg source. The Mg concentration was controlled by supplying HCl gas in a MgO source zone. Mg-related photoluminescence peaks were observed at around 3.3 and 2.9 eV. For a sample with a Mg concentration of 2.8 × 1019 cm-3, the Hall-effect measurement showed p-Type conduction with a hole concentration and a hole mobility of 1.3 × 1017 cm-3 and 9.1 cm2 V-1 s-1, respectively, at room temperature. The Mg acceptor level was 232 15 meV, which is in good agreement with the previous report.

    DOI: 10.35848/1882-0786/ab9166

    Open Access

    Scopus

  200. Halide vapor phase epitaxy of p-type Mg-doped GaN utilizing MgO Open Access

    Ohnishi Kazuki, Amano Yuki, Fujimoto Naoki, Nitta Shugo, Honda Yoshio, Amano Hiroshi

    APPLIED PHYSICS EXPRESS   13 巻 ( 6 )   2020年6月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1882-0786/ab9166

    Open Access

    Web of Science

  201. Lattice bow in thick, homoepitaxial GaN layers for vertical power devices Open Access

    Liu, Q; Fujimoto, N; Shen, J; Nitta, S; Tanaka, A; Honda, Y; Sitar, Z; Bockowski, M; Kumagai, Y; Amano, H

    JOURNAL OF CRYSTAL GROWTH   539 巻   2020年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2020.125643

    Open Access

    Web of Science

  202. Oxygen Incorporation Kinetics in Vicinal <i>m</i>(10-10) Gallium Nitride Growth by Metal-Organic Vapor Phase Epitaxy

    Yosho, D; Shintaku, F; Inatomi, Y; Kangawa, Y; Iwata, JI; Oshiyama, A; Shiraishi, K; Tanaka, A; Amano, H

    PHYSICA STATUS SOLIDI-RAPID RESEARCH LETTERS   14 巻 ( 6 ) 頁: 2000142   2020年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Physica Status Solidi - Rapid Research Letters  

    The oxygen incorporation kinetics of vicinal m(10−10) gallium nitride (GaN) growth during metal-organic vapor phase epitaxy is clarified using a diffusion equation-based approach that incorporates diffusion potentials obtained by large-scale density functional theory (DFT) calculations. A diffusion model based on the Burton, Cabrera and Frank (BCF) theory is proposed, and then, the oxygen concentration in the epitaxial films is calculated quantitatively. The calculation results agree with the experimental tendency that the oxygen concentration in the −c 5° off m-GaN epilayers is lower than that in the +c 5° off m-GaN epilayers. Then, the off-angle dependence of oxygen incorporation in vicinal m-GaN growth is predicted.

    DOI: 10.1002/pssr.202000142

    Web of Science

    Scopus

  203. Vertical GaN-on-GaN Schottky Diodes as α-Particle Radiation Sensors. Open Access

      11 巻 ( 5 ) 頁: 519   2020年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/mi11050519

    Open Access

    Scopus

    PubMed

  204. Improving the Leakage Characteristics and Efficiency of GaN-based Micro-Light-Emitting Diode with Optimized Passivation

    Lee, H; Lee, JH; Park, JS; Seong, TY; Amano, H

    ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY   9 巻 ( 5 ) 頁: 055001   2020年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:ECS Journal of Solid State Science and Technology  

    We investigated the effect of atomic layer deposition (ALD) Al2O3 (50 nm)/plasma-enhanced chemical vapour deposition (PECVD) SiO2 (250 nm) and PECVD SiO2 (300 nm) passivation layers on the leakage current and efficiency of InGaN-based micro-LEDs with different sizes. Regardless of passivation layers, the leakage current increased with decreasing LED size and increasing reverse bias. Emission microscopy examination showed that with increasing reverse bias, the number of defect-related emission spots and their intensities increased. For the micro-LEDs <50 μm, the emission spots were mainly located at the sidewall regions. Above-10 V, the single PECVD SiO2 passivation layer gave higher leakage current than the double ALD-Al2O3/PECVD-SiO2 layer. The micro-LEDs with the single passivation layer gave the ideality factors of about 2.0, while that with the double layer exhibited values smaller than 2.0. The micro-LEDs with the double passivation layer exhibited external quantum efficiency peaks at lower current density compared to those with the single layer. It was shown that smaller micro-LEDs were more sensitively dependent on the types of the passivation layers. These results exhibit that the ALD-Al2O3/PECVD-SiO2 passivation layer is more effective in suppressing the sidewall damage-induced current than the PECVD-SiO2 layer.

    DOI: 10.1149/2162-8777/ab915d

    Web of Science

    Scopus

  205. Impact of high-Temperature implantation of Mg ions into GaN Open Access

    Takahashi M., Tanaka A., Ando Y., Watanabe H., Deki M., Kushimoto M., Nitta S., Honda Y., Shima K., Kojima K., Chichibu S.F., Amano H.

    Japanese Journal of Applied Physics   59 巻 ( 5 ) 頁: 056502   2020年5月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Magnesium (Mg) ion implantation into gallium nitride (GaN) at 1000 °C is proposed. Since ion implantation and annealing occur simultaneously in high-Temperature implantation, it is considered that Mg ions can be introduced at an appropriate position upon their implantation. GaN vertical diodes implanted with Mg ions were fabricated and current-voltage measurements were performed. As a result, clear rectifying properties were confirmed in a sample that was implanted with Mg ions at 1000 °C and annealed after implantation. However, the sample subjected to Mg ion implantation at RT and annealed after implantation showed no clear rectification. These results show that high-Temperature implantation of Mg ions at 1000 °C reduces Mg ion implantation-induced damage and simultaneously activates Mg ions upon their implantation.

    DOI: 10.35848/1347-4065/ab8b3d

    Open Access

    Scopus

  206. Computational study of oxygen stability in vicinal m(10-10)-GaN growth by MOVPE Open Access

    Shintaku F., Yosho D., Kangawa Y., Iwata J.I., Oshiyama A., Shiraishi K., Tanaka A., Amano H.

    Applied Physics Express   13 巻 ( 5 ) 頁: 055507   2020年5月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    Using density functional calculations, we clarify the oxygen incorporation mechanism in vicinal m-GaN growth by metal-organic vapor-phase epitaxy. We first identify reconstructed structures of 5° off m-GaN toward the ±c directions. Next, we explore preferable sites for oxygen substitution near step edges. We find that oxygen prefers the lower nitrogen site of the step edge on the +c 5° off m-GaN substrate compared with that on the-c 5° off m-GaN substrate. This tendency agrees with recent experimental findings that the oxygen concentration in-c 5° off m-GaN epilayers is lower than that in +c 5° off m-GaN epilayers.

    DOI: 10.35848/1882-0786/ab8723

    Scopus

  207. Impact of high-temperature implantation of Mg ions into GaN Open Access

    Takahashi, M; Tanaka, A; Ando, Y; Watanabe, H; Deki, M; Kushimoto, M; Nitta, S; Honda, Y; Shima, K; Kojima, K; Chichibu, SF; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   59 巻 ( 5 )   2020年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/ab8b3d

    Open Access

    Web of Science

  208. Computational study of oxygen stability in vicinal m(10-10)-GaN growth by MOVPE Open Access

    Shintaku Fumiya, Yosho Daichi, Kangawa Yoshihiro, Iwata Jun-Ichi, Oshiyama Atsushi, Shiraishi Kenji, Tanaka Atsushi, Amano Hiroshi

    APPLIED PHYSICS EXPRESS   13 巻 ( 5 )   2020年5月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1882-0786/ab8723

    Web of Science

  209. Improved Leakage and Output Characteristics of Pixelated LED Array for Headlight application

    Lee Sang-Youl, Kang Kiman, Lee Eunduk, Jo Yoomin, Kim Doyub, Oh Jeong-Tak, Jeong Hwan-Hee, Seong Tae-Yeon, Amano Hiroshi

    ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY   9 巻 ( 4 ) 頁: 045011   2020年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/2162-8777/ab8b6f

    Web of Science

  210. Experimental observation of high intrinsic thermal conductivity of AlN Open Access

    Cheng Zhe, Koh Yee Rui, Mamun Abdullah, Shi Jingjing, Bai Tingyu, Huynh Kenny, Yates Luke, Liu Zeyu, Li Ruiyang, Lee Eungkyu, Liao Michael E., Wang Yekan, Yu Hsuan Ming, Kushimoto Maki, Luo Tengfei, Goorsky Mark S., Hopkins Patrick E., Amano Hiroshi, Khan Asif, Graham Samuel

    PHYSICAL REVIEW MATERIALS   4 巻 ( 4 ) 頁: 044602   2020年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1103/PhysRevMaterials.4.044602

    Web of Science

  211. Tungsten carbide layers deposited on graphite substrates <i>via</i> a wet powder process as anti-parasitic-reaction coatings for reactor components in GaN growth

    Nakamura, D; Kimura, T; Itoh, K; Fujimoto, N; Nitta, S; Amano, H

    CRYSTENGCOMM   22 巻 ( 15 ) 頁: 2632 - 2641   2020年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1039/c9ce01971e

    Web of Science

  212. Improved Light Output of AlGaInP-Based Micro-Light Emitting Diode Using Distributed Bragg Reflector

    Lee, SY; Moon, JH; Moon, YT; Kim, CS; Park, S; Oh, JT; Jeong, HH; Seong, TY; Amano, H

    IEEE PHOTONICS TECHNOLOGY LETTERS   32 巻 ( 7 ) 頁: 438 - 441   2020年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE Photonics Technology Letters  

    We investigated how the performance and reliability of AlGaInP-based red (620 nm) micro-light-emitting diodes (micro-LEDs) (25×17 μ m2) were influenced by the use of n-AlInP ohmic layer and distributed Bragg reflector (DBR). The AlGaAs-based DBR showed reflectivity of 94.9% at 622 nm with a 14 nm-stopband width. The micro-LEDs with n-GaAs gave slightly lower forward voltages by 0.013 - 0.021 V than those with n-Al0.5In0.5P/ n-Al0.6Ga0.4As and nAl0.5In0.5P/DBR. However, the micro-LEDs with n-Al0.5In0.5P/ n-Al0.6Ga0.4As and n-Al0.5In0.5P/DBR gave 61% and 125% higher light output power at 20μ A compared with that with n-GaAs. It was shown that after annealing at 120 °C for 2,000 h, the forward voltage and the light output power at 4.7 A/cm2 of the micro-LEDs with n-Al0.5In0.5P/DBR were degraded by 0.09% and 6.33%, respectively, with reference to those before annealing.

    DOI: 10.1109/LPT.2020.2977376

    Web of Science

    Scopus

  213. Scalable fabrication of GaN on amorphous substrates via MOCVD on highly oriented silicon seed layers

    Hainey, M; Robin, Y; Avit, G; Amano, H; Usami, N

    JOURNAL OF CRYSTAL GROWTH   535 巻   頁: 125522   2020年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Crystal Growth  

    The integration of gallium nitride with low-cost, transparent substrates such as glass and crystallographically incompatible substrates such as Si(0 0 1) has been long desired for III-N electronics. Here the authors demonstrate how GaN growth on uniformly 〈1 1 1〉 oriented silicon seed layers fabricated by aluminum-induced crystallization provide a straightforward method for realizing large area GaN growth on these substrate by adopting well-developed GaN on Si(1 1 1) growth processes. Critical factors for promoting high quality GaN growth are identified, and remaining steps necessary for realizing GaN devices are discussed.

    DOI: 10.1016/j.jcrysgro.2020.125522

    Web of Science

    Scopus

  214. Demonstration of Observation of Dislocations in GaN by Novel Birefringence Method

    Tanaka, A; Inotsume, S; Harada, S; Hanada, K; Honda, Y; Ujihara, T; Amano, H

    PHYSICA STATUS SOLIDI B-BASIC SOLID STATE PHYSICS   257 巻 ( 4 ) 頁: 1900553   2020年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Physica Status Solidi (B) Basic Research  

    Herein, a newly developed birefringence microscope is used to observe dislocations in gallium nitride (GaN) substrates. The comparison results of the observation method using this microscope with other observation methods, such as X-ray topography and Raman microscopy, confirms that dislocations in a GaN substrate can be detected with a birefringence microscope. In addition, the observation can be carried out as easily as with an optical microscope. It is also found that under certain observation conditions, the direction of the edge component of dislocations can be determined.

    DOI: 10.1002/pssb.201900553

    Web of Science

    Scopus

  215. On-wafer fabrication of etched-mirror UV-C laser diodes with the ALD-deposited DBR Open Access

    Sakai, T; Kushimoto, M; Zhang, ZY; Sugiyama, N; Schowalter, LJ; Honda, Y; Sasaoka, C; Amano, H

    APPLIED PHYSICS LETTERS   116 巻 ( 12 ) 頁: 122101   2020年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Letters  

    We have demonstrated an on-wafer fabrication process for AlGaN-based UV-C laser diodes (LDs) with etched mirrors and have achieved lasing for 100 ns pulsed current injection at room temperature. A combined process of dry and wet etching was employed to achieve smooth and vertical AlGaN (1 1 &macr;00) facets. These etched facets were then uniformly coated with a distributed Bragg reflector by atomic layer deposition. A remarkable reduction of the lasing threshold current density to 19.6 kA / cm 2 was obtained owing to the high reflectivity of the etched and coated mirror facets. The entire laser diode fabrication process was carried out on a whole 2-in. wafer. We propose this mirror fabrication process as a viable low-cost AlGaN-based UV-C LD production method that is also compatible with highly integrated optoelectronics based on AlN substrates.

    DOI: 10.1063/1.5145017

    Open Access

    Web of Science

    Scopus

  216. Effects of Ultraviolet Wavelength and Ambient Temperature on Reliability of Silicones in InAlGaN-Based Light-Emitting-Diode Package

    Kim Ho-Young, Lee Jong-Woo, Jun Duk-Jin, Song Sung-Joo, Oh Jeong-Tak, Jeong Hwan-Hee, Seong Tae-Yeon, Amano Hiroshi

    ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY   9 巻 ( 3 ) 頁: 035005   2020年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/2162-8777/ab7c40

    Web of Science

  217. Visualization of different carrier concentrations in n-type-GaN semiconductors by phase-shifting electron holography with multiple electron biprisms. Open Access

    Yamamoto K, Nakano K, Tanaka A, Honda Y, Ando Y, Ogura M, Matsumoto M, Anada S, Ishikawa Y, Amano H, Hirayama T

    Microscopy (Oxford, England)   69 巻 ( 1 ) 頁: 1-10   2020年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1093/jmicro/dfz037

    Scopus

    PubMed

  218. Using SiO2-Based Distributed Bragg Reflector to Improve the Performance of AlGaInP-Based Red Micro-Light Emitting Diode

    Lee Sang-Youl, Moon Ji Hyung, Moon Yong-Tae, Choi Byoungjun, Oh Jeong-Tak, Jeong Hwan-Hee, Seong Tae-Yeon, Amano Hiroshi

    ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY   9 巻 ( 3 ) 頁: 036002   2020年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/2162-8777/ab74c3

    Web of Science

  219. Using SiO<inf>2</inf>-based distributed Bragg reflector to improve the performance of AlGaInP-based red micro-light emitting diode

    Lee S.Y., Moon J.H., Moon Y.T., Choi B., Oh J.T., Jeong H.H., Seong T.Y., Amano H.

    ECS Journal of Solid State Science and Technology   9 巻 ( 3 )   2020年2月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:ECS Journal of Solid State Science and Technology  

    We have investigated how different types of the reflectors affected the optical and electrical performance of AlGaInP-based micro-LEDs. Simulations showed that the AlGaAs-based epitaxial distributed Bragg reflector (DBR) had a stopband at the 610–624 nm region with reflectivity of 90%, the SiO2/TiO2 dielectric DBR gave a stopband at the 580–770 nm range with a maximum reflectivity of 99%, and the ITO/Ag metal reflector exhibited reflectivity of 90% across the 400–800 nm region. All micro-LEDs gave forward voltages of 1.895–1.960 V at 20 μA. The micro-LEDs with the dielectric DBR and metal reflector yielded 31% and 13% higher light output at 20 μA than that with the epitaxial DBR, respectively. All of the micro-LEDs contained a shoulder peak at approximately 615 nm in their electroluminescence spectra. Ray-tracing simulations exhibited that the micro-LEDs with the dielectric DBR and metal reflector produced 26% and 22% higher total light output power than the one with the epitaxial DBR, respectively. It was also shown that for the micro-LEDs with the metal reflector, some of the micro-LEDs were detached from the metal reflectors due to the interfacial voids induced as a result of agglomeration of Ag layer during fabrication process.

    DOI: 10.1149/2162-8777/ab74c3/pdf

    Scopus

  220. Optimization of InGaN thickness for high-quantum-efficiency Cs/O-activated InGaN photocathode

    Sato, D; Honda, A; Koizumi, A; Nishitani, T; Honda, Y; Amano, H

    MICROELECTRONIC ENGINEERING   223 巻   頁: 111229   2020年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Microelectronic Engineering  

    The quantum efficiency (QE) of an InGaN photocathode as a function of InGaN layer thickness (240, 100, and 70 nm) was investigated. To activate the sample surface, Cs and O were deposited on the surface in an ultrahigh–vacuum chamber. The QE for different optical power densities was measured by irradiating excitation light from the front and back sides of each sample. The QEs for InGaN layer thickness of 240, 100, and 70 nm with back-side irradiation were 0.9, 9.8, and 7.5%, respectively. For the thicknesses of 70 and 100 nm, the QEs were higher for back-side irradiation than for front-side irradiation, whereas for the thickness of 240 nm, the QE was higher for front-side irradiation. The InGaN layer thickness dependence of the QEs for back- and front-side irradiations was calculated using a continuous equation considering processes such as excitation, diffusion, recombination, and escape of electrons from the surface of the photocathode. The tendency of the experimental results, where QE was maximum at 100–120 nm, corresponded to that of the calculated results.

    DOI: 10.1016/j.mee.2020.111229

    Web of Science

    Scopus

  221. Effect of unevenly-distributed V pits on the optical and electrical characteristics of green micro-light emitting diode Open Access

    Lee Da-Hoon, Kang Daesung, Seong Tae-Yeon, Kneissl Michael, Amano Hiroshi

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   53 巻 ( 4 ) 頁: 045106   2020年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6463/ab52d0

    Open Access

    Web of Science

  222. Oblique-Angle Deposited SiO2/Al Omnidirectional Reflector for Enhancing the Performance of AlGaN-Based Ultraviolet Light-Emitting Diod

    Jeongwon Lee, Tae-Yeon Seong, Hiroshi Amano

    ECS Journal of Solid State Science and Technology   9 巻 ( 2 )   2020年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/2162-8777/ab709a

    Scopus

  223. Oblique-Angle Deposited SiO2/Al Omnidirectional Reflector for Enhancing the Performance of AlGaN-Based Ultraviolet Light-Emitting Diode

    Jeongwon Lee, Tae-Yeon Seong, Hiroshi Amano

    ECS Journal of Solid State Science and Technology   9 巻 ( 2 ) 頁: 026005   2020年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/2162-8777/ab709a

  224. Heavy Mg Doping to Form Reliable Rh Reflective Ohmic Contact for 278 nm Deep Ultraviolet AlGaN-Based Light-Emitting Diodes

    Lee Sang-Youl, Han Dae-Seob, Lee Yong-Gyeong, Choi Kwang-Ki, Oh Jeong-Tak, Jeong Hwan-Hee, Seong Tae-Yeon, Amano Hiroshi

    ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY   9 巻 ( 6 ) 頁: 065016   2020年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/2162-8777/aba914

    Web of Science

  225. Improved breakdown voltage in vertical GaN Schottky barrier diodes on free-standing GaN with Mg-compensated drift layer

    Abhinay, S; Arulkumaran, S; Ng, GI; Ranjan, K; Deki, M; Nitta, S; Honda, Y; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   59 巻 ( 1 ) 頁: 010906   2020年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Vertical Schottky barrier diodes (SBD) were fabricated on 1a 5 μm thick GaN drift layer (DL) with and without Mg-compensation grown by metal organic chemical vapour deposition on free-standing hydride vapour phase epitaxy grown substrate. The SBDs with Mg-compensated DL exhibited ∼3.4 × higher breakdown voltage (V bd) than the SBDs with conventional DL. The activation energy of 0.43 eV from the SBD with Mg-compensated DL can be correlated to the presence of Mg. The reverse current conduction mechanism of SBDs with Mg-compensated DL and conventional DL was dominated by thermionic field emission (TFE) and barrier modified TFE, respectively.

    DOI: 10.7567/1347-4065/ab65cd

    Web of Science

    Scopus

  226. Recovery of quantum efficiency on Cs/O-activated GaN and GaAs photocathodes by thermal annealing in vacuum Open Access

    Daiki Sato, Tomohiro Nishitani, Yoshio Honda, Hiroshi Amano

    Journal of Vacuum Science and Technology B: Nanotechnology and Microelectronics   38 巻 ( 1 ) 頁: 012603   2020年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1116/1.5120417

    Open Access

    Scopus

  227. Scalable synthesis of multilayer h-BN on AlN by metalorganic vapor phase epitaxy: nucleation and growth mechanism

    Yang, X; Nitta, S; Pristovsek, M; Liu, YH; Liao, YQ; Kushimoto, M; Honda, Y; Amano, H

    2D MATERIALS   7 巻 ( 1 ) 頁: 015004   2020年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:2D Materials  

    We studied the nucleation and growth of hexagonal BN (h-BN) on AlN template on c-plane sapphire by metalorganic vapor phase epitaxy as functions of growth temperature, deposition time, and triethylboron (TEB) partial pressure. A lateral growth rate of about 25 nm min-1 for h-BN nuclei was obtained by atomic force microscopy and a nucleation activation energy of 2.1 eV was extracted from the temperature dependence of the nucleation density. A large TEB flow rate strongly enhances the formation of h-BN nuclei. At a reduced TEB flow rate, we observed a significantly decreased nuclei density and a delay in nucleation due to TEB desorption. By fine tuning the growth parameters, single-crystalline multilayer h-BN was successfully formed on AlN surface, as confirmed by x-ray diffraction and transmission electron microscopy (TEM). The epitaxial relationship between h-BN and AlN was [0 0 0 1]h-BN || [0 0 0 1]AlN and [1 0 -1 0]h-BN || [1 1 -2 0]AlN from TEM and electron backscatter diffraction measurements. In addition, TEM showed that the initial h-BN layers are not parallel and tend to form half-domes. On those half-domes (cap-shaped-like) a 2D lateral growth sets on, resulting in a well-oriented 2D multilayer observed in TEM. Thus, the surface topography further develops to form a relatively flat surface without wrinkles and finally a typical hexagon-like wrinkled surface at thicker h-BN layers. Particularly, the small h-BN nuclei have dangling bonds at their periphery that can interact with the substrate, forming actual bonds with AlN. Hence the choice on the substrate is important, despite the basal planes of multilayer h-BN are bonded by a weak van der Waals force.

    DOI: 10.1088/2053-1583/ab46e6

    Web of Science

    Scopus

  228. Analysis of trimethylgallium decomposition by high-resolution mass spectrometry

    Zheng Ye, Shugo Nitta, Yoshio Honda, Markus Pristovsek, Hiroshi Amano

    Japanese Journal of Applied Physics   59 巻 ( 2 ) 頁: 025511   2020年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/ab6fb0

    Scopus

  229. Indium incorporation and optical properties of polar, semipolar and nonpolar InAlN Open Access

    Duc V Dinh, Nan Hu, Yoshio Honda, Hiroshi Amano, Markus Pristovsek

    Semiconductor Science and Technology   35 巻 ( 3 ) 頁: 035004   2020年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6641/ab63f1

    Open Access

    Scopus

  230. Preface - JSS Focus Issue on Recent Advances in Wide Bandgap III-Nitride Devices and Solid State Lighting: A Tribute to Isamu Akasaki

    Ren F., Mishra K.C., Amano H., Collins J., Han J., Im W.B., Kneissl M., Seong T.Y., Setlur A., Suski T., Zych E.

    ECS Journal of Solid State Science and Technology   9 巻 ( 1 ) 頁: 010001   2020年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:ECS Journal of Solid State Science and Technology  

    DOI: 10.1149/2.0452001JSS

    Web of Science

    Scopus

  231. Damage-Free Plasma Etching to Enhance Performance of AlGaInP-Based Micro-Light Emitting Diode

    Lee, SY; Lee, ED; Moon, JH; Choi, B; Oh, JT; Jeong, HH; Seong, TY; Amano, H

    IEEE PHOTONICS TECHNOLOGY LETTERS   32 巻 ( 17 ) 頁: 1041 - 1044   2020年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE Photonics Technology Letters  

    We investigated the effect of plasma-etching on the electrical and optical performance of lateral AlGaInP-based red micro-LEDs as a function of etching time, where plasma-etched Ag particles were used as masks. For the sample etched for 12 s, around 33% of the sample surface were randomly etched, whereas for the sample etched for 24 s, nearly 60% were randomly etched with additional nanoscale hillocks. Regardless of etching times, all samples exhibited similar forward voltages of 1.944-1.929 V at $20~\mu \text{A}$ and reverse leakage currents of $1\times 10^{-8}$ A at -10 V. The micro-LEDs fabricated with 12 s-etched and 24 s-etched $p$ -GaP gave 26.2% and 42.3% higher light output powers at $20~\mu \text{A}$ , respectively, than the one with unetched $p$ -GaP. The $S$ parameter decreased with increasing forward current and etching time. The electroluminescence (EL) peak intensities of the micro-LEDs were consistent with their light output performance and included shoulder peaks at 612 nm. Emission images showed that the micro-LEDs with the 24 s-etched $p$ -GaP revealed the most intense and uniform emission area among the three samples.

    DOI: 10.1109/LPT.2020.3010820

    Web of Science

    Scopus

  232. マクロステップを持つ<i>c</i>面AlN/サファイアテンプレート上に成長させたAlGaN量子井戸の物性評価

    小島 一信, 長澤 陽祐, 平野 光, 一本松 正道, 杉江 隆一, 本田 善央, 天野 浩, 赤﨑 勇, 秩父 重英

    日本結晶成長学会誌   47 巻 ( 3 ) 頁: n/a   2020年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:日本結晶成長学会  

    <p>  The microscopic structural and optical characteristics of AlGaN light-emitting diodes (LEDs) fabricated on the AlN templates with dense macrosteps are shown to clarify the origin of their high internal quantum efficiency of radiation (IQE). The cross-sectional transmission electron microscopy observations under the high-angle annular dark field scanning mode and microscopic energy dispersive X-ray spectroscopy revealed that the AlGaN cladding layer under the AlGaN quantum well (QW) layer had a microscopic compositional modulation, which originates from the macrosteps at the AlN template surface. Moreover, Ga-rich portions in the cladding layer behaved as current micropaths, and the micropaths are connected with the carrier localization structure formed in QWs. The in-plane cathodoluminescence (CL) spectroscopy showed a significant inhomogeneity of the CL characteristics. The gentle slopes at the sample surface showed brighter emissions with a lower peak photon energy, confirming the carrier localization. This carrier localization structure in the QWs combined with the current micropaths in the cladding layer can increase the IQE as well as external quantum efficiency of the AlGaN LEDs.</p>

    DOI: 10.19009/jjacg.47-3-04

    CiNii Research

  233. Dielectric Ruduced Surface Field Effect on Vertical GaN-on-GaN Nanowire Schottky Barrier Diodes

    Liao, YQ; Chen, T; Wang, J; Ando, Y; Yang, X; Watanabe, H; Hirotani, J; Kushimoto, M; Deki, M; Tanaka, A; Nitta, S; Honda, Y; Chen, KJ; Amano, H

    PROCEEDINGS OF THE 2020 32ND INTERNATIONAL SYMPOSIUM ON POWER SEMICONDUCTOR DEVICES AND ICS (ISPSD 2020)   2020-September 巻   頁: 349 - 352   2020年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Proceedings of the International Symposium on Power Semiconductor Devices and ICs  

    In this work, gallium nitride (GaN) nanowire (NW) Schottky barrier diodes was fabricated using well-optimized top-down approach. As-fabricated $100 \times 800$-nm-diameter NWs SBD with high current density over 1kA/cm2 at a forward bias of 2.2V, a low differential specific ON-resistance of $0.15\mathrm{m} \Omega \cdot cm^{2}$ are demonstrated. By the virtue of dielectric Reduced Surface Field (RESURF) effect, the device also delivers a breakdown voltage of 515V, leading to a competitive Baliga's Figure of merit of 1.76 GW/cm2.

    DOI: 10.1109/ispsd46842.2020.9170101

    Web of Science

    Scopus

  234. Role of defects in the mid-term degradation of UV-B LEDs investigated by optical and DLTS measurements

    Piva F., De Santi C., Deki M., Kushimoto M., Amano H., Tomozawa H., Shibata N., Meneghesso G., Zanoni E., Meneghini M.

    GALLIUM NITRIDE MATERIALS AND DEVICES XV   11280 巻   頁: 1128015   2020年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Proceedings of SPIE - The International Society for Optical Engineering  

    AlGaN-based UltraViolet Light Emitting Diodes (UV LEDs) are promising devices for replacing the conventional UV lamps, which contain toxic substances like mercury, in order to have smaller devices, lower operating voltage and the possibility of tuning the emission wavelength by changing the Al and Ga content in the alloy. However, UV-LEDs may suffer from a relatively fast degradation of electrical and optical characteristics, that can be due to the generation of defects that increase the Shockley-Read-Hall (SRH) recombination components. The aim of this paper is to study the behavior of UV-B LEDs submitted to a constant current stress, through electrical, optical and spectral characterization, and capacitance deep-level transient spectroscopy (C-DLTS). The results of this analysis demonstrate that UV-B LEDs show a decrease in the driving voltage, probably correlated with the increased activation of the Mg dopant, and an increase in subthreshold forward current, ascribed to the generation of mid-gap defects caused by the stress. We also found a strong optical degradation at low current levels, that indicates the increase in SRH recombination, probably due to the increased density of mid-gap defects. To investigate on the origin of the defects, we carried out C-DLTS measurements; the results indicate the presence of Mg-related defects and/or intrinsic defects related to the GaN growth. Moreover, after stress we notice the appearance of a peak that is strictly related to the increase of mid-gap defects generated during the stress.

    DOI: 10.1117/12.2544704

    Web of Science

    Scopus

  235. Low leakage Mg-compensated GaN Schottky diodes on free-standing GaN substrate for high energy α-particle detection

    Sandupatia, A; Arulkurnaran, S; Ranjan, K; Ng, GI; Murumu, PP; Kennedy, J; Deki, M; Nitta, S; Honda, Y; Amano, H

    2020 IEEE ELECTRON DEVICES TECHNOLOGY AND MANUFACTURING CONFERENCE (EDTM 2020)     2020年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  236. GaN基板向けレーザスライシング技術の開発 Open Access

    河口 大祐, 田中 敦之, 油井 俊樹, 伊ヶ崎 泰則, 和仁 陽太郎, 天野 浩

    年次大会   2020 巻 ( 0 ) 頁: S16306   2020年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人 日本機械学会  

    DOI: 10.1299/jsmemecj.2020.s16306

    Open Access

    CiNii Research

  237. 高品質AlN 基板上UV-C レーザーダイオード Open Access

    笹岡 千秋, 天野 浩

    レーザー研究   48 巻 ( 8 ) 頁: 427   2020年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人 レーザー学会  

    DOI: 10.2184/lsj.48.8_427

    Open Access

    CiNii Research

  238. Improvement of The Light Output of Blue InGaN-Based Light Emitting Diodes by Using a Buried Stripe -Type <i>n</i>-Contact and Reflective Bonding Pad Open Access

    Kim, JH; Lee, YW; Im, HS; Oh, CH; Shim, JI; Kang, D; Seong, TY; Amano, H

    ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY   9 巻 ( 1 ) 頁: 015021   2019年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:ECS Journal of Solid State Science and Technology  

    To enhance the light output of blue InGaN-based light emitting diodes (LEDs), a buried stripe-type n-electrode, expanded stripe-type p-electrode, and reflective p-bonding pad were employed. Flip-chip (FC) LEDs with the expanded p-electrode gave forward voltages of 2.99-3.11 V at 100 mA and series resistances of 3.28-3.94 Ω. The expanded p-electrode FCLED fabricated with 375 nm-thick window and TiO2 adhesion layers produced 22.7% higher light output at 21 A/cm2 than conventional FCLEDs. The expanded p-electrode FCLEDs revealed better current spreading efficiency than the c-FCLED, indicating the importance of the use of an optimised window and TiO2 adhesion layers.

    DOI: 10.1149/2.0462001JSS

    Open Access

    Web of Science

    Scopus

  239. Two-dimensional analysis of the nonuniform quantum yields of multiple quantum wells for AlGaN-based deep-ultraviolet LEDs grown on AlN templates with dense macrosteps using cathodoluminescence spectroscopy

    Nagasawa Y., Sugie R., Kojima K., Hirano A., Ippommatsu M., Honda Y., Amano H., Akasaki I., Chichibu S.F.

    Journal of Applied Physics   126 巻 ( 21 ) 頁: 215703   2019年12月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Applied Physics  

    AlGaN-based deep-ultraviolet light-emitting diodes (LEDs) incorporating uneven multiple quantum wells (MQWs) with inclined and terrace zones, which were fabricated on an AlN template with dense macrosteps, have exhibited a high internal quantum efficiency (IQE). To investigate the microscopic structure of uneven MQWs, cathodoluminescence (CL) mapping characterization was carried out, and the maps of the CL intensity at 300 K relative to that at 38 K were obtained for uneven MQWs that targeted 265 and 285 nm LEDs. At an electron beam current of less than 1.0 nA, the signals from inclined and terrace zones of the uneven MQWs were confirmed to satisfy the nonsaturated excitation condition at 300 K. Nonradiative recombination (NR) was insufficiently frozen even at 38 K, specifically on the terraces in the 265 nm MQW, suggesting high concentrations of NR centers due to point defects (PDs). In contrast, NR in the 285 nm MQW at 38 K was closer to freeze-out. The concentration of PDs in the 285 nm MQW was likely to be lower than that in the 265 nm MQW. Finally, the ratios of the CL intensity at 300 K to those at 38 K were mapped, demonstrating an approach to creating an approximate map of IQE. The values in the CL intensity ratio maps are discussed by considering the analytical error factors. The results support the model of localized current injection through Ga-rich stripe zones in the n-AlGaN cladding layer.

    DOI: 10.1063/1.5125623

    Web of Science

    Scopus

  240. Suppression of Green Luminescence of Mg-Ion-Implanted GaN by Subsequent Implantation of Fluorine Ions at High Temperature

    Takahashi Masahiro, Tanaka Atsushi, Ando Yuto, Watanabe Hirotaka, Deki Manato, Kushimoto Maki, Nitta Shugo, Honda Yoshio, Shima Kohei, Kojima Kazunobu, Chichibu Shigefusa F., Chen Kevin J., Amano Hiroshi

    PHYSICA STATUS SOLIDI B-BASIC SOLID STATE PHYSICS   257 巻   頁: 1900554   2019年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssb.201900554

    Web of Science

  241. A 271.8 nm deep-ultraviolet laser diode for room temperature operation Open Access

    Zhang Ziyi, Kushimoto Maki, Sakai Tadayoshi, Sugiyama Naoharu, Schowalter Leo J., Sasaoka Chiaki, Amano Hiroshi

    APPLIED PHYSICS EXPRESS   12 巻 ( 12 ) 頁: 124003   2019年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1882-0786/ab50e0

    Open Access

    Web of Science

  242. Untwinned semipolar (10(1)over-bar3) AlxGa1-xN layers grown on m-plane sapphire

    Dinh Duc V., Hu Nan, Amano Hiroshi, Honda Yoshio, Pristovsek Markus

    SEMICONDUCTOR SCIENCE AND TECHNOLOGY   34 巻 ( 12 ) 頁: 125012   2019年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6641/ab4d2c

    Web of Science

  243. Low Voltage High-Energy α-Particle Detectors by GaN-on-GaN Schottky Diodes with Record-High Charge Collection Efficiency Open Access

    Sandupatla, A; Arulkumaran, S; Ranjan, K; Ng, GI; Murmu, PP; Kennedy, J; Nitta, S; Honda, Y; Deki, M; Amano, H

    SENSORS   19 巻 ( 23 ) 頁: 5107   2019年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Sensors (Switzerland)  

    A low voltage (–20 V) operating high-energy (5.48 MeV) α-particle detector with a high charge collection efficiency (CCE) of approximately 65% was observed from the compensated (7.7 × 1014 /cm3) metalorganic vapor phase epitaxy (MOVPE) grown 15 µm thick drift layer gallium nitride (GaN) Schottky diodes on free-standing n+-GaN substrate. The observed CCE was 30% higher than the bulk GaN (400 µm)-based Schottky barrier diodes (SBD) at –20 V. This is the first report of α–particle detection at 5.48 MeV with a high CCE at –20 V operation. In addition, the detectors also exhibited a three-times smaller variation in CCE (0.12 %/V) with a change in bias conditions from –120 V to –20 V. The dramatic reduction in CCE variation with voltage and improved CCE was a result of the reduced charge carrier density (CCD) due to the compensation by Mg in the grown drift layer (DL), which resulted in the increased depletion width (DW) of the fabricated GaN SBDs. The SBDs also reached a CCE of approximately 96.7% at –300 V.

    DOI: 10.3390/s19235107

    Open Access

    Web of Science

    Scopus

    PubMed

  244. Improvement in the Reliability of AlGaInP-Based Light-Emitting Diode Package Using Optimal Silicone and Leadframe Structure Open Access

    Kim Ho-Young, Lee Jong Woo, Moon Young Min, Oh Jeong Tak, Jeong Hwan-Hee, Song June-O, Seong Tae-Yeon, Kneissl Michael, Amano Hiroshi

    ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY   9 巻 ( 1 ) 頁: 015014   2019年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/2.0332001JSS

    Open Access

    Web of Science

  245. Aluminium incorporation in polar, semi- and non-polar AlGaN layers: a comparative study of x-ray diffraction and optical properties Open Access

    Duc V Dinh, Hu Nan, Honda Yoshio, Amano Hiroshi, Pristovsek Markus

    SCIENTIFIC REPORTS   9 巻   頁: 15802   2019年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1038/s41598-019-52067-y

    Open Access

    Web of Science

  246. Hole injection mechanism in the quantum wells of blue light emitting diode with V pits for micro-display application

    Kang, D; Oh, JT; Song, JO; Seong, TY; Kneissl, M; Amano, H

    APPLIED PHYSICS EXPRESS   12 巻 ( 10 ) 頁: 102016   2019年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1882-0786/ab45d1

    Web of Science

  247. V-shaped dislocations in a GaN epitaxial layer on GaN substrate Open Access

    Tanaka Atsushi, Nagamatsu Kentaro, Usami Shigeyoshi, Kushimoto Maki, Deki Manato, Nitta Shugo, Honda Yoshio, Bockowski Michal, Amano Hiroshi

    AIP ADVANCES   9 巻 ( 9 ) 頁: 095002   2019年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.5114866

    Open Access

    Web of Science

  248. Stability and degradation of AlGaN-based UV-B LEDs: Role of doping and semiconductor defects

    Piva, F; De Santi, C; Deki, M; Kushimoto, M; Amano, H; Tomozawa, H; Shibata, N; Meneghesso, G; Zanoni, E; Meneghini, M

    MICROELECTRONICS RELIABILITY   100 巻   頁: 113418   2019年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Microelectronics Reliability  

    Within this paper, we present an extensive analysis of the degradation of UV-B light-emitting diodes (LEDs) submitted to constant current stress. The study is based on combined electrical, optical and spectral characterization, and capacitance deep-level transient spectroscopy (C-DLTS). The results of this analysis demonstrate that the decrease in the optical power during the stress is stronger at low measuring current levels, indicating that the degradation is related to the increase in Shockley-Read-Hall (SRH) recombination. The electrical characterization shows a decrease in the driving voltage, probably due to an increased activation of the Mg dopant, and an increase in the sub-threshold forward current, that suggest a generation of mid-gap states during the stress. C-DLTS measurements were carried out to study the variation in defects concentration after stress; the most relevant traps were ascribed to the presence of Mg doping and/or to intrinsic defects related to the GaN growth.

    DOI: 10.1016/j.microrel.2019.113418

    Web of Science

    Scopus

  249. Via-Hole-Type Flip-Chip Packaging to Improve the Thermal Characteristics and Reliability of Blue Light Emitting Diodes

    Kim, HY; Lim, CM; Kim, KS; Oh, JT; Jeong, HH; Song, JO; Seong, TY; Amano, H

    ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY   8 巻 ( 9 ) 頁: Q165 - Q170   2019年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:ECS Journal of Solid State Science and Technology  

    We investigated the effect of via-holes in the lead-frame on the reliability of blue flip-chip light-emitting diodes (FCLEDs). For bonding, conventional Sn-Ag(3.0%)-Cu(0.5%) solder (SAC) and Ag sintered paste were adopted. Lateral LED, conventional FCLED (c-FCLED), and via-hole FCLED gave efficacies of 218.5, 221.6 and 225 lm/W at 30 mA. The lateral LED sample (bonding material: silicone), c-FCLED sample (SAC), via-hole-type sample (Ag sinter), and via-hole-type sample (SAC) exhibited total thermal resistance of 15.24, 10.19, 8.95, and 11.79 K/W, respectively. The humidity/temperature/H2S gas reliability examinations showed that unlike the via-hole-type samples, the lumen of the c-FCLED was fallen by 15.0% after exposure for 500 h. Furthermore, the c-FCLED exhibited a 19.6% higher forward voltage than the via-hole FCLED after 1,000 thermal-cycles. SEM results showed that unlike the via-hole type FCLED, the c-FCLED underwent cracking after thermal cycle of 1000 times.

    DOI: 10.1149/2.0171909jss

    Web of Science

    Scopus

  250. Combined effects of V pits and chip size on the electrical and optical properties of green InGaN-based light-emitting diodes

    Kim Dae-Hyun, Park Young Soo, Kang Daesung, Kim Kyoung-Kook, Seong Tae-Yeon, Amano Hiroshi

    JOURNAL OF ALLOYS AND COMPOUNDS   796 巻   頁: 146-152   2019年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jallcom.2019.05.070

    Web of Science

  251. Indium Incorporation into InGaN Quantum Wells Grown on GaN Narrow Stripes Open Access

    Sarzynski Marcin, Grzanka Ewa, Grzanka Szymon, Targowski Grzegorz, Czernecki Robert, Reszka Anna, Holy Vaclav, Nitta Shugo, Liu Zhibin, Amano Hiroshi, Leszczynski Mike

    MATERIALS   12 巻 ( 16 ) 頁: 2583   2019年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/ma12162583

    Open Access

    Web of Science

  252. Influence of Temperature-Dependent Substrate Decomposition on Graphene for Separable GaN Growth

    Park Jeong-Hwan, Lee Jun-Yeob, Park Mun-Do, Min Jung-Hong, Lee Je-Sung, Yang Xu, Kang Seokjin, Kim Sang-Jo, Jeong Woo-Lim, Amano Hiroshi, Lee Dong-Seon

    ADVANCED MATERIALS INTERFACES   6 巻   頁: 1900821   2019年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/admi.201900821

    Web of Science

  253. Fabrication of a GaInN/GaInP/GaInAs/Ge four-junction solar cell using the wafer bonding technology

    Takahashi, K; Shinoda, R; Mitsufuji, S; Iwaya, M; Kamiyama, S; Takeuchi, T; Hattori, T; Akasaki, I; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻 ( 7 ) 頁: 072003   2019年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    We fabricated a GaInN/GaInP/GaInAs/Ge four-junction solar cell by wafer bonding a GaInN solar cell and a GaInP/GaInAs/Ge three-junction solar cell. We performed our wafer bonding at high pressure (500 N) and temperature (450 °C) by using p-type GaN and n-type GaAs. The open-circuit voltage (V OC), J SC, and fill factor of our four-junction solar cell under the condition of AM 1.5 G, i.e., 1 sun are 2.85 V, 0.219 mA cm-2, and 0.74, respectively. The improved V OC of our four-junction solar cells was confirmed by a series connection. The J SC is almost comparable to the theoretical value (itself based on the assumption of an ideal series junction), but the V OC is approximately 1 V less than that predicted by theory. Our research will improve the solar cell efficiency and help meet future energy needs.

    DOI: 10.7567/1347-4065/ab26ad

    Web of Science

    Scopus

  254. Origin of acceptor diffusion into silicon substrate during GaN growth by metal organic chemical vapor deposition Open Access

    Matsumoto, K; Ono, T; Honda, Y; Torigoe, K; Kushimoto, M; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻 ( 7 ) 頁: 075502   2019年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    This study investigated the change in carrier concentration near the surface of a silicon substrate during gallium nitride (GaN) growth with an aluminum nitride (AlN) buffer layer. It was observed that aluminum, gallium, and carbon diffused into the silicon substrate during the growth process and that the carrier concentration increased with increasing concentration of aluminum and gallium impurities. The gallium that diffused into the silicon substrate was identified as having originated from the gallium that decomposed on the reactor wall during the growth process and the gallium introduced onto the silicon substrate during GaN growth. In contrast, the amount of aluminum that diffused into the substrate was influenced by the duration of the trimethylaluminum (TMAl) flow: A long duration of the TMAl flow step before AlN growth led to a high aluminum concentration near the substrate surface.

    DOI: 10.7567/1347-4065/ab2657

    Open Access

    Web of Science

    Scopus

  255. Ammonia decomposition and reaction by high-resolution mass spectrometry for group III - Nitride epitaxial growth Open Access

    Ye Zheng, Nitta Shugo, Nagamatsu Kentaro, Fujimoto Naoki, Kushimoto Maki, Deki Manato, Tanaka Atsushi, Honda Yoshio, Pristovsek Markus, Amano Hiroshi

    JOURNAL OF CRYSTAL GROWTH   516 巻   頁: 63-66   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2019.03.025

    Web of Science

  256. Direct evidence of Mg diffusion through threading mixed dislocations in GaN p-n diodes and its effect on reverse leakage current

    Usami Shigeyoshi, Mayama Norihito, Toda Kazuya, Tanaka Atsushi, Deki Manato, Nitta Shugo, Honda Yoshio, Amano Hiroshi

    APPLIED PHYSICS LETTERS   114 巻 ( 23 ) 頁: 232105   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.5097767

    Web of Science

  257. Computational fluid dynamics simulation study of the gas flow balance in a vertical HVPE reactor with a showerhead for low cost bulk GaN crystal growth

    Liu, Q; Fujimoto, N; Nitta, S; Honda, Y; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻 ( SC ) 頁: SC1055   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    In order to make low cost bulk GaN single crystal with a high growth rate, we have built a vertical HVPE reactor with a showerhead configuration. The flow from the showerhead of the reactor is independently controlled by inner/outer two sets of gas supply lines. This special showerhead design makes the flow model different from the conventional showerhead reactors. We have employed a finite element-based simulator to study the fluid dynamic and crystal growth of this inner/outer flow independently controlled showerhead. Two simulation experiments were performed. One experiment has demonstrated that both growth rate and Ga yield can be improved without compromising the uniformity by controlling the different input parameters of inner and outer flow on the showerhead, as compared with the conventional showerhead. The other experiment has demonstrated that the showerhead can be further optimized by changing the inner/outer area ratio and input parameters.

    DOI: 10.7567/1347-4065/ab124e

    Web of Science

    Scopus

  258. Monolithic integration of tricolor micro-LEDs and color mixing investigation by analog and digital dimming Open Access

    Robin, Y; Hemeret, F; D'Inca, G; Pristovsek, M; Trassoudaine, A; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻 ( SC ) 頁: SCCC06   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    We report on the growth, processing and optical characterization of monolithically integrated tricolor micro-LEDs. The 100 × 100 μm2 active area of the devices is composed of independent subpixels emitting in the blue, green and yellow-orange range with color saturation of over 90% for all bands. The gamut of the device is recorded by both digital and analog dimming, i.e. by pulse width modulation or by varying the current density. Results indicate color mixing performed by both methods leads to a rotated or distorted gamut significantly different from the one predicted by the CIE color model. We explain our findings in terms of quantum-confined Stark effect screening and efficiency droop at high current density, which modify the expected hue and brightness of mixed colors.

    DOI: 10.7567/1347-4065/ab06ae

    Open Access

    Web of Science

    Scopus

  259. Effect of photoelectrochemical etching and post-metallization annealing on gate controllability of AlGaN/GaN high electron mobility transistors Open Access

    Uemura, K; Deki, M; Honda, Y; Amano, H; Sato, T

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻 ( SC ) 頁: SCCD20   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Recessed-gate AlGaN/GaN metal-insulator-semiconductor (MIS) high electron mobility transistors (HEMTs) were fabricated by utilizing a photoelectrochemical (PEC) etching and a post-metallization annealing (PMA) process. In order to demonstrate the device performance and reliability, both electrical and optical electroluminescence (EL) properties were evaluated. The capacitance-voltage (C-V) characteristic showed that the PEC etching and subsequent PMA process enhanced the gate control of two-dimensional electron gas density. The PEC-etched-gate AlGaN/GaN MIS-HEMT showed the smallest sub-threshold slope of all the samples including planar-gate and inductively coupled plasma-etched-gate devices. Furthermore, the PEC-etched devices showed an extremely low 10-11 A mm-1 gate leakage current with no spot-like EL. These results indicated that the PEC etching and subsequent PMA process improved the electrical properties of the Al2O3/AlGaN interface, resulting in enhanced device performance of the AlGaN/GaN MIS-HEMTs.

    DOI: 10.7567/1347-4065/ab06b9

    Open Access

    Web of Science

    Scopus

  260. Deeply and vertically etched butte structure of vertical GaN p-n diode with avalanche capability

    Fukushima, H; Usami, S; Ogura, M; Ando, Y; Tanaka, A; Deki, M; Kushimoto, M; Nitta, S; Honda, Y; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻 ( SC ) 頁: SCCD25   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    A vertical p-n diode with a simple edge termination structure on a GaN free-standing substrate is demonstrated. The edge of this device is terminated simply by etching a drift layer deeply and vertically. A device simulation revealed that the electric field at the device edge was more relaxed and uniformly applied by etching the mesa deeper than the depletion region. The fabricated device showed low leakage current and avalanche capability, and its breakdown characteristics could be reproduced many times. By emission microscopy observation, we found that there was no leakage current at the side wall of the device and that avalanche breakdown occurred throughout the inside of the device. This indicates that the electric field crowding at the side wall of the device was completely suppressed and a uniform electric field distribution was obtained by this structure.

    DOI: 10.7567/1347-4065/ab106c

    Web of Science

    Scopus

  261. Correlation between nanopipes formed from screw dislocations during homoepitaxial growth by metal-organic vapor-phase epitaxy and reverse leakage current in vertical p-n diodes on a free-standing GaN substrates Open Access

    Usami, S; Tanaka, A; Fukushima, H; Ando, Y; Deki, M; Nitta, S; Honda, Y; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻 ( SC ) 頁: SCCB24   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    We fabricated p-n diodes under different growth pressures on free-standing GaN substrates of the same quality and observed a noteworthy difference in the reverse leakage current. A large reverse leakage current was generated by nanopipes, which were formed from screw dislocations in the homoepitaxial layer. There were two types of screw dislocation observed in this study. The first type already existed in the substrate and the other was newly generated in the epilayer by the coalescence of edge and mixed dislocations. An increase in the growth pressure suppressed the transformation of screw dislocations into nanopipes, which led to a reduction in the reverse leakage current. To reduce the leakage current further, it is necessary to apply growth conditions that do not transform screw dislocation into nanopipes and to use a free-standing substrate without threading dislocations, that become nanopipes.

    DOI: 10.7567/1347-4065/ab1250

    Open Access

    Web of Science

    Scopus

  262. Controlling the orientations of directional sputtered non- and semi-polar GaN/AlN layers

    Nan, H; Dinh, DV; Pristovsek, M; Honda, Y; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻 ( SC ) 頁: SC1044   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    We have studied the impact on the surface orientation of different sputtered Al layers followed by AlN layers sputtered on m-plane sapphire. These initial layers were then overgrown by AlN and followed by GaN using metal-organic vapor phase epitaxy (MOVPE). By increasing sputtering time of the initial Al layer from 0 s to 15 s, we obtained single phase (10-10), (10-13) and (10-14) GaN/AlN layers. The thickness of the initial Al layer was estimated by optical transmission measurements to be about 0.5-1 nm for the (10-13) orientation, and >1 nm for the (10-14) orientation. After MOVPE growth, no trace of metallic Al was found by transmission electron microscopy, indicating that this layer was fully converted to AlN.

    DOI: 10.7567/1347-4065/ab1252

    Web of Science

    Scopus

  263. Comparison of AlxGa1-xN multiple quantum wells designed for 265 and 285nm deep-ultraviolet LEDs grown on AlN templates having macrosteps

    Nagasawa Yosuke, Kojima Kazunobu, Hirano Akira, Ipponmatsu Masamichi, Honda Yoshio, Amano Hiroshi, Akasaki Isamu, Chichibu Shigefusa F.

    APPLIED PHYSICS EXPRESS   12 巻 ( 6 ) 頁: 064009   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1882-0786/ab21a9

    Web of Science

  264. Narrow Excitonic Lines in Core-Shell Nanorods With InGaN/GaN Quantum Wells Intersected by Basal Stacking Faults

    Evropeitsev Evgeniy A., Robin Yoann, Shubina Tatiana V., Bae Si-Young, Nitta Shugo, Kirilenko Demid A., Davydov Valery Y., Smirnov Alexandr N., Toropov Alexey A., Kushimoto Maki, Ivanov Sergey V., Amano Hiroshi

    PHYSICA STATUS SOLIDI B-BASIC SOLID STATE PHYSICS   256 巻 ( 6 ) 頁: 1800648   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssb.201800648

    Web of Science

  265. Morphological study of InGaN on GaN substrate by supersaturation (vol 508, pg 58, 2019)

    Liu Zhibin, Nitta Shugo, Robin Yoann, Kushimoto Maki, Deki Manato, Honda Yoshio, Pristovsek Markus, Sitar Zlatko, Amano Hiroshi

    JOURNAL OF CRYSTAL GROWTH   514 巻   頁: 13-13   2019年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2019.02.058

    Web of Science

  266. Effect of substrate misorientation on the concentration of impurities and surface morphology of an epitaxial GaN layer on N-polar GaN substrate by MOVPE Open Access

    Nagamatsu Kentaro, Ando Yuto, Kono Tsukasa, Cheong Heajeong, Nitta Shugo, Honda Yoshio, Pristovsek Markus, Amano Hiroshi

    JOURNAL OF CRYSTAL GROWTH   512 巻   頁: 78-83   2019年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2019.02.013

    Open Access

    Web of Science

  267. Nonpolar m-plane AlxGa1-xN layers grown on m-plane sapphire by MOVPE Open Access

    Duc V Dinh, Amano Hiroshi, Pristovsek Markus

    JOURNAL OF CRYSTAL GROWTH   512 巻   頁: 100-104   2019年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2019.02.020

    Open Access

    Web of Science

  268. The emergence and prospects of deep-ultraviolet light-emitting diode technologies

    Kneissl Michael, Seong Tae-Yeon, Han Jung, Amano Hiroshi

    NATURE PHOTONICS   13 巻 ( 4 ) 頁: 233-244   2019年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1038/s41566-019-0359-9

    Web of Science

  269. GaN drift-layer thickness effects in vertical Schottky barrier diodes on free-standing HVPE GaN substrates Open Access

    Sandupatla A., Arulkumaran S., Ng G. I., Ranjan K., Deki M., Nitta S., Honda Y., Amano H.

    AIP ADVANCES   9 巻 ( 4 ) 頁: 045007   2019年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.5087491

    Open Access

    Web of Science

  270. Transfer-free fabrication of a graphene transparent electrode on a GaN-based light-emitting diode using the direct precipitation method

    Yamada, J; Usami, S; Ueda, Y; Honda, Y; Amano, H; Maruyama, T; Naritsuka, S

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻 ( 4 ) 頁: 040904   2019年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    In order to advance the mass production of graphene devices, it is beneficial to avoid the difficulty graphene transfer process. Direct precipitation of graphene using a tungsten capping layer is convenient for this purpose, and is quite simple and compatible with conventional semiconductor fabrication processes. In this study, multilayer graphene was directly precipitated on a wafer of GaN-based blue LEDs to form a transparent electrode. The fabricated LED exhibited superior I-V characteristics and emitted blue luminescence around the probe of the electrode.

    DOI: 10.7567/1347-4065/aafe70

    Web of Science

    Scopus

  271. Effect of gas phase temperature on InGaN grown by metalorganic vapor phase epitaxy Open Access

    Liu Zhibin, Nitta Shugo, Usami Shigeyoshi, Robin Yoann, Kushimoto Maki, Deki Manato, Honda Yoshio, Pristovsek Markus, Amano Hiroshi

    JOURNAL OF CRYSTAL GROWTH   509 巻   頁: 50-53   2019年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2018.12.007

    Web of Science

  272. GaN-on-Si resonant-cavity light-emitting diode incorporating top and bottom dielectric distributed Bragg reflectors Open Access

    Cai Wei, Yuan Jialei, Ni Shuyu, Shi Zheng, Zhou Weidong, Liu Yuhuai, Wang Yongjin, Amano Hiroshi

    APPLIED PHYSICS EXPRESS   12 巻 ( 3 ) 頁: 032004   2019年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1882-0786/ab023c

    Open Access

    Web of Science

  273. Fully Ion Implanted Normally-Off GaN DMOSFETs with ALD-Al2O3 Gate Dielectrics Open Access

    Yoshino Michitaka, Ando Yuto, Deki Manato, Toyabe Toru, Kuriyama Kazuo, Honda Yoshio, Nishimura Tomoaki, Amano Hiroshi, Kachi Tetsu, Nakamura Tohru

    MATERIALS   12 巻 ( 5 ) 頁: 689   2019年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/ma12050689

    Open Access

    Web of Science

  274. Effects of Drift Layer Thicknesses in Reverse Conduction Mechanism on Vertical GaN-on-GaN SBDs grown by MOCVD

    Abhinay S., Arulkumaran S., Ng G.I., Ranjan K., Deki M., Nitta S., Honda Y., Amano H.

    2019 Electron Devices Technology and Manufacturing Conference, EDTM 2019     頁: 106 - 108   2019年3月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:2019 Electron Devices Technology and Manufacturing Conference, EDTM 2019  

    Effect of drift layer thicknesses (DLT) (2, 15 and 30 μm) in reverse current conduction mechanisms of vertical GaN-on-GaN Schottky Barrier Diodes grown MOCVD has been investigated for the first time. The conduction mechanism is changing from thermionic field emission (TFE) to thermionic emission (TE) when the DLT of GaN increases. The SBDs with DLT of 2 μm and 30 μm exhibit TFE through Poole-Frenkel emission and TE, respectively. However, the SBDs with DLT of 15 μm exhibit both TFE and TE. Activation energy (Ea) of traps was also calculated to be 0.69 eV for 2 μm, 0.38 for 15 μm and 0.4 eV for 30 μm respectively. Ea of 0.69 eV and 0.4 eV could be associated with screw threading dislocations and the presence of Mg in the grown drift layer, respectively.

    DOI: 10.1109/EDTM.2019.8731215

    Scopus

  275. GaN薄膜における貫通転位およびナノパイプm壁面の第一原理計算に基づく電子状態解析

    中野 崇志, 長川 健太, 洗平 昌晃, 白石 賢二, 押山 淳, 宇佐美 茂佳, 草場 彰, 寒川 義裕, 田中 敦之, 本田 善央, 天野 浩

    応用物理学会学術講演会講演予稿集   2019.1 巻 ( 0 ) 頁: 3122 - 3122   2019年2月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2019.1.0_3122

    CiNii Research

  276. Morphological study of InGaN on GaN substrate by supersaturation Open Access

    Liu Zhibin, Nitta Shugo, Robin Yoann, Kushimoto Maki, Deki Manato, Honda Yoshio, Pristovsek Markus, Amano Hiroshi

    JOURNAL OF CRYSTAL GROWTH   508 巻   頁: 58-65   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2018.12.028

    Web of Science

  277. How to obtain metal-polar untwinned high-quality (10-13) GaN on m-plane sapphire Open Access

    Hu, N; Dinh, DV; Pristovsek, M; Honda, Y; Amano, H

    JOURNAL OF CRYSTAL GROWTH   507 巻   頁: 205 - 208   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Crystal Growth  

    Directional sputtering of Al and AlN on (1 0 −1 0) sapphire was used to obtain metal-polar (1 0 −1 3) templates. After overgrowth with AlN and GaN using metal-organic vapor phase epitaxy, we obtained untwinned (1 0 −1 3) GaN layers. Full width at half maximum of the X-ray rocking curve of symmetric (1 0 −1 3) GaN is less than 550 arcsec along both [3 0 −3 −2]GaN and [1 −2 1 0]GaN directions. Ga-polarity of the layers was confirmed by high-resolution scanning transmission electron microscopy. Careful optimization of time and temperature of the initial Al sputtered layer was a key parameter to achieve high quality GaN templates.

    DOI: 10.1016/j.jcrysgro.2018.11.013

    Web of Science

    Scopus

  278. 高感度電子線ホログラフィーによるGaN系半導体のドーパント濃度分布の観察

    仲野 靖孝, 松本 実子, 穴田 智史, 山本 和生, 石川 由加里, 平山 司, 安藤 悠人, 小倉 昌也, 田中 敦之, 本田 善央, 天野 浩

    まてりあ   58 巻 ( 2 ) 頁: 103 - 103   2019年2月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 日本金属学会  

    DOI: 10.2320/materia.58.103

    CiNii Research

  279. Pole figure analysis from electron backscatter diffraction-an effective method of evaluating fiber-textured silicon thin films as seed layers for epitaxy

    Hainey, M; Robin, Y; Amano, H; Usami, N

    APPLIED PHYSICS EXPRESS   12 巻 ( 2 )   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    Because of their >95% (111) surface orientation and very large (10-300 μm) grain sizes, sub-50 nm Group IV thin films fabricated by metal-induced crystallization are promising seed layers for epitaxy. However, methods for evaluating Group IV film quality for subsequent homo-and heteroepitaxial growth have not been widely reported. Here, we show how pole figures obtained by electron backscatter diffraction allow for texture analysis and measurement of grain misorientation. Correlations with Group IV thin film processing parameters such as annealing temperature and film quality in heteroepitaxially grown GaN films are developed.

    DOI: 10.7567/1882-0786/aafb26

    Web of Science

    Scopus

  280. Vertical GaN p-n diode with deeply etched mesa and the capability of avalanche breakdown Open Access

    Fukushima, H; Usami, S; Ogura, M; Ando, Y; Tanaka, A; Deki, M; Kushimoto, M; Nitta, S; Honda, Y; Amano, H

    APPLIED PHYSICS EXPRESS   12 巻 ( 2 ) 頁: 026502   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    A simple structure with high breakdown voltage and a low leakage current of a vertical GaN p-n diode on a GaN free-standing substrate is demonstrated. We describe a vertical p-n diode with a simple edge termination that has a drift layer etched deeply and vertically. A device simulation revealed that the electric field was more relaxed at the device edge and applied uniformly in the entire device with increasing etching depth. We fabricated the simulated structure and succeeded in reducing the leakage current and improving the breakdown voltage. With this structure, a stable avalanche breakdown can be observed.

    DOI: 10.7567/1882-0786/aafdb9

    Open Access

    Web of Science

    Scopus

  281. Compositional control of homogeneous InGaN nanowires with the In content up to 90.

    Zeghouane M, Avit G, André Y, Bougerol C, Robin Y, Ferret P, Castelluci D, Gil E, Dubrovskii VG, Amano H, Trassoudaine A

    Nanotechnology   30 巻 ( 4 ) 頁: 044001   2019年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Nanotechnology  

    Homogenous InGaN nanowires with a controlled indium composition up to 90% are grown on GaN/c-Al2O3 templates by catalyst-free hydride vapor phase epitaxy using InCl3 and GaCl as group III element precursors. The influence of the partial pressures on the growth rate and composition of InGaN nanowires is investigated. It is shown how the InN mole fraction in nanowires can be finely tuned by changing the vapor phase composition. Thermodynamic calculations are presented that take into account different interconnected reactions in the vapor phase and show a good agreement with the compositional data. Energy dispersive x-ray spectroscopy profiles performed on single nanowires show a homogenous indium composition along the entire nanowire length. X-ray diffraction measurements performed on nanowires arrays confirm these data. High-resolution transmission electron microscopy analysis shows the wurtzite crystal structure with a reduced defect density for InGaN nanowires with the highest indium content.

    DOI: 10.1088/1361-6528/aaec39

    Web of Science

    Scopus

    PubMed

  282. Localization and transient emission properties in InGaN/GaN quantum wells of different polarities within core- shell nanorods

    Robin, Y; Evropeitsev, EA; Shubina, TV; Kirilenko, DA; Davydov, VY; Smirnov, AN; Toropov, AA; Eliseyev, IA; Bae, SY; Kushimoto, M; Nitta, S; Ivanov, SV; Amano, H

    NANOSCALE   11 巻 ( 1 ) 頁: 193 - 199   2019年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Nanoscale  

    Transient photoluminescence (PL) characteristics and localization phenomena in InGaN/GaN core-shell nanorods (NRs) were investigated from 6 K up to 285 K. The NRs exhibit three well-defined PL bands in the near-UV, blue, and green range ascribed to the emission of quantum well (QW) areas situated at the (1.00) sidewalls, (10.1) top facets, and (00.1) tip, respectively. At low temperature, time-resolved PL shows a fast decay time of about 0.5 ns for the semi- and non-polar QWs, while the polar QWs exhibit at least a twice-longer time. Rapid delocalization of carriers above 50 K indicates shallow potential fluctuations in the QWs. At room temperature, the characteristic fast PL decay time of the three QW bands stabilizes around 300 ps. The slow decaying PL components have different characteristic decay times that are explained by additional localization at basal stacking faults (BSFs), taking into account the quantum confined Stark effect. In addition, narrow excitonic luminescence lines are observed in the BSF-enriched polar QWs, providing direct evidence of the impact of the BSF/QW crossings on the optical properties of the NRs. A PL rise time of about 100 ps does not show any deviation between bands. These findings are suggestive of similar transport mechanisms in temperature equilibrium without inter-facet transport between different QWs. We believe that predictable transient characteristics can play a key role in creating uniform NR ensembles for device applications.

    DOI: 10.1039/c8nr05863f

    Web of Science

    Scopus

    PubMed

  283. Carrier localization structure combined with current micropaths in AlGaN quantum wells grown on an AlN template with macrosteps

    Kojima K., Nagasawa Y., Hirano A., Ippommatsu M., Honda Y., Amano H., Akasaki I., Chichibu S.F.

    Applied Physics Letters   114 巻 ( 1 ) 頁: 011102   2019年1月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Letters  

    The microscopic structural and optical characteristics of AlGaN-based light-emitting diodes grown on AlN templates with macrosteps were evaluated. Cross-sectional transmission electron microscopy in the high-angle annular dark field scanning mode and microscopic energy dispersive X-ray spectroscopy reveal that the AlGaN cladding layer under the AlGaN quantum wells (QWs) has microscopic compositional modulations originating from the macrosteps at the AlN template surface. The Ga-rich oblique zones in the cladding layer likely behave as current micropaths. These micropaths are connected to the carrier localization structure, which is formed by the modulation of both the well widths and the compositions of the QWs. In-plane spatially-resolved cathodoluminescence (CL) spectroscopy indicated significant inhomogeneity of the CL characteristics: the brighter emission with a lower peak photon energy confirms the existence of the carrier localization structure in the QWs. Carrier localization in the QWs along with the current micropaths in the AlGaN cladding layer appears to increase the external quantum efficiency of AlGaN LEDs.

    DOI: 10.1063/1.5063735

    Web of Science

    Scopus

  284. 286 nm monolithic multicomponent system

    Yuan, JL; Jiang, Y; Shi, Z; Gao, XM; Wang, YJ; Sun, XJ; Li, DB; Liu, YH; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻 ( 1 ) 頁: 010909   2019年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    We present a 286 nm monolithic multicomponent system in which two identical multiple quantum well (MQW) diodes merge with a waveguide together on a single chip. The monolithic multicomponent system allows all existing standard fabrication processes and establishes an optical link between two MQW-diodes because of the simultaneous emission-detection phenomenon. One MQW-diode transcribes electronic information into an optical signal to be coupled into a waveguide. The guided light then propagates along the waveguide to the other MQW-diode that converts the optical signal into an electronic one. A spatial light transmission at 50 Mbps is demonstrated using non-return-to-zero on-off keying modulation.

    DOI: 10.7567/1347-4065/aaf3aa

    Web of Science

    Scopus

  285. Electronic structure analysis of core structures of threading dislocations in GaN

    Nakano, T; Chokawa, K; Araidai, M; Shiraishi, K; Oshiyama, A; Kusaba, A; Kangawa, Y; Tanaka, A; Honda, Y; Amano, H

    2019 COMPOUND SEMICONDUCTOR WEEK (CSW)     頁: .   2019年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:2019 Compound Semiconductor Week, CSW 2019 - Proceedings  

    It is known that threading dislocations degrade the performance of GaN-based electronic devices. Electronic structure of threading dislocations in GaN is not fully understood. Accordingly, we examine the electronic structures of threading dislocations in GaN using first principles calculations based on density functional theory (DFT) and to clarify the origin of the leakage current. We have comprehensively studied the relation between threading core structures and electronic property in GaN thin films. Our calculation models of threading dislocations are the edge dislocations with Burgers vectors of 1/3 [11-20] and the screw dislocations with Burgers vectors of [0001]. We examined various core types of the threading dislocations. We found that both edge dislocations and screw dislocations do not cause the leakage currents in n-type GaN based devices because no defect level appears near the conduction band bottom.

    DOI: 10.1109/iciprm.2019.8819270

    Web of Science

    Scopus

  286. Light-Emitting Diodes Materials, Processes, Devices and Applications Foreword

    Amano, H

    LIGHT-EMITTING DIODES: MATERIALS, PROCESSES, DEVICES AND APPLICATIONS   4 巻   頁: V - V   2019年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  287. MOVPE growth and high-temperature annealing of (10(1)over-bar0) AlN layers on (10(1)over-bar0) sapphire Open Access

    Dinh Duc V., Amano Hiroshi, Pristovsek Markus

    JOURNAL OF CRYSTAL GROWTH   502 巻   頁: 14-18   2018年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2018.09.001

    Web of Science

  288. What is red? On the chromaticity of orange-red InGaN/GaN based LEDs Open Access

    Robin, Y; Pristovsek, M; Amano, H; Oehler, F; Oliver, RA; Humphreys, CJ

    JOURNAL OF APPLIED PHYSICS   124 巻 ( 18 ) 頁: 183102   2018年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Applied Physics  

    The full width at half maximum (FWHM) of the luminescence of visible InGaN quantum well (QW) based emitters increases with wavelength. This broadening of the luminescence decreases the color saturation from 100% to about 70% up a wavelength shorter than 515 nm. For emission wavelengths longer than 515 nm, the saturation surprisingly increases again and reaches 95% beyond 560 nm. More important, in the yellow-red range, the perceived hue is strongly blue-shifted by a broad emission. This phenomenon is known as Abney effect, and it originates from the spectral sensitivities of the cone cells of the human eyes. As a consequence, for red InGaN QW based LEDs, the peak wavelength must be even further in the red. Based on a large set of QWs grown on different crystal orientations, we correlated the wavelength and the luminescence FWHM of InGaN/GaN QWs to build a model which predicts the perceived chromaticity, i.e., the apparent hue and saturation of yellow-red nitride LEDs. We also applied this model to (11-22), a-plane, and m-plane QWs and compared our data to the state-of-the-art of the literature. We concluded that the FWHM of the luminescence is a critical parameter to design and a further challenge for red InGaN-based light-emitting diodes.

    DOI: 10.1063/1.5047240

    Open Access

    Web of Science

    Scopus

  289. Simultaneous Growth of Various InGaN/GaN Core-Shell Microstructures for Color Tunable Device Applications

    Robin, Y; Liao, YQ; Pristovsek, M; Amano, H

    PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE   215 巻 ( 21 ) 頁: 1800361   2018年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Physica Status Solidi (A) Applications and Materials Science  

    An approach to simultaneously grow independent core-shell structures emitting at different wavelengths by selective area epitaxy is presented. By using seeds of different sizes, the monolithic integration of various GaN crystals including elongated nano-rods (NRs), micro-platelets (MPs), and a range of pyramid-like structures are demonstrated. Dominant non-polar sidewalls cover more than 75% of the surface area of the NRs, while the polar top facet are about 80% of the total surface of the MPs. InGaN/GaN quantum wells (QWs) deposited on these structures exhibit independent and well-separated emissions in the green–blue and orange–red ranges, respectively. The pyramid-like structures at intermediate seed sizes are more complex with semi-polar facets nearly totaling 60% of the total surface area, resulting in yellow luminescence strongly broadened by the nearby facets contributions. These results suggest the total surface area of each facets and the resulting optical properties of the crystals can be tailored by adjusting the size of the seeds. However, further improvements are required to locally enhance the vertical, pyramidal, and lateral growth of the GaN cores and increase the spectral purity of the different QWs. The approach presented is of interest to design multi-wavelength devices which requires independent subpixels of high color purity.

    DOI: 10.1002/pssa.201800361

    Web of Science

    Scopus

  290. Analysis of negative electron affinity InGaN photocathode by temperature-programed desorption method

    Kashima, M; Sato, D; Koizumi, A; Nishitani, T; Honda, Y; Amano, H; Iijima, H; Meguro, T

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B   36 巻 ( 6 ) 頁: 06JK02   2018年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Vacuum Science and Technology B: Nanotechnology and Microelectronics  

    A III-V semiconductor with a few monolayers of alkali metals (e.g., Cs) forms a negative electron affinity (NEA) surface, for which the vacuum level lies below the conduction band minimum of the base semiconductor. The photocathodes that form an NEA surface (NEA photocathodes) have various advantages, such as low emittance, a large current, high spin polarization, and ultrashort pulsed operation. The NEA-InGaN photocathode, which is sensitive to blue light, has been studied as a material for the next-generation robust photocathode. However, the proper conditions for forming NEA surfaces remain unknown. The authors consider whether the suitable process for NEA surfaces can be understood by investigating the relationship between the electron emission and the adsorption state of alkali metals. In this study, the relationship between the electron emission and the adsorption state of Cs on the p-type InGaN (0001) was analyzed by the temperature-programed desorption (TPD) method using a quadrupole mass spectrometer. From the results of the TPD measurements, it was shown that there were several adsorption states of Cs on InGaN. The quantum efficiency (QE), which indicates the ratio of emitted electrons to incident photons, increased while Cs desorption occurred. The authors divided the formation process of an NEA surface into several sections to investigate the adsorption states of Cs related to the electron emission and to discuss the reasons why the QE increased despite the desorbed Cs. From the results of the NEA activation in each section, it was shown that there were sections where the QE increased by reacting with O2 after Cs supply stopped. There is a possibility that several layers reacting with O2 and those not reacting with O2 are formed by performing NEA activation until the QE saturates. From the results of the TPD measurements in each section, it was suggested that there was a Cs peak at above 700 °C when the TPD method was carried out immediately after confirming the electron emission. Therefore, the adsorption state of Cs that formed a peak at above 700 °C had a close relation to the electron emission. It is considered that the increase of the QE in the TPD was affected by adsorbed Cs compounds that reacted with O2. Although the mechanism is not understood, it is known that the QE was increased by the reaction of Cs adsorbed compounds and O2 in previous studies. It was suspected that layers that reacted with O2 appeared from TPD and then the QE increased by reacting with O2.

    DOI: 10.1116/1.5048061

    Web of Science

    Scopus

  291. Full-duplex light communication with a monolithic multicomponent system Open Access

    Wang Yongjin, Wang Xin, Zhu Bingcheng, Shi Zheng, Yuan Jialei, Gao Xumin, Liu Yuhuai, Sun Xiaojuan, Li Dabing, Amano Hiroshi

    LIGHT-SCIENCE & APPLICATIONS   7 巻   頁: 83   2018年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1038/s41377-018-0083-0

    Open Access

    Web of Science

  292. Crystal engineering by tuning the growth kinetics of GaN 3-D microstructures in SAG-HVPE

    Avit Geoffrey, Zeghouane Mohammed, Andre Yamina, Castelluci Dominique, Gil Evelyne, Bae Si-Young, Amano Hiroshi, Trassoudaine Agnes

    CRYSTENGCOMM   20 巻 ( 40 ) 頁: 6207 - 6213   2018年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:CrystEngComm  

    The growth of GaN 3-D microstructures is investigated by SAG-HVPE. Capitalizing on the properties of this kinetically-controlled process, the main experimental parameters and physical mechanisms that control the shaping of 3D GaN prisms and pyramids in SAG-HVPE are highlighted. Growth experiments performed on N-polar AlN/Si(100) and Ga-polar GaN/Si(111) substrates also provide insight into how to switch from a pyramid to a prismatic shape for a given substrate polarity. The aspect ratio of GaN rods could be tuned by playing with the HCl partial pressure additionally introduced during growth. The influence of both mass transport and surface kinetics is discussed, as the crystal growth rate varies with increasing surface area as time goes by. Ammonia treatment prior to the growth, aimed at blocking the r planes thanks to H2 passivation, is proposed to tune the morphology of the GaN rods. Raman spectroscopy performed on individual GaN rods shows no relevant strain field and no structural differences between the rods and state-of-the-art bulk GaN.

    DOI: 10.1039/c8ce01177j

    Web of Science

    Scopus

    researchmap

  293. Comparing high-purity c- and m-plane GaN layers for Schottky barrier diodes grown homoepitaxially by metalorganic vapor phase epitaxy

    Nagamatsu Kentaro, Ando Yuto, Ye Zheng, Barry Ousmane, Tanaka Atsushi, Deki Manato, Nitta Shugo, Honda Yoshio, Pristovsek Markus, Amano Hiroshi

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 10 ) 頁: 105501   2018年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.105501

    Web of Science

  294. High-temperature thermal annealing of nonpolar (10(1)over-bar0) AlN layers sputtered on (1 0(1)over-bar0) sapphire Open Access

    Dinh Duc V, Hu Nan, Honda Yoshio, Amano Hiroshi, Pristovsek Markus

    JOURNAL OF CRYSTAL GROWTH   498 巻   頁: 377-380   2018年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2018.07.015

    Web of Science

  295. 「材料研究の楽しさ」

    天野 浩

    表面と真空   61 巻 ( 9 ) 頁: 565 - 567   2018年9月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 日本表面真空学会  

    半導体の王者は言わずと知れたSiである。市場規模を比べると,化合物半導体全部含めてもSiの1/10にも満たない。それでも化合物半導体,とりわけGaN系窒化物半導体にこだわっている理由は,この材料の物性自体がとても興味深いこと,およびSiでは出来ないことが出来る,或いは今後できそうだからである。本寄稿では,筆者が学生の頃からこれまで30年以上もの間取り組んでいるGaN系窒化物半導体材料の研究で,特に表面と真空について学んだことを中心に書かせて頂くことにする。

    DOI: 10.1380/vss.61.565

    CiNii Research

  296. Detailed study of effects of duration of pre-AIN-growth trimethylaluminum step on morphologies of GaN layers grown on silicon substrate by metal organic chemical vapor deposition

    Matsumoto Koji, Ono Toshiaki, Honda Yoshio, Murakami Satoshi, Kushimoto Maki, Amano Hiroshi

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 9 ) 頁: 091001   2018年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.091001

    Web of Science

  297. Reduction of Residual Impurities in Homoepitaxial m-Plane (10(1)over-bar0) GaN by Using N-2 Carrier Gas in Metalorganic Vapor Phase Epitaxy

    Barry Ousmane I., Lekhal Kaddour, Bae Si-Young, Lee Ho-Jun, Pristovsek Markus, Honda Yoshio, Amano Hiroshi

    PHYSICA STATUS SOLIDI-RAPID RESEARCH LETTERS   12 巻 ( 8 ) 頁: 1800124   2018年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Physica Status Solidi - Rapid Research Letters  

    The reduction of unintentional impurities in m-plane (1010) GaN homoepitaxial layers is demonstrated by using nitrogen (N2), as opposed to hydrogen (H2), as carrier gas in metalorganic vapor phase epitaxy (MOVPE). Secondary ion mass spectrometry (SIMS) analysis shows that the impurity levels of residual oxygen (O), carbon (C), and silicon (Si) are decreased by nearly one order of magnitude in N2-grown samples. Although the full width at half maximum (FWHM) values for the on-axis m-plane X-ray rocking curves of all specimens are quite similar (around 50 arcsec), plan-view scanning transmission electron microscopy (STEM) measurements reveal a clear reduction of dislocation densities in N2-grown films. Their origin is likely related to an initial surface roughening with H2 carrier gas, which also causes surface faceting resulting in the formation of large four-sided pyramidal hillocks, while using N2 results in smoother surfaces. Hence, MOVPE growth with N2 carrier gas is an effective method to lower the impurity incorporation in m-plane GaN materials in addition to reducing the formation of defects and improving the surface morphology, which can enable the development of high-performance GaN-based devices on non-polar surfaces.

    DOI: 10.1002/pssr.201800124

    Web of Science

    Scopus

    researchmap

  298. Improvement of breakdown voltage of vertical GaN p-n junction diode with Ga<sub>2</sub>O<sub>3</sub> passivated by sputtering

    Ueoka, Y; Deki, M; Honda, Y; Amano, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 7 ) 頁: 070302   2018年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    This article describes a new passivation process of Ga2O3, which consists by sputtering, for a vertical GaN p-n junction diode on a free-standing GaN substrate with a field-plate (FP) structure. We demonstrated reduced plasma damage during the sputtering process by cure annealing, and succeeded in improving the breakdown voltage (VB) to -550V with the FP, compared with VB of -200V without the FP. Ga2O3 is a suitable material for the FP because its dielectric constant is similar to that of GaN and it is more easily etched than Al2O3, which is used as a conventional insulator.

    DOI: 10.7567/JJAP.57.070302

    Web of Science

    Scopus

    researchmap

  299. Study on the Main-Chain Structure of Amorphous Fluorine Resins for Encapsulating AlGaN-Based DUV-LEDs

    Yamada, K; Nagasawa, Y; Nagai, S; Hirano, A; Ippommatsu, M; Aosaki, K; Honda, Y; Amano, H; Akasaki, I

    PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE   215 巻 ( 10 ) 頁: 1700525   2018年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Physica Status Solidi (A) Applications and Materials Science  

    The main chain structure of optically isotropic amorphous fluorine resin for encapsulating AlGaN-based deep-ultraviolet LEDs (λ < 300 nm) is studied. One type of amorphous fluorine resin has a five-membered ring with a single oxygen atom and the other has that one with two oxygen atoms. Reliability testing is performed using AlGaN-based LEDs of 262 and 289 nm. For the resin with two oxygen atoms in the ring, visible damage to the electrode and significantly increased leakage current are considered to been caused by the photolysis of the ring induced by irradiation with deep-ultraviolet light. In contrast, electrode damage and increased leakage are not observed in the case of the resin with the single-oxygen ring.

    DOI: 10.1002/pssa.201700525

    Web of Science

    Scopus

    researchmap

  300. Insight into the performance of multi-color InGaN/GaN nanorod light emitting diodes Open Access

    Robin Y., Bae S. Y., Shubina T. V., Pristovsek M., Evropeitsev E. A., Kirilenko D. A., Davydov V. Yu., Smirnov A. N., Toropov A. A., Jmerik V. N., Kushimoto M., Nitta S., Ivanov S. V., Amano H.

    SCIENTIFIC REPORTS   8 巻   頁: 7311   2018年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1038/s41598-018-25473-x

    Open Access

    Web of Science

  301. <i>m</i>-Plane GaN Schottky Barrier Diodes Fabricated With MOVPE Layer on Several Off-Angle <i>m</i>-Plane GaN Substrates Open Access

    Tanaka, A; Ando, Y; Nagamatsu, K; Deki, M; Cheong, H; Ousmane, B; Kushimoto, M; Nitta, S; Honda, Y; Amano, H

    PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE   215 巻 ( 9 ) 頁: 1700645   2018年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Physica Status Solidi (A) Applications and Materials Science  

    In this study, GaN m-plane Schottky barrier diodes are fabricated by metalorganic vapor-phase epitaxy (MOVPE) on several off-angle gallium nitride (GaN) substrates, and the off-cut angle dependence of impurity incorporation is investigated. We show that the MOVPE layer on the substrate inclined 5° toward the [000–1] direction has extremely low impurity incorporation. These results provide important suggestions for the fabrication of m-plane power devices.

    DOI: 10.1002/pssa.201700645

    Open Access

    Web of Science

    Scopus

    researchmap

  302. Interface amorphization in hexagonal boron nitride films on sapphire substrate grown by metalorganic vapor phase epitaxy Open Access

    Yang Xu, Nitta Shugo, Pristovsek Markus, Liu Yuhuai, Nagamatsu Kentaro, Kushimoto Maki, Honda Yoshio, Amano Hiroshi

    APPLIED PHYSICS EXPRESS   11 巻 ( 5 ) 頁: 051002   2018年5月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    Hexagonal boron nitride (h-BN) films directly grown on c-plane sapphire substrates by pulsed-mode metalorganic vapor phase epitaxy exhibit an interlayer for growth temperatures above 1200 °C. Cross-sectional transmission electron microscopy shows that this interlayer is amorphous, while the crystalline h-BN layer above has a distinct orientational relationship with the sapphire substrate. Electron energy loss spectroscopy shows the energy-loss peaks of B and N in both the amorphous interlayer and the overlying crystalline h-BN layer, while Al and O signals are also seen in the amorphous interlayer. Thus, the interlayer forms during h-BN growth through the decomposition of the sapphire at elevated temperatures.

    DOI: 10.7567/APEX.11.051002

    Open Access

    Web of Science

    Scopus

    researchmap

  303. Transferrable monolithic multicomponent system for near-ultraviolet optoelectronics Open Access

    Qin Chuan, Gao Xumin, Yuan Jialei, Shi Zheng, Jiang Yuan, Liu Yuhuai, Wang Yongjin, Amano Hiroshi

    APPLIED PHYSICS EXPRESS   11 巻 ( 5 ) 頁: 051201   2018年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    A monolithic near-ultraviolet multicomponent system is implemented on a 0.8-mm-diameter suspended membrane by integrating a transmitter, waveguide, and receiver into a single chip. Two identical InGaN/Al0.10Ga0.90N multiple-quantum well (MQW) diodes are fabricated using the same process flow, which separately function as a transmitter and receiver. There is a spectral overlap between the emission and detection spectra of the MQW diodes. Therefore, the receiver can respond to changes in the emission of the transmitter. The multicomponent system is mechanically transferred from silicon, and the wire-bonded transmitter on glass experimentally demonstrates spatial light transmission at 200 Mbps using non-return-to-zero on–off keying modulation.

    DOI: 10.7567/APEX.11.051201

    Open Access

    Web of Science

    Scopus

    researchmap

  304. Correlation between dislocations and leakage current of p-n diodes on a free-standing GaN substrate

    Usami Shigeyoshi, Ando Yuto, Tanaka Atsushi, Nagamatsu Kentaro, Deki Manato, Kushimoto Maki, Nitta Shugo, Honda Yoshio, Amano Hiroshi, Sugawara Yoshihiro, Yao Yong-Zhao, Ishikawa Yukari

    APPLIED PHYSICS LETTERS   112 巻 ( 18 ) 頁: 182106   2018年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Letters  

    Dislocations that cause a reverse leakage current in vertical p-n diodes on a GaN free-standing substrate were investigated. Under a high reverse bias, dot-like leakage spots were observed using an emission microscope. Subsequent cathodoluminescence (CL) observations revealed that the leakage spots coincided with part of the CL dark spots, indicating that some types of dislocation cause reverse leakage. When etch pits were formed on the dislocations by KOH etching, three sizes of etch pits were obtained (large, medium, and small). Among these etch pits, only the medium pits coincided with leakage spots. Additionally, transmission electron microscopy observations revealed that pure screw dislocations are present under the leakage spots. The results revealed that 1c pure screw dislocations are related to the reverse leakage in vertical p-n diodes.

    DOI: 10.1063/1.5024704

    Web of Science

    Scopus

    researchmap

  305. Light output performance of red AlGaInP-based light emitting diodes with different chip geometries and structures Open Access

    Oh Jeong-Tak, Lee Sang-Youl, Moon Yong-Tae, Moon Ji Hyung, Park Sunwoo, Hong Ki Yong, Song Ki Young, Oh Chan-Hyoung, Shim Jong-In, Jeong Hwan-Hee, Song June-O, Amano Hiroshi, Seong Tae-Yeon

    OPTICS EXPRESS   26 巻 ( 9 ) 頁: 11194-11200   2018年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1364/OE.26.011194

    Open Access

    Web of Science

  306. The 2018 GaN power electronics roadmap Open Access

    Amano H., Baines Y., Beam E., Borga Matteo, Bouchet T., Chalker Paul R., Charles M., Chen Kevin J., Chowdhury Nadim, Chu Rongming, De Santi Carlo, De Souza Maria Merlyne, Decoutere Stefaan, Di Cioccio L., Eckardt Bernd, Egawa Takashi, Fay P., Freedsman Joseph J., Guido L., Haeberlen Oliver, Haynes Geoff, Heckel Thomas, Hemakumara Dilini, Houston Peter, Hu Jie, Hua Mengyuan, Huang Qingyun, Huang Alex, Jiang Sheng, Kawai H., Kinzer Dan, Kuball Martin, Kumar Ashwani, Lee Kean Boon, Li Xu, Marcon Denis, Maerz Martin, McCarthy R., Meneghesso Gaudenzio, Meneghini Matteo, Morvan E., Nakajima A., Narayanan E. M. S., Oliver Stephen, Palacios Tomas, Piedra Daniel, Plissonnier M., Reddy R., Sun Min, Thayne Iain, Torres A., Trivellin Nicola, Unni V., Uren Michael J., Van Hove Marleen, Wallis David J., Wang J., Xie J., Yagi S., Yang Shu, Youtsey C., Yu Ruiyang, Zanoni Enrico, Zeltner Stefan, Zhang Yuhao

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   51 巻 ( 16 ) 頁: 163001   2018年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Physics D: Applied Physics  

    DOI: 10.1088/1361-6463/aaaf9d

    Open Access

    Web of Science

    Scopus

    researchmap

  307. 昇温脱離法によるInGaN表面上のCs層の解析

    鹿島 将央, 佐藤 大樹, 小泉 淳, 西谷 智博, 本田 善央, 天野 浩, 飯島 北斗, 目黒 多加志

    応用物理学会学術講演会講演予稿集   2018.1 巻 ( 0 ) 頁: 1670 - 1670   2018年3月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2018.1.0_1670

    CiNii Research

  308. Chronicity of Anterior Cruciate Ligament Deficiency, Part 1: Effects on the Tibiofemoral Relationship Before and Immediately After Anatomic ACL Reconstruction With Autologous Hamstring Grafts Open Access

    Tanaka, Y; Kita, K; Takao, R; Amano, H; Uchida, R; Shiozaki, Y; Yonetani, Y; Kinugasa, K; Mae, T; Horibe, S

    ORTHOPAEDIC JOURNAL OF SPORTS MEDICINE   6 巻 ( 1 )   2018年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1177/2325967117750813

    Open Access

    Web of Science

    PubMed

  309. Growth of hexagonal boron nitride on sapphire substrate by pulsed-mode metalorganic vapor phase epitaxy Open Access

    Yang Xu, Nitta Shugo, Nagamatsu Kentaro, Bae Si-Young, Lee Ho-Jun, Liu Yuhuai, Pristovsek Markus, Honda Yoshio, Amano Hiroshi

    JOURNAL OF CRYSTAL GROWTH   482 巻 ( 15 ) 頁: 1 - 8   2018年1月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Crystal Growth  

    Hexagonal boron nitride (h-BN) was directly grown on sapphire substrate using alternating ammonia (NH3) and triethylboron (TEB) supply (pulsed mode) in metalorganic vapor phase epitaxy. The best condition is when just enough NH3 is supplied to fully convert the TEB within one cycle. Excess NH3 caused islands on h-BN film surface while a lack of NH3 does not form h-BN at all. The epitaxial relationship between grown h-BN layer and c-plane sapphire was confirmed to be [0001]h-BN∥[0001]sapphire and [10-10]h-BN∥[11-20]sapphire. It is known that, compared to AlN, BN requires higher V/III ratios for good crystallinity, which due to severe gas-phase reactions is difficult to achieve using continuous supply. Thus using pulsed mode the FWHM of the symmetric (0002) diffraction was almost halved and the growth rate was several times faster.

    DOI: 10.1016/j.jcrysgro.2017.10.036

    Web of Science

    Scopus

    researchmap

  310. Electrical and Thermal Analysis of Vertical GaN-on-GaN PN Diodes

    Yates, L; Pavlidis, G; Graham, S; Usami, S; Nagamatsu, K; Honda, Y; Amano, H

    PROCEEDINGS OF THE 17TH IEEE INTERSOCIETY CONFERENCE ON THERMAL AND THERMOMECHANICAL PHENOMENA IN ELECTRONIC SYSTEMS (ITHERM 2018)     頁: 831 - 837   2018年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  311. Theoretical Study of the Electronic Structure of Threading Edge Dislocations in GaN

    Nakano Takashi, Araidai Masaaki, Shiraishi Kenji, Tanaka Atsushi, Honda Yoshio, Amano Hiroshi

    GALLIUM NITRIDE AND SILICON CARBIDE POWER TECHNOLOGIES 8   86 巻 ( 12 ) 頁: 41 - 49   2018年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:ECS Transactions  

    It is very important to fabricate high-quality GaN especially used for power devices. However, threading dislocations degrade the performance of GaN-based electronic devices. It is necessary to examine the electronic behavior at threading dislocations in GaN and to clarify the origin of the leakage current. As for theoretical studies, the electronic structure of threading dislocations in GaN is not fully understood. We investigated whether threading edge dislocations contribute to the leakage current or not. To do this, we used first principles calculations based on density functional theory (DFT) to examine the electronic structure at threading edge dislocations with Burgers vectors of 1/3[11-20]. We examined four core types of atomic structure at threading edge dislocations which contains about 200 atoms. Compared with dislocation line energies of each core configurations, it was found that the 5/7-atoms ring core and 8-atoms ring core are energetically stable. Then, we analyzed the electronic densities of states of each core configurations, and it was found that all types of core configurations at threading edge dislocations do not contribute to leakage current in n-type GaN-based devices.

    DOI: 10.1149/08612.0041ecst

    Web of Science

    Scopus

  312. DFT modeling of carbon incorporation in GaN(0001) and GaN(000(1)over-bar) metalorganic vapor phase epitaxy 査読有り

    Pawel Kempisty, Yoshihiro Kangawa, Akira Kusaba, Kenji Shiraishi, Stanislaw Krukowski, Michal Bockowski, Koichi Kakimoto, and Hiroshi Amano

    APPLIED PHYSICS LETTERS   111 巻   頁: 141602/1-5   2017年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The carbon incorporation mechanism in GaN(0001) and GaN(000 (1) over bar) during MOVPE was investigated using density functional theory (DFT) calculations. The results confirm that the crucial factors for carbon incorporation are Fermi level pinning and accompanying surface band bending. In addition, the lattice symmetry has a strong dependence on the stability of carbon in a few subsurface layers, which results from interactions between the impurities and surface states. It was shown that these effects are responsible for facilitating or hindering the incorporation of impurities and dopants. The influence of diluent gas species (hydrogen or nitrogen) on carbon incorporation was discussed.

    DOI: 10.1063/1.4991608

  313. Initial leakage current paths in the vertical-type GaN-on-GaN Schottky barrier diodes

    Liwen Sang, Bing Ren, Masatomo Sumiya, Meiyong Liao, Yasuo Koide, Atsushi Tanaka, Yujin Cho, Yoshitomo Harada, Toshihide Nabatame, Takashi Sekiguchi, Shigeyoshi Usami, Yoshio Honda, and Hiroshi Amano

    APPLIED PHYSICS LETTERS   111 巻   頁: 122102/1-5   2017年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Electrical characteristics of leakage current paths in vertical-type n-GaN Schottky barrier diodes (SBDs) on free-standing GaN substrates are investigated by using photon emission microscopy (PEM). The PEM mapping shows that the initial failure of the SBD devices at low voltages is due to the leakage current paths from polygonal pits in the GaN epilayers. It is observed that these polygonal pits originate from carbon impurity accumulation to the dislocations with a screw-type component by microstructure analysis. For the SBD without polygonal pits, no initial failure is observed and the first leakage appeals at the edge of electrodes as a result of electric field concentration. The mechanism of leakage at pits is explained in terms of trap assisted tunneling through fitting current-voltage characteristics.

    DOI: 10.1063/1.4994627

  314. Absolute technique for measuring internal electric fields in InGaN/GaN light-emitting diodes by electroreflectance applicable to all crystal orientations 査読有り

    Tomoyuki Tanikawa, Kanako Shojiki, Ryuji Katayama, Shigeyuki Kuboya, Takashi Matsuoka, Yoshio Honda, and Hiroshi Amano

    Applied Physics Express   10 巻   頁: 082101/1-4   2017年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/APEX.10.082101

  315. Low cost high voltage GaN polarization superjunction field effect transistors Open Access

    Kawai H., Yagi S., Hirata S., Nakamura F., Saito T., Kamiyama Y., Yamamoto M., Amano H., Unni V., Narayanan E. M. S.

    PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE   214 巻 ( 8 ) 頁: 1600834/1-10   2017年8月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Physica Status Solidi (A) Applications and Materials Science  

    A comprehensive overview of the novel high voltage GaN field effect transistors (FETs) based on the Polarization Superjunction (PSJ) concept, and a cost-effective approach towards manufacturing these high performance devices are presented. Current challenges impeding wider adoption of GaN power switching transistors in applications, and the latest results of the scaled-up PSJ-FETs from POWDEC KK, are also discussed. The article also presents hard-switching characteristics of 400–800 V boost converter, constructed using a PSJ-FET grown on sapphire substrate, and the future direction of GaN power semiconductor technology based on monolithic integration for advanced power electronics.

    DOI: 10.1002/pssa.201600834

    Web of Science

    Scopus

    researchmap

  316. Facet dependence of leakage current and carrier concentration in m-plane GaN Schottky barrier diode fabricated with MOVPE 査読有り

    Atsushi Tanaka, Ousmane1 Barry, Kentaro Nagamatsu, Junya Matsushita, Manato Deki, Yuto Ando, Maki Kushimoto, Shugo Nitta, Yoshio Honda, and Hiroshi Amano

    Phys. Status Solidi A   214 巻 ( 8 ) 頁: 1600829/1-5   2017年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    In this study, GaN m-plane Schottky barrier diodes fabricated with a metalorganic vapor-phase epitaxy on a GaN substrate were investigated using emission microscope, photoluminescence, and cathodoluminescence. In addition, facet dependence of leakage current under reverse-biased condition was observed. We showed that the leakage-current distribution was caused by the facet dependence of the carrier concentration and oxygen concentration. These results can provide important suggestions for the fabrication of m-plane devices. (a) four-faceted hillocks on m-plane GaN MOVPE sample, facet dependence of (b) leakage current and (c) PL peak intensity of the m-plane GaN Schottky barrier diode.

    DOI: 10.1002/pssa.201600829

  317. Effect of dislocations on the growth of p-type GaN and on the characteristics of p–n diodes 招待有り 査読有り

      214 巻 ( 8 ) 頁: 1600837/1-5   2017年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssb.201600722

  318. Selective-area growth of doped GaN nanorods by pulsed-mode MOCVD: Effect of Si and Mg dopants 査読有り

    Si-Young Bae, Kaddour Lekhal, Ho-Jun Lee, Jung-Wook Min, Dong-Seon Lee, Yoshio Honda, and Hiroshi Amano

    Phys. Status Solidi B   254 巻 ( 8 ) 頁: 1600722/1-7   2017年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Injecting current with a uniform carrier concentration is important for applications with three-dimensional architectures such as vertical power devices or displays. In III-nitride nanostructures, dopants not only incorporate differently depending on the surface orientation but can also seriously affect the kinetic equilibrium shapes of the nanorods. Herein, we report selective-area growth of doped GaN nanorods grown by pulsed-mode metalorganic chemical vapor deposition. Two dopants, Si and Mg, were employed as donor and acceptor atoms, respectively, for a mono-doping approach. Furthermore, a mixed flow of Si and Mg was supplied for a co-doping approach. We compared the morphological effects and growth rates of each doped GaN nanorod array. Then, we proposed appropriate growth mechanisms for the doped GaN nanorods on the basis of our structural characterizations. These results might extend the morphological functionality of GaN nanorods by including doping and may also provide an appropriate foundation for the design of nanostructure-based electronic or photonic devices.

    DOI: 10.1002/pssb.201600722

  319. Decomposition of trimethylgallium and adduct formation in a metalorganic vapor phase epitaxy reactor analyzed by high-resolution gas monitoring system 査読有り

    Kentaro Nagamatsu, Shugo Nitta, Zheng Ye, Hirofumi Nagao, Shinichi Miki, Yoshio Honda, and Hiroshi Amano

    Phys. Status Solidi B   254 巻 ( 8 ) 頁: 1600737/1-4   2017年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssb.201600737

  320. Effect of dislocations on the growth of p-type GaN and on the characteristics of p-n diodes

    Usami Shigeyoshi, Miyagoshi Ryosuke, Tanaka Atsushi, Nagamatsu Kentaro, Kushimoto Maki, Deki Manato, Nitta Shugo, Honda Yoshio, Amano Hiroshi

    PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE   214 巻 ( 8 )   2017年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Physica Status Solidi (A) Applications and Materials Science  

    We investigate the influence of crystal defects on p-type GaN grown by metalorganic vapor phase epitaxy. Sets of p-type GaN films were grown on sapphire substrates and on free-standing GaN (F-GaN) substrates simultaneously using various Et-Cp2Mg flow rates. Although there is a difference of two orders of magnitude between the threading dislocation densities of p-type GaN grown on sapphire and F-GaN substrates, there is no significant difference in hole concentration. However, there are problems with the surface morphology of p-type GaN grown on sapphire. The deterioration of the surface was caused by the difference in nanopipe density. The electrical properties of a p–n junction diode formed on sapphire with a high density of nanopipes were observed using emission microscopy under both forward- and reverse-bias conditions. Our results demonstrate that the nanopipes are electrically inactive, and that other types of threading dislocation have more influence on the current–voltage characteristics.

    DOI: 10.1002/pssa.201600837

    Web of Science

    Scopus

  321. Role of threading dislocations in strain relaxation during GaInN growth monitored by real-time X-ray reflectivity 査読有り

    Guangxu Ju, Masao Tabuchi, Yoshikazu Takeda, and Hiroshi Amano

    Applied Physics Letters   110 巻 ( 26 ) 頁: 262105/1-5   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4990687

  322. A-plane GaN growth on (11-20) 4H-SiC substrate with an ultrathin interlayer 査読有り

    Zheng Sun, Peifeng Song, Shugo Nitta, Yoshio Honda, Hiroshi Amano

    Journal of Crystal Growth   468 巻   頁: 866-869   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2017.01.31

  323. Selective-area growth of vertically oriented GaN nanostructures with a hafnium pre-orienting layer 査読有り

    S.-Y.Bae, K. Lekhal, H.-J.Lee, T.Mitsunari, J.-W.Min, D.-S.Leed, M.Kushimoto, Y.Honda, H.Amano

    Journal of Crystal Growth   468 巻   頁: 110-113   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2016.10.032

  324. Orientation-controlled epitaxial lateral overgrowth of semipolar GaN on Si(001) with a directionally sputtered AlN buffer layer 査読有り

    Ho-Jun Lee, Si-Young Bae, Kaddour Lekhal, Akira Tamura, Takafumi Suzuki, Maki Kushimoto, Yoshio Honda, and Hiroshi Amano

    Journal of Crystal Growth   468 巻   頁: 547-551   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2016.11.116

  325. Effect of V/III ratio on the surface morphology and electrical properties of m–plane ( 101¯0 ) GaN homoepitaxial layers 査読有り

      468 巻   頁: 552-556   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We have investigated the effect of V/III ratio on the surface morphology, impurity concentration and electrical properties of m–plane (101¯0) Gallium Nitride (GaN) homoepitaxial layers. Four-sided pyramidal hillocks are observed on the nominally on-axis m–plane GaN films. Hillocks sizes relatively increase by increasing the V/III ratio. All facets of pyramidal hillocks exhibit well-defined step-terrace features. Secondary ion mass spectrometry depth profiles reveal that carbon impurities decrease by increasing the V/III ratio while the lowest oxygen content is found at an optimized V/III ratio of 900. Vertical Schottky barrier diodes fabricated on the m–GaN samples were characterized. Low leakage current densities of the order of 10−10 A/cm2 at −5 V are obtained at the optimum V/III ratio. Oxygen impurities and screw-component dislocations around hillocks are found to have more detrimental impact on the leakage current mechanism.

    DOI: 10.1016/j.jcrysgro.2016.12.012

  326. Annealing effect on threading dislocations in a GaN grown on Si substrate 査読有り

      468 巻   頁: 835-838   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Effect of rapid thermal annealing (RTA) on crystal defects in a GaN layer grown on a (111)Si substrate was investigated by photoluminescence (PL) and transmission electron microscopy (TEM) analyses. The PL spectra suggested that the density of gallium vacancy is not changed by the heat treatment up to 700 °C. In the TEM specimen, we had dislocation half loops generated by off-axis propagation of the threading dislocation. We found that the half-loop of c-type dislocation shrinks/moves by a repetitive RTA at 600–700 °C. In contrast, we could find no remarkable changes in the a-type or a+c-type dislocations.

    DOI: 10.1016/j.jcrysgro.2017.01.001

  327. A-plane GaN growth on (11-20) 4H-SiC substrate with an ultrathin interlayer

    Sun Zheng, Song Peifeng, Nitta Shugo, Honda Yoshio, Amano Hiroshi

    JOURNAL OF CRYSTAL GROWTH   468 巻   頁: 866 - 869   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Crystal Growth  

    A-plane GaN was attempted to be grown on (11-20) 4H-SiC bulk substrate without using a traditional thick buffer layer. By inducing TMAl treatment before the GaN growth step and using both a low pressure and V/III ratio, the interlayer thickness of the a-plane GaN/SiC was reduced to 1.7+0.5 nm. The ultrathin interlayer was observed to be either AlN or AlGaN with a low Ga composition. This study is aimed to contribute to the understanding of GaN growth on the sidewalls of c-plane trench structure SiC. The ultrathin growth technique is also hoped to be applied to the fabrication of GaN-based vertical structure nonpolar optical or electrical devices.

    DOI: 10.1016/j.jcrysgro.2017.01.031

    Web of Science

    Scopus

  328. Uneven AlGaN multiple quantum well for deep-ultraviolet LEDs grown on macrosteps and impact on electroluminescence spectral output 査読有り

    Michiko Kaneda, Cyril Pernot, Yosuke Nagasawa, Akira Hirano, Masamichi Ippommatsu, Yoshio Honda, Hiroshi Amano, and Isamu Akasaki

    Japanese Journal of Applied Physics   56 巻   頁: 061002   2017年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.56.061002

  329. III-nitride core-shell nanorod array on quartz substrates Open Access

    Bae Si-Young, Min Jung-Wook, Hwang Hyeong-Yong, Lekhal Kaddour, Lee Ho-Jun, Jho Young-Dahl, Lee Dong-Seon, Lee Yong-Tak, Ikarashi Nobuyuki, Honda Yoshio, Amano Hiroshi

    SCIENTIFIC REPORTS   7 巻   頁: 45345   2017年3月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Scientific Reports  

    DOI: 10.1038/srep45345

    Open Access

    Web of Science

    Scopus

    PubMed

    researchmap

  330. 紫外発光素子に向けたp層側光吸収低減の検討

    安田 俊輝, 桑原 奈津子, 竹内 哲也, 岩谷 素顕, 上山 智, 赤﨑 勇, 天野 浩

    応用物理学会学術講演会講演予稿集   2017.1 巻 ( 0 ) 頁: 3400 - 3400   2017年3月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2017.1.0_3400

    CiNii Research

  331. フッ素系樹脂の深紫外発光ダイオード用封止樹脂としての耐久性とその劣化機構

    長澤 陽祐, 山田 貴穂, 永井 祥子, 平野 光, 一本松 正道, 青崎 耕, 本田 善央, 天野 浩, 赤﨑 勇

    応用物理学会学術講演会講演予稿集   2017.1 巻 ( 0 ) 頁: 1136 - 1136   2017年3月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2017.1.0_1136

    CiNii Research

  332. NEA-InGaNフォトカソードの量子効率に対する熱処理の効果

    鹿島 将央, 飯島 北斗, 西谷 智博, 佐藤 大樹, 本田 善央, 天野 浩, 目黒 多加志

    応用物理学会学術講演会講演予稿集   2017.1 巻 ( 0 ) 頁: 1554 - 1554   2017年3月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2017.1.0_1554

    CiNii Research

  333. Relationship between lattice relaxation and electrical properties in polarization doping of graded AlGaN with high AlN mole fraction on AlGaN template

    Yasuda Toshiki, Takeuchi Tetsuya, Iwaya Motoaki, Kamiyama Satoshi, Akasaki Isamu, Amano Hiroshi

    APPLIED PHYSICS EXPRESS   10 巻 ( 2 )   2017年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    We investigated polarization doping for hole generation in abrupt and graded GaN/Al0.7Ga0.3N interfaces on Al0.99Ga0.01N templates. The abrupt interface exhibited hole generation, whereas the graded interface exhibited electron generation. In the graded AlxGa1%xN (x = 0.65-0), a graded part with an AlN mole fraction ranging from 0.2 to 0 showed a large relaxation. Theoretical estimation revealed that this part contained positive polarization charges, accumulating electrons. Via Mg doping in the graded AlGaN layer, we obtained a high hole concentration of 3 ' 1013cm%2. These results indicate that understanding the relaxation conditions in the graded layer is indispensable for polarization doping.

    DOI: 10.7567/APEX.10.025502

    Web of Science

    Scopus

  334. Relationship between lattice relaxation and electrical properties in polarization doping of graded AlGaN with high AlN mole fraction on AlGaN template 査読有り

    Toshiki Yasuda, Tetsuya Takeuchi, Motoaki Iwaya, Satoshi Kamiyama, Isamu Akasaki and Hiroshi Amano

    Applied Physics Express   10 巻   頁: 025502   2017年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: https://doi.org/10.4567/APEX.10.025502

  335. From the dawn of gan-based light-emitting devices to the present day

    Amano H.

    Handbook of Solid-State Lighting and LEDs     頁: 3-12   2017年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1201/9781315151595

    Scopus

  336. High quality Al0.99Ga0.01N layers on sapphire substrates grown at 1150 degrees C by metalorganic vapor phase epitaxy

    Katsuno Shota, Yasuda Toshiki, Hagiwara Koudai, Koide Norikatsu, Iwaya Motoaki, Takeuchi Tetsuya, Kamiyama Satoshi, Akasaki Isamu, Amano Hiroshi

    JAPANESE JOURNAL OF APPLIED PHYSICS   56 巻 ( 1 )   2017年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.56.015504

    Web of Science

  337. Reduction of Dislocations in GaN on Silicon Substrate Using In Situ Etching 査読有り Open Access

    Koji Matsumoto, Toshiaki Ono, Yoshio Honda, Tetsuya Yamamoto, Shigeyoshi Usami, Maki Kushimoto, Satoshi Murakami, and Hiroshi Amano

    Physica Status Solidi b   253 巻   頁: 1700387(1-7)   2017年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    A gallium nitride (GaN) epitaxial layer with a low density of threading dislocations is successfully grown on a silicon substrate by using in situ gas
    etching. Silicon nitride (SiNx) film is used as a mask, and ammonia is intermittently supplied in hydrogen ambient during the etching. After etching,
    high-density deep pits appeared on the surface of a GaN template layer and corresponded to the threading dislocations in the layer. In this novel method,
    before growing an additional GaN layer on the template GaN layer, a second SiNx layer is deposited after the etching process, and this layer prevents GaN nuclei from growing on the upper side-walls of the pits. By using this method, the density of threading dislocations of the GaN surface is reduced to 6.7E7 cm2. This method is cost effective, completing all the necessary processes in one growth run without taking samples out from a metalorganic chemical vapor deposition (MOCVD) reactor.

    DOI: 10.1002/pssb.201700387

    Open Access

  338. Development of Sustainable Smart Society based on Transformative Electronics

    Ogura, M; Ando, Y; Usami, S; Nagamatsu, K; Kushimoto, M; Deki, M; Tanaka, A; Nitta, S; Honda, Y; Pristovsek, M; Kawai, H; Yagi, S; Amano, H

    2017 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM)     頁: .   2017年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  339. Progress and Prospect of Growth of Wide-Band-Gap Group III Nitrides

    Amano Hiroshi

    III-NITRIDE BASED LIGHT EMITTING DIODES AND APPLICATIONS, 2ND EDITION   133 巻   頁: 1 - 9   2017年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Topics in Applied Physics  

    DOI: 10.1007/978-981-10-3755-9_1

    Web of Science

    Scopus

    researchmap

  340. From the Dawn of GaN-Based Light-Emitting Devices to the Present Day

    Amano, H

    HANDBOOK OF SOLID-STATE LIGHTING AND LEDS     頁: 3 - 11   2017年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  341. High quality Al0.99Ga0.01N layers on sapphire substrates grown at 1150 °C by metalorganic vapor phase epitaxy 査読有り

    Shota Katsuno, Toshiki Yasuda, Koudai Hagiwara, Norikatsu Koide, Motoaki Iwaya, Tetsuya Takeuchi, Satoshi Kamiyama, Isamu Akasaki, and Hiroshi Amano

    Japanese Journal of Applied Physics   56 巻   頁: 015504   2016年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: https://doi.org/10.7567/JJAP.56.015504

  342. Improved crystal quality of semipolar (10(1)over-bar3) GaN on Si(001) substrates using AlN/GaN superlattice interlayer 査読有り

    Ho-Jun Lee, Si-Young Bae, Kaddour Lekhal, Tadashi Mitsunaria, Akira Tamura, Yoshio Honda, Hiroshi Amano

    Journal of Crystal Growth   454 巻   頁: 114-120   2016年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: https://doi.org/10.1016/j.jcrysgro.2016.09.004

  343. Controlled morphology of regular GaN microrod arrays by selective area growth with HVPE 査読有り

    Kaddour Lekhal, Si-Young Bae, Ho-Jun Lee, Tadashi Mitsunari, Akira Tamura, Manato Deki, Yoshio Honda, Hiroshi Amano

    Journal of Crystal Growth   447 巻   頁: 55-61   2016年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The selective area growth (SAG) of GaN was implemented on patterned GaN/sapphire templates by hydride vapor phase epitaxy (HVPE) to fabricate regular arrays of Ga-polar GaN microrods. The control of growth parameters such as H2/N2 carrier gas ratio, growth temperature, and absolute NH3/HCl gas flow resulted in changes in the growth morphology.

    DOI: 10.1016/j.jcrysgro.2016.05.008

  344. Development of highly durable deep-ultraviolet AlGaN-based LED multichip array with hemispherical encapsulated structures using a selected resin through a detailed feasibility study 査読有り

    Shoko Nagai, Kiho Yamada, Akira Hirano, Masamichi Ippommatsu, Masahiro Ito, Naoki Morishima, Ko Aosaki, Yoshio Honda, Hiroshi Amano, and Isamu Akasaki

    Japanese Journal of Applied Physics   55 巻   頁: 082101/1-7   2016年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: http://doi.org/10.7567/JJAP.55.082101

  345. Deep level study of Mg-doped GaN using deep level transient spectroscopy and minority carrier transient spectroscopy 査読有り

    Tran Thien Duc, Galia Pozina, Hiroshi Amano, Bo Monemar, Erik Janz´en, and Carl Hemmingsson

    PHYSICAL REVIEW   B94 巻   頁: 045206/1-8   2016年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Deep levels in Mg-doped GaN grown by metal organic chemical vapor deposition, undoped GaN grown by MOCVD, and halide vapor phase epitaxy-grown GaN have been studied using deep level transient spectroscopy and minority charge carrier transient spectroscopy.

    DOI: 10.1103/PhysRevB.94.045206

  346. Study of radiation detection properties of GaN pn diode 査読有り

    Mutsuhito Sugiura, Maki Kushimoto, Tadashi Mitsunari, Kohei Yamashita, Yoshio Honda, Hiroshi Amano, Yoku Inoue, Hidenori Mimura, Toru Aoki, and Takayuki Nakano

    Japanese Journal of Applied Physics   55 巻   頁: 05FJ02/1-3   2016年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Recently, GaN, which has remarkable properties as a material for optical devices and high power electron devices, has also attracted attention as a material for radiation detectors. We previously suggested the use of BGaN as a neutron detector material. However, the radiation detection characteristics of GaN itself are not yet adequately understood. For realizing a BGaN neutron detector, the understanding of the radiation detection characteristics of GaN, which is a base material of the neutron detector, is important. In this study, we evaluated the radiation detection characteristics of GaN. We performed I V and energy spectrum measurements under alpha ray, gamma ray, and thermal neutron irradiations to characterize the radiation detection characteristics of a GaN diode. The obtained results indicate that GaN is an effective material for our proposed new BGaN-based neutron detector.

    DOI: 10.7567/JJAP.55.05FJ02

  347. Low-ohmic-contact-resistance V-based electrode for n-type AlGaN with high AlN molar fraction 査読有り

    Kazuki Mori, Kunihiro Takeda, Toshiki Kusafuka, Motoaki Iwaya, Tetsuya Takeuchi, Satoshi Kamiyama, Isamu Akasaki and Hiroshi Amano

    Low-ohmic-contact-resistance V-based electrode for n-type AlGaN with high AlN molar fraction   55 巻 ( 5S ) 頁: 05FL03/1-5   2016年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.55.05FL03

  348. Observation of relaxation time of surface charge limit for InGaN photocathodes with negative electron affinity 査読有り

    Daiki Sato, Tomohiro Nishitani, Yoshio Honda and Hiroshi Amano

    Japanese Journal of Applied Physics   55 巻 ( 5S ) 頁: 05FH05/1-4   2016年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.55.05FH05

  349. Emission Characteristics of InGaN/GaN Core-Shell Nanorods Embedded in a 3D Light-Emitting Diode 査読有り Open Access

    Byung Oh Jung, Si-Young Bae, Seunga Lee, Sang Yun Kim, Jeong Yong Lee, Yoshio Honda and Hiroshi Amano

    Nanoscale Research Letters   11 巻   2016年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We report the selective-area growth of a gallium nitride (GaN)-nanorod-based InGaN/GaN multiple-quantum-well (MQW) core-shell structure embedded in a three-dimensional (3D) light-emitting diode (LED) grown by metalorganic chemical vapor deposition (MOCVD) and its optical analysis. High-resolution transmission electron microscopy (HR-TEM) observation revealed the high quality of the GaN nanorods and the position dependence of the structural properties of the InGaN/GaN MQWs on multiple facets. The excitation and temperature dependences of photoluminescence (PL) revealed the m-plane emission behaviors of the InGaN/GaN core-shell nanorods. The electroluminescence (EL) of the InGaN/GaN core-shell-nanorod-embedded 3D LED changed color from green to blue with increasing injection current. This phenomenon was mainly due to the energy gradient and deep localization of the indium in the selectively grown InGaN/GaN core-shell MQWs on the 3D architecture.

    DOI: 10.1186/s11671-016-1441-6

    Open Access

  350. Preflow trimethylaluminum treatment effect on GaN growth on SiC with an ultrathin interlayer 査読有り

    Zheng Sun, Kentaro Nagamatsu, Marc Olsson, Peifeng Song, Manato Deki, Shugo Nitta, Yoshio Honda and Hiroshi Amano

    Japanese Journal of Applied Physics,   55 巻 ( 5S ) 頁: 05FB06/1-5   2016年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.55.05FB06

  351. Growth of semipolar (1-101) high-indium-content InGaN quantum well using InGaN tilting layer on Si(001) 査読有り

    Maki Kushimoto, Yoshio Honda and Hiroshi Amano

    Japanese Journal of Applied Physics   55 巻 ( 5S ) 頁: 05FA10/1-4   2016年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  352. Evaluation of excess In during metal organic vapor-phase epitaxy growth of InGaN by monitoring via in situ laser scattering 査読有り

    Tetsuya Yamamoto, Akira Tamura, Shigeyoshi Usami, Tadashi Mitsunari, Kentaro Nagamatsu, Shugo Nitta, Yoshio Honda and Hiroshi Amano

    Japanese Journal of Applied Physics   55 巻 ( 5S ) 頁: 05FD03/1-4   2016年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Using an in situ laser absorption and scattering method, the surface roughness and incorporation of In in InGaN layers grown by metal organic vapor-phase epitaxy (MOVPE) were monitored.

    DOI: 10.7567/JJAP.55.05FD03

  353. Development of GaN-based blue LEDs and metalorganic vapor phase epitaxy of GaN and related materials 招待有り

    Hiroshi Amano

    Progress in Crystal Growth and Characterization of Materials   62 巻   頁: 126–135   2016年4月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語  

    DOI: https://doi.org/10.1016/j.pcrysgrow.2016.04.006

  354. Structural and optical study of core–shell InGaN layers of nanorod arrays with multiple stacks of InGaN/GaN superlattices for absorption of longer solar spectrum 査読有り

      55 巻 ( 5S ) 頁: 05FG03/1-8   2016年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We report on the material and optical properties of core–shell InGaN layers grown on GaN nanorod arrays. The core–shell InGaN layers were well grown on polarization-reduced surfaces such as semipolar pyramids and nonpolar sidewalls. In addition, to compensate the biaxial strain between GaN and InGaN layers, we grew interlayers underneath a thick InGaN layer. Here, the interlayers were composed of multiple superlattice structures. We could observe that the indium composition of core–shell InGaN structures increased with the number of interlayers. This indicates that the absorption energy band of InGaN alloys can be better matched to the spectral irradiance of the solar spectrum in nature. We also implemented a simulation of Ga-polar and nonpolar InGaN-based solar cells based on the indium composition obtained from the experiments. The result showed that nonpolar InGaN solar cells had a much higher efficiency than Ga-polar InGaN solar cells with the same thickness of the absorption layer.

  355. Selective-area growth of GaN microrods on strain-induced templates by hydride vapor phase epitaxy 査読有り

    Kaddour Lekhal, Si-Young Bae, Ho-Jun Lee, Tadashi Mitsunari, Akira Tamura, Manato Deki, Yoshio Honda and Hiroshi Amano

    Japanese Journal of Applied Physics   55 巻   頁: 05FF03/1-5   2016年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.55.05FF03

  356. Theoretical approach to surface reconstruction of InN(0001) during raised-pressure metalorganic vapor-phase epitaxy 査読有り

    Akira Kusaba, Yoshihiro Kangawa, Yoshio Honda, Hiroshi Amano and Koichi Kakimoto

    Japanese Journal of Applied Physics   55 巻 ( 5S ) 頁: 05FM01/1-4   2016年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.55.05FM01

  357. Study of enhanced photovoltaic behavior in InGaN-based solar cells by using SiNx insertion layer: Influence of dislocations 査読有り

    Seunga Lee, Yoshio Honda, Hiroshi Amano, Jongjin Jang and Okhyun Nam

    Japanese Journal of Applied Physics Rapid Communications   55 巻 ( 3 ) 頁: 030306/1-4   2016年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Using a SiN insertion layer to reduce dislocations, enhanced photovoltaic properties could be obtained in pin InGaN GaN heterojunction solar cell. To investigate the influence of the dislocations on the photovoltaic behaviors, a sample grown without SiN insertion layer was identically prepared for comparison. From optical properties measurements, the reduction in the number of non-radiative centers and a stronger In localization effect was shown in the sample with SiN insertion layer. However, the quantum confined stark effect was almost negligible in both the samples.

    DOI: 10.7567/JJAP.55.030306

  358. Effect of piezoelectric field on carrier dynamics in InGaN-based solar cells 査読有り

    Lee, Seunga; Honda, Yoshio; Amano, Hiroshi

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   49 巻 ( 2 ) 頁: 025103   2016年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    To understand the effect of piezoelectric fields on carrier dynamics, we numerically investigated a simple p-GaN/i-InxGa1-xN/n-GaN solar cell structure. A reliable simulation model was obtained by comparing the experimental and simulated results in advance.

    DOI: 10.1088/0022-3727/49/2/025103

  359. Highly elongated vertical GaN nanorod arrays on Si substrates with an AlN seed layer by pulsed-mode metal-organic vapor deposition 査読有り

    Si-Young Bae, Byung Oh Jung, Kaddour Lekhal, Sang Yun Kim, Jeong Yong Lee, Dong-Seon Lee, Manato Deki, Yoshio Honda and Hiroshi Amano

    CrystEngComm   18 巻   頁: 1505-1514   2016年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    . Unlike the thick and high (or middle)-quality GaN templates normally used, nanorod growth was performed on an ultrathin and low-quality AlN/Si platform. Using kinetically controlled growth conditions and a patterning process, exceptionally long GaN nanorods were achieved with high geometric precision.

    DOI: 10.1039/C5CE02056E

  360. The interface analysis of GaN grown on 0° off 6H-SiC with an ultra-thin buffer layer 査読有り

    Zheng Sun, Akio Ohta, Seiichi Miyazaki, Kentaro Nagamatsu, Hojun Lee, Marc Olsson, Zheng Ye, Manato Deki, Yoshio Honda, and Hiroshi Amano

    Japanese Journal of Applied Physics   55 巻   頁: 010303/1-3   2016年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Previously, we reported a growth method by MOVPE using a single two-dimensional growth step, resulting in 1.2-micron thick crack-free GaN directly grown on 6H-SiC substrate. The introduction of Al-treatment prior to the standard GaN growth step resulted in improved surface wetting of gallium on the SiC substrate.

    DOI: 10.7567/JJAP.55.010303

  361. Growth of GaN on sapphire via low-temperature deposited buffer layer and realization of p-type GaN by Mg doping followed by low-energy electron beam irradiation 招待有り 査読有り

    Hiroshi Amano

    Rev. Mod. Phys.   87 巻 ( 4 ) 頁: 1133-1138   2015年12月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    This is a personal history of one of the Japanese researchers engaged in developing a method for
    growing GaN on a sapphire substrate, paving the way for the realization of smart television and
    display systems using blue LEDs. The most important work was done in the mid to late 1980s. The
    background to the author's work and the process by which the technology enabling the growth of
    GaN and the realization of p-type GaN was established are reviewed.

    DOI: 10.1142/S0217979215300157

  362. Single-crystalline semipolar GaN on Si(001) using a directional sputtered AlN intermediate layer 査読有り

    Tadashi Mitsunari, Ho Jun Lee, Yoshio Honda, Hiroshi Amano

    Journal of Crystal Growth   431 巻   頁: 60-63   2015年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We obtained single-crystalline semipolar (10View the MathML source1¯3)GaN on a nominal Si(001) substrate with sputtered AlN using the directional feature of sputtering.

    DOI: 10.1016/j.jcrysgro.2015.08.027

  363. Time-resolved photoluminescence properties of hybrids based on inorganic AlGaN/GaN quantum wells and colloidal ZnO nanocrystals 査読有り Open Access

    M. Forsberg, C. Hemmingsson, H. Amano, G. Pozina

    Superlattices and Microstructures   87 巻   頁: 38-41   2015年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Dynamic properties are studied for the AlGaN/GaN quantum well (QW) structures with and without the coating of colloidal ZnO nanocrystals (NCs). The QW exciton recombination rate was reduced in such hybrids compared to the bare QW structure only in the sample with the thinnest cap layer of 3 nm. Assuming that one of the recombination mechanisms in this hybrid is non-radiative resonant energy transfer (NRET) between the QW and the energy acceptor material i.e. ZnO NCs, the maximum pumping efficiency was estimated to be 0.42 at 60 K. The NRET effect is, however, vanished after several months despite that the hybrid structures are composed of chemically stable components.

    DOI: doi:10.1016/j.spmi.2015.07.017

  364. Polarization dilution in a Ga-polar UV-LED to reduce the influence of polarization charges 査読有り

    Toshikiu Yasuda, Kento Hayashi, Syouta Katsuno, Tetsuya Takeuchi, Satoshi Kamiyama, Motoaki Iwaya, Isamu Akasaki, and Hiroshi Amano

    Physica Status Solidi a   212 巻 ( 5 ) 頁: 920-924   2015年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We investigated the influence of polarization charges in nitride-based semiconductors.

    DOI: 10.1002/pssa.201431730

  365. Excitation density dependence of radiative and nonradiative recombination lifetimes in InGaN/GaN multiple quantum wells 査読有り Open Access

    Hideaki Murotani, Yoichi Yamada, Yoshio Honda, and Hiroshi Amano

    Physica Status Solidi b   252 巻 ( 5 ) 頁: 940-945   2015年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The optical properties of InGaN/GaN multiple quantum wells (MQWs) have been studied by means of photoluminescence (PL) and time-resolved photoluminescence (TRPL) spectroscopy.

    DOI: 10.1002/pssb.201451491

  366. Resonant Raman and FTIR spectra of carbon doped GaN 査読有り

    S. Ito, H. Kobayashi, K. Arak,i, K. Suzuki, N. Sawaki, K. Yamashita, Y. HOnda, H. Amano

    Journal of Crystal Grwoth   414 巻 ( 15 ) 頁: 56-60   2015年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: doi:10.1016/j.jcrysgro.2014.11.024

  367. Electrical characteristics of a-plane low-Mg-doped p-GaN Schottky contacts 査読有り

    Moe Naganawa, Toshichika Aoki, Ji-Su Son, Hiroshi Amano, Kenji Shiojima

    physica status solidi (b)   252 巻 ( 5 ) 頁: 1024–1030   2015年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Electrical characteristics of a variety of Schottky contacts formed with ten metal species on the a-plane of p-type low-Mg-doped GaN grown on r-plane sapphire substrates were studied.

    DOI: 10.1002/pssb.201451581

  368. Optically pumped lasing properties of (1-101) InGaN/GaN stripe multi quantum wells with ridge cavity structure on patterned (001) Si substrates 査読有り

    Maki Kushimoto, Tomoyuki Tanikawa, Yoshio Honda and Hiroshi Amano

    Applied Physics Express   8 巻 ( 2 ) 頁: 022702   2015年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We demonstrated lasing action and investigated the optical properties of (1 (1) over bar 01) multiquantum-well (MQW) stripe crystals on patterned (001) Si substrates. Longitudinal and higher order transverse modes were observed from a ridge waveguide structure. These results strongly suggest the possibility of fabricating (1 (1) over bar 01) InGaN MQW laser diodes on (001) Si. (C) 2015 The Japan Society of Applied Physics

    DOI: doi:10.7567/APEX.8.022702

  369. Dynamic properties of excitons in ZnO/AlGaN/GaN hybrid nanostructures 査読有り

    Mathias Forsberg, Carl Hemmingsson, Hiroshi Amano , Galia Pozina

    Scientific Reports   5 巻   頁: 7889   2015年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    III-nitride semiconductor/organic polymer hybrid heterostructures combine advantages of epitaxially grown semiconductor quantum wells (QW) with inexpensive polymers having efficient luminescence in the visible region1. Such hybrid micro-structured light emitting diodes (LED) are promising for fabrication of low-cost and highly efficient microlight sources that can be used in full-color displays, imaging systems, miniature chemical and biological sensors. In typical polyfluorene/GaN-based LED hybrids, UV emission from a GaN heterostructure down converts to the organic polymer fluorescence in the visible region via a radiative energy transfer. Overlapping between the UV luminescence and the polyfluorene absorption is required for the operation
    of these hybrids. Today, a novel class of hybrid structures is suggested, in which a non-radiative resonant energy transfer (NRET) from excitation generated in inorganic QWs to excitons in organic films can be utilized. Such LEDs might be considerably more efficient than their radiative energy transfer analogues. In addition to the necessity of a significant spectral overlap between the QW emission and the polymer absorption spectrum, these devices require that the two materials are placed in a close interaction distance of a few nm. The bottleneck is that the operation lifetime of organic/semiconductor hybrid LED structures is limited by degradation
    of polyfluorenes. Using colloidal semiconductor nanocrystals (NCs) instead of polymers can significantly improve the lifetime of such devices. In addition to superior luminescence properties, relatively low cost and chemical stability, the spectral tunability can be achieved by changing the particle chemistry and size. The efficiency of non-radiative resonance energy transfer is typically determined using transient photoluminescence
    (PL) measurements from the quenching of the QW exciton lifetime in the presence of acceptor material (i.e.
    colloidal NCs or polyfluorene). It might be correct in assumption that NRET is the only additional recombination channel appearing in hybrids compared to the bareQWstructure. However, other factors can play also a significant role. For example, surface potential effects have to be considered when non-radiative resonant energy transfer is measured using dynamic properties of the QW excitons.
    Thus, in this work we have studied and discussed the possibility of NRET in hybrid structures fabricated using ZnO NCs films coated on the top of the AlGaN/GaN QWs samples. ZnO NCs satisfies the requirement of
    absorption overlapping with GaN emission (a room temperature band gap energy is 3.3 and 3.4 eV for ZnO and GaN, respectively). Dynamic properties ofQWexcitons in the hybrids and in the bareQWsamples are analyzed in dependence on the QWs cap layer thickness.

    DOI: doi:10.1038/srep07889

  370. Development of underfilling and encapsulation for deep-ultraviolet LEDs 査読有り

    Kiho Yamada, Yuuta Furusawa, Shoko Nagai, Akira Hirano, Masamichi Ippommatsu, Ko Aosaki, Naoki Morishima, Hiroshi Amano and Isamu Akasaki

    Applied Physics Express   8 巻 ( 1 ) 頁: 012101   2015年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  



    The currently available resins for underfilling and encapsulation are limited to wavelengths longer than approximately 330 nm because almost all resins are decomposed by deep-ultraviolet light. Fluorine resins are possible materials for underfilling and encapsulation in deep-ultraviolet LEDs, and we have investigated methods of underfilling and encapsulation using such resins. Also, their durability at wavelengths of 265 and 285 nm has been studied from the photochemical viewpoint, and we propose a stable amorphous fluorine resin that is optically isotropic. Also, a trial of encapsulation using the resin has been made.

    DOI: doi:10.7567/APEX.8.012101

  371. Highly ordered catalyst-free InGaN/GaN core-shell architecture arrays with expanded active area region 査読有り

    Jung, Byung Oh; Bae Si-Young; Kim, Sang Yun; Lee, Seunga; Lee, Jeong Yong; Lee, Dong-Seon; Kato, Yoshihiro; Honda, Yoshio ;Amano, Hiroshi

    Nano Energy   11 巻   頁: 294-303   2015年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Highly ordered, position-controlled gallium nitride (GaN) nanowire based multiple-quantum-wells (MQWs) core-shell architecture arrays are synthesized by metalorganic chemical vapor deposition (MOCVD). We investigate the possibility of using GaN nanowire arrays as a basal template for the growth of InxGa1-xN/GaN MQWs. The MQWs on three different crystal facets (c(-), m(-), and semipolar-plane) of GaN nanowire exhibit dissimilar structural properties. The structural characteristics of InGaN/GaN core-shell arrays are inspected by cross-sectional high-resolution transmission electron microscopy (HR-TEM). We also investigate the optical properties of MQW core-shell structure nanoarrays. The luminescent characteristics of InGaN/GaN core-shell structure arrays are determined by photoluminescence (PL) and cathodoluminescence (CL) measurements. The monochromatic CL images clearly show the light emission behavior of InGaN/GaN MQW coaxial structure. Two distinguishable light emission peaks were observed in the GaN nanowire based core-shell structure. The characteristic of light emission mainly depends on the properties of MQWs, which are generated from different crystal facets of GaN. In addition, the light emission intensity shows different behaviors depending on the area of the GaN nanowire m-plane. The results of this study suggest that GaN nanowire arrays can be used as a good alternative basal template for next-generation light-emitting diodes (LEDs).

    DOI: DOI: 10.1016/j.nanoen.2014.11.003

  372. Continuous in situ X-ray reflectivity investigation on epitaxial growth of InGaN by metalorganic vapor phase epitaxy 査読有り

    Guangxu Ju, Shingo Fuchi, Masao Tabuchi, Hiroshi Amano, Isamu Akasaki

    Journal of Crystal Growth   407 巻   頁: 68-73   2014年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Continuous in situ X-rayreflectivity(XRR)measurementswereusedtoinvestigatethegrowthprocessof
    an In0.11Ga0.89N epilayeranditssinglequantumwellgrownonc-planeGaN/sapphiretemplatesusingan
    in-house-designed metalorganicvaporphaseepitaxyinstalledinalaboratory-gradeX-raydiffract-
    ometer.Thesurfacerougheningoftheepilayerasafunctionofgrowthtimewascalculatedfromthe
    continuous in situ XRR curve.Thegrowthrate,criticalthickness hc(r) for surfaceroughening,and
    roughening ratewereobtained.Theexperimentalcriticalthickness hc(r) of theIn0.11Ga0.89N epilayer
    analyzed fromthecontinuous in situ XRR curvewas14.870.4 nm.Basedonthecalculatedtheoretical
    critical thickness hc and theexperimental hc(r,2), Fischer's modelseemstobeappropriatefordescribing
    the criticalthicknessoftheInGaN/GaN.

    DOI: 10.1016/j.jcrysgro.2014.08.023

  373. Photoemission lifetime of a negative electron affinity gallium nitride photocathode 査読有り

    Tomohiro Nishitani, Masao Tabuchi, Hiroshi Amano, Takuya Maekawa, Makoto Kuwahara and Takashi Meguro

    Journal of Vacuum Science and Technology   B32 巻 ( 6 ) 頁: 06F901   2014年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    A photocathode electron source using p-type GaN semiconductor with a negative electron affinity (NEA) surface has been studied for its ability to maintain an extended NEA state. The key technology of NEA photocathodes is the formation of electric dipoles by cesium and gallium atoms on the surface, which makes it possible for photoexcited electrons in the conduction band minimum to escape into the vacuum. This means that in order to keep the electron energy spread as small as possible, the excitation photon energy should be tuned to the band gap energy. However, the NEA surface is damaged by the adsorption of residual gas and the back-bombardment of ionized residual gas by photoelectrons. The p-type GaN semiconductor was measured time evolution in quantum yield during NEA surface activation, and a lifetime of quantum yield of excitation energy corresponding to the band gap energy in comparison to the p-type GaAs as the conventional NEA photocathode. In NEA surface activation process, the quantum yield of the GaN was more than 3 orders of magnitude higher than that of the GaAs by only cesium deposition. The exposure amount of cesium in the NEA surface activation of the GaAs was 1.5 times as that of the GaN, even though the quantum yield of the GaAs was the same value as the GaN. Lifetime of NEA-photocathodes using the GaN was 21 times longer than that using the GaAs. The decrease of quantum yield of the GaAs was well correlated in the form of the exponential decrease function with a decrease time of 4.4 h, while the decrease of quantum yield of the GaN was well correlated in the form of the exponential decrease function with two decrease times of 47 and 174 h.

    DOI: 10.1116/1.4901566

  374. Nature of yellow luminescence band in GaN grown on Si substrate 査読有り

    Shogo Ito, Taihei Nakagita, Nobuhiko Sawaki, Hyung Soo Ahn, Masashi Irie, Toshiki Hikosaka, Yoshio Honda, Masahito Yamaguchi and Hiroshi Amano

    Japanese Journal of Applied Physics   53 巻   頁: 11RC02/1-5   2014年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: doi:10.7567/JJAP.53.11RC02

  375. Atom probe tomography study of Mg-doped GaN layers 査読有り

    S Khromov, D Gregorius, R Schiller, J Lösch, M Wahl, M Kopnarski, H Amano, B Monemar, L Hultman and G Pozina

    Nanotechnology   25 巻 ( 27 )   2014年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: doi:10.1088/0957-4484/25/27/275701

  376. Characterization of nonpolar a-plane InGaN/GaN multiple quantum well 査読有り

    Ji-Su Son, Yoshio Honda, Masahito Yamaguchi and Hiroshi Amano

    Japanese Journal of Applied Physics   53 巻   頁: 05FL01   2014年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The characteristics of nonpolar a-plane (1120) GaN (a-GaN) grown using single and double nanopillar SiO2 masks were investigated. The two
    nanopillar SiO2 masks were directly fabricated on an r-plane sapphire substrate and a-GaN by the epitaxial lateral overgrowth (ELOG) technique.
    Through the use of the single and double nanopillar SiO2 masks, the crystalline quality and optical properties of a-GaN were markedly improved
    because of the nanoscale ELOG effect and a number of voids in the single and double nanopillar SiO2 mask areas in comparison with the planar
    sample. The submicron pit densities of the planar, single, and double nanopillar mask samples were >2 ' e9, >7e8, and >4e8cm-2,
    respectively. The internal quantum efficiency (IQE) values at room temperature of three-period InGaN/GaN multiple quantum wells (MQWs) grown
    using the planar, single, and double nanopillar masks were 45, 60, and 68% at a carrier concentration of 1.0e18cm-3, respectively.

    DOI: 10.7567/JJAP.53.05FL01

  377. X-ray investigations of GaInN single quantum wells grown by atomic layer epitaxy and metalorganic vapor phase epitaxy 査読有り

    Guangxu Ju, Yoshihiro Kato, Yoshio Honda, Masao Tabuchi, Yoshikazu Takeda, and Hiroshi Amano

    physica status solidi (c)   11 巻 ( 3-4 ) 頁: 393-396   2014年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    A set of GaN/GaInN single quantum wells (SQWs) were grown on c-plane GaN/sapphire templates using metal organic vapor phase epitaxy (MOVPE) and atomic layer epitaxy (ALE). The structural information on the interfaces and surfaces was obtained by fitting the experimental X-ray spectra and was compared between MOVPE and ALE mode. The X-ray crystal truncation rod (CTR) scattering measurement results showed that the samples grown by ALE had sharper interfaces than those grown by MOVPE. Both the X-ray reflectivity (XRR) curve-fitting results and atomic force microscope (AFM) results indicated that the surfaces of the ALE-grown SQWs were smoother than those of MOVPE-grown SQWs.

    DOI: 10.1002/pssc.201300670

  378. Enhancement of light output power on GaN based light emitting diodes using two direction stripe patterned sapphire substrate 査読有り

    Okuno, Koji; Oshio, Takahide; Shibata, Naoki; Honda, Yoshio; Yamaguchi, Masahito; Amano, Hiroshi

    Physica Status Solidi C   11 巻   頁: 722-725   2014年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    To achieve both the reduction of threading dislocation density (TDD) and the enhancement of light extraction efficiency (LEE) of light-emitting diodes (LEDs), a two-directional stripe-patterned sapphire substrate (TPSS) was fabricated. First, a stripe pattern parallel to the a-axis of Al2O3 was formed on an as-received c -sapphire wafer and then a second stripe pattern rotated around 3° from the m-axis of Al2O3 was formed on the first stripe PSS. The light output power of TPSS LEDs was around 1.25 times higher than that of LEDs on a flat sapphire substrate. This enhancement is caused by the increase of both the internal quantum efficiency (IQE) and the LEE. On the other hand, although the LED on TPSS with the second stripe just parallel to the m-axis of Al2O3 showed a similar enhancement ratio of the light output power, the current leakage of this LED increased with the concentration of threading dislocations on the surface of LEDs. Stripes rotated 3° from the m-axis of Al2O3 changed the growth mode and realized GaN films with a smooth surface without pit formation. Therefore, the misalignment of the stripe from the m-axis of Al2O3 will become a new design parameter for realizing high-efficiency reliable LEDs.

    DOI: 10.1002/pssc.201300470

  379. Epitaxial growth of GaN by REMOCVD in the downflow of a very high frequency(VHF) N2/H2 excited plasma 査読有り

    Yi Lu, Hiroki Kondo,Kenji Ishikawa,Osamu Oda,KeigoTakeda,Makoto Sekine, Hiroshi Amano,Masaru Hori

    Journal of Crystal Growth   391 巻   頁: 97-103   2014年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We found that this REMOCVD allowed the growth of epitaxial GaN films of the wurtzite structure of (0001) orientation on sapphire substrates with a high growth rate of 0.42 μm/h at a low temperature of 800 °C. The present REMOCVD is a promising method for GaN growth at relatively low temperature and without using costly ammonia gas.

    DOI: 10.1016/j.jcrysgro.2014.01.014

  380. Growth of InGaN/GaN multiple quantum wells on size-controllable nanopyramid arrays 査読有り

    Cao Miao, Yoshio Honda, Masahito Yamaguchi and Hiroshi Amano

    Japanese Journal of Applied Physics   53 巻   頁: 0303060   2014年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Regularly distributed nanopyramid arrays with a highly uniform size were grown by selective-area growth. The nanopyramid size could be tuned by varying the growth time. Two emission peaks were observed from multiple quantum wells grown on the nanopyramids. The longer-wavelength peak originated from the apexes of pyramids with threefold symmetry. High-density stacking faults observed at these triangular apexes might be responsible for the higher indium incorporation. The formation of embedded voids inside the pyramids was explained by the different growth rates at the edge and center of the mask window caused by the low diffusion length.

    DOI: 10.7567/JJAP.53.030306

  381. In situ X-ray investigation of changing barrier growth temperatures on InGaN single quantum wells in metal-organic vapor phase epitaxy 査読有り

    Guangxu Ju, Yoshio Honda, Masao Tabuchi, Yoshikazu Takeda, and Hiroshi Amano

    Journal of Applied Physics   115 巻   頁: 094906   2014年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The effects of GaN quantum barriers with changing growth temperatures on the interfacial
    characteristics of GaN/InGaN single quantum well (SQW) grown on GaN templates by metalorganic
    vapour phase epitaxy were in situ investigated by X-ray crystal truncation rod (CTR) scattering and
    X-ray reflectivity measurements at growth temperature using a laboratory level X-ray diffractometer.
    Comparing the curve-fitting results of X-ray CTR scattering spectra obtained at growth temperature
    with that at room temperature, the InxGa1-xN with indium composition less than 0.11 was stabile of
    the indium distribution at the interface during the whole growth processes.

    DOI: 10.1063/1.4867640

  382. Multijunction GaInN-based solar cells using a tunnel junction 査読有り

    Hironori Kurokawa, Mitsuru Kaga, Tomomi Goda, Motoaki Iwaya, Tetsuya Takeuchi, Satoshi Kamiyama, Isamu Akasaki and Hiroshi Amano

    Applied Physics Express   7 巻 ( 3 ) 頁: 034104   2014年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We fabricated and characterized a two-junction GaInN-based solar cell using a tunnel junction fabricated by crystal growth. This solar cell has two active layers with a differing bandgap energy corresponding to blue or green light. We confirmed that the open-circuit voltage (VOC) in this solar cell was increased by the series connection using the tunnel junction. The short-circuit current density, VOC, fill factor, and energy conversion efficiency of this solar cell were 0.28 mA/cm2, 3.0 V, 0.5, and 0.41%, respectively, under an air mass filter of 1.5 G at 1-sun irradiation and room temperature.

    DOI: 10.7567/APEX.7.034104

  383. Novel activation process for Mg-implanted GaN 査読有り

    Shin Hashimoto, Takao Nakamura, Yoshio Honda, Hiroshi Amano

    Journal of Crystal Growth   388 巻   頁: 112-115   2014年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    A novel activation process for Mg-implanted GaN was demonstrated. As opposed to the conventional thermal annealing process, an H2/NH3 alternating supply annealing process achieved better optical activation, stronger near-ultraviolet luminescence and weaker yellow luminescence in the photoluminescence spectroscopy. After this process, small hexagonal hillocks were observed on the surface, which indicated that crystal regrowth was induced by this process, consisting of decomposition of GaN by H2 supplies and re-crystallization by NH3 supplies. It was revealed that the implanted Mg could easily be located at the activation site by means of crystal regrowth by this process.

    DOI: doi:10.1016/j.jcrysgro.2013.07.011

  384. Properties of the main Mg-related acceptors in GaN from optical and structural studies 査読有り Open Access

    B. Monemar, P. P. Paskov, G. Pozina, C. Hemmingsson, J. P. Bergman, S. Khromov, V. N. Izyumskaya, V. Avrutin, X. Li, H. Morkoç, H. Amano, M. Iwaya, and I. Akasaki

    Journal of Applied Physics   115 巻   頁: 053507   2014年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The luminescent properties of Mg-doped GaN have recently received particular attention, e.g., in the
    light of new theoretical calculations, where the deep 2.9 eV luminescence band was suggested to be
    the main optical signature of the substitutional MgGa acceptor, thus, having a rather large binding
    energy and a strong phonon coupling in optical transitions. We present new experimental data on
    homoepitaxial Mg-doped layers, which together with the previous collection of data give an
    improved experimental picture of the various luminescence features in Mg-doped GaN.

    DOI: 10.1063/1.4862928

  385. Growth of low-defect-density nonpolar a-plane GaN on r-plane sapphire using pulse NH3 interrupted etching 査読有り Open Access

    Ji-Su Son, Yoshio Honda, and Hiroshi Amano

    Optics Express   22 巻 ( 3 ) 頁: 3585-3592   2014年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Nonpolar a-plane (11-20) GaN (a-GaN) layers with low overall defect density and high crystalline quality were grown on r-plane sapphire substrates using etched a-GaN. The a-GaN layer was etched by pulse NH3 interrupted etching.

    DOI: 10.1364/OE.22.003585

    Open Access

  386. Morphology development of GaN nanowires using a pulsed-mode MOCVD growth technique 査読有り

    Byung Oh Jung, Si-Young Bae, Yoshihiro Kato, Masataka Imura, Dong-Seon Lee, Yoshio Honda and Hiroshi Amano

    CrystEngComm   16 巻   頁: 2273-2282   2014年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    we demonstrate a scalable process for the precise position-controlled selective growth of
    GaN nanowire arrays by metalorganic chemical vapor deposition (MOCVD) using a pulsed-mode growth
    technique. The location, orientation, length, and diameter of each GaN nanowire are controlled via
    pulsed-mode growth parameters such as growth temperature and precursor injection and interruption
    durations.

    DOI: 10.1039/c3ce42266f

  387. Characteristics of a-plane GaN films grown on optimized silicon-dioxide-patterned r-plane sapphire substrates 査読有り

    Ji-Su Son, Y. Honda, M. Yamaguchi, H. Amano, K. H. Baik, Y. G. Seo and S. M. Hwang

    Thin Solid Films   546 巻 ( 11 ) 頁: 108-113   2013年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We report on the characteristics of a-plane GaN films directly grown on optimized silicon-dioxide-patterned r-plane sapphire substrates. Various shapes and sizes of silicon dioxide patterns were considered with the aim of achieving fully coalescent a-plane GaN films with a smooth surface and high crystalline quality. The omega full widths at half maximum of the X-ray rocking curve values of optimized a-plane GaN films with regular hexagonal patterns of 1 micron window width and 6 micron mask width were measured to be 597 arcsec along the c-axis direction and 457 arcsec along the m-axis direction. Atomic force microscopy images revealed a significant reduction in the density of submicron pits in the mask region.

    DOI: 10.1016/j.tsf.2013.02.048

  388. Effects of exciton localization on internal quantum efficiency of InGaN nanowires 査読有り

    Hideaki Murotani, Yoichi Yamada, Takuya Tabata, Yoshio Honda, Masahito Yamaguchi and Hiroshi Amano

    Journal of Applied Physics   114 巻   頁: 153506   2013年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Internal quantum efficiency of InGaN nanowires grown by PA MBE was investigated in detail.

    DOI: 10.1063/1.4825124

  389. Strain-Compensated Effect on the Growth of InGaN/AlGaN Multi-Quantum Well by Metalorganic Vapor Phase Epitaxy 査読有り

    Tomohiro Doi, Yoshio Honda, Masahito Yamaguchi, and Hiroshi Amano

    Jpn. J. Appl. Phys.   52 巻 ( 8 ) 頁: 08JB14   2013年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    InGaN/AlGaN multi-quantum wells (MQWs) were grown by metalorganic vapor phase epitaxy. The In composition of the InGaN well layer was found to increase with the tensile strain of the AlGaN barrier layer. The InGaN/AlGaN strain-compensated structure can be grown at a higher growth temperature than that of the InGaN/GaN MQW, which shows that the same emission wavelength and thus the density of the V-defects can be effectively suppressed.

    DOI: 10.7567/JJAP.52.08JB14

  390. GaN Overgrowth on Thermally Etched Nanoporous GaN Template 査読有り

    Cao Miao, Yoshio Honda, Masahito Yamaguchi, and Hiroshi Amano

    Jpn. J. Appl. Phys.   52 巻 ( 8 ) 頁: 08JB03   2013年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    A thermal-etching process for fabricating nanoporous GaN templates in a metalorganic vapor phase epitaxy (MOVPE) reactor was proposed. Such nanoporous templates exhibited nearly complete strain relaxation and considerably increased photoluminescence (PL) intensity. The threading dislocation density (TDD) after overgrowth was reduced from 3 ×109 to 4 ×108 cm-2 for the template with poor quality, whereas little improvement was observed for the high-quality template (TDD, 4 ×108 cm-2). Multiple quantum wells (MQWs) grown on a nanoporous template fabricated from the high-quality GaN template were used to assess the effect on LED performance. The PL intensity of the sample with a nanoporous structure showed a twofold increase in PL intensity compared with the control sample.

    DOI: 10.7567/JJAP.52.08JB03

  391. Growth of GaN on Si(111) Substrates via a Reactive-Sputter-Deposited AlN Intermediate Layer 査読有り

    Takaya Yamada, Tomoyuki Tanikawa, Yoshio Honda, Masahito Yamaguchi, and Hiroshi Amano

    Japanese Journal of Applied Physics   52 巻   頁: 08JB16   2013年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    GaN films were successfully grown on Si(111) substrates using a reactive-sputter-deposited AlN intermediate layer (sp-AlN) at 350 °C. Uniform GaN growth was obtained with the pre sputtering of a thin Al film before the deposition of sp-AlN. Atomic force microscopy (AFM) measurements revealed that the density of pits originating from the ends of mixed/screw-type dislocations is about 109 cm-2, which is much lower than that of GaN grown on a metalorganic vapor phase epitaxy (MOVPE) deposited AlN intermediate layer. The decrease in the pit density might have originated from the small number of initial nuclei of GaN.

    DOI: 10.7567/JJAP.52.08JB16

  392. Fabrication of InGaN/GaN Multiple Quantum Wells on (1-101) GaN 査読有り

    Tomoyuki Tanikawa, Tomotaka Sano, Maki Kushimoto, Yoshio Honda, Masahito Yamaguchi, and Hiroshi Amano

    Jpn. J. Appl. Phys.   52 巻 ( 8 ) 頁: 08JC05   2013年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    InGaN/GaN multiple quantum wells (MQWs) on semipolar (1101) GaN microstripes on a Si substrate were fabricated and their optical properties were investigated. From cathodoluminescence (CL) analysis, strong CL emission was obtained in an MQW emitting at 433 nm. However, dark lines appeared in MQWs emitting at longer wavelengths. These dark lines are attributed to lattice relaxation and the generation of misfit dislocations and stacking faults in an MQW, resulting in nonradiative centers. The internal quantum efficiency (IQE) was estimated from excitation-power-dependent photoluminescence analysis. The (1101) InGaN/GaN MQW had a high IQE owing to the high crystalline quality of the underlying GaN and the reduced piezoelectric field. The IQE at a carrier concentration of 1×1018 cm-3 in a sample emitting at 490 nm was as high as 90%. The efficiency decreased in a sample with a higher indium content in the MQW due to the generation of misfit dislocations and stacking faults.

    DOI: 10.7567/JJAP.52.08JC05

  393. Stacking Faults and Luminescence Property of InGaN Nanowires 査読有り

    Takuya Tabata, Jihyun Paek, Yoshio Honda, Masahito Yamaguchi, and Hiroshi Amano

    Jpn. J. Appl. Phys.   52 巻 ( 8 ) 頁: 08JE06   2013年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    InGaN nanowires (NWs) were grown on (111)Si substrate using radio-frequency plasma-assisted molecular beam epitaxy, and the density of the stacking faults (SFs) in the InGaN NWs was estimated. High-density SFs were observed in the scanning transmission electron microscopy (STEM) and transmission electron microscopy (TEM) images of the InGaN NWs, and a few zincblende layers appeared in the wurtzite structure. When growth temperature increased, the density of the SFs in the InGaN NW, the photoluminescence (PL) peak wavelength, and the full width at half maximum (FWHM) of PL spectra decreased, whereas the integrated PL intensity increased. These results suggest that a high growth temperature is effective for decreasing the density of SFs in InGaN NWs, and InGaN NWs grown at high temperature have strong PL luminescence due to the low In composition and the corresponding low SFs density.

    DOI: 10.7567/JJAP.52.08JE06

  394. Effects of Nano- and Microscale SiO2 Masks on the Growth of a-Plane GaN Layers on r-Plane Sapphire 査読有り

    Ji-Su Son, Cao Miao, Yoshio Honda, Masahito Yamaguchi, Hiroshi Amano, Yong Gon Seo, Sung-Min Hwang, and Kwang Hyeon Baik

    Jpn. J. Appl. Phys.   52 巻 ( 8 ) 頁: 08JC04   2013年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We report on the combined effects of a-plane GaN layers on a nanoscale patterned insulator on an r-plane sapphire substrate and epitaxial lateral overgrowth (ELOG) techniques. The fully coalescent a-plane GaN layer using nano- and microscale SiO2 masks showed the formation of nano- and microscale voids on the masks, respectively. Atomic force microscopy (AFM) measurements revealed a surface roughness of 0.63 nm and a submicron pit density of 7.8 ×107 cm-2. Photoluminescence (PL) intensity was enhanced by a factor of 9.0 in comparison with that of a planar sample. Omega full-width at half-maximum (FWHM) values of the (1120) X-ray rocking curve along the c- and m-axes were 553 and 788 arcsec, respectively. A plan-view cathodoluminescence (CL) mapping image showed high luminescence intensity on the SiO2 masks.

    DOI: 10.7567/JJAP.52.08JC04

  395. High Internal Quantum Efficiency Blue-Green Light-Emitting Diode with Small Efficiency Droop Fabricated on Low Dislocation Density GaN Substrate 査読有り

    Tomotaka Sano, Tomohiro Doi, Shunko Albano Inada, Tomohiko Sugiyama, Yoshio Honda, Hiroshi Amano, and Takashi Yoshino

    Jpn. J. Appl. Phys.   52 巻 ( 8 ) 頁: 08JK09   2013年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We fabricated blue, blue-green, and green light-emitting diodes (LEDs) of different dislocation densities (DD) and characterized their internal quantum efficiency (IQE). The IQE of the blue LEDs fabricated using GaN substrate exceeded 90 percent, however, when we used a GaN-on-sapphire substrate, IQE was limited to 60 percent. Droop was reduced by use of the GaN substrate. The junction temperature of the GaN-on-sapphire substrate was found to be 200 degreeC although the junction temperature of the GaN substrate was 50 degreeC when a forward current of 100 A/cm2 was driven. A lowering of IQE in green LEDs to 60 percent was observed, even though we used a low-dislocation-density substrate.The junction temperature of blue-green and green LEDs was about 100 degreeC when a forward current of 177 A/cm2 was driven, which indicated that junction temperature is not a major factor for IQE suppression in green LEDs.

    DOI: 10.7567/JJAP.52.08JK09

  396. Growth Mode and Threading Dislocation Behavior of GaN Films Grown on Patterned Sapphire Substrate with Radial Stripe Pattern 査読有り

    Koji Okuno, Takahide Oshio, Naoki Shibata, Yoshio Honda, Masahito Yamaguchi, and Hiroshi Amano

    Jpn. J. Appl. Phys.   52 巻 ( 8 ) 頁: 08JB09   2013年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    A sapphire substrate with a grooved stripe pattern along different radial directions was prepared to investigate the effects of stripe direction on the growth mode and threading dislocation (TD) behavior of GaN films. When the stripe direction is oriented parallel to [1010]sapphire, the GaN films have a triangular structure that is formed by the GaN{1011} facets. As the stripe direction rotates from [1010]sapphire, nanosteps with a step height of around 80 nm are formed on the GaN{1011} facets and then the coalescence of GaN on the ridges and grooves advances. GaN films with a smooth surface and a TD density as low as 2.0×108 cm-2 were achieved when the stripe direction was rotated 3° from [1010]sapphire. Our result indicates that the surface roughness and TD density of GaN films can be controlled by precisely adjusting the angle of the stripe direction from [1010]sapphire.

    DOI: 10.7567/JJAP.52.08JB09

  397. Thick InGaN Growth by Metal Organic Vapor Phase Epitaxy with Sputtered InGaN Buffer Layer 査読有り

    Toshiya Ohata, Yoshio Honda, Masahito Yamaguchi, and Hiroshi Amano

    Jpn. J. Appl. Phys.   52 巻 ( 8 ) 頁: 08JB11   2013年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    A thick InGaN film was grown on a sapphire substrate by metal organic vapor phase epitaxy using a thin buffer layer of sputter-deposited InGaN. A thick film of highly luminescent In0.2Ga0.8N can be successfully grown at a rate as high as 2 µm/h. The crystal quality of InGaN grown on the sputter-deposited InGaN buffer layer was better than that of InGaN grown directly on the sapphire substrate. The sample showed n-type conduction with a carrier concentration and mobility of 5×1018 cm-3 and 3 cm2/(V·s), respectively.

    DOI: 10.7567/JJAP.52.08JB11

  398. Combination of ITO and SiO2/AlN Dielectric Multilayer Reflective Electrodes for UV-Emitting Diodes 査読有り

    Tsubasa Nakashima, Kenichiro Takeda, Hiroshi Shinzato, Motoaki Iwaya, Satoshi Kamiyama, Tetsuya Takeuchi, Isamu Akasaki, and Hiroshi Amano

    Jpn. J. Appl. Phys.   52 巻 ( 8 ) 頁: 08JG07   2013年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We have investigated novel reflective electrodes by combining an ITO layer and a SiO2/AlN dielectric multilayer (DM) for UV-light-emitting diodes (LEDs). The reflectance of 10 pairs of SiO2/AlN DM reached 98.5 percent at 350 nm. As a result, the ITO/DM electrodes simultaneously satisfied the requirements of high reflectivity in the UV region, good contact characteristics, and current spreading. The light output power of the UV LED with an ITO/DM electrode is 1.2 and 1.6 times higher than those of LEDs with ITO/Al and Ni/Au electrodes, respectively.

    DOI: 10.7567/JJAP.52.08JG07

  399. GaN Nanowires Grown on a Graphite Substrate by Radio Frequency Molecular Beam Epitaxy 査読有り

    Shinta Nakagawa, Takuya Tabata, Yoshio Honda, Masahito Yamaguchi, and Hiroshi Amano

    Jpn. J. Appl. Phys.   52 巻 ( 8 ) 頁: 08JE07   2013年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Self-assembled GaN and InGaN nanowires (NWs) were directly grown on a highly oriented pyrolytic graphite (HOPG) substrate by radio frequency molecular beam epitaxy (RF-MBE). The GaN NWs grown on HOPG had a shorter length and larger diameter than those grown conventionally on a Si(111) substrate based on the analysis of scanning electron microscopy (SEM) images. They were also found to have good crystalline qualities with few stacking faults, as determined by scanning transmission electron microscopy (STEM) analysis. Photoluminescence (PL) measurements at room temperature indicated that the luminescence intensity of the GaN NWs on HOPG is stronger than that of NWs grown on a Si(111) substrate; however, the InGaN NWs on both substrates showed similar tendencies with respect to the shift of the luminescence wavelength as a function of the growth temperature. While In incorporation decreased as the growth temperature increased, the uniformity of the InGaN NW arrays improved rapidly.

    DOI: 10.7567/JJAP.52.08JE07

  400. Concentrating Properties of Nitride-Based Solar Cells Using Different Electrodes 査読有り

    Mikiko Mori, Shinichiro Kondo, Shota Yamamoto, Tatsuro Nakao1, Motoaki Iwaya, Tetsuya Takeuchi, Satoshi Kamiyama, Isamu Akasaki, and Hiroshi Amano

    Jpn. J. Appl. Phys.   52 巻 ( 8 ) 頁: 08JH02   2013年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We investigated the concentration properties of GaInN-based solar cells using different window electrodes. A significant difference was observed between the concentrating properties of the window electrode structures. It was clearly found that indium tin oxide (ITO) is suitable as an electrode. The short-circuit current density, open-circuit voltage, fill factor, and energy conversion efficiency of nitride-based solar cells fabricated using an ITO electrode were 7.1×102 mA/cm2, 2.2 V, 79%, and 4.0%, respectively, under an air mass filter of 1.5G at 300 suns and at room temperature.

    DOI: 10.7567/JJAP.52.08JH02

  401. Emission Wavelength Dependence of Internal Quantum Efficiency in InGaN Nanowires 査読有り

    Hideaki Murotani, Hiroya Andoh, Takehiko Tsukamoto, Toko Sugiura, Yoichi Yamada, Takuya Tabata, Yoshio Honda, Masatoshi Yamaguchi, and Hiroshi Amano

    Jpn. J. Appl. Phys.   52 巻 ( 8 ) 頁: 08JE10   2013年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The internal quantum efficiency (IQE) of InGaN nanowires with different emission wavelength of 485, 515, 555, and 580 nm has been studied by means of photoluminescence (PL) spectroscopy. It was found from the analysis of IQE as a function of excitation power density that the IQE was unchanged at about 100% under weak excitation conditions at low temperature. This indicated that the effects of nonradiative recombination processes were negligibly small at low temperature. Moreover, the IQE increased from 5 to 12% with increasing emission wavelength from 485 to 580 nm. Since the clear correlation between the IQE and the PL blue shift due to band filling effects of localized states was observed, the increase in the IQE reflected the increase in the effect of exciton localization with increasing indium composition.

    DOI: 10.7567/JJAP.52.08JE10

  402. Luminescence of Acceptors in Mg-Doped GaN 査読有り Open Access

    Bo Monemar, Sergey Khromov, Galia Pozina, Plamen Paskov, Peder Bergman, Carl Hemmingsson, Lars Hultman, Hiroshi Amano, Vitaliy Avrutin, Xing Li, and Hadis Morkoç

    Jpn. J. Appl. Phys.   52 巻 ( 8 ) 頁: 08JJ03   2013年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    It is concluded that the typical PL peaks at 3.466 eV (ABE1)and the broader 3.27 eV DAP PL are the expected standard PL signatures of the substitutional Mg acceptor. Additional broader peaks at 3.455 eV (ABE2) and 3.1 eV are suggested to be related to the same acceptors perturbed by nearby basal plane stacking faults. The low temperature metastability of PL spectra is assigned to a nonradiative metastable deep level.

    DOI: 10.7567/JJAP.52.08JJ03

  403. Analysis of Broken Symmetry in Convergent-Beam Electron Diffraction along <11-20 > and <1-100 > Zone-Axes of AlN for Polarity Determination 査読有り

    Masataka Imura, Ujjal Gautam, Kiyomi Nakajima, Yasuo Koide, Hiroshi Amano, and Kenji Tsuda

    Jpn. J. Appl. Phys.   52 巻 ( 8 ) 頁: 08JE15   2013年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    To accurately and easily determine the polarity of AlN using transmission electron microscopy, we compare the convergent-beam electron diffraction (CBED) patterns along the widely used <1120 > and <1100 > zone-axes. For the <1120 > zone-axis, the diffraction disk of g= 0002 differs from that of g= 0002, while for <1100 >, the diffraction disks of g= 0002 and 0002 are similar. The preferential clarity of these two disks is explained using Bloch-wave dynamical theory. To further support the explanation, we compare the results of GaN case. On the basis of our analysis, we conclude that the CBED patterns of the <1120 > zone-axis are more useful for accurately determining AlN polarity compared to the CBED patterns along the <1100 > zone-axis.

    DOI: 10.7567/JJAP.52.08JE15

  404. Microstructure Analysis of AlGaN on AlN Underlying Layers with Different Threading Dislocation Densities 査読有り

    Kimiyasu Ide, Yuko Matsubara, Motoaki Iwaya, Satoshi Kamiyama, Tetsuya Takeuchi, Isamu Akasaki, and Hiroshi Amano

    Jpn. J. Appl. Phys.   52 巻 ( 8 ) 頁: 08JE22   2013年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Using the epitaxial lateral growth technique, we compared the crystallinity and relaxation ratio of 3-µm- and 200-nm-thick Al0.5Ga0.5N on an AlN template and AlN grown by epitaxial lateral overgrowth (ELO-AlN), both of which were grown on a sapphire substrate. Although the relaxation ratios of 3-µm-thick Al0.5Ga0.5N were almost the same, the misfit dislocation density at the interface and the density of threading dislocations reaching the surface of Al0.5Ga0.5N were significantly different. Also, the increase in the density of newly generated misfit dislocations was found to be highly dependent on the quality of the AlN underlying layer. We also discuss the difference in the initial growth mode of each Al0.5Ga0.5N sample.

    DOI: 10.7567/JJAP.52.08JE22

  405. AlN/air distributed Bragg reflector by GaN sublimation from microcracks of AlN 査読有り

    T. Mitsunari, T. Tanikawa, Y. Honda, M. Yamaguchi, H. Amano

    Journal of Crystal Growth   370 巻 ( 1 ) 頁: 16-21   2013年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The GaN/AlN multilayer structure was grown on a stripe-patterned and dot-patterned Si substrate, and subsequently annealed at 1200 °C in H2 and NH3 atmosphere. We achieved an AlN/air structure by the decomposition of GaN from the microcracks of AlN. Partially crack-free AlN layers were observed over a 5×5 μm area in the c-plane of AlN/air DBRs.

    DOI: 10.1016/j.jcrysgro.2012.09.062

  406. Progress and Prospect of the Growth of Wide-Band-Gap Group III Nitrides: Development of the Growth Method for Single-Crystal Bulk GaN 査読有り

    Hiroshi Amano

    Japanese journal of applied physics   52 巻 ( 5 ) 頁: 050001-1-050001-10   2013年5月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    With the continuously increasing demand for the conservation and generation of energy, bulk substrates of group III nitride semiconductors are highly expected to maximize their potential. In this report, I review the current status of the growth methods for bulk GaN single crystals used for substrates as well as summarize the characteristics of blue light-emitting diodes (LEDs), heterojunction field-effect transistors (HFETs), and photovoltaic cells on GaN substrates.

    DOI: 10.7567/JJAP.52.050001

  407. Structural evolution of AlN buffer and crystal quality of GaN films on a- and c-sapphire grown by metalorganic vapor phase epitaxy 査読有り

    Okuno, Koji; Oshio, Takahide; Shibata, Naoki; Honda, Yoshio; Yamaguchi, Masahito; Tanaka, Shigeyasu; Amano, Hiroshi

    Physica Status Solidi C   10 巻 ( 3 ) 頁: 369-372   2013年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 0.1002/pssc.201200587

  408. Effects of low energy e-beam irradiation on cathodoluminescence from GaN 査読有り

    Suihkonen, S.; Nykaenen, H.; Tanikawa, T.; Yamaguchi, M.; Honda, Y.; Amano, H.

    Physica Status Solidi A   210 巻 ( 2 ) 頁: 383-385   2013年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssa.201228457

  409. Development of High-Density Nitrogen Radical Source for Low Mosaicity and High Rate Growth of InGaN Films in Molecular Beam Epitaxy 査読有り

    Chen, S ; Kawai, Y ; Kondo, H ; Ishikawa, K ; Takeda, K ; Kano, H ; Sekine, M ; Amano, H Hori, M

    Japanese Journal of Applied Physics   52 巻 ( 2 ) 頁: 021001-021006   2013年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    A high-density radical source (HDRS) was developed by optimizing the antenna structure and introducing an external magnetic field to plasma. Nitrogen radical generation by the HDRS at a density of 2.3 ×1012 atoms cm-3, which was one order higher than that for the conventional radical source (CRS), was achieved. The HDRS- and CRS-assisted InGaN growth in molecular beam epitaxy (MBE) was carried out. For the HDRS case, a diffraction peak in the X-ray rocking curve of the grown InGaN films showed a narrower peak, which width below 600 arcsec even with a high growth rate of 1.4 µm/h for InGaN. MBE with the assistance of HDRS has a great potential in the growth of nitride films with a lower mosaicity and a higher growth rate.

    DOI: 10.7567/JJAP.52.021001

  410. Surface potential effect on excitons in AlGaN/GaN quantum well structures 査読有り

    Pozina, G.; Hemmingsson, C.; Amano, H.; Monemar, B.

    Applied Physics Letters   102 巻 ( 8 ) 頁: 082110/1-082110/4   2013年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4793568

  411. Individual roles of atoms and ions during hydrogen plasma passivation of surface defects on GaN created by plasma etching 査読有り

    Chen, Shang; Ishikawa, Kenji; Lu, Yi; Kometani, Ryosuke; Kondo, Hiroki; Tokuda, Yutaka; Egawa, Takashi; Amano, Hiiroshi; Sekine, Amano; Hori, Masaru

    Japanese Journal of Applied Physics   51 巻 ( 11 ) 頁: 111002/1-11102/5   2012年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    In the recovery of photoluminescence intensities for band-edge emissions at around 3.47 eV in the case of gallium nitride (GaN), we have studied the individual roles of hydrogen atoms (H) and hydrogen ions (H-n(+)). Surface defects such as nitrogen vacancies created by plasma etching were passivated by H termination. By utilizing hydrogen plasmas, we clarified the recovery efficiency by optical and stoichiometrical improvements with respect to the balance between the fluxes of H and H-n(+). By deflecting H-n(+) by applying an electric field, the efficiency was improved using an identical H dosage, since the simultaneous irradiation of the energetic H-n(+) promoted the desorption of the formed passivated Ga-H bonds.

    DOI: 10.1143/JJAP.51.111002

  412. Correlation between device performance and defects in GaInN-based solar cells 査読有り

    Mori, Mikiko; Kondo, Shinichiro; Yamamoto, Shota; Nakao, Tatsuro; Fujii, Takahiro; Iwaya, Motoaki; Takeuchi, Tetsuya; Kamiyama, Satoshi; Akasaki, Isamu; Amano, Hiroshi

    Applied Physics Express   5 巻 ( 8 ) 頁: 082301/1-082301/3   2012年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We investigated the correlation between the device performance and defects, such as V-shaped pits and threading dislocations, in GaInN-based solar cells. To realize high-performance GaInN-based solar cells with a high open-circuit voltage and fill factor, it is essential to realize a low pit density of less than 10(7) cm(-2). In this study, we were unable to observe clear evidence of any effect of the threading dislocation density in the GaN underlying layer.

    DOI: 10.1143/APEX.5.082301

  413. MOVPE growth of nonpolar a-plane GaN with low oxygen contamination and specular surface on a freestanding GaN substrate 査読有り

    Yasuhiro Isobe, Hiromichi Ikki, Tatsuyuki Sakakibara, Motoaki Iwaya, Tetsuya Takeuchi, Satoshi Kamiyama, Isamu Akasaki,Takayuki Sugiyama, Hiroshi Amano, Mamoru Imade, Yusuke Mori

    Journal of Crystal Growth   351 巻 ( 1 ) 頁: 126-130   2012年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We investigated unintentionally doped nonpolar a- and m-plane GaN layers grown by metalorganic vapor phase epitaxy under several sets of conditions on freestanding a- and m-plane GaN substrates. Oxygen contamination in a-plane GaN is greatly reduced by increasing the V/III ratio during growth. As a result, a high-resistivity GaN buffer layer for an AlGaN/GaN heterostructure field-effect transistor was realized.

    DOI: 10.1016/j.jcrysgro.2012.04.030

  414. In-situ void formation technique using an AlN shell structure grown on GaN stripes on Si(111) and c-plane sapphire substrates 査読有り

    Mitsunari, Tadashi; Tanikawa, Tomoyuki; Honda, Yoshio; Yamaguchi, Masahito; Amano, Hiroshi

    Physca Status Solidi c   9 巻 ( 3-4 ) 頁: 480-483   2012年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We proposed an in-situ void formation technique using high-temp. AlN growth on GaN stripes in order to reduce residual stress. Microcracks were obsd. during the growth of AlN; the GaN stripes then sublimated from the microcracks while keeping the AlN shell, resulting in void formation in the AlN shell structure. After GaN regrowth on this AlN shell structure, we successfully coalesced the GaN stripes to form a smooth, thick GaN layer having voids at the GaN/substrate interface. Raman spectroscopy confirmed that the residual tensile stress was decreased. The thermal stress decreased owing to the sepn. between the GaN layer and a foreign substrate.

    DOI: 10.1002/pssc.201100502

  415. Small current collapse in AlGaN/GaN HFETs on a-plane GaN self-standing substrate 査読有り

    T. Sugiyama, Y. Honda, M. Yamaguchi, H. Amano, Y. Isobe, M. Iwaya, T. Takeuchi, S. Kamiyama, I. Akasaki, M. Imade, Y. Kitaoka, Y. Mori

    Physica Status Solidi c   9 巻 ( 3-4 ) 頁: 875-878   2012年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We measured drain bias stress effects and current collapse in AlGaN/ GaN heterostructure field-effect transistors (HFETs) on a-plane and c-plane GaN substrates. An a-plane AlGaN/GaN HFET (a-HFET) shows small current collapse with a threshold voltage (Vth = -1.8 V). On the other hand, a c-plane HFET (c-HFET) with the same barrier thickness (20 nm) shows a small current collapse, although Vth was neg. large (Vth = -4 V). Current collapse in a-HFET was not large compared with that in conventional c-HFET on GaN. A c-HFET on sapphire was also measured. The current collapses in HFETs on sapphire were larger than that in HFETs on a GaN substrate. The current collapses in the thin-barrier c-HFET (Vth = -1.8 V) were particularly large. Therefore, an a-plane device is promising for a small or pos. Vth with small current collapse.

    DOI: 10.1002/pssc.201100397

  416. Laser lift-off of AlN/sapphire for UV light-emitting diodes 査読有り

    Aoshima, Hiroki; Takeda, Kenichiro; Takehara, Kosuke; Ito, Shun; Mori, Mikiko; Iwaya, Motoaki; Takeuchi, Tetsuya; Kamiyama, Satoshi; Akasaki, Isamu; Amano, Hiroshi

    Physica Status Solidi C   9 巻 ( 3-4 ) 頁: 753-756   2012年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We report on laser lift-off (LLO) of AlN/sapphire for UV light-emitting diodes (LEDs). Underfill between chip and submount is a key factor for the successful LLO of AlN/sapphire. We fabricated thin-film-flip-chip UV LEDs with a peak wavelength of 343 nm using the LLO. Moreover surface texturing was carried out on the exfoliated AlN surface. The light output power from the UV LED after the LLO and the surface texture at exfoliated surface is 1.7 times higher than that before the LLO at 20 mA.

    DOI: 10.1002/pssc.201100491

  417. Growth of InGaN nanowires on a (111)Si substrate by RF-MBE 査読有り

    Tabata, Takuya; Paek, Jihyun; Honda, Yoshio; Yamaguchi, Masahito; Amano, Hiroshi

    Physica Status Solidi c   9 巻 ( 3-4 ) 頁: 646-649   2012年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We have grown InGaN nanowires (NWs) on a (111)Si substrate directly by radio-frequency plasma-assisted mol. beam epitaxy (RF-MBE), and investigated their In compositional distribution by energy dispersive x-ray spectroscopy (EDX). The In compn. line profiles of InGaN NWs measured by EDX are different at each position in the InGaN NWs, and In is most easily incorporated into the top of NWs. The In compn. at the top of the NWs depends on the growth temp. and the In flux ratio. However, the In compns. at other positions is not dependent on these factors. Thus, these results might be due to the limited diffusion of In atoms from the top of the NWs towards the NWs/Si interface.

    DOI: 10.1002/pssc.201100446

  418. Fabrication of AlInN/AlN/GaInN/GaN heterostructure field-effect transistors 査読有り

    Kazuya Ikeda, Yasuhiro Isobe, Hiromichi Ikki, Tatsuyuki Sakakibara, Motoaki Iwaya, Tetsuya Takeuchi, Satoshi Kamiyama, Isamu Akasaki, Hiroshi Amano

    Physica Status Solidi c   9 巻 ( 3-4 ) 頁: 942-944   2012年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We report on the electrical properties of AlInN/GaInN
    heterostructures fabricated with InN molar fractions of 0 to 0.6 in the GaInN layer. High-density two-dimensional electron gases are formed near the interfaces of AlInN/AlN/GaInN at InN molar fractions of 0.3 and 0.6.
    The Al0.82In0.18N/AlN/Ga0.4In0.6N/GaN heterostructure
    field-effect transistors exhibited static characteristics.
    The maximum drain-source current reached a value of
    0.26 A/mm.

    DOI: 10.1002/pssc.201100492

  419. High carrier concentration in high Al-composition AlGaN-channel HEMTs 査読有り

    Hashimoto, Shin; Akita, Katsushi; Yamamoto, Yoshiyuki; Ueno, Masaki; Nakamura, Takao; Yafune, Norimasa; Sakuno, Keiichi; Tokuda, Hirokuni; Kuzuhara, Masaaki; Takeda, Kenichiro; Iwaya, Motoaki; Amano, Hiroshi

      9 巻 ( 2 ) 頁: 373-376   2012年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    High Al-compn. (Al = 51%) and low Al-compn. (Al = 20%) AlGaN-channel high-electron-mobility transistors (HEMTs) on AlN layers with very high carrier concn. were demonstrated. In two types of HEMTs, 2-dimensional electron gases (2DEG) were clearly obsd. and peak carrier concn. and sheet carrier concn. were approx. 1020 cm-3 and higher than 2 × 1013 cm-2, resp. From the X-ray diffraction (XRD) measurements, it was obsd. that the AlGaN channel layers on the AlN layers were partially relaxed and the degree of relaxation decreased with increasing Al-compn. of AlGaN channel layers. Therefore the misfit dislocations in the high Al-compn. HEMTs were considered to be lower than those in the low Al-compn. HEMTs. Furthermore, it was revealed that very high Al-compn. of AlGaN barrier layers can be grown coherently on the AlGaN channel layers in consequence of the partly relaxation of the AlGaN channel layers, which of lattice consts. of a-axis were smaller than that of fully relaxed AlGaN channel layers. Therefore very high carrier concn. of 2DEG can be obtained in spite of the high Al-compn. of AlGaN channel layers. We considered that this high carrier concn. of 2DEG was necessary to demonstrate high Al-compn. AlGaN-channel HEMTs.

    DOI: 10.1002/pssc.201100289

  420. 窒化物ワイドギャップ半導体の現状と展望  ―バルクGaN単結晶成長技術開発の観点から― 査読有り Open Access

    天野 浩

    応用物理   81 巻 ( 6 ) 頁: 455-463   2012年6月

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    GaNのバルク成長の歴史と展望を概説した。

    DOI: 10.11470/oubutsu.81.6_455

    Open Access

  421. Crack-Free AlN/GaN Distributed Bragg Reflectors on AlN Templates 査読有り

    Kouta Yagi, Mitsuru Kaga, Kouji Yamashita, Kenichirou Takeda, Motoaki Iwaya, Tetsuya Takeuchi, Satoshi Kamiyama, Hiroshi Amano, and Isamu Akasaki

    Japanese Journal of Applied Physics   51 巻   頁: 051001   2012年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We have demonstrated crack-free 30-pair-AlN/GaN distributed Bragg reflectors (DBRs) grown on AlN templates for the first time. A reasonably high reflectivity of 97.5% was obtained. X-ray diffraction measurements revealed that the AlN templates cause compressive stress in DBRs. At the same time, the AlN/GaN DBRs were found to relax to average AlGaN alloys with AlN mole fractions determined by the thickness ratio of the AlN layer to one pair of AlN and GaN in DBRs regardless of the underlying template, AlN or GaN.

    DOI: 10.1143/JJAP.51.051001

  422. Growth of GaN and AlGaN on (100) beta-Ga2O3 substrates 査読有り

    Shun Ito, Kenichiro Takeda, Kengo Nagata, Hiroki Aoshima, Kosuke Takehara, Motoaki Iwaya, Tetsuya Takeuchi, Satoshi Kamiyama, Isamu Akasaki, Hiroshi Amano

      9 巻 ( 3-4 ) 頁: 519-522   2012年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The crystalline quality of GaN and Al0.08Ga0.92N epitaxial layers on (100) β-Ga2O3 substrates was significantly improved by the facet-controlled growth method. The facets were controlled by changing the nitrogen ambient thermal annealing temperature. We demonstrated the highcrystalline-quality GaN and Al0.08Ga0.92N on β-Ga2O3 substrates, which were comparable to GaN and AlGaN on sapphire substrates using low-temperature buffer layers.
    This method is useful for the fabrication of verticaltype ultraviolet (UV) light-emitting diodes (LEDs) on β-Ga2O3 substrates.

    DOI: 10.1002/pssc.201100499

  423. Properties of nitride-based photovoltaic cells under concentrated light illumination 査読有り

    Shota Yamamoto, Mikiko Mori, Yosuke Kuwahara, Takahiro Fujii, Tatsuo Nakao, Shinichiro Kondo, Motoaki Iwaya, Tetsuya Takeuchi, Satoshi Kamiyama, Isamu Akasaki, Hiroshi Amano

    Physica Status Solidi Rapid Research Letter   6 巻 ( 4 ) 頁: 145-147   2012年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We investigated the properties of nitride-based solar cells under concentrated light illumination from 1 to 200 suns. The conversion efficiency of our solar cells increased with increasing concentration up to 200 suns. The short-circuit cur- rent density, open-circuit voltage, fill factor, and conversion efficiency were 510 mA/cm2, 1.9 V, 70%, and 3.4%, respectively, under an air mass filter of 1.5G at 200 suns and room temperature. (© 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim)

    DOI: DOI: 10.1002/pssr.201206038

  424. Development of AlN/diamond heterojunction field effect transistors 査読有り

    Masataka Imura, Ryoma Hayakawa, Hirotaka Ohsato, Eiichiro Watanabe, Daiju Tsuya, Takahiro Nagata, Meiyong Liao, Yasuo Koide, Jun-ichi Yamamoto, Kazuhito Ban, Motoaki Iwaya, Hiroshi Amano

    Diamond and Related Materials   24 巻   頁: 206-209   2012年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    AlN/diamond heterojunction field effect transistors with p-channel and normally-on depletion mode are developed, and the device performance is improved by reducing the contact resistances at source and drain contacts reported previously. The heterojunction structure is constructed from a c-axis-oriented AlN epilayer grown on oxygen-terminated (111) diamond substrate by metal-organic vapor phase epitaxy at temperatures as high as 1250 °C. Thermal treatment in the mixed hydrogen (H2) and ammonia atmosphere just before AlN growth improves the AlN adhesion to diamond surface. In addition, this treatment simultaneously produces a much larger surface hole-carrier density than that obtained by conventional H2-plasma treatment. X-ray photoelectron spectroscopy reveals the existence of carbon-nitrogen bonds at the diamond surface, and these may be responsible for such a large hole density. These results are promising in relation to new opportunities for developing diamond-based power electronic devices.

    DOI: 10.1016/j.diamond.2012.01.020

  425. Indium-Tin Oxide/Al eflective Electrodes for Ultraviolet Light-Emitting Diodes 査読有り

    Kosuke Takehara, Kenichiro Takeda, Shun Ito, Hiroki Aoshima, Motoaki Iwaya, Tetsuya Takeuchi, Satoshi Kamiyama, Isamu Akasaki, and Hiroshi Amano

    Japanese Journal of Applied Physics   51 巻   頁: 042101   2012年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.51.042101

  426. Indium-Tin oxide/Al reflective electrodes for ultraviolet light-emitting diodes 査読有り

    Takehara, Kosuke; Takeda, Kenichiro; Ito, Shun; Aoshima, Hiroki; Iwaya, Motoaki; Takeuchi, Tetsuya; Kamiyama, Satoshi; Akasaki, Isamu; Amano, Hiroshi

      51 巻 ( 4 ) 頁: 042101/1-042101/4   2012年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We investigated indium-tin oxide (ITO)/Al reflective electrodes for improving the light extraction efficiency of UV light-emitting diodes (LEDs). The ITO layer showed high transparency in the UV region upon optimization of the thickness and annealing temperature. As a result, the ITO/Al electrode exhibited both high reflectivity in the UV region and good contact characteristics simultaneously. Using this electrode, we succeeded in improving the light output power of a 350 nm UV-A LED.

    DOI: 10.1143/JJAP.51.042101

  427. Strain relaxation in thick (1-101) InGaN grown on GaN/Si substrate 査読有り

    Tomoyuki Tanikawa, Yoshio Honda, Masahito Yamaguchi, Hiroshi Amano, Nobuhiko Sawaki

    Physica Status Solidi b   249 巻   頁: 468-471   2012年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: DOI: 10.1002/pssb.201100445

  428. Enhancement of two-dimensional electron gases in AlGaN-channel high-electron-mobility transistors with AlN barrier layers 査読有り

    Hashimoto Shin; Akita Katsushi; Yamamoto Yoshiyuki, HIroshi Amano

    Physica Status Solidi a   209 巻   頁: 501-504   2012年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: DOI: 10.1002/pssa.201100379

  429. Improvement of Light Extraction Efficiency for AlGaN-Based Deep Ultraviolet Light-Emitting Diodes 査読有り

    Tetsuhiko Inazu, Shinya Fukahori, Cyril Pernot, Myung Hee Kim, Takehiko Fujita, Yosuke Nagasawa, Akira Hirano, Masamichi Ippommatsu, Motoaki Iwaya, Tetsuya Takeuchi, Satoshi Kamiyama, Masahito Yamaguchi, Yoshio Honda, Hiroshi Amano, and Isamu Akasaki

    Japanese Journal of Applied Physics   50 巻   頁: 122101   2011年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    AlGaN-based deep ultraviolet light-emitting diodes (LEDs) with aluminum reflective electrodes deposited to cover both p- and n-mesh contact electrodes have been fabricated. A 1.55-fold increase in light extraction efficiency has been demonstrated. Despite their reduced contact area, the LEDs exhibited only a slight increase in forward voltage of 0.45 V at 20 mA. Also, their 50% lifetime was estimated to be about 10,000 h at 20 mA DC at room temperature by extrapolation. Owing to the reflective electrodes, a 288 nm LED with external quantum efficiency as high as 5.4% was achieved. The light output power was 4.6 mW at 20 mA.

    DOI: 10.1143/JJAP.50.122101

  430. Dependence of Resonance Energy Transfer on Exciton Dimensionality 査読有り Open Access

    Jan Junis Rindermann, Galia Pozina, Bo Monemar, Lars Hultman, Hiroshi Amano, and Pavlos G. Lagoudakis

    Physical Review Letters   107 巻   頁: 236805   2011年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We investigate the dependence of resonance energy transfer from Wannier-Mott excitons to an organic overlayer on exciton dimensionality. We exploit the excitonic potential disorder in a single quantum well to tune the balance between localized and free excitons by scaling the Boltzmann distribution of excitons through temperature. Theoretical calculations predict the experimentally observed temperature dependence of resonance energy transfer and allow us to quantify the contribution of localized and free excitons. We show that free excitons can undergo resonance energy transfer with an order of magnitude higher rate compared to localized excitons, emphasizing the potential of hybrid optoelectronic devices utilizing resonance energy transfer as a means to overcome charge transfer related limitations.

    DOI: 10.1103/PhysRevLett.107.236805

  431. Fabrication of Nonpolar a-Plane Nitride-Based Solar Cell on r-Plane Sapphire Substrate 査読有り

    Applied Physics Express   4 巻   頁: 101001   2011年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    サファイアr面基板上に成長した窒化物太陽光発電素子に関する初めての論文。

    DOI: 10.1143/APEX.4.101001

  432. AlGaN-Based Deep Ultraviolet Light-Emitting Diodes Fabricated on Patterned Sapphire Substrates 査読有り

    Myunghee Kim, Takehiko Fujita, Shinya Fukahori, Tetsuhiko Inazu, Cyril Pernot, Yosuke Nagasawa, Akira Hirano, Masamichi Ippommatsu, Motoaki Iwaya, Tetsuya Takeuchi, Satoshi Kamiyama, Masahito Yamaguchi, Yoshio Honda, Hiroshi Amano, and Isamu Akasaki

    Applie Physics Express   4 巻   頁: 092102   2011年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/APEX.4.092102

  433. AlGaN/GaN Heterostructure Field-Effect Transistors on Fe-Doped GaN Substrates with High Breakdown Voltage 査読有り

    Yoshinori Oshimura, Takayuki Sugiyama, Kenichiro Takeda, Motoaki Iwaya, Tetsuya Takeuchi, Satoshi Kamiyama, Isamu Akasaki and Hiroshi Amano

    Japanese Journal of Applied Physics   50 巻   頁: 084102   2011年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    lGaN/GaN heterostructure field-effect transistors (HFETs) were fabricated by metalorganic vapor phase epitaxy (MOPVE) on c-plane Fe-doped GaN (GaN:Fe) substrates with different growth conditions of GaN buffer layers. The GaN buffer layers were grown at a V/III ratio of 272 at 300 Torr to realize highly resistive GaN buffer layers with automatic carbon doping. HFETs with carbon-doped GaN buffer layers showed the best characteristics among all the samples in this study. The carbon concentration was 5×1016 cm-3. In the sample with a gate-drain length of 50 µm, the off-state breakdown voltage was 2 kV or higher and the on-resistance was 18.5 mΩ·cm2. We found that the carbon-doped GaN buffer layers are necessary for high breakdown voltages even using semi-insulating GaN:Fe substrates.

    DOI: 10.1143/JJAP.50.084102

  434. Luminescence related to high density of Mg-induced stacking faults in homoepitaxially grown GaN 査読有り Open Access

    S. Khromov, C. G. Hemmingsson, H. Amano, B. Monemar, L. Hultman, and G. Pozina

    Pysical Review   B84 巻   頁: 075324   2011年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1103/PhysRevB.84.075324

  435. Reduction in threshold current density of 355 nm UV laser diodes 査読有り

    Nagata, Kengo; Takeda, Kenichiro; Nonaka, Kentaro; Ichikawa, Tomoki; Iwaya, Motoaki; Takeuchi, Tetsuya; Kamiyama, Satoshi; Akasaki, Isamu; Amano, Hiroshi; Yoshida, Harumasa; et al

    Physica Status Solidi C   8 巻 ( 5 ) 頁: 1564-1568   2011年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We have investigated the characteristics of 355 nm UV laser diodes. Two laser diode structures were prepd. on a grooved AlGaN template as well as on a flat AlGaN template. The threading dislocation densities on the grooved and flat AlGaN were 2.5 × 108 cm-2 and 6.5 × 109 cm-2, resp. The threshold c.d. of the laser diode on the grooved AlGaN was 6 kA/cm2, while no lasing was obsd. from the laser diode on the flat AlGaN. We also estd. the internal quantum efficiency as well as the injection efficiency in the UV laser diode structures by considering internal quantum efficiency of both optical and elec. excitation. At a carrier d. of 1.2 × 1019 cm-3, the internal quantum efficiency of the laser diode structure on the grooved AlGaN was about 50%. This is twice that of the laser diode on the flat AlGaN. At the same time, the internal quantum efficiency estd. from the optical excitation also reached about 50%, even at a carrier d. of 3 × 1018 cm-3. This implies that the injection efficiency in our UV laser diode structure was only 25%. Improving the injection efficiency should be effective for significantly reducing the threshold c.d.

    DOI: 10.1002/pssc.201001119

  436. Transparent electrode for UV light-emitting-diodes 査読有り

    Takehara, Kosuke; Takeda, Kenichiro; Nagata, Kengo; Sakurai, Hisashi; Ito, Shun; Iwaya, Motoaki; Takeuchi, Tetsuya; Kamiyama, Satoshi; Akasaki, Isamu; Amano, Hiroshi

    Physica Status Solidi C   8 巻 ( 7-8 ) 頁: 2375-2377   2011年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We have investigated the transparent electrodes using indium tin oxide (ITO) for UV light-emitting diodes (LEDs). The carrier concn. of ITO was increased from 1.1 × 1018 to 1.5 × 1021 cm-3 after annealing under N2 ambient at 600 °C. The fundamental absorption edge was also shifted to a shorter wavelength owing to the increase in carrier concn., through the so-called the Burstein-Moss effect. The annealed ITO forms an ohmic contact with p-GaN with a specific contact resistance of 1.2 × 10-3 Ωcm2. The light output power of a 350 nm LED with the annealed ITO contact is 1.2 times higher than that with a conventional Ni/Au contact.

    DOI: 10.1002/pssc.201001148

  437. Achieving high-growth-rate in GaN homoepitaxy using high-density nitrogen radical source 査読有り

    Kawai, Yohjiro; Chen, Shang; Honda, Yoshio; Yamaguchi, Masahito; Amano, Hiroshi; Kondo, Hiroki; Hirmatsu, Mineo; Kano, Hiroyuki; Yamakawa, Koji; Den, Shoji; et al

    Physica Status Solidi C   8 巻 ( 7-8 ) 頁: 2089-2091   2011年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The key issue in GaN growth by radio-frequency plasma-assisted mol. beam epitaxy is the low growth rate compared with that obtained using an ammonia source. To reduce the processing time and to improve the cryst. quality of the epilayer, a high-d. radical source (HDRS) with high stability has been developed. The growth rate of the GaN epilayer was improved using the HDRS rather than a conventional radical source. During the growth, a sharp streak pattern obtained by RHEED was maintained. An atomically smooth surface was confirmed by at. force microscopy observation.

    DOI: 10.1002/pssc.201000969

  438. AlGaN/GaInN/GaN heterostructure field-effect transistor 査読有り

    Ikki, Hiromichi; Isobe, Yasuhiro; Iida, Daisuke; Iwaya, Motoaki; Takeuchi, Tetsuya; Kamiyama, Satoshi; Akasaki, Isamu; Amano, Hiroshi; Bandoh, Akira; Udagawa, Takashi

    Physica Status Solidi A   208 巻 ( 7 ) 頁: 1614-1616   2011年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We report on the elec. properties of AlGaN/GaInN heterostructures fabricated with various InN molar fractions from 0 to 0.60 in GaInN on a GaN template. The sheet carrier d. of the AlGaN/GaInN heterostructure monotonically increased with increasing InN molar fraction in GaInN, reaching 5.0 × 1013 cm-2 at an InN molar fraction of 0.60. The Al0.30Ga0.70N/Ga0.40In0.60N heterostructure exhibited static field-effect transistor (FET) characteristics.

    DOI: 10.1002/pssa.201001153

  439. Development of high efficiency 255-355 nm AlGaN-based light-emitting diodes 査読有り

    Pernot, Cyril; Fukahori, Shinya; Inazu, Tetsuhiko; Fujita, Takehiko; Kim, Myunghee; Nagasawa, Yosuke; Hirano, Akira; Ippommatsu, Masamichi; Iwaya, Motoaki; Kamiyama, Satoshi, Amano Hiroshi; et al

    Physica Status Solidi A:   208 巻 ( 7 ) 頁: 1594-1596   2011年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We report on the fabrication and characterization of high efficiency UV light emitting diodes (LEDs) with emission wavelength ranging from 255 to 355 nm. Epi-layers of UV LEDs were grown on AlGaN templates with sapphire substrates. Flip-chip configuration without removing sapphire is used for characterization of the UV LEDs. External quantum efficiencies (EQEs) over 3% were obtained for all the investigated wavelengths with max. value reaching 5.1% for 280 nm LED. Under RT DC operation at a current of 500 mA, output powers of 38, 77, and 64 mW were measured for 257, 280, and 354 nm, resp. By using enhanced light extn. technologies, such as, moth-eye structure on the back side of the sapphire substrate, we expect to improve these values by up to 50%.

  440. Drain bias stress and memory effects in AlGaN/GaN heterostructure field-effect transistors with p-GaN gate 査読有り

    Sugiyama, Takayuki; Honda, Yoshio; Yamaguchi, Masahito; Amano, Hiroshi; Oshimura, Yoshinori; Iida, Daisuke; Iwaya, Motoaki; Akasaki, Isamu

    Physica Status Solidi C   8 巻 ( 7-8 ) 頁: 2424-2426   2011年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We measured the drain bias stress effects in normally off mode AlGaN/GaN (JHFETs) with a p-GaN gate, whose AlGaN barrier was exposed to air by dry etching. A large current collapse and a memory effect decreased the drain current (IDS). IDS of an unpassivated sample became the off leakage level of normally off mode JHFETs at a drain stress bias of 15 V. On the other hand, IDS in SiN-passivated JHFETs decreased at a slow rate upon applying drain stress bias. The decrease in IDS in SiN-passivated JHFETs was almost the same as that in asgrown HFETs. These drain stress and memory effects were perfectly deletable by light exposure, and were rewritable similarly in an EPROM.

    DOI: 10.1002/pssc.201001081

  441. GaInN-based solar cells using GaInN/GaInN superlattices 査読有り

    Fujii, Takahiro; Kuwahara, Yousuke; Iida, Daisuke; Fujiyama, Yasuhara; Morita, Yoshiki; Sugiyama, Toru; Isobe, Yasuhiro; Iwaya, Motoaki; Takeuchi, Tetsuya; Kamiyama, Satoshi; et al

    Physica Status Solidi C   8 巻 ( 7-8 ) 頁: 2463-2465   2011年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We report on the fabrication of GaInN-based solar cells using GaInN/GaInN superlattices as active layers and also as underlying layers beneath the active layers. We obtained pit-free surfaces, even with a high InN molar fraction, using the superlattices. As a result, the max. external and internal quantum efficiencies reached 60%, and 88%, resp. The open-circuit voltage of the soalr cells was 1.77 V, the short-circuit c.d. was 3.08 mA/cm2, and the fill factor was 70.3%. A conversion efficiency of 2.46% was achieved at room temp. under simulared 1.5 sun × AM1.5G illumination using a solar simulator.

    DOI: 10.1002/pssc.201001152

  442. Injection efficiency in AlGaN-based UV laser diodes 査読有り

    Nagata, Kengo; Takeda, Kenichiro; Oshimura, Yoshinori; Takehara, Kosuke; Aoshima, Hiroki; Ito, Shun; Iwaya, Motoaki; Takeuchi, Tetsuya; Kamiyama, Satoshi; Akasaki, Isamu; et al

    Physica Status Solidi C   8 巻 ( 7-8 ) 頁: 2384-2386   2011年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We evaluated AlGaN-based 355 nm UV laser diodes prepd. under two different Mg activation conditions. The annealing processes for Mg activation in p-layers were carried out under N2 or O2 ambient to investigate the effect of the ambient gas on the laser characteristics. The threshold current densities and operating voltages of the UV laser diodes were improved by annealing under O2 compared with those under N2. We then estd. the injection efficiencies of the laser diode structures by considering the internal quantum efficiencies of optical excitation and elec. excitation. The internal quantum efficiency of the elec. excited spontaneous emission from the laser structure annealed under O2 reached 50% at a carrier d. of 7.0 × 1018 cm-3, while the structure annealed under N2 required a 1.8-fold higher carrier d. of 1.2 × 1019 cm-3 to reach the same internal quantum efficiency. In addn., the internal quantum efficiency estd. from optical excitation reached 50% even at a carrier d. of 3.0 × 1018 cm-3. This implies that the injection efficiencies in the UV laser diode structures annealed under N2 and O2 were 25% and 45%, resp. Mg activation by O2 annealing is effective for increasing the injection efficiency.

    DOI: 10.1002/pssc.201001008

  443. Low leakage current in AlGaN/GaN HFETs with preflow of Mg source before growth of u-GaN buffer layer 査読有り

    Oshimura, Yoshinori; Sugiyama, Takayuki; Takeda, Kenichiro; Iwaya, Motoaki; Takeuchi, Tetsuya; Kamiyama, Satoshi; Akasaki, Isamu; Amano, Hiroshi

    Physica Status Solidi A   208 巻 ( 7 ) 頁: 1607-1610   2011年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    AlGaN/GaN heterostructure field-effect transistors were grown by metalorg. vapor phase epitaxy on Fe-doped GaN substrates with a preflow of the Mg source. We realized a low drain leakage current, 1.05 μA/mm, at VDS = 20 V and VGS = -5 V with LGD = 3 μm. This leakage current was one order of magnitude lower than that obtained without a preflow of the Mg source. The breakdown voltage was over 250 V with LGD = 10 μm. The on resistance was estd. to be 3.6 mΩ cm2. No significant redistribution or memory effect of Mg was obsd. by secondary ion mass spectroscopy measurement.

    DOI: 10.1002/pssa.201001020

  444. Optical properties of (1-101) InGaN/GaN MQW stripe laser structure on Si substrate 査読有り

    Murase, Tasuku; Tanikawa, Tomoyuki; Honda, Yoshio; Yamaguchi, Masahito; Amano, Hiroshi

    Physica Status Solidi C   8 巻 ( 7-8 ) 頁: 2160-2162   2011年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    A smooth laser structure on (1-101) GaN microstripes was successfully fabricated on a patterned (100) 8°-off Si substrate. The sample has a high internal quantum efficiency (IQE) compared with blue LEDs on a sapphire substrate. The high-d. excitation PL spectrum obsd. from the cross section of the sample shows marked narrowing, and the integral PL intensity also shows a steep increase. These results indicate the onset of stimulated emission from an InGaN-based multi quantum well (MQW) active layer on (1-101) GaN (100) Si. To the best of our knowledge, this is the first report of the observation of a stimulated emission from a (1-101) MQW on a Si substrate. We succeeded in fabricating and verifying the high-quality laser structure on semipolar (1-101) GaN on a Si substrate.

    DOI: 10.1002/pssc.201000990

  445. Optimization of initial MOVPE growth of non-polar m- and a-plane GAN on Na flux grown LPE-GaN substrates 査読有り

    Isobe, Yasuhiro; Iida, Daisuke; Sakakibara, Tatsuyuki; Iwaya, Motoaki; Takeuchi, Tetsuya; Kamiyama, Satoshi; Akasaki, Isamu; Amano, Hiroshi; Imade, Mamoru; Kitaoka, Yasuo; et al

    Physica Status Solidi C   8 巻 ( 7-8 ) 頁: 2095-2097   2011年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We optimized the initial GaN growths of nonpolar m- and a-plane GaN grown on liq. phase epitaxy (LPE-) GaN substrates. Such nonpolar LPE-GaN substrates were produced by cutting slices from c-plane LPE-GaN bulk single crystals grown by the Na-flux method. We investigated the qualities of epitaxially grown GaN layers on the LPE-GaN substrates, with different polishing methods, which are chem. mech. polishing and plasma dry etch polishing. We found that the cryst. quality of epitaxial GaN on m-plane GaN is very sensitive to the surface polishing even the minute unevenness in GaN substrate. Our expts. also indicated that a low initial growth rate was necessary to obtain high-cryst.-quality epitaxial m-plane GaN. In contrast, high-cryst.-quality a-plane GaN was obtained even with a high initial growth rate, indicating that the cryst. quality of a-plane GaN is not sensitive to surface roughness.

    DOI: 10.1002/pssc.201001144

  446. Selective MOVPE growth of InGaN/GaN MQW on microfacet GaN stripes 査読有り

    Tanikawa, Tomoyuki; Murase, Tasuku; Honda, Yoshio; Yamaguchi, Masahito; Amano, Hiroshi

    Physica Status Solidi C   8 巻 ( 7-8 ) 頁: 2038-2040   2011年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We demonstrated the effects of growth conditions such as growth pressure and growth temp. during the selective growth of InGaN/GaN multiple quantum wells (MQWs) on semipolar GaN microstripes on Si substrates. Grown layers exhibited the ridge growth, which indicates the thickness fluctuation, owing to the source supply from the apexes of the GaN microstructure. Ridge growth was suppressed by increasing growth pressure and decreasing growth temp. Cathode luminescence (CL) anal. showed uniform luminescence. As a result, high pressure and low temp. are suitable for obtaining uniform heterostructures in the selective growth of InGaN/GaN MQWs on GaN microstripes.

    DOI: 10.1002/pssc.201000995

  447. Nonpolar a-plane AlGaN/GaN heterostructure field-effect transistors grown on freestanding GaN substrate 査読有り

    Isobe, Yasuhiro; Ikki, Hiromichi; Sakakibara, Tatsuyuki; Iwaya, Motoaki; Takeuchi, Tetsuya; Kamiyama, Satoshi; Akasaki, Isamu; Sugiyama, Takayuki; Amano, Hiroshi; Imade, Mamoru; et al

    Applied Physics Express   4 巻 ( 6 ) 頁: 064102/1-064102/3   2011年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We fabricated and characterized nonpolar a-plane AlGaN/GaN heterostructure field-effect transistors (HFETs) grown on an a-plane freestanding GaN substrate. By optimizing the growth conditions, the unintentionally doped oxygen concn. was much reduced in the a-plane GaN buffer layer. As a result, the low leakage current in the buffer layer was realized without doping of deep acceptors, such as Fe and C, by which an impurity-contamination-free channel layer can be successfully grown.

    DOI: 10.1143/APEX.4.064102

  448. Partial strain relaxation by stacking fault generation in InGaN multiple quantum wells grown on (1-101) semipolar GaN 査読有り

    Wu, Z. H.; Tanikawa, T.; Murase, T.; Fang, Y.-Y.; Chen, C. Q.; Honda, Y.; Yamaguchi, M.; Amano, H.; Sawaki, N.

    Applied Physics Letters   98 巻 ( 5 ) 頁: 051902/1-051902/3   2011年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The authors have studied the structural properties and relaxation phenomenon of InGaN multiple quantum wells (QWs) on (1.hivin.101) semipolar GaN templates grown on patterned (001) Si substrates by selective area growth technique. Studies by TEM and x-ray diffraction reciprocal space mapping reveal that QWs emitting light at 540 nm experience significant strain relaxation along the in-plane [1.hivin.10.hivin.2] direction by the generation of an array of basal stacking faults (BSF). The generation of BSFs in 540 nm QWs could be an important factor limiting its luminescence efficiency.

  449. Effect of lateral vapor phase diffusion during the selective growth of InGaN/GaN MQW on semipolar and nonpolar GaN stripes 査読有り Open Access

    Tanikawa, Tomoyuki; Honda, Yoshio; Yamaguchi, Masahito; Amano, Hiroshi

    Physica Status Solidi A   208 巻 ( 5 ) 頁: 1175-1178   2011年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We investigated the InGaN/GaN multiple quantum well (MQW) thickness and cathode luminescence (CL) distribution on nonpolar (11-20)GaN, semipolar (11-22)GaN, and (1-101)GaN microstripes grown by selective metal-org. vapor phase epitaxy (MOVPE) on patterned Si substrates. All samples exhibited ridge growth. To clarify the effect of vapor phase diffusion, the two-dimensional diffusion equation was solved. The results were in good agreement with the thickness distribution on the (11-20)GaN stripe when we assumed D/k0 to be 1 μm. However, the results were not in agreement for the semipolar case. On the semipolar face, the surface migration length might be greater, thus increasing the thickness nonuniformity. CL anal. indicated a uniform indium compn. on the (11-20) and (11-22) faces. On the (1-101)GaN stripe, the indium compn. decreased near the (0001) edge. Excess Ga is considered to affect the compositional nonuniformity on the (1-101) facet.

    DOI: 10.1002/pssa.201000907

  450. Evidence for moving of threading dislocations during the VPE growth in GaN thin layers 査読有り

    Kuwano, Noriyuki; Miyake, Hideto; Hiramatsu, Kazumasa; Amano, Hiroshi; Akasaki, Isamu

    Physica Status Solidi C   8 巻 ( 5 ) 頁: 1487-1490.   2011年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Cross-sectional transmission electron microscope (TEM) observation was performed in detail to analyze the morphol. of threading dislocations (TDs) in GaN thin layers with various thicknesses. The GaN layers were overgrown on an Al0.28Ga0.72N layer by the metal-org. vapor-phase epitaxy (MOVPE) method. In a GaN layer about 50 nm in thickness, TDs running up in the AlGaN layer pass into the GaN layer and most of them reach the top surface without bending. In thicker GaN layers, on the other hand, many of TDs form a hairpin-configuration on or above the interface of GaN and AlGaN to be annihilated. This difference in morphol. of TDs indicates that the TDs have moved down inside the GaN layer. Since the formation of hairpins is attributed to a stress-relief, there should be an extra half-plane between the paired TDs. Therefore, the movement of TDs should be of "climb motion". Another example of possible TD movement inside a GaN layer is also described. It is emphasized that the possibility of TD-movements inside the thin film crystal during the growth should be taken into account in anal. of thin-layer growth through the behavior of TDs.

    DOI: 10.1002/pssc.201001126

  451. Growth of AlGaN/GaN heterostructure on vicinal m-plane free-standing GaN substrates prepared by the Na flux method 査読有り

    Isobe, Yasuhiro; Iida, Daisuke; Sakakibara, Tatsuyuki; Iwaya, Motoaki; Takeuchi, Tetsuya; Kamiyama, Satoshi; Akasaki, Isamu; Amano, Hiroshi; Imade, Mamoru; Kitaoka, Yasuo; et al

    Physica Status Solidi A   208 巻 ( 5 ) 頁: 1191-1194   2011年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We fabricated and characterized AlGaN/GaN heterostructure growth by MOVPE on vicinal m-plane free-standing GaN substrates prepd. by the Na flux method. The miscut angle in the LPE-GaN substrate has a great influence on the surface morphol. and cryst. quality of epitaxial GaN and AlGaN films. In particular, AlGaN/GaN on a 4° miscut-angle LPE-GaN substrate shows large step bunching, small-miscut-angle LPE GaN substrate is essential for fabricating on FET structure with a flat surface.

    DOI: 10.1002/pssa.201001019

  452. Internal quantum efficiency of whole-composition-range AlGaN multiquantum wells 査読有り

    Ban, Kazuhito; Yamamoto, Jun-ichi; Takeda, Kenichiro; Ide, Kimiyasu; Iwaya, Motoaki; Takeuchi, Tetsuya; Kamiyama, Satoshi; Akasaki, Isamu; Amano, Hiroshi

    Applied Physics Express   4 巻 ( 5 ) 頁: 052101/1-052101/3   2011年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We analyze the internal quantum efficiency (IQE) of whole-compn.-range AlGaN multiquantum wells (MQWs) on AlGaN with various dislocation densities (DDs) by excitation-d.-dependent photoluminescence measurement. IQEs of deep UV/UV (DUV/UV) MQWs are strongly dependent on the DD. IQE with an excess carrier d. of 1 × 1018 cm-3 changes from 4 to 64% when the DD changes from 6 × 109 to 2 × 108 cm-2. This trend is almost the same for DUV/UV MQWs with emission wavelength ranging from 230 to 350 nm. Thus, the redn. of the DD is very important for the realization of a high-IQE DUV/UV active layer.

    DOI: 10.1143/APEX.4.052101

  453. Microstructural analysis of thick AlGaN epilayers using Mg-doped AlN underlying layer 査読有り

    Nonaka, K.; Asai, T.; Ban, K.; Yamamoto, J.; Iwaya, M.; Takeuchi, T.; Kamiyama, S.; Akasaki, I.; Amano, H.; Wu, Z. H.

    Physica Status Solidi C   8 巻 ( 5 ) 頁: 1467-1470   2011年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    This paper reports the microstructural anal. of 20-μm-thick Al0.5Ga0.5N with improved cryst. quality owing to the use of a Mg-doped AlN underlying layer. The threading dislocation d. in 20-μm-thick Al0.5Ga0.5N on Mg-doped AlN was 8.6 × 108 cm-2, which is about one-fifth lower than that of Al0.5Ga0.5N on an undoped AlN underlying layer. The microstructural anal. was carried out to clarify dislocation behaviors in the Al0.5Ga0.5N layer on the Mg-doped AlN underlying layer.

    DOI: 10.1002/pssc.201001114

  454. Freestanding highly crystalline single crystal AlN substrates grown by a novel closed sublimation method 査読有り

    Yamakawa, Masayasu; Murata, Kazuki; Iwaya, Motoaki; Takeuchi, Tetsuya; Kamiyama, Satoshi; Akasaki, Isamu; Amano, Hiroshi; Azuma, Masanobu

    Applied Physics Express   4 巻 ( 4 ) 頁: 045503/1-045503/3   2011年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We fabricated thick freestanding AlN films by a novel close-spaced sublimation method. The spacing between a sintered AlN polycrystal and a SiC substrate is 1 mm. A Ta ring was used to control the spacing between the AlN polycrystal and the SiC substrate. In addn., a special AlN adhesive was also used to fill in the gap between the AlN polycrystal, the Ta ring, and the SiC substrate. By a combination of these techniques, an AlN growth rate as high as 600 μm/h was achieved. A freestanding AlN layer was obtained by the sublimation of the SiC substrate during the AlN growth.

    DOI: 10.1143/APEX.4.045503

  455. Spontaneous formation of highly regular superlattice structure in InGaN epilayers grown by molecular beam epitaxy 査読有り

    Wu, Z. H.; Kawai, Y.; Fang, Y.-Y.; Chen, C. Q.; Kondo, H.; Hori, M.; Honda, Y.; Yamaguchi, M.; Amano, H.

    Applied Physics Letters   98 巻 ( 14 ) 頁: 141905/1-141905/3   2011年3月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Structural properties of thick InGaN layers grown on GaN by plasma-assisted mol. beam epitaxy using two growth rates of 1.0 and 3.6 Å/s have been investigated. A highly regular superlattice (SL) structure formed spontaneously in the film grown at 3.6 Å/s but not in the film grown at 1.0 Å/s. The faster grown film also exhibited superior structural quality, which could be due to the surface roughness suppression caused by kinetic limitation, and the inhibition of the Frank-Read dislocation generation mechanism within the spontaneously formed SL structure.

    DOI: 10.1063/1.3574607

  456. Demonstration of diamond field effect transistors by AlN/diamond heterostructure 査読有り

    Imura, Masataka; Hayakawa, Ryoma; Watanabe, Ei-Ichiro; Liao, Mei-Yong; Koide, Yasuo; Amano, Hiroshi

    Physica Status Solidi RRL:   5 巻 ( 3 ) 頁: 125-127.   2011年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    This is the first report on an AlN/diamond heterojunction field effect transistor (HFET). The AlN epilayer is grown on oxygen-terminated (111) diamond substrates using metalorg. vapor phase epitaxy at a temp. as high as 1240 °C. The transistor and gate capacitance-voltage characteristics indicate that the HFET behaves as a p-channel FET with a normally-on depletion mode. The HFET channel is located at the AlN/diamond interface, and holes are accumulated in diamond close to the interface. The development of the AlN/diamond HFET creates a new possibility for diamond-based power electronics.

    DOI: 10.1002/pssr.201105024

  457. Role of nonradiative recombination centers and extended defects in nonpolar GaN on light emission efficiency 査読有り

    Polyakov, A. Y.; Smirnov, N. B.; Govorkov, A. V.; Amano, H.; Pearton, S. J.; Lee, I.-H.; Sun, Q.; Han, J.; Karpov, S. Yu.

    Applied Physics Letters   98 巻 ( 7 ) 頁: 072104/1-072104/3   2011年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The correlation of integrated microcathodoluminescence efficiency with cryst. quality and deep trap d. of nonpolar GaN films grown by metal org. CVD on semi-insulating 6H-m-SiC or r-sapphire is analyzed. The results suggest a strong influence of nonradiative recombination centers whose concn. decreases with decreased d. of extended defects. Electron traps with energy levels at Ec-0.6 eV and which pin the Fermi level in films with high defect d. are the most likely candidates for the decrease in light emission efficiency in nonpolar GaN.

    DOI: 10.1063/1.3555470

  458. GaInN-based solar cells using strained-layer GaInN/GaInN superlattice active layer on a freestanding GaN substrate 査読有り

    Kuwahara, Yousuke; Fujii, Takahiro; Sugiyama, Toru; Iida, Daisuke; Isobe, Yasuhiro; Fujiyama, Yasuharu; Morita, Yoshiki; Iwaya, Motoaki; Takeuchi, Tetsuya; Kamiyama, Satoshi, Amano Hiroshi; et al

    Applied Physics Express   4 巻 ( 2 ) 頁: 021001/1-021001/3   2011年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    High-performance GaInN-based solar cells with high open-circuit voltage, high short-circuit c.d., and good fill factor have been obtained using a combination of two different GaInN superlattice structures. The GaInN barrier thicknesses (3 and 0.6 nm) in both superlattice structures were optimized, resulting in a thick GaInN-based active layer with a low pit d. in the device. The conversion efficiency is 2.5% under a solar simulator of air-mass 1.5G and an irradn. intensity of 155 mW/cm2.

    DOI: 10.1143/APEX.4.021001

  459. Internal quantum efficiency and internal loss of ultraviolet laser diodes on the low dislocation density AlGaN underlying layer 査読有り

    Takeda, Kenichiro; Nagata, Kengo; Ichikawa, Tomoki; Nonaka, Kentaro; Ogiso, Yuji; Oshimura, Yoshinori; Iwaya, Motoaki; Takeuchi, Tetsuya; Kamiyama, Satoshi; Akasaki, Isamu; et al

    Physica Status Solidi C   8 巻 ( 2 ) 頁: 464-466   2011年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We fabricated and evaluated GaN/AlGaN multi-quantum wells (MQWs) and UV laser diodes (UV LDs) on high and low dislocation d. underlying layers by epitaxial lateral overgrowth (ELO) method. We analyzed the internal quantum efficiency (IQE) vs. carrier concn. characteristics quant. by excitation intensity dependent photoluminescence method. The IQE of the MQWs on the ELO AlGaN is 75% when the carrier d. is 1 × 1019 cm-3. We demonstrated the UV LD on the ELO AlGaN. However, the UV LD on flat AlGaN did not operate. Also, we investigated the internal loss (α1) and the IQE multiplied the injection efficiency by changing the reflectivity of the facets. The results showed that the internal loss is 6 cm-1, and the IQE multiplied by the injection efficiency is 18%

    DOI: 10.1002/pssc.201000588

  460. Microstructures of GaInN/GaInN superlattices on GaN substrates 査読有り

    Sugiyama, Toru; Kuwahara, Yosuke; Isobe, Yasuhiro; Fujii, Takahiro; Nonaka, Kentaro; Iwaya, Motoaki; Takeuchi, Tetsuya; Kamiyama, Satoshi; Akasaki, Isamu; Amano, Hiroshi

    Applied Physics Express   4 巻 ( 1 ) 頁: 015701/1-015701/3.   2011年1月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We found different behaviors of misfit dislocations in a Ga0.83In0.17N single layer and in Ga0.83In0.17N/Ga0.93In0.07N superlattices, both on GaN substrates. In the case of the single layer, misfit dislocations were formed at the GaInN/GaN interfaces and extended through the GaInN layer to the surface. In contrast, the misfit dislocations in the superlattices are bent laterally at interfaces between the Ga0.83In0.17N and Ga0.93In0.07N layers. In addn., most of the dislocations do not reach the surface owing to the formation of dislocation loops. As a result, the dislocation d. at the surface of the GaInN superlattice sample was 5 × 107 cm-2.

    DOI: 10.1143/APEX.4.015701

  461. Drastic reduction of dislocation density in semipolar (11-.22) GaN stripe crystal on Si substrate by dual selective metal-organic vapor phase epitaxy 査読有り

    Murase, Tasuku; Tanikawa, Tomoyuki; Honda, Yoshio; Yamaguchi, Masahito; Amano, Hiroshi; Sawaki, Nobuhiko

    Japanese Journal of Applied Physics   50 巻 ( 1 ) 頁: 01AD04/1-01AD04/3.   2011年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    A drastic redn. of the dislocation d. in a semipolar (11.hivin.22) GaN stripe on a patterned Si substrate was achieved by the 2-step selective growth of a GaN stripe. After depositing a SiO2 mask on the (11.hivin.22) and (000.hivin.1) faces of a GaN stripe grown on a (113) Si substrate, GaN was regrown only on the (.hivin.1.hivin.122) face. The dislocation d. estd. from the dark-spot d. in a cathodoluminescence (CL) image greatly decreased from 4.0 × 108 to 1.0 × 105/cm2 in the regrowth region. A TEM image also verified that there were no dislocations at the regrowth interfaces.

  462. High-temperature operation of normally off-mode AlGaN/GaN heterostructure field-effect transistors with p-GaN gate 査読有り

    Sugiyama, Takayuki; Amano, Hiroshi; Iida, Daisuke; Iwaya, Motoaki; Kamiyama, Satoshi; Akasaki, Isamu

    Japanese Journal of Applied Physics   50 巻 ( 1 ) 頁: 01AD03/1-01AD03/3   2011年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We demonstrated the high-temp. operation of normally off-mode heterostructure field-effect transistors (HFETs) with a p-GaN gate. The HFETs with a p-GaN gate were operated in the normally off mode at 350 °C. The temperture dependence of their performance was compared with the results of simulation.

    DOI: 10.1143/JJAP.50.01AD03

  463. MBE-VLS growth of catalyst-free III-V axial heterostructure nanowires on (1 1 1)Si substrates 査読有り

    Paek, Jihyun; Yamaguchi, Masahito; Amano, Hiroshi

    Journal of Crystal Growth   323 巻 ( 1 ) 頁: 315-318   2011年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    For application in novel opto-electronic nanowire devices, Ga-assisted GaAs/InxGa1-xAs axial heterostructure nanowires grown on a (1 1 1)Si substrate at a high In/Ga flux ratio were investigated. The increased diam. of the InxGa1-xAs region of the nanowire was obsd. from a SEM image. The In compn. of 0.01-0.02 of the InxGa1-xAs was shown by EDX point anal. The In concn. of 0.62 of an In-Ga alloy droplet was estd. from the diam. ratio of the InxGa1-xAs/GaAs region. From these results, it was considered that the excess In was collected in the droplet, resulting in an increased nanowire diam. The increased diam. of the InxGa1-xAs region was also discussed together with the results of thermodn. calcn.

  464. Realization of nitride-based solar cell on freestanding GaN substrate 査読有り

    Kuwahara, Yosuke; Fujii, Takahiro; Fujiyama, Yasuharu; Sugiyama, Tohru; Iwaya, Motoaki; Takeuchi, Tetsuya; Kamiyama, Satoshi; Akasaki, Isamu; Amano, Hiroshi

    Applied Physics Express   3 巻 ( 11 ) 頁: 111001/1-111001/3   2010年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    A p-i-n solar cell composed of an undoped GaInN layer sandwiched between n- and p-type GaN layers was grown on a freestanding c-plane GaN substrate and a c-plane sapphire substrate covered with a low-temp.-deposited buffer layer. The open-circuit voltage is 2.23 V, the fill factor is 61%, the short-circuit c.d. is 1.59 mA/cm2, and the conversion efficiency of the solar cell on the GaN substrate is 1.41% using a solar simulator (1.5 suns). Compared with the solar cell characteristics of the device grown on the sapphire substrate, pit d. markedly decreases. As a result, shunt resistance increases, suppressing the open-circuit voltage drop.

    DOI: 10.1143/APEX.3.111001

  465. Strain relaxation mechanisms in AlGaN epitaxy on AlN templates 査読有り

    Wu, Zhihao; Nonaka, Kentaro; Kawal, Yohjiro; Asai, Toshiaki; Ponce, Fernando A.; Chen, Changqing; Iwaya, Motoaki; Kamiyama, Satoshi; Amano, Hiroshi; Akasaki, Isamu

    Applied Physics Express   3 巻 ( 11 ) 頁: 111003/1-111003/3   2010年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Two strain relaxation processes have been obsd. in AGaN layers grown on thick AlN templates. In Process I, a type threading dislocations (TDs) with b = 1/3.ltbbrac.11.hivin.20.rtbbrac. from the AlN underlayer are inclined away from the [0001] axis toward the .ltbbrac.1.hivin.100.rtbbrac. directions when they enter the AlGaN film, forming dislocation line projection perpendicular to the Burger vector. In Process II, a + c-type TDs from the AlN underlayer with Burgers vector of b = 1/3.ltbbrac.11.hivin.23.rtbbrac. glide on {0.hivin.111} planes when they enter the AlGaN film to generate interfacial misfit dislocations lying along the .ltbbrac..hivin.2110.rtbbrac. directions at the AlGaN/AlN interface.

    DOI: 10.1143/APEX.3.111003

  466. Temperature dependence of normally off mode AlGaN/GaN heterostructure field-effect transistors with p-GaN gate 査読有り

    Sugiyama, Takayuki; Iida, Daisuke; Iwaya, Motoaki; Kamiyama, Satoshi; Amano, Hiroshi; Akasaki, Isamu

    Physica Status Solidi C:   7 巻 ( 10 ) 頁: 2419-2422   2010年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We demonstrated high-temp. operation of normally off-mode junction-heterostructure-field-effect transistors (JHFETs) with a p-GaN gate that shows a very small shift of the threshold voltage against ambient temp. Distinct normally off-mode operation with a max. drain current of 93.2 mA/mm at 300 °C was realized. Therefore, normally off-mode GaN-based JFETs are greatly superior to Si-based devices as high-temp. switching devices.

    DOI: 10.1002/pssc.200983863

  467. GaInN/GaN p-i-n light-emitting solar cells 査読有り

    Fujiyama, Y.; Kuwahara, Y.; Iwaya, M.; Kamiyama, S.; Amano, H.; Akasaki, I.

    Physica Status Solidi C   7 巻 ( 10 ) 頁: 2382-2385.   2010年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    GaInN/GaN p-i-n double-heterojunction structures were grown by metal-org. vapor phase epitaxy on c-plane sapphire substrates, and light-emitting solar cells with different GaInN active layer thicknesses were fabricated. The thickness of the GaInN active layer was varied from 100 to 400 nm, while the thickness of both n-type and p-type GaN layers was kept const. A semitransparent ohmic contact to p-type GaN was formed by electron-beam evapn. of Ni/Au (5 nm/5 nm). The film thickness of p-GaN was 50 nm. The external quantum efficiency (EQE) of the device with a 400 nm GaInN layer exceeded 60% at a wavelength of approx. 380 nm. The transparency of the Ni/Au electrode was 68%. Therefore, the internal quantum efficiency of this device exceeded 95%. Note that this device emitted green light when a forward voltage was applied. The electroluminescence peak wavelength was approx. 525 nm, which was much longer than the EQE peak wavelength. The origin of this large emission shift is discussed.

    DOI: 10.1002/pssc.200983903

  468. Analysis of polar direction of AlN grown on (0001) sapphire and 6H-SiC substrates by high-temperature metal-organic vapor phase epitaxy using coaxial impact collision ion scattering spectroscopy 査読有り

    Imura, Masataka; Ohnishi, Tsuyoshi; Sumiya, Masatomo; Liao, Meiyong; Koide, Yasuo; Amano, Hiroshi; Lippmaa, Mikk

    Physica Status Solidi C   7 巻 ( 10 ) 頁: 2365-2367   2010年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    High-cryst. quality AlN layers with an atomically flat surface are grown on (0001) sapphire and 6H-SiC substrates by metal-org. vapor phase epitaxy at 1400 °C without low-temp. buffer layer. The polar direction of AlN layers is investigated by coaxial impact-collision ion scattering spectroscopy (CAICISS). For AlN growth on sapphire substrate, trimethylaluminum (TMAI) is supplied for 10 s before introducing ammonia (NH3). For AlN growth on SiC substrate, TMAl and NH3 are supplied at the same time. The CAICISS spectrum of AlN layers is analyzed by measuring the dependence of the Al atoms signal intensity on the angle of ion beam incidence considering the shadowing and focusing effects for detg. the polar direction of AlN layers. The CAICISS spectra clearly indicate the polar direction of AlN layers and both AlN layers are found to be had the Al-polarity.

  469. Atomic layer epitaxy of AlGaN 査読有り

    Nagamatsu, Kentaro; Iida, Daisuke; Takeda, Kenichiro; Nagata, Kensuke; Asai, Toshiaki; Iwaya, Motoaki; Kamiyama, Satoshi; Amano, Hiroshi; Akasaki, Isamu

    Physica Status Solidi C:   7 巻 ( 10 ) 頁: 2368-2370   2010年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Atomic layer epitaxy of AlGaN with an av. growth rate of as high as 4.5 mm/h has been achieved by the high-speed switching-valves (HSSVs) technique in a raised-pressure metalorg. vapor phase epitaxy system. Regarding the duration of group III metalorgs. and NH3 gases input, 0.1 s for each injection time is found to be sufficient to realize AlGaN growth of one monolayer per cycle over a 2-in. wafer with hydrogen and nitrogen carrier gases at a raised pressure. Low-temp. growth of high-quality AlGaN has been achieved using HSSVs.

    DOI: 10.1002/pssc.200983862

  470. Threshold voltage control using SiNx in normally off AlGaN/GaN HFET with p-GaN gate 査読有り

    Sugiyama, T.; Iida, D.; Iwaya, M.; Kamiyama, S.; Amano, H.; Akasaki, I.

    Physica Status Solidi C   7 巻 ( 7-8 ) 頁: 1980-1982   2010年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The threshold voltage (Vth) of normally off-mode AlGaN/GaN junction heterostructure field-effect transistors with a p-type GaN gate can be successfully controlled by inserting a SiNx insulator between the p-GaN and a Ni/Au electrode. The Vth can be controlled from +1 V to above +8 V. Moreover, the gate leakage current of transistors decreases and their gate voltage at which gate current steeply increases becomes higher. The mechanism of the threshold voltage change is analyzed by the equiv. circuit model.

    DOI: 10.1002/pssc.200983595

  471. Growth of GaInN by raised-pressure metalorganic vapor phase epitaxy 査読有り

    Iida, Daisuke; Nagata, Kensuke; Makino, Takafumi; Iwaya, Motoaki; Kamiyama, Satoshi; Amano, Hiroshi; Akasaki, Isamu; Bandoh, Akira; Udagawa, Takashi

    Applied Physics Express   3 巻 ( 7 ) 頁: 075601/1-075601/2   2010年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/APEX.3.075601

  472. Growth of low-dislocation-density AlGaN using Mg-doped AlN underlying layer 査読有り

    Asai, T.; Nonaka, K.; Ban, K.; Nagata, K.; Nagamatsu, K.; Iwaya, M.; Kamiyama, S.; Amano, H.; Akasaki, I.

    Physica Status Solidi C   7 巻 ( 7-8 ) 頁: 2101-2103   2010年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We report on a new technol. for growing low-dislocation-d. AlGaN in which a Mg-doped AlN underlying layer is used. By growing AlxGa1-xN on AlN:Mg with AlN molar fractions x of 0.3, 0.5 and 0.7, the d. of misfit dislocations is much reduced compared with that in the case of growing AlGaN on undoped AlN. In addn., the surface becomes atomically flat.

    DOI: 10.1002/pssc.200983591

  473. Internal quantum efficiency of GaN/AlGaN-based multi quantum wells on different dislocation densities underlying layers 査読有り

    Takeda, Kenichiro; Mori, Fumiaki; Ogiso, Yuji; Ichikawa, Tomoaki; Nonaka, Kentaro; Iwaya, Motoaki; Kamiyama, Satoshi; Amano, Hiroshi; Akasaki, Isamu

    Physica Status Solidi C   7 巻 ( 7-8 ) 頁: 1916-1918   2010年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Internal quantum efficiency (IQE) of GaN/AlGaN multi quantum wells on the low dislocation d. Al0.25Ga0.75N grown by epitaxial lateral overgrowth (ELO) and facet controlled epitaxial lateral overgrowth were investigated by excitation intensity dependent photoluminescence measurement. The threading dislocation d. decreased from 4 × 109cm-2 to 2 × 108 cm-2 by using ELO method, then the IQE was much improved from 5% to 40% when the carrier d. was 1 × 1018 cm-3.

    DOI: 10.1002/pssc.200983625

  474. Mg-related acceptors in GaN 査読有り

    Monemar, B.; Paskov, P. P.; Pozina, G.; Hemmingsson, C.; Bergman, J. P.; Amano, H.; Akasaki, I.; Figge, S.; Hommel, D.; et al.

    Physica Status Solidi C   7 巻 ( 7-8 ) 頁: 1850-1852   2010年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Photoluminescence spectra of c-plane Mg doped GaN samples grown by MOVPE on bulk GaN templates reveal previously unknown properties, like the presence of several Mg-related acceptors. The use of unstrained samples allows a study of both bound exciton (BE) and donor-acceptor pair (DAP) spectra. Two main acceptors A1 and A2 are obsd. strongly in BE spectra as well as in DAP spectra, they have similar binding energies, i.e. about 220 meV. The common assignment of the deeper blue PL emission at 2.8-3.0 eV to a deep donor-shallow acceptor transition is questioned, and discussed in connection with the compensation problem in p-GaN. It seems like the Fermi level in p-GaN is controlled by a set of Mg-related acceptors at energies 0.2-0.6 eV from the valence band top.

    DOI: 10.1002/pssc.200983436

  475. Nitride-based light-emitting solar cell 査読有り

    Kuwahara, Y.; Fujiyama Y; Iwaya, M.; Kamiyama, S.; Amano, H.; Akasaki, I

    Physica Status Solidi C   7 巻 ( 7-8 ) 頁: 1807-1809   2010年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We report on the characteristics of a group-III-nitride-based solar cell. A GaInN/GaN double heterojunction p-i-n solar cell composed of a GaInN active layer sandwiched between n-type and p-type GaN layers was grown by metal-org. vapor phase epitaxy through an undoped GaN layer on (0001) sapphire substrate. The InN molar fraction of the GaInN active layer was detd. to be 11% from a secondary ion mass spectrometry profile. The max. external quantum efficiency reached 65%, and the internal quantum efficiency was 95% or more. This device emitted light after forward current injection. The PL peak almost corresponded to the absorption edge. However, the electroluminescence (EL) peak was at the wavelength much longer than the absorption edge. The open-circuit voltage is 1.51 V, the fill factor is 52%, and the short-circuit c.d. is 1.6 mA/cm2.

    DOI: 10.1002/pssc.200983529

  476. Study of two-dimensional electron gas in AlGaN channel HEMTs with high crystalline quality 査読有り

    Hashimoto, Shin; Akita, Katsushi; Tanabe, Tatsuya; Nakahata, Hideaki; Takeda, Kenichiro; Amano, Hiroshi

    Physica Status Solidi C   7 巻 ( 7-8 ) 頁: 1938-1940   2010年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Epitaxial structures of AlGaN channel high electron mobility transistors (HEMTs) were grown on sapphire and AlN substrates. Redn. in the full width at half max. of X-ray rocking curve for (10-12) peak of the AlGaN channel layer owing to the redn. of threading dislocation densities resulted in a sharp decrease in the sheet resistance of 2-dimensional electron gas (2DEG). In the case of AlGaN channel HEMTs, it was found that improvement of the cryst. quality of AlGaN channel layers is essential to the redn. of the sheet resistance of 2DEG. The use of AlN substrates resulted in improved cryst. quality of the AlGaN layer and lower 2DEG resistance, suggesting the high potential of AlN substrates for AlGaN channel HEMTs.

    DOI: 10.1002/pssc.200983440

  477. Growth and characterization of GaN grown on moth-eye patterned sapphire substrates 査読有り Open Access

    Ishihara, Akihiro; Kawai, Ryousuke; Kitano, Thukasa; Suzuki, Atushi; Kondo, Toshiyuki; Iwaya, Motoaki; Amano, Hiroshi; Kamiyama, Satoshi; Akasaki, Isamu

    Physica Status Solidi C   7 巻 ( 7-8 ) 頁: 2056-2058   2010年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    To realize high-efficiency light-emitting diodes (LEDs), it is necessary to increase light extn. efficiency. Therefore, the introduction of a moth-eye structure, which consists of periodic cones with a pitch of optical wavelength magnitude, into a sapphire surface before the epitaxial growth of nitride films is very promising for reducing the reflectivity of light resulting in high light extn. efficiency. 450 nm GaInN/GaN LEDs were fabricated on conventional and moth-eye substrates by metal org. vapor phase epitaxy (MOVPE). The intensity of room-temp. photoluminescence emitted from the LED with the moth-eye-patterned sapphire substrate was 1.6 times higher than that emitted from the LED without the moth-eye substrate. Under a current injection of 50 mA, the output power of the moth-eye LED is 3.6 times higher than that of the conventional LED.
    ~0 Citings

    DOI: 10.1002/pssc.200983505

  478. AlGaN/GaN HFETs on Fe-doped GaN substrates 査読有り

    Oshimura, Yoshinori; Takeda, Kenichiro; Sugiyama, Takayuki; Iwaya, Motoaki; Kamiyama, Satoshi; Amano, Hiroshi; Akasaki, Isamu; Bandoh, Akira; Udagawa, Takashi

    Physica Status Solidi C   7 巻 ( 7-8 ) 頁: 1974-1976   2010年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    AlGaN/GaN HFETs with different undoped GaN thicknesses were grown on Fe-doped freestanding GaN substrates by conventional MOVPE. To realize a high drain current, thick undoped GaN is found to be necessary. SIMS measurement shows that Fe is redistributed into the epilayer, by which the scattering center is generated at the channel when the thickness of the undoped GaN is insufficient. We also obsd. a similar Fe profile in the GaN/sapphire template placed on the side of the Fe-doped GaN substrate during growth. Therefore, Fe in the Fe-doped GaN substrate is redistributed not only through a solid but also through vapor.

    DOI: 10.1002/pssc.200983587

  479. Improved efficiency of 255-280 nm AlGaN-based light-emitting diodes 査読有り

    Pernot, Cyril; Kim, Myunghee; Fukahori, Shinya; Inazu, Tetsuhiko; Fujita, Takehiko; Nagasawa, Yosuke; Hirano, Akira; Ippommatsu, Masamichi; Iwaya, Motoaki; Kamiyama, Satoshi,Hiroshi Amano et al

    Applied Physics Express   3 巻 ( 6 ) 頁: 061004/1-061004/3   2010年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We report on the fabrication and characterization of AlGaN-based deep UV light-emitting diodes (LEDs) with the emission wavelength ranging from 255-280 nm depending on the Al compn. of the active region. The LEDs were flip-chip bonded and achieved external quantum efficiencies of over 3% for all investigated wavelengths. Under cw operation, an output power of more than 1 mW at 10 mA was demonstrated. A moth-eye structure was fabricated on the back side of the Al2O3 substrate, and on-wafer output power measurement indicated a 1.5-fold improvement of light extn.

    DOI: 10.1143/APEX.3.061004

  480. Compensation effect of Mg-doped a- and c-plane GaN films grown by metalorganic vapor phase epitaxy 査読有り

    Iida, Daisuke; Tamura, Kenta; Iwaya, Motoaki; Kamiyama, Satoshi; Amano, Hiroshi; Akasaki, Isamu

    Journal of Crystal Growth   312 巻 ( 21 ) 頁: 3131-3135.   2010年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The elec. and optical properties of Mg-doped a- and c-plane GaN films grown by MOVPE were systematically investigated. The photoluminescence spectra of Mg-doped a- and c-plane GaN films exhibit strong emissions related to deep donors when Mg doping concns. are above 1 × 1020 cm-3 and 5 × 1019 cm-3, resp. The elec. properties also indicate the existence of compensating donors because the hole concn. decreases at such high Mg doping concns. In addn., we estd. the ND/NA compensation ratio of a- and c-plane GaN by variable-temp. Hall effect measurement. The obtained results indicate that the compensation effect of the Mg-doped a-plane GaN films is lower than that of the Mg-doped c-plane GaN films.

    DOI: 10.1016/j.jcrysgro.2010.07.038

  481. Misfit strain relaxation in m-plane epitaxy of InGaN on ZnO 査読有り

    Wu, Z. H.; Sun, K. W.; Wei, Q. Y.; Fischer, A. M.; Ponce, F. A.; Kawai, Y.; Iwaya, M.; Kamiyama, S.; Amano, H.; Akasaki, I.

    Applied Physics Letters   96 巻 ( 7 ) 頁: 071909/1-071909/3   2010年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The microstructure of m-plane InGaN epilayers grown on m-plane ZnO has been found to depend significantly on indium content in the range from 0.07 to 0.17, where anisotropic lattice mismatch between InGaN and ZnO results in decreasingly tensile and increasingly compressive stress along the a and c lattice axes. For indium content below 0.10, periodic arrays of misfit dislocations with a Burgers vector of 1/3[11-20] are obsd. parallel to the [0001] direction. For indium content above 0.12, generation of basal-plane stacking faults relieve the compressive stress along the 0001 direction. These characteristic mechanisms of strain relaxation should provide new approaches to engineer thick InGaN layers with reduced lattice misfit strain.

    DOI: 10.1063/1.3315944

  482. Microstructure of AlN with two-domain structure on (001) diamond substrate grown by metal-organic vapor phase epitaxy 査読有り

    Imura, Masataka, Nakajima, Kiyomi, Liao, Meiyong, Koide, Yasuo, Amano, Hiroshi

    Diamond and Related Materials   19 巻 ( 0 ) 頁: 131-133   2010年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We investigate the microstructures of domain boundaries in an AlN layer grown on a (001) diamond substrate by metal-org. vapor phase epitaxy. The AlN layer has a two-domain structure with crystal orientation along either <112-0> AlN [named by AlNI domain] or <101-0> AlN [named by AlNII domain] parallel to [110] direction of diamond. The AlNI and AlNII domains are not atomically bonded at two-domain boundary from initial to final step of growth, while an edge-type dislocation is generated at single-domain boundary (SDB). In addn., an inversion AlNI domain [named by AlNI*] is randomly-ordered at the initial stage of the coalescence between the AlNI domains. The AlNI* is easily terminated with increasing the thickness of AlNI domain. The inversion domain boundary changes to the edge-type dislocation at the SDB with further growth, which reduces the defect d. in the AlNI domains.

    DOI: 10.1016/j.diamond.2009.08.004

  483. High-output-power AlGaN/GaN ultraviolet-light-emitting diodes by activation of Mg-doped p-type AlGaN in oxygen ambient 査読有り

    Nagata, Kengo, Ichikawa, Tomoki, Takeda, Kenichiro, Nagamatsu, Kentaro, Iwaya, Motoaki, Kamiyama, Satoshi, Amano, Hiroshi, Akasaki, Isamu

    Physica Status Solidi A:   207 巻 ( 0 ) 頁: 1393-1396   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssa.200983448

  484. MOVPE法により成長したa面及びc面MgドープGaNにおける補償効果 査読有り

    飯田大輔、田村健太、岩谷素顕、天野浩、上山智、赤﨑勇

      312 巻 ( 21 ) 頁: 3131-3135   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  485. Defects in highly Mg-doped AlN 査読有り

    Nonaka, Kentaro, Asai, Toshiaki, Nagamatsu, Kentaro, Iwaya, Motoaki, Kamiyama, Satoshi, Amano, Hiroshi, Akasaki, Isamu

    Physica Status Solidi A   207 巻 ( 0 ) 頁: 1299-1301   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssa.200983504

  486. Growth mechanism of c-axis-oriented AlN on (001) diamond substrates by metal-organic vapor phase epitaxy 査読有り

    Imura, Masataka, Nakajima, Kiyomi, Liao, Meiyong, Koide, Yasuo, Amano, Hiroshi

    Journal of Crystal Growth   312 巻 ( 0 ) 頁: 368-372   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2009.11.017

  487. Growth mechanism of c-axis-oriented AlN on (111) diamond substrates by metal-organic vapor phase epitaxy 査読有り

    Imura, Masataka, Nakajima, Kiyomi, Liao, Meiyong, Koide, Yasuo, Amano, Hiroshi

    Journal of Crystal Growth   312 巻 ( 0 ) 頁: 1325-1328   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2009.09.020

  488. III族窒化物半導体へのp型ドーピングと結晶欠陥 招待有り 査読有り Open Access

    天野 浩、岩谷 素顕、上山 智、赤﨑 勇

    日本結晶成長学会誌   36 巻 ( 3 ) 頁: 200-204   2009年3月

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    GaNおよびAlGaNにおけるMgアクセプタの挙動の詳細を明らかにした。

    DOI: 10.19009/jjacg.36.3_200

    Open Access

  489. Temperature dependence of excitonic transitions in a-plane AlN epitaxial layers 査読有り

    Murotani, Hideaki, Kuronaka, Takahiro, Yamada, Yoichi, Taguchi, Tsunemasa, Okada, Narihito, Amano, Hiroshi

    Journal of Applied Physics   105 巻 ( 0 ) 頁: 083533/1-083533/6   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.3116183

  490. Activation energy of Mg in a-plane Ga1-xInxN (0 < x < 0.17) 査読有り

    Iida, Daisuke, Iwaya, Motoaki, Kamiyama, Satoshi, Amano, Hiroshi, Akasaki, Isamu

    Physica Status Solidi B:   246 巻 ( 0 ) 頁: 1188-1190   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  491. Crystal growth and p-type conductivity control of AlGaN for high-efficiency nitride-based UV emitters 査読有り

    Mori, T., Nagamatsu, K., Nonaka, K., Takeda, K., Iwaya, M., Kamiyama, S., Amano, H., Akasaki, I.

    Physica Status Solidi C   6 巻 ( 0 ) 頁: 2621-2625   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssc.200982547

  492. Electrical properties and deep traps spectra in undoped and Si-doped m-plane GaN films 査読有り

    Polyakov, A. Y., Smirnov, N. B., Govorkov, A. V., Markov, A. V., Yugova, T. G., Petrova, E. A., Amano, H., Kawashima, T., Scherbatchev, K. D., Bublik, V. T.

    Journal of Applied Physics   105 巻 ( 0 ) 頁: 063708/1-063708/9   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.3078769

  493. Electrical properties and deep traps spectra in undoped M-plane GaN films prepared by standard MOCVD and by selective lateral overgrowth 査読有り

    Polyakov, A. Y., Smirnov, N. B., Govorkov, A. V., Markov, A. V., Yakimov, E. B., Vergeles, P. S., Amano, H., Kawashima, T.

    Journal of Crystal Growth   311 巻 ( 0 ) 頁: 2923-2925   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2009.01.065

  494. Evidence for two Mg related acceptors in GaN 査読有り

    Monemar B, Paskov P P, Pozina G, Hemmingsson C, Bergman J P, Kawashima T, Amano H, Akasaki I, Paskova T, Figge S, et al

    Physical review letters   102 巻 ( 0 ) 頁: 235501/1-235501/4   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1103/PhysRevLett.102.235501

  495. Experimental and theoretical investigations of optical properties of GaN/AlGaN MQW nanostructures. Impact of built-in polarization fields 査読有り Open Access

    Esmaeili, M., Gholami, M., Haratizadeh, H., Monemar, B., Holtz, P. O., Kamiyama, S., Amano, H., Akasaki, I.

    Opto-Electronics Review   17 巻 ( 0 ) 頁: 293-299   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.2478/s11772-009-0010-2

    Open Access

  496. Growth of thick GaInN on grooved (1011) GaN/(1012) 4H-SiC 査読有り

    Matsubara, Tetsuya, Senda, Ryota, Iida, Daisuke, Iwaya, Motoaki, Kamiyama, Satoshi, Amano, Hiroshi, Akasaki, Isamu

    Journal of Crystal Growth   311 巻 ( 0 ) 頁: 2926-2928   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  497. High-performance UV emitter grown on high-crystalline-quality AlGaN underlying layer 査読有り

    Tsuzuki, Hirotoshi, Mori, Fumiaki, Takeda, Kenichiro, Ichikawa, Tomoki, Iwaya, Motoaki, Kamiyama, Satoshi, Amano, Hiroshi, Akasaki, Isamu, Yoshida, Harumasa, Kuwabara, Masakazu, et al

    Physica Status Solidi A   206 巻 ( 0 ) 頁: 1199-1204   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssa.200880784

  498. InGaN growth with various InN mole fractions on m-plane ZnO substrate by metalorganic vapor phase epitaxy 査読有り

    Kawai, Yohjiro, Ohsuka, Shinya, Iwaya, Motoaki, Kamiyama, Satoshi, Amano, Hiroshi, Akasaki, Isamu

    Journal of Crystal Growth   311 巻 ( 0 ) 頁: 2929-2932   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2009.01.061

  499. Misfit strain relaxation by stacking fault generation in InGaN quantum wells grown on m-plane GaN 査読有り

    Fischer, Alec M., Wu, Zhihao, Sun, Kewei, Wei, Qiyuan, Huang, Yu, Senda, Ryota, Iida, Daisuke, Iwaya, Motoaki, Amano, Hiroshi, Ponce, Fernando A.

    Applied Physics Express   2 巻 ( 0 ) 頁: 041002/1-041002/3   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/APEX.2.041002

  500. Novel UV devices on high-quality AlGaN using grooved underlying layer 査読有り

    Tsuzuki, Hirotoshi, Mori, Fumiaki, Takeda, Kenichiro, Iwaya, Motoaki, Kamiyama, Satoshi, Amano, Hiroshi, Akasaki, Isamu, Yoshida, Harumasa, Kuwabara, Masakazu, Yamashita, Yoji, et al

    Journal of Crystal Growth   311 巻 ( 0 ) 頁: 2860-2863   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2009.01.031

  501. One-sidewall-seeded epitaxial lateral overgrowth of a-plane GaN by metalorganic vapor-phase epitaxy 査読有り

    Iida, Daisuke, Iwaya, Motoaki, Kamiyama, Satoshi, Amano, Hiroshi, Akasaki, Isamu

    Journal of Crystal Growth   311 巻 ( 0 ) 頁: 2887-2890   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2009.01.036

  502. Optimization of electrode configuration in large GaInN light-emitting diodes 査読有り

    Ochiai, Wataru, Kawai, Ryosuke, Suzuki, Atsushi, Iwaya, Motoaki, Amano, Hiroshi, Kamiyama, Satoshi, Akasaki, Isamu

    Physica Status Solidic   6 巻 ( 0 ) 頁: 1416-1419   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssc.200881518

  503. Relaxation and recovery processes of AlxGa1-xN grown on AlN underlying layer 査読有り

    Asai, Toshiaki, Nagata, Kensuke, Mori, Toshiaki, Nagamatsu, Kentaro, Iwaya, Motoaki, Kamiyama, Satoshi, Amano, Hiroshi, Akasaki, Isamu

    Journal of Crystal Growth   311 巻 ( 0 ) 頁: 2850-2852   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2009.01.028

  504. Strong emission from GaInN/GaN multiple quantum wells on high-crystalline-quality thick m-plane GaInN underlying layer on grooved GaN 査読有り

    Senda, Ryota, Matsubara, Tetsuya, Iida, Daisuke, Iwaya, Motoaki, Kamiyama, Satoshi, Amano, Hiroshi, Akasaki, Isamu

    Applied Physics Express   2 巻 ( 0 ) 頁: 061004/1-061004/3   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/APEX.2.061004

  505. Impact of high-temperature growth by metal-organic vapor phase epitaxy on microstructure of AlN on 6H-SiC substrates 査読有り

    Imura Masataka, Sugimura Hiroki, Okada Narihito, Iwaya Motoaki, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu, Bandoh Akira

    J. Crystal Growth   310 巻 ( 0 ) 頁: 2308-2313   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2007.11.206

  506. High-efficiency AlGaN-based UV light-emitting diode on laterally overgrown AlN 査読有り

    Nagamatsu Kentaro, Okada Narihito, Sugimura Hiroki, Tsuzuki Hirotoshi, Mori Fumiaki, Iida Kazuyoshi, Bando Akira, Iwaya Motoaki, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu

    J. Crystal Growth   310 巻 ( 0 ) 頁: 2326-2329   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2007.11.152

  507. AlN and AlGaN by MOVPE for UV light emitting devices 査読有り

    Amano, Hiroshi, Imura, Masataka, Iwaya, Motoaki, Kamiyama, Satoshi, Akasaki, Isamu

    Materials Science Forum   590 巻 ( 0 ) 頁: 175-210   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.4028/www.scientific.net/MSF.590.175

  508. Breakthroughs in improving crystal quality of GaN and invention of the p-n junction blue-light-emitting diode 査読有り

    Akasaki, Isamu, Amano, Hiroshi

    Japanese Journal of Applied Physics   47 巻 ( 0 ) 頁: 3781   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.45.9001

  509. Control of p-type conduction in a-plane Ga1-xInxN (0 < x < 0.10) grown on r-plane sapphire substrate by metalorganic vapor-phase epitaxy 査読有り

    Iida, Daisuke, Iwaya, Motoaki, Kamiyama, Satoshi, Amano, Hiroshi, Akasaki, Isamu

    Journal of Crystal Growth   310 巻 ( 0 ) 頁: 4996-4998   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  510. Control of stress and crystalline quality in GaInN films used for green emitters 査読有り

    Iwaya, Motoaki, Miura, Aya, Senda, Ryota, Nagai, Tetsuya, Kawashima, Takeshi, Iida, Daisuke, Kamiyama, Satoshi, Amano, Hiroshi, Akasaki, Isamu

    Journal of Crystal Growth   310 巻 ( 0 ) 頁: 4920-4922   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2008.08.038

  511. Dynamical study of the radiative recombination processes in GaN/AlGaN QWs 査読有り

    Sabooni, Mahmood, Esmaeili, Morteza, Haratizadeh, Hamid, Monemar, Bo, Amano, Hiroshi

    Journal of Materials Science: Materials in Electronics   19 巻 ( 0 ) 頁: S316-S318   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1007/s10854-008-9672-7

  512. Effect of annealing on metastable shallow acceptors in Mg-doped GaN layers grown on GaN substrates 査読有り Open Access

    Pozina, G., Hemmingsson, C., Paskov, P. P., Bergman, J. P., Monemar, B., Kawashima, T., Amano, H., Akasaki, I., Usui, A.

    Applied Physics Letters   92 巻 ( 0 ) 頁: 151904/1-151904/3   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.2909541

  513. High hole concentration in Mg-doped a-plane Ga1-xInxN (0<x<0.30) grown on r-plane sapphire substrate by metalorganic vapor phase epitaxy 査読有り

    Iida, Daisuke, Iwaya, Motoaki, Kamiyama, Satoshi, Amano, Hiroshi, Akasaki, Isamu

    Applied Physics Letters   93 巻 ( 0 ) 頁: 182108/1-182108/3   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  514. All MOVPE grown nitride-based LED having sub mm underlying GaN 査読有り

    Tanaka Y., Ando J., Iida D., Iwaya M., Kamiyama S., Amano H., Akasaki I.

    Physica Status Solidi C   5 巻 ( 0 ) 頁: 3073-3075   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssc.200779275

  515. Effect of c-plane sapphire misorientation on the growth of AlN by high-temperature MOVPE 査読有り

    Nagamatsu Kentaro, Okada Narihito, Kato Naofumi, Sumii Takafumi, Bandoh Akira, Iwaya Motoaki, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu

    Physica Status Solidi C   5 巻 ( 0 ) 頁: 3048-3050   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssc.200779226

  516. Improvement in crystalline quality of thick GaInN on m-plane 6H-SiC substrates using sidewall epitaxial lateral overgrowth 査読有り

    Senda Ryota, Miura Aya, Kawashima Takeshi, Iida Daisuke, Nagai Tetsuya, Iwaya Motoaki, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu.

    Physica Status Solidi C   5 巻 ( 0 ) 頁: 3045-3047   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssc.200779252

  517. InGaN growth on ZnO (0001) substrate by metalorganic vapor phase epitaxy 査読有り

    Kawai Y., Ohsuka S., Iwaya M., Kamiyama S., Amano H., Akasaki I.

    Physica Status Solidi C   5 巻 ( 0 ) 頁: 3023-3025   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssc.200779189

  518. Realization of low-dislocation-density, smooth surface, and thick GaInN films on m-plane GaN templates 査読有り

    Miura Aya, Nagai Tetsuya, Senda Ryota, Kawashima Takeshi, Iwaya Motoaki, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu.

    J. Crystal Growth   310 巻 ( 0 ) 頁: 3308-3312   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2008.04.020

  519. Improvement in performance of m-plane GaInN light emitting diode grown on m-plane SiC by sidewall epitaxial lateral overgrowth 査読有り

    Kawashima T., Hayakawa T., Hayashi M., Nagai T., Iida D., Miura A., Kasamatsu Y., Iwaya M., Kamiyama S., Amano H., Akasaki I.

    Physica Status Solidi C   5 巻 ( 0 ) 頁: 2145-2147   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssc.200778486

  520. Optimization of underlying layer and the device structure for group-III-nitride-based UV emitters on sapphire 査読有り

    Iida K., Watanabe H., Takeda K., Mori F., Tsuzuki H., Yamashita Y., Iwaya M., Kamiyama S., Amano H., Akasaki I., Maruyama H., Takagi T., Bandoh A.

    Physica Status Solidi C   5 巻 ( 0 ) 頁: 2142-2144   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssc.200778485

  521. High drain current and low on resistance normally-off-mode AlGaN/GaN junction HFETs with a p-type GaN gate contact. 査読有り

    Fujii T., Nakamura S., Mizuno K., Nega R., Iwaya M., Kamiyama S., Amano H., Akasaki I.

    Physica Status Solidi C   5 巻 ( 0 ) 頁: 1906-1909   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssc.200778421

  522. Nonpolar GaN layers grown by sidewall epitaxial lateral overgrowth. Optical evidences for a reduced stacking fault density 査読有り

    Paskov P. P., Monemar B., Iida D., Kawashima T., Iwaya M., Kamiyama S., Amano H., Akasaki I.

    Physica Status Solidi C   5 巻 ( 0 ) 頁: 1768-1770   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  523. Microstructure of threading dislocations caused by grain boundaries in AlN on sapphire substrates 査読有り

    Imura M., Sugimura H., Okada N., Iwaya M., Kamiyama S., Amano H., Akasaki I., Bando A.

    Physica Status Solidi C   5 巻 ( 0 ) 頁: 1582-1584   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  524. Sidewall epitaxial lateral overgrowth of nonpolar a-plane GaN by metalorganic vapor phase epitaxy 査読有り

    Iida Daisuke, Kawashima Takeshi, Iwaya Motoaki, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu.

    Physica Status Solidi C   5 巻 ( 0 ) 頁: 1575-1578   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssc.200778502

  525. Growth of high-quality thick AlGaN by high-temperature metalorganic vapor phase epitaxy 査読有り

    Kato Naofumi, Sato S., Sugimura H., Sumii T., Okada N., Imura M., Iwaya M., Kamiyama S., Amano H., Akasaki I., Maruyama H., Takagi T., Bandoh A.

    Physica Status Solidi C   5 巻 ( 0 ) 頁: 1559-1561   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssc.200778480

  526. Effect of annealing on metastable shallow acceptors in Mg-doped GaN layers grown on GaN substrates 査読有り Open Access

    Pozina G., Hemmingsson C., Paskov P. P., Bergman J. P., Monemar B., Kawashima T., Amano H., Akasaki I., Usui A.

    Appl. Phys. Lett.   92 巻 ( 0 ) 頁: 151904/1-151904/3   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.2909541

  527. Photoluminescence from highly excited AlN epitaxial layers. 査読有り

    Yamada Yoichi, Choi Kihyun, Shin Seungho, Murotani Hideaki, Taguchi Tsunemasa, Okada Narihito, Amano Hiroshi

    Appl. Phys. Lett.   92 巻 ( 0 ) 頁: 131912/1-131912/3   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.2906894

  528. Solution growth of AlN single crystal using Cu solvent under atmospheric pressure nitrogen 査読有り

    Kamei K., Shirai Y., Tanaka T., Okada N., Yauchi A., Amano H..

    Physica Status Solidi C:   4 巻 ( 0 ) 頁: 2211-2214   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssc.200674718

  529. Dependence of DAP emission properties on impurity concentrations in N-/B-co-doped 6H-SiC. 査読有り

    Murata Satoshi, Nakamura Yoshihiro, Maeda Tomohiko, Shibata Yoko, Ikuta Mina, Sugiura Masaaki, Nitta Shugo, Iwaya Motoaki, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu, Yoshimoto Masahiro, Furusho Tomoaki, Kinoshita Hiroyuki.

    Materials Science Forum   556-557 巻 ( 0 ) 頁: 335-338   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.4028/www.scientific.net/MSF.556-557.335

  530. Growth of high-quality and crack free AlN layers on sapphire substrate by multi-growth mode modification 査読有り

    Okada N., Kato N., Sato S., Sumii T., Nagai T., Fujimoto N., Imura M., Balakrishnan K., Iwaya M., Kamiyama S., Amano H., Akasaki I., Maruyama H., Takagi T., Noro T., Bandoh A.

    J. Crystal Growth   298 巻 ( 0 ) 頁: 349-353   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2006.10.123

  531. Microstructure in nonpolar m-plane GaN and AlGaN films 査読有り

    Nagai T., Kawashima T., Imura M., Iwaya M., Kamiyama S., Amano H., Akasaki I.

    J. Crystal Growth   298 巻 ( 0 ) 頁: 288-292   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2006.10.029

  532. Epitaxial lateral overgrowth of a-AlN layer on patterned a-AlN template by HT-MOVPE 査読有り

    Okada N., Kato N., Sato S., Sumii T., Fujimoto N., Imura M., Balakrishnan K., Iwaya M., Kamiyama S., Amano H., Akasaki I., Takagi T., Noro T., Bandoh A.

    J. Crystal Growth   300 巻 ( 0 ) 頁: 141-144   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2006.11.025

  533. Annihilation mechanism of threading dislocations in AlN grown by growth form modification method using V/III ratio 査読有り

    Imura Masataka, Fujimoto Naoki, Okada Narihito, Balakrishnan Krishnan, Iwaya Motoaki, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu, Noro Tadashi, Takagi Takashi, Bandoh Akira.

    J. Crystal Growth   300 巻 ( 0 ) 頁: 136-140   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  534. Epitaxial lateral overgrowth of AlxGa1-xN (x>0.2) on sapphire and its application to UV-B-light-emitting devices 査読有り

    Iida Kazuyoshi, Kawashima Takeshi, Iwaya Motoaki, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu, Bandoh Akira.

    J. Crystal Growth   298 巻 ( 0 ) 頁: 265-267   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  535. Epitaxial lateral growth of m-plane GaN and Al0.18Ga0.82N on m-plane 4H-SiC and 6H-SiC substrates 査読有り

    Kawashima T., Nagai T., Iida D., Miura A., Okadome Y., Tsuchiya Y., Iwaya M., Kamiyama S., Amano H., Akasaki I.

    J. Crystal Growth   298 巻 ( 0 ) 頁: 261-264   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2006.10.096

  536. Epitaxial lateral overgrowth of AlN on trench-patterned AlN layers 査読有り

    Imura Masataka, Nakano Kiyotaka, Narita Gou, Fujimoto Naoki, Okada Narihito, Balakrishnan Krishnan, Iwaya Motoaki, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu, Noro Tadashi, Takagi Takashi, Bandoh Akira.

    J. Crystal Growth   298 巻 ( 0 ) 頁: 257-260   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2006.10.043

  537. High-speed growth of AlGaN having high-crystalline quality and smooth surface by high-temperature MOVPE 査読有り

    Kato N., Sato S., Sumii T., Fujimoto N., Okada N., Imura M., Balakrishnan K., Iwaya M., Kamiyama S., Amano H., Akasaki I., Maruyama H., Noro T., Takagi T., Bandoh A.

    J. Crystal Growth   298 巻 ( 0 ) 頁: 215-218   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2006.10.044

  538. Reduction in defect density over whole area of (1-100) m-plane GaN using one-sidewall seeded epitaxial lateral overgrowth. 査読有り

    Kawashima T., Nagai T., Iida D., Miura A., Okadome Y., Tsuchiya Y., Iwaya M., Kamiyama S., Amano H., Akasaki I.

    Physica Status Solidi B   244 巻 ( 0 ) 頁: 1848-1852   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  539. Crystallographic polarity and crystallinity characterization of polar and nonpolar GaN epitaxial films by X-ray diffraction analyses. 査読有り Open Access

    Inaba Katsuhiko, Amano Hiroshi.

    Physica Status Solidi B   244 巻 ( 0 ) 頁: 1775-1779   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssb.200674812

  540. Optical observation of discrete well width fluctuations in wide band gap III-nitride quantum well 査読有り

    Haratizadeh H., Monemar B., Paskov Plamen P., Holtz Per Olof, Valcheva E., Persson P., Iwaya M., Kamiyama S., Amano H., Akasaki I.

    Physica Status Solidi B   244 巻 ( 0 ) 頁: 1727-1734   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  541. One-step lateral growth for reduction in defect density of a-plane GaN on r-sapphire substrate and its application in light emitters 査読有り

    Iida D., Miura A., Okadome Y., Tsuchiya Y., Kawashima T., Nagai T., Iwaya M., Kamiyama S., Amano H., Akasaki I.

    Physica Status Solidi A   204 巻 ( 0 ) 頁: 2005-2009   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssa.200674810

  542. High-efficiency AlGaN based UV emitters grown on high-crystalline-quality AlGaN using grooved AlN layer on sapphire substrate 査読有り

    Iida K., Watanabe H., Takeda K., Nagai T., Sumii T., Nagamatsu K., Kawashima T., Balakrishnan K., Iwaya M., Kamiyama S., Amano H., Akasaki I., Bandoh A.

    Physica Status Solidi A   204 巻 ( 0 ) 頁: 2000-2004   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssa.200674809

  543. Influence of well-width fluctuations on the electronic structure of GaN/AlxGa1-xN multiquantum wells with graded interfaces. 査読有り Open Access

    Valcheva E., Dimitrov S., Monemar B., Haratizadeh H., Persson P. O. A., Amano H., Akasaki I.

    Acta Physica Polonica, A   112 巻 ( 0 ) 頁: 395-400   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.12693/APhysPolA.112.395

    Open Access

  544. Origin of localized excitons in In-containing three-dimensional bulk (Al,In,Ga)N alloy films probed by time-resolved photoluminescence and monoenergetic positron annihilation techniques 査読有り

    Chichibu S. F., Uedono A., Onuma T., Haskell B. A., Chakraborty A., Koyama T., Fini P. T., Keller S., Denbaars S. P., Speck J. S., Mishra U. K., Nakamura S., Yamaguchi S., Kamiyama S., Amano H., Akasaki I., Han J., Sota T.

    Philosophical Magazine   87 巻 ( 0 ) 頁: 2019-2039   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1080/14786430701241689

  545. Entirely crack-free ultraviolet GaN/AlGaN laser diodes grown on 2-in. Sapphire substrate. 査読有り

    Yoshida Harumasa, Takagi Yasufumi, Kuwabara Masakazu, Amano Hiroshi, Kan Hirofumi.

    Jpn. J. Appl. Phys.   46 巻 ( 0 ) 頁: 5782-5784   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.46.5782

  546. Influence of high temperature in the growth of low dislocation content AlN bridge layers on patterned 6H-SiC substrates by metalorganic vapor phase epitaxy 査読有り

    Balakrishnan Krishnan, Bandoh Akria, Iwaya Motoaki, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu.

    Jpn. J. Appl. Phys.   46 巻 ( 0 ) 頁: L307-L310   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.46.L307

  547. Dislocations in AlN epilayers grown on sapphire substrate by high-temperature metal-organic vapor phase epitaxy 査読有り

    Imura Masataka, Nakano Kiyotaka, Fujimoto Naoki, Okada Narihito, Balakrishnan Krishnan, Iwaya Motoaki, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu, Noro Tadashi, Takagi Takashi, Bandoh Akira.

    Jpn. J. Appl. Phys.   46 巻 ( 0 ) 頁: 1458-1462   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  548. Control of threshold voltage of enhancement-mode AlxGa1-xN/GaN junction heterostructure field-effect transistors using p-GaN gate contact 査読有り

    Fujii Takahiro, Tsuyukuchi Norio, Hirose Yoshikazu, Iwaya Motoaki, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu.

    Jpn. J. Appl. Phys.   46 巻 ( 0 ) 頁: 115-118   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  549. Photoluminescence study of MOCVD-grown GaN/AlGaN MQW nanostructures: influence of Al composition and Si doping. 査読有り

    Esmaeili M., Haratizadeh H., Monemar B., Paskov P. P., Holtz P. O., Bergman P., Iwaya M., Kamiyama S., Amano H., Akasaki I.

    Nanotechnology   18 巻 ( 0 ) 頁: 025401/1-025401/6   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/0957-4484/18/2/025401

  550. Fabrication of enhancement-mode AlxGa1-xN/GaN junction heterostructure field-effect transistors with p-type GaN gate contact 査読有り

    Fujii T., Tsuyukuchi N., Hirose Y., Iwaya M., Kamiyama S., Amano H., Akasaki I.

    Physica Status Solidi C   4 巻 ( 0 ) 頁: 2708-2711   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssc.200674790

  551. Microstructure of a-plane AlN grown on r-plane sapphire and on patterned AlN templates by metalorganic vapor phase epitaxy 査読有り

    Okada N., Imura M., Nagai T., Balakrishnan K., Iwaya M., Kamiyama S., Amano H., Akasaki I., Maruyama H., Noro T., Takagi T., Bandoh A.

    Physica Status Solidi C   4 巻 ( 0 ) 頁: 2528-2531   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  552. Mg-doped high-quality AlxGa1-xN (x = 0-1) grown by high-temperature metal-organic vapor phase epitaxy 査読有り

    Imura M., Kato N., Okada N., Balakrishnan K., Iwaya M., Kamiyama S., Amano H., Akasaki I., Noro T., Takagi T., Bandoh A.

    Physica Status Solidi C   4 巻 ( 0 ) 頁: 2502-2505   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  553. Void assisted dislocation reduction in AlN and AlGaN by high temperature MOVPE 査読有り

    Balakrishnan K., Iida K., Bandoh A., Iwaya M., Kamiyama S., Amano H., Akasaki I.

    Physica Status Solidi C   4 巻 ( 0 ) 頁: 2272-2276   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssc.200674868

  554. Realization of high-crystalline-quality thick m-plane GaInN film on 6H-SiC substrate by epitaxial lateral overgrowth 査読有り

    Senda Ryota, Miura Aya, Hayakawa Takemasa, Kawashima Takeshi, Iida Daisuke, Nagai Tetsuya, Iwaya Motoaki, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu

    Jpn. J. Appl. Phys.   46 巻 ( 0 ) 頁: L948-L950   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.46.L948

  555. Metastable behavior of the UV luminescence in Mg-doped GaN layers grown on quasibulk GaN templates 査読有り

    Pozina G., Paskov P. P., Bergman J. P., Hemmingsson C., Hultman L., Monemar B., Amano H., Akasaki I., Usui A.

    Appl. Phys. Lett.   91 巻 ( 0 ) 頁: 221901/1-221901/3   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.2809407

  556. Metastability of the UV luminescence in Mg-doped GaN layers grown by MOVPE on quasi-bulk GaN templates 査読有り

    Pozina G., Monemar B., Paskov P. P., Hemmingsson C., Hultman L., Amano H., Akasaki I., Paskova T., Figge S., Hommel D., Usui A.

    Physica B   401-402 巻 ( 0 ) 頁: 302-306   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.physb.2007.08.173

  557. Critical aspects of high temperature MOCVD growth of AlN epilayers on 6H-SiC substrates 査読有り

    Balakrishnan K., Fujimoto N., Kitano T., Bandoh A., Imura M., Nakano K., Iwaya M., Kamiyama S., Amano H., Akasaki I., Takagi T., Noro T., Shimono K., Riemann T., Christen J.

    Physica Status Solidi C   3 巻 ( 0 ) 頁: 1392-1395   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssc.200565387

  558. A hydrogen-related shallow donor in GaN? 査読有り

    Monemar B., Paskov P. P., Bergman J. P., Iwaya M., Kamiyama S., Amano H., Akasaki I.

    Physica B   376-377 巻 ( 0 ) 頁: 460-463   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  559. Dominant shallow acceptor enhanced by oxygen doping in GaN 査読有り

    Monemar B., Paskov P. P., Tuomisto F., Saarinen K., Iwaya M., Kamiyama S., Amano H., Akasaki I., Kimura S.

    Physica B   376-377 巻 ( 0 ) 頁: 440-443   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.physb.2005.12.113

  560. Microstructure of nitrides grown on inclined c-plane sapphire and SiC substrate 査読有り

    Imura M., Honshio A., Miyake Y., Nakano K., Tsuchiya N., Tsuda M., Okadome Y., Balakrishnan K., Iwaya M., Kamiyama S., Amano H., Akasaki I.

    Physica B   376-377 巻 ( 0 ) 頁: 491-495   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.physb.2005.12.125

  561. Dominant shallow acceptor enhanced by oxygen doping in GaN 査読有り

    Monemar B, Paskov P P, Tuomisto F, Saarinen K, Iwaya M, Kamiyama S, Amano H, Akasaki I, Kimura S

    Physica B   376-377 巻 ( 0 ) 頁: 440-443   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.physb.2005.12.113

  562. 6H-SiC homoepitaxial growth and optical property of boron- and nitrogen-doped donor-acceptor pair (DAP) emission on 1° -off substrate by closed-space sublimation method 査読有り

    Kawai Y., Maeda T., Nakamura Y., Sakurai Y., Iwaya M., Kamiyama S., Amano H., Akasaki I., Yoshimoto M., Furusho T., Kinoshita H., Shiomi H.

    Materials Science Forum   527 巻 ( 0 ) 頁: 263-266   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  563. Improvement in light extraction efficiency in group III nitride-based light-emitting diodes using moth-eye structure 査読有り

    Iwaya M., Kasugai H., Kawashima T., Iida K., Honshio A., Miyake Y., Kamiyama S., Amano H., Akasaki I.

    Thin Solid Films   515 巻 ( 0 ) 頁: 768-770   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.tsf.2005.12.188

  564. X-ray diffraction reciprocal lattice space mapping of a-plane AlGaN on GaN 査読有り

    Tsuda Michinobu, Furukawa Hiroko, Honshio Akira, Iwaya Motoaki, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu.

    Physica Status Solidi B   243 巻 ( 0 ) 頁: 1524-1528   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssb.200565344

  565. Epitaxial lateral overgrowth of AlN layers on patterned sapphire substrates 査読有り

    Nakano K., Imura M., Narita G., Kitano T., Hirose Y., Fujimoto N., Okada N., Kawashima T., Iida K., Balakrishnan K., Tsuda M., Iwaya M., Kamiyama S., Amano H., Akasaki I.

    Physica Status Solidi A   203 巻 ( 0 ) 頁: 1632-1635   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssa.200565389

  566. Microstructure of thick AlN grown on sapphire by high-temperature MOVPE 査読有り

    Imura M., Nakano K., Kitano T., Fujimoto N., Okada N., Balakrishnan K., Iwaya M., Kamiyama S., Amano H., Akasaki I., Shimono K., Noro T., Takagi T., Bandoh A.

    Physica Status Solidi A   203 巻 ( 0 ) 頁: 1626-1631   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssa.200565401

  567. Effects of Si doping position on the emission energy and recombination dynamics of GaN/AlGaN multiple quantum wells 査読有り

    Haratizadeh Hamid, Monemar Bo, Amano Hiroshi

    Physica Status Solidi A   203 巻 ( 0 ) 頁: 149-153   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssa.200563508

  568. Extremely high quantum efficiency of donor-acceptor-pair emission in N-and-B-doped 6H-SiC 査読有り

    Kamiyama S., Maeda T., Nakamura Y., Iwaya M., Amano H., Akasaki I., Kinoshita H., Furusho T., Yoshimoto M., Kimoto T., Suda J., Henry A., Ivanov I. G., Bergman J. P., Monemar B., Onuma T., Chichibu S. F.

    J. Apl. Phys.   99 巻 ( 0 ) 頁: 093108/1-093108/4   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.2195883

  569. Microstructure of epitaxial lateral overgrown AlN on trench-patterned AlN template by high-temperature metal-organic vapor phase epitaxy 査読有り

    Imura M., Nakano K., Kitano T., Fujimoto N., Narita G., Okada N., Balakrishnan K., Iwaya M., Kamiyama S., Amano H., Akasaki I., Shimono K., Noro T., Takagi T., Bandoh A.

    Appl. Phys. Lett.   89 巻 ( 0 ) 頁: 221901/1-221901/2   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.2364460

  570. Low-leakage-current enhancement-mode AlGaN/GaN heterostructure field-effect transistor using p-type gate contact 査読有り

    Tsuyukuchi Norio, Nagamatsu Kentaro, Hirose Yoshikazu, Iwaya Motoaki, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu

    Jpn. J. Appl. Phys.   45 巻 ( 0 ) 頁: L319-L321   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.45.L319

  571. High on/off ratio in enhancement-mode AlxGa1-xN/GaN junction heterostructure field-effect transistors with P-type GaN gate contact 査読有り

    Fujii Takahiro, Tsuyukuchi Norio, Iwaya Motoaki, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu.

    Jpn. J. Appl. Phys.   45 巻 ( 0 ) 頁: L1048-L1050   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.45.L1048

  572. Breakthroughs in improving crystal quality of GaN and invention of the p-n junction blue-light-emitting diode 査読有り

    Akasaki Isamu, Amano Hiroshi.

    Jpn. J. Appl. Phys.   45 巻 ( 0 ) 頁: 9001-9010   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.45.9001

  573. High-temperature metal-organic vapor phase epitaxial growth of AlN on sapphire by multi transition growth mode method varying V/III ratio 査読有り

    Imura Masataka, Nakano Kiyotaka, Fujimoto Naoki, Okada Narihito, Balakrishnan Krishnan, Iwaya Motoaki, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu, Noro Tadashi, Takagi Takashi, Bandoh Akira.

    Jpn. J. Appl. Phys.   45 巻 ( 0 ) 頁: 8639-8643   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.45.8639

  574. Anisotropically biaxial strain in a-plane AlGaN on GaN grown on r-plane sapphire 査読有り

    Tsuda Michinobu, Furukawa Hiroko, Honshio Akira, Iwaya Motoaki, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu.

    Jpn. J. Appl. Phys.   45 巻 ( 0 ) 頁: 2509-2513   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  575. Thermodynamic aspects of growth of AlGaN by high-temperature metal organic vapor phase epitaxy 査読有り

    Okada Narihito, Fujimoto Naoki, Kitano Tsukasa, Narita Gou, Imura Masataka, Balakrishnan Krishnan, Iwaya Motoaki, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu, Shimono Kenji, Noro Tadashi, Takagi Takashi, Bandoh Akira.

    Jpn. J. Appl. Phys.   45 巻 ( 0 ) 頁: 2502-2504   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.45.2502

  576. Effect of UV irradiation by UV LED on the apoptosis and necrosis of Jurkat cells 査読有り

    Inada Shunko Albano, Amano Hiroshi, Akasaki Isamu, Morita Akinori, Kobayashi Keiko.

    Meijo Daigaku Sogo Kenkyusho Sogo Gakujutsu Kenkyu Ronbunshu   5 巻 ( 0 ) 頁: 73-77   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  577. Origin of defect-insensitive emission probability in In-containing (Al,In,Ga)N alloy semiconductors 査読有り

    Chichibu Shigefusa F., Uedono Akira, Onuma Takeyoshi, Haskell Benjamin A., Chakraborty Arpan, Koyama Takahiro, Fini Paul T., Keller Stacia, DenBaars Steven P., Speck James S., Mishra Umesh K., Nakamura Shuji, Yamaguchi Shigeo, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu, Han Jung, Sota Takayuki. O

    Nature Materials   5 巻 ( 0 ) 頁: 810-816   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  578. Growth of high-quality AlN at high growth rate by high-temperature MOVPE 査読有り

    Fujimoto N., Kitano T., Narita G., Okada N., Balakrishnan K., Iwaya M., Kamiyama S., Amano H., Akasaki I., Shimono K., Noro T., Takagi T., Bandoh A.

    Physica Status Solidi C   3 巻 ( 0 ) 頁: 1617-1619   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssc.200565357

  579. Light extraction process in moth-eye structure 査読有り

    Kasugai H., Nagamatsu K., Miyake Y., Honshio A., Kawashima T., Iida K., Iwaya M., Kamiyama S., Amano H., Akasaki I., Kinoshita H., Shiomi H.

    Physica Status Solidi C   3 巻 ( 0 ) 頁: 2165-2168   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssc.200565319

  580. Radiative recombination mechanism in highly modulation doped GaN/AlGaN multiple quantum wells 査読有り

    Arnaudov B., Paskov P. P., Haratizadeh H., Holtz P. O., Monemar B., Kamiyama S., Iwaya M., Amano H., Akasaki I.

    Physica Status Solidi C   3 巻 ( 0 ) 頁: 1888-1891   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssc.200565267

  581. Polarity and microstructure in InN thin layers grown by MOVPE 査読有り

    Kuwano N., Nakahara Y., Amano H..

    Physica Status Solidi C   3 巻 ( 0 ) 頁: 1523-1526   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssc.200565158

  582. Photoluminescence of GaN/AlN superlattices grown by MOCVD 査読有り

    PP Paskov JP Bergman V Darakchieva T Paskova B Monemar M IwayaS Kamiyama H Amano and I Akasaki

    Physica Status Solidi C: Current Topics in Solid State Physics   2 巻 ( 0 ) 頁: pp2345-2348   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssc.200461367

  583. Optical properties of InN related to surface plasmons 査読有り Open Access

    Shubina T V, Leymarie J, Jmerik V N, Toropov A A, Vasson A, Amano H, Schaff W J, Monemar B, Ivanov S V

    Physica Status Solidi A   202 巻 ( 0 ) 頁: 2633-2641   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssa.200562007

  584. CBED study of grain misorientations in AlGaN epilayers 査読有り

    Sahonta S-L, Cherns D, Liu R, Ponce F A, Amano H, Akasaki I

    Ultramicroscopy   103 巻 ( 0 ) 頁: 23-32   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.ultramic.2004.11.013

  585. Phonon mode behavior in strained wurtzite AlN/GaN superlattices 査読有り

    Darakchieva V, Valcheva E, Paskov P P, Schubert M, Paskova T, Monemar B, Amano H, Akasaki I

    Physical Review B   71 巻 ( 0 ) 頁: 115329/1-115329/9   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  586. High-rate growth of films of dense, aligned double-walled carbon nanotubes using microwave plasma-enhanced chemical vapor deposition 査読有り

    Hiramatsu Mineo, Nagao Hidetoshi, Taniguchi Masaki, Amano Hiroshi, Ando Yoshinori, Hori Masaru

    Jpn. J. Appl. Phys.   44 巻 ( 0 ) 頁: L693-L695   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.44.L693

  587. Control of p-type conduction in a-plane GaN grown on sapphire r-plane substrate 査読有り

    Tsuchiya Yosuke, Okadome Yoshizane, Honshio Akira, Miyake Yasuto, Kawashima Takeshi, Iwaya Motoaki, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu

    Jpn. J. Appl. Phys.   44 巻 ( 0 ) 頁: L1516-L1518   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.44.L1516

  588. Flat (11-20) GaN thin film on precisely offset-controlled (11-02) sapphire substrate 査読有り

    Imura Masataka, Hoshino Akira, Nakano Kiyotaka, Tsuda Michinobu, Iwaya Motoaki, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu

    Jpn. J. Appl. Phys.   44 巻 ( 0 ) 頁: 7418-7420   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  589. High-efficiency nitride-based light-emitting diodes with moth-eye structure 査読有り

    Kasugai Hideki, Miyake Yasuto, Honshio Akira, Mishima Shunsuke, Kawashima Takeshi, Iida Kazuyoshi, Iwaya Motoaki, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu, Kinoshita Hiroyuki, Shiomi Hiromu

    Jpn. J. Appl. Phys.   44 巻 ( 0 ) 頁: 7414-7417   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.44.7414

  590. Impact of H2-preannealing of the sapphire substrate on the crystallinity of low-temperature-deposited AlN buffer layer 査読有り

    Tsuda Michinobu, Balakrishnan Krishnan, Iwaya Motoaki, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu

    Jpn. J. Appl. Phys.   44 巻 ( 0 ) 頁: 3913-3917   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  591. Vertical growth of carbon nanowalls using rf plasma-enhanced chemical vapor deposition 査読有り

    Shiji K, Hiramatsu M, Enomoto A, Nakamura M, Amano H, Hori M

    Diamond and Related Materials   14 巻 ( 0 ) 頁: 831-834   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.diamond.2004.10.021

  592. UV laser diode with 3509-nm-lasing wavelength grown by hetero-epitaxial-lateral overgrowth technology 査読有り

    Kamiyama Satoshi, Iida Kazuyoshi, Kawashima Takeshi, Kasugai Hideki, Mishima Shunsuke, Honshio Akira, Miyake Yasuto, Iwaya Motoaki, Amano Hiroshi, Akasaki Isamu

    IEEE Journal of Selected Topics in Quantum Electronics   11 巻 ( 0 ) 頁: 1069-1073   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  593. Free-to-bound radiative recombination in highly conducting InN epitaxial layers 査読有り

    Arnaudov B, Paskova T, Paskov P P, Magnusson B, Valcheva E, Monemar B, Lu H, Schaff W J, Amano H, Akasaki I

    Superlattices and Microstructures   36 巻 ( 0 ) 頁: 563-571   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.spmi.2004.09.013

  594. High-quality Al012Ga088N film with low dislocation density grown on facet-controlled Al012Ga088N by MOVPE 査読有り

    Kawashima Takeshi, Iida Kazuyoshi, Miyake Yasuto, Honshio Akira, Kasugai Hideki, Imura Masataka, Iwaya Motoaki, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu

    J. Crystal Growth   272 巻 ( 0 ) 頁: 377-380   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  595. Laser diode of 3509nm wavelength grown on sapphire substrate by MOVPE 査読有り

    Iida Kazuyoshi, Kawashima Takeshi, Miyazaki Atsushi, Kasugai Hideki, Mishima Syunsuke, Honshio Akira, Miyake Yasuto, Iwaya Motoaki, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu

    J. Crystal Growth   272 巻 ( 0 ) 頁: 270-273   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  596. Time resolved photoluminescence study of Si modulation doped GaN/Al093N multiple quantum wells 査読有り

    Haratizadeh H, Monemar B, Paskov P P, Holtz P O, Pozina G, Kamiyama S, Iwaya M, Amano H, Akasaki I

    Physica Status Solidi B: Basic Research   241 巻 ( 0 ) 頁: 1124-1133   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  597. Defect and stress control of AlGaN for fabrication of high performance UV light emitters 査読有り

    Amano H, Miyazaki A, Iida K, Kawashima T, Iwaya M, Kamiyama S, Akasaki I, Liu R, Bell A, Ponce F A, Sahonta S, Cherns D

    Physica Status Solidi A: Applied Research   201 巻 ( 0 ) 頁: 2679-2685   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssa.200405044

  598. Optical investigation of AlGaN/GaN quantum wells and superlattices 査読有り

    Monemar B, Paskov P P, Haradizadeh H, Bergman J P, Valcheva E, Darakchieva V, Arnaudov B, Paskova T, Holtz P O, Pozina G, Kamiyama S, Iwaya M, Amano H, Akasaki I

    Physica Status Solidi A: Applied Research   201 巻 ( 0 ) 頁: 2251-2258   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssa.200404849

  599. Mie Resonances, Infrared Emission, and the Band Gap of InN 査読有り

    Shubina T V, Ivanov S V, Jmerik V N, Solnyshkov D D, Vekshin V A, Kop'ev P S, Vasson A, Leymarie J, Kavokin A, Amano H, Shimono K, Kasic A, Monemar B

    Physical Review Letters   92 巻 ( 0 ) 頁: 117407/1-117407/4   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  600. The generation of misfit dislocations in facet-controlled growth of AlGaN/GaN films 査読有り

    Cherns D, Sahonta S-L, Liu R, Ponce F A, Amano H, Akasaki I

    Appl. Phys. Lett.   85 巻 ( 0 ) 頁: 4923-4925   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.1825051

  601. Spatial variation of luminescence from AlGaN grown by facet controlled epitaxial lateral overgrowth 査読有り

    Bell A, Liu R, Parasuraman U K, Ponce F A, Kamiyama S, Amano H, Akasaki I

    Appl. Phys. Lett.   85 巻 ( 0 ) 頁: 3417-3419   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.1807950

  602. Photoluminescence study of Si-doped GaN/Al007Ga093N multiple quantum wells with different dopant position 査読有り

    Haratizadeh H, Monemar B, Paskov P P, Bergman J P, Sernelius B E, Holtz P O, Iwaya M, Kamiyama S, Amano H, Akasaki I

    Appl. Phys. Lett.   84 巻 ( 0 ) 頁: 5071-5073   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  603. Fabrication of vertically aligned carbon nanowalls using capacitively coupled plasma-enhanced chemical vapor deposition assisted by hydrogen radical injection 査読有り

    Hiramatsu M, Shiji K, Amano H, Hori M

    Appl. Phys. Lett.   84 巻 ( 0 ) 頁: 4708-4710   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.1762702

  604. Energy position of near-band-edge emission spectra of InN epitaxial layers with different doping levels 査読有り

    Arnaudov B, Paskova T, Paskov P P, Magnusson B, Valcheva E, Monemar B, Lu H, Schaff W J, Amano H, Akasaki I

    Physical Review B: Condensed Matter and Materials Physics   69 巻 ( 0 ) 頁: 115216/1-115216/5   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1103/PhysRevB.69.115216

  605. 3509 nm UV laser diode grown on low-dislocation-density AlGaN 査読有り

    Iida Kazuyoshi, Kawashima Takeshi, Miyazaki Atsushi, Kasugai Hideki, Mishima Syunsuke, Honshio Akira, Miyake Yasuto, Iwaya Motoaki, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu

    Jpn. J. Appl. Phys. Part : Letters & Express Letters   43 巻 ( 0 ) 頁: L499-L500   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  606. Study on the seeded growth of AlN bulk crystals by sublimation 査読有り

    Balakrishnan Krishnan, Iwaya Motoaki, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu, Takagi Takashi, Noro Tadashi

    Jpn. J. Appl. Phys. Part : Regular PapersShort Notes & Review Papers   43 巻 ( 0 ) 頁: 7448-7453   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.43.7448

  607. Crystalline Structure and the Role of Low-Temperature-Deposited AlN and GaN on Sapphire Revealed by X-Ray CTR Scattering and X-Ray Reflectivity Measurements 査読有り

    Takeda Y, Tabuchi M, Amano H, Akasaki I

    Surface Review and Letters   10 巻 ( 0 ) 頁: 537-541   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1142/S0218625X03004810

  608. Growth-induced defects in AlN/GaN superlattices with different periods 査読有り

    Valcheva E, Paskova T, Radnoczi G Z, Hultman L, Monemar B, Amano H, Akasaki I

    Physica B: Condensed Matter AmsterdamNetherlands   340-342 巻 ( 0 ) 頁: 1129-1132   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.physb.2003.09.175

  609. Electrical and crystalline properties of as-grown p-type GaN grown by metalorganic vapor phase epitaxy 査読有り

    Yamaguchi S, Iwamura Y, Watanabe Y, Kosaki M, Yukawa Y, Nitta S, Kamiyama S, Amano H, Akasaki I

    J. Crystal Growth   248 巻 ( 0 ) 頁: 503-506   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0022-0248(02)01841-9

  610. Influence of oxygen on luminescence and vibrational spectra of Mg-doped GaN 査読有り

    Koide Yasuo, Walker D E Jr, White B D, Brillson L J, Itoh T, McCreery R L, Murakami Masanori, Kamiyama S, Amano H, Akasaki I

    Physica Status Solidi B: Basic Research   240 巻 ( 0 ) 頁: 356-359   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssb.200303279

  611. Influence of polarization fields and depletion fields on photoluminescence of AlGaN/GaN multiple quantum well structures 査読有り

    Monemar B, Haratizadeh H, Paskov P P, Pozina G, Holtz P O, Bergman J P, Kamiyama S, Iwaya M, Amano H, Akasaki I

    Physica Status Solidi B: Basic Research   237 巻 ( 0 ) 頁: 353-364   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssb.200301782

  612. Mechanism of H2 pre-annealing on the growth of GaN on sapphire by MOVPE 査読有り

    Tsuda Michinobu, Watanabe Kenichi, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu, Liu Rong, Bell Abigail, Ponce Fernando A

    Applied Surface Science   216 巻 ( 0 ) 頁: 585-589   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0169-4332(03)00449-5

  613. Growth of GaN on ZrB2 substrate by metal-organic vapor phase epitaxy 査読有り

    Tomida Yoshihito, Nitta Shugo, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu, Otani Shigeki, Kinoshita Hiroyuki, Liu Rong, Bell Abigail, Ponce Fernando A

    Applied Surface Science   216 巻 ( 0 ) 頁: 502-507   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0169-4332(03)00466-5

  614. Violet and UV light-emitting diodes grown on ZrB2 substrate 査読有り

    Kamiyama S, Takanami S, Tomida Y, Iida K, Kawashima T, Fukui S, Iwaya M, Kinoshita H, Matsuda T, Yasuda T, Otani S, Amano H, Akasaki I

    Physica Status Solidi A: Applied Research   200 巻 ( 0 ) 頁: 67-70   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssa.200303317

  615. Improvement of light extraction efficiency of UV-LED grown on low-dislocation-density AlGaN 査読有り

    Iwaya M, Takanami S, Miyazaki A, Kawashima T, Iida K, Kamiyama S, Amano H, Akasaki I

    Physica Status Solidi A: Applied Research   200 巻 ( 0 ) 頁: 110-113   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssa.200303504

  616. Photoluminescence of InGaN/GaN and AlGaN/GaN multiple quantum well structures: Role of depletion fields and polarization fields 査読有り

    Monemar B, Paskov P P, Haratizadeh H, Holtz P O, Bergman J P, Kamiyama S, Iwaya M, Amano H, Akasaki I

    Physica Status Solidi A: Applied Research   195 巻 ( 0 ) 頁: 523-527   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssa.200306146

  617. Group III nitride-based UV light emitting devices 査読有り

    Amano H, Takanami S, Iwaya M, Kamiyama S, Akasaki I

    Physica Status Solidi A: Applied Research   195 巻 ( 0 ) 頁: 491-495   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssa.200306141

  618. Recombination dynamics of localized excitons in Al1-xInxN epitaxial films on GaN templates grown by metalorganic vapor phase epitaxy 査読有り

    Onuma T, Chichibu S F, Uchinuma Y, Sota T, Yamaguchi S, Kamiyama S, Amano H, Akasaki I

    J. Apl. Phys.   94 巻 ( 0 ) 頁: 2449-2453   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.1592868

  619. Systematic analysis and control of low-temperature GaN buffer layers on sapphire substrates 査読有り

    Sumiya M, Ogusu N, Yotsuda Y, Itoh M, Fuke S, Nakamura T, Mochizuki S, Sano T, Kamiyama S, Amano H, Akasaki I

    J. Apl. Phys.   93 巻 ( 0 ) 頁: 1311-1319   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.1530754

  620. Light emission and microstructure of Mg-doped AlGaN grown on patterned sapphire 査読有り

    Bell A, Liu R, Ponce F A, Amano H, Akasaki I, Cherns D

    Appl. Phys. Lett.   82 巻 ( 0 ) 頁: 349-351   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.1537517

  621. High-power UV-light-emitting diode on sapphire 査読有り

    Iwaya Motoaki, Takanami Shun, Miyazaki Atsushi, Watanabe Yasuhiro, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu

    Jpn. J. Appl. Phys. Part : Regular PapersShort Notes & Review Papers   42 巻 ( 0 ) 頁: 400-403   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.42.400

  622. ZrB2 substrate for nitride semiconductors 査読有り

    Kinoshita Hiroyuki, Otani Shigeki, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu, Suda Jun, Matsunami Hiroyuki

    Jpn. J. Appl. Phys.Part : Regular PapersShort Notes & Review Papers   42 巻 ( 0 ) 頁: 2260-2264   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.42.2260

  623. Piezoelectric effect in group-III nitride-based heterostructures and quantum wells 査読有り

    Takeuchi T, Wetzel C, Amano H, Akasaki I

    Optoelectronic Properties of Semiconductors and Superlattices   16 巻 ( 0 ) 頁: 399-438   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  624. Structural analysis of Si-doped AlGaN/GaN multi-quantum wells 査読有り

    Nakamura Tetsuya, Mochizuki Shingo, Terao Shinji, Sano Tomoaki, Iwaya Motoaki, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu

    J. Crystal Growth   237-239 巻 ( 0 ) 頁: 1129-1132   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0022-0248(01)02069-3

  625. Photoluminescence and electroluminescence characterization of InxGa1-xN/InyGa1-yN multiple quantum well light emitting diodes 査読有り

    Bergman J P, Pozina G, Monemar B, Kamiyama S, Iwaya M, Amano H, Akasaki I

    Materials Science Forum   389-393 巻 ( 0 ) 頁: 1493-1496   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  626. MOVPE growth and characterization of Al1-xInxN/GaN multiple layers 査読有り

    Kosaki Masayoshi, Mochizuki Shingo, Nakamura Tetsuya, Watanabe Yasuhiro, Yukawa Yohei, Nitta Shugo, Yamaguchi Shigeo, Amano Hiroshi, Akasaki Isamu

    J. Crystal Growth   237-239 巻 ( 0 ) 頁: 968-971   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0022-0248(01)02024-3

  627. Suppression of phase separation of AlGaN during lateral growth and fabrication of high-efficiency UV-LED on optimized AlGaN 査読有り

    Iwaya Motoaki, Terao Shinji, Sano Tomoaki, Ukai Tsutomu, Nakamura Ryo, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu

    J. Crystal Growth   237-239 巻 ( 0 ) 頁: 951-955   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0022-0248(01)02011-5

  628. Relaxation of misfit-induced stress in nitride-based heterostructures 査読有り

    Terao Shinji, Iwaya Motoaki, Sano Tomoaki, Nakamura Tetsuya, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu

    J. Crystal Growth   237-239 巻 ( 0 ) 頁: 947-950   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0022-0248(01)02009-7

  629. Characterization of local structures around In atoms in Ga1-xInxN layers by fluorescence EXAFS measurements 査読有り

    Tabuchi M, Katou D, Kyouzu H, Takeda Y, Yamaguchi S, Amano H, Akasaki I

    J. Crystal Growth   237-239 巻 ( 0 ) 頁: 1139-1142   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  630. Atomic scale characterization of GaInN/GaN layers grown on sapphire substrates with low-temperature deposited AlN buffer layers 査読有り

    Tabuchi M, Kyouzu H, Takeda Y, Yamaguchi S, Amano H, Akasaki I

    J. Crystal Growth   237-239 巻 ( 0 ) 頁: 1133-1138   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0022-0248(01)02070-X

  631. Electric fields in polarized GaInN/GaN heterostructures 査読有り

    Wetzel C, Takeuchi T, Amano H, Akasaki I

    Optoelectronic Properties of Semiconductors and Superlattices   14 巻 ( 0 ) 頁: 219-258   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  632. UV light-emitting diode fabricated on hetero-ELO-grown Al022Ga078N with low dislocation density 査読有り

    Kamiyama S, Iwaya M, Takanami S, Terao S, Miyazaki A, Amano H, Akasaki I

    Physica Status Solidi A: Applied Research   192 巻 ( 0 ) 頁: 296-300   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  633. Influence of depletion fields on photoluminescence of n-doped InGaN/GaN multiple quantum well structures 査読有り

    Monemar B, Paskov P P, Pozina G, Bergman J P, Kamiyama S, Iwaya M, Amano H, Akasaki I

    Physica Status Solidi A: Applied Research   192 巻 ( 0 ) 頁: 21-26   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  634. Photoluminescence of excitons in InxGa1-xN/InyGa1-yN multiple quantum wells 査読有り

    Monemar B, Paskov P P, Bergman J P, Pozina G, Paskova T, Kamiyama S, Iwaya M, Amano H, Akasaki I

    Physica Status Solidi A: Applied Research   190 巻 ( 0 ) 頁: 161-166   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  635. Optical study of AlGaN/GaN multiple quantum well structures grown on laterally overgrown GaN templates 査読有り

    Pozina G, Bergman J P, Monemar B, Kamiyama S, Iwaya M, Amano H, Akasaki I

    Physica Status Solidi A: Applied Research   190 巻 ( 0 ) 頁: 107-111   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  636. High-quality and high-mobility AlInN/GaN superlattices grown by metalorganic vapor phase epitaxy 査読有り

    Yamaguchi S, Iwamura Y, Kosaki M, Watanabe Y, Mochizuki S, Nakamura T, Yukawa Y, Nitta S, Kamiyama S, Amano H, Akasaki I

    Institute of Physics Conference Series   170 巻 ( 0 ) 頁: 813-817   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  637. Growth of high-quality GaN on metallic-ZrB2 by metalorganic vapor phase epitaxy 査読有り

    Yukawa Y, Nakamura T, Kosaki M, Watanabe Y, Nitta S, Kamiyama S, Amano H, Akasaki I, Otani S, Kinoshita H

    Institute of Physics Conference Series   170 巻 ( 0 ) 頁: 713-718   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  638. Low-dislocation-density AlxGa1-xN single crystals grown on grooved substrates 査読有り

    Sano Shigekazu, Detchprohm Theeradetch, Yano Masahiro, Nakamura Ryo, Mochizuki Shingo, Amano Hiroshi, Akasaki Isamu

    Materials Science & EngineeringB: Solid-State Materials for Advanced Technology   93 巻 ( 0 ) 頁: 197-201   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0921-5107(02)00012-0

  639. In-plane GaN/AlGaN heterostructure fabricated by selective mass transport planar technology 査読有り

    Nitta Shugo, Yukawa Yohei, Watanabe Yasuhiro, Kosaki Masayoshi, Iwaya Motoaki, Yamaguchi Shigeo, Amano Hiroshi, Akasaki Isamu

    Materials Science & EngineeringB: Solid-State Materials for Advanced Technology   93 巻 ( 0 ) 頁: 139-142   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0921-5107(02)00021-1

  640. Optical characterization of III-nitrides 査読有り

    Monemar B, Paskov P P, Paskova T, Bergman J P, Pozina G, Chen W M, Hai P N, Buyanova I A, Amano H, Akasaki I

    Materials Science & Engineering B: Solid-State Materials for Advanced Technology   93 巻 ( 0 ) 頁: 112-122   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0921-5107(02)00006-5

  641. Simultaneous observation of luminescence and dissociation processes of Mg-H complex for Mg-doped GaN 査読有り

    Koide Yasuo, Walker D E Jr, White B D, Brillson L J, Murakami Masanori, Kamiyama S, Amano H, Akasaki I

    J. Apl. Phys.   92 巻 ( 0 ) 頁: 3657-3661   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.1505988

  642. Atomic arrangement at the AlN/ZrB2 interface 査読有り

    Liu R, Bell A, Ponce F A, Kamiyama S, Amano H, Akasaki I

    Appl. Phys. Lett.   81 巻 ( 0 ) 頁: 3182-3184   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.1516876

  643. Electrical properties of strained AlN/GaN superlattices on GaN grown by metalorganic vapor phase epitaxy 査読有り

    Yamaguchi Shigeo, Iwamura Yasuo, Watanabe Yasuhiro, Kosaki Masayoshi, Yukawa Yohei, Nitta Shugo, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu

    Appl. Phys. Lett.   80 巻 ( 0 ) 頁: 802-804   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.1446204

  644. Direct observation of Ga-rich microdomains in crack-free AlGaN grown on patterned GaN/sapphire substrates 査読有り

    Riemann T, Christen J, Kaschner A, Laades A, Hoffmann A, Thomsen C, Iwaya M, Kamiyama S, Amano H, Akasaki I

    Appl. Phys. Lett.   80 巻 ( 0 ) 頁: 3093-3095   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.1473703

  645. Effect of n-type modulation doping on the photoluminescence of GaN/Al0 査読有り

    Haratizadeh H, Paskov P P, Pozina G, Holtz P O, Monemar B, Kamiyama S, Iwaya M, Amano H, Akasaki I

    Appl. Phys. Lett.   80 巻 ( 0 ) 頁: 1373-1375   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  646. Optical absorption in polarized Ga1-xInxN/GaN quantum wells 査読有り

    Wetzel Christian, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu

    Jpn. J. Appl. Phys. Part : Regular PapersShort Notes & Review Papers   41 巻 ( 0 ) 頁: 11-14   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.41.11

  647. Optical transitions in piezoelectrically polarized GaInN/GaN quantum wells 査読有り

    Wetzel C, Nelson J, Kamiyama S, Amano H, Akasaki I

    Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures   20 巻 ( 0 ) 頁: 216-218   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  648. Critical issues in AlxGa1-xN growth 査読有り

    Amano Hiroshi, Akasaki Isamu

    Optical Materials Amsterdam Netherlands   19 巻 ( 0 ) 頁: 219-222   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0925-3467(01)00222-1

  649. Annihilation of threading dislocations in GaN/AlGaN 査読有り

    Kuwano N, Tsuruda T, Adachi Y, Terao S, Kamiyama S, Amano H, Akasaki I

    Physica Status Solidi A: Applied Research   192 巻 ( 0 ) 頁: 366-370   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  650. Reduction of threading dislocation density in AlxGa1-xN grown on periodically grooved substrates 査読有り

    Mochizuki Shingo, Detchprohm Theeradetch, Sano Shigekazu, Nakamura Tetsuya, Amano Hiroshi, Akasaki Isamu

    J. Crystal Growth   237-239 巻 ( 0 ) 頁: 1065-1069   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0022-0248(01)02128-5

  651. Low-dislocation-density GaN and AlxGa1-xN (x? 013) grown on grooved substrates 査読有り

    Sano Shigekazu, Detchprohm Theeradetch, Mochizuki Shingo, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu

    J. Crystal Growth   235 巻 ( 0 ) 頁: 129-134   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  652. Migration of dislocations in strained GaN heteroepitaxial layers 査読有り

    Sahonta S-L, Baines M Q, Cherns D, Amano H, Ponce F A

    Physica Status Solidi B: Basic Research   234 巻 ( 0 ) 頁: 952-955   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  653. Mg incorporation in AlGaN layers grown on grooved sapphire substrates 査読有り

    Cherns D, Baines M Q, Wang Y Q, Liu R, Ponce F A, Amano H, Akasaki I

    Physica Status Solidi B: Basic Research   234 巻 ( 0 ) 頁: 850-854   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  654. Phonon-assisted photoluminescence in InGaN/GaN multiple quantum wells 査読有り

    Paskov P P, Holtz P O, Monemar B, Kamiyama S, Iwaya M, Amano H, Akasaki I

    Physica Status Solidi B: Basic Research   234 巻 ( 0 ) 頁: 755-758   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  655. Mass transport of AlxGa1-xN 査読有り

    Nitta S, Yukawa Y, Watanabe Y, Kamiyama S, Amano H, Akasaki I

    Physica Status Solidi A: Applied Research   194 巻 ( 0 ) 頁: 485-488   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  656. High-efficiency UV light-emitting diode 査読有り

    Kamiyama S, Iwaya M, Amano H, Akasaki I

    Physica Status Solidi A: Applied Research   194 巻 ( 0 ) 頁: 393-398   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  657. Effect of In-doping on the properties of as-grown p-type GaN grown by metalorganic vapor phase epitaxy 査読有り

    Yamaguchi S, Iwamura Y, Watanabe Y, Kosaki M, Yukawa Y, Nitta S, Kamiyama S, Amano H, Akasaki I

    Physica Status Solidi A: Applied Research   192 巻 ( 0 ) 頁: 453-455   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  658. Novel aspects of the growth of nitrides by MOVPE 査読有り

    Amano H, Akasaki I

    Journal of Physics: Condensed Matter   13 巻 ( 0 ) 頁: 6935-6944   2001年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/0953-8984/13/32/304

  659. Luminescence of InGaN/GaN multiple quantum wells grown by mass-transport 査読有り

    Pozina G, Bergman J P, Monemar B, Iwaya M, Nitta S, Amano H, Akasaki I

    Materials Science Forum   353-356 巻 ( 0 ) 頁: 791-794   2001年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.4028/www.scientific.net/MSF.353-356.791

  660. Compensation mechanism in MOCVD and MBE grown GaN:Mg 査読有り

    Alves H, Bohm M, Hofstaetter A, Amano H, Einfeldt S, Hommel D, Hofmann D M, Meyer B K

    Physica B: Condensed Matter AmsterdamNetherlands   308-310 巻 ( 0 ) 頁: 38-41   2001年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  661. DX-like behavior of oxygen in GaN 査読有り

    Wetzel C, Amano H, Akasaki I, Ager J W, Grzegory I, Meyer B K

    Physica B: Condensed Matter AmsterdamNetherlands   302&303 巻 ( 0 ) 頁: 23-38   2001年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0921-4526(01)00402-1

  662. Photoluminescence of InGaN/GaN multiple quantum wells grown by mass transport 査読有り

    Pozina G, Bergman J P, Monemar B, Iwaya M, Nitta S, Amano H, Akasaki I

    J. Crystal Growth   230 巻 ( 0 ) 頁: 473-476   2001年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0022-0248(01)01257-X

  663. Near K-edge absorption spectra of III-V nitrides 査読有り

    Fukui K, Hirai R, Yamamoto A, Hirayama H, Aoyagi Y, Yamaguchi S, Amano H, Akasaki I, Tanaka S

    Physica Status Solidi B: Basic Research   228 巻 ( 0 ) 頁: 461-465   2001年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  664. Optical characterization of InGaN/GaN MQW structures without in phase separation 査読有り

    Monemar B, Paskov P P, Pozina G, Paskova T, Bergman J P, Iwaya M, Nitta S, Amano H, Akasaki I

    Physica Status Solidi B: Basic Research   228 巻 ( 0 ) 頁: 157-160   2001年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  665. Low-temperature-deposited AlGaN interlayer for improvement of AlGaN/GaN heterostructure 査読有り

    Kamiyama S, Iwaya M, Hayashi N, Takeuchi T, Amano H, Akasaki I, Watanabe S, Kaneko Y, Yamada N

    J. Crystal Growth   223 巻 ( 0 ) 頁: 83-91   2001年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0022-0248(00)01017-4

  666. Crystal growth of high-quality AlInN/GaN superlattices and of crack-free AlN on GaN: their possibility of high electron mobility transistor 査読有り

    Yamaguchi S, Kosaki M, Watanabe Y, Mochizuki S, Nakamura T, Yukawa Y, Nitta S, Amano H, Akasaki I

    Physica Status Solidi A: Applied Research   188 巻 ( 0 ) 頁: 895-898   2001年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  667. Growth mechanism and characterization of low-dislocation-density AlGaN single crystals grown on periodically grooved substrates 査読有り

    Detchprohm T, Sano S, Mochizuki S, Kamiyama S, Amano H, Akasaki I

    Physica Status Solidi A: Applied Research   188 巻 ( 0 ) 頁: 799-802   2001年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  668. Demonstration of flame detection in room light background by solar-blind AlGaN PIN photodiode 査読有り

    Hirano A, Pernot C, Iwaya M, Detchprohm T, Amano H, Akasaki I

    Physica Status Solidi A: Applied Research   188 巻 ( 0 ) 頁: 293-296   2001年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  669. High-efficiency GaN/AlxGa1-xN multi-quantum-well light emitter grown on low-dislocation density AlxGa1-xN 査読有り

    Iwaya M, Terao S, Sano T, Takanami S, Ukai T, Nakamura R, Kamiyama S, Amano H, Akasaki I

    Physica Status Solidi A: Applied Research   188 巻 ( 0 ) 頁: 117-120   2001年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  670. Absorption spectroscopy and band structure in polarized GaN/AlxGa1-xN quantum wells 査読有り

    Wetzel C, Kasumi M, Amano H, Akasaki I

    Physica Status Solidi A: Applied Research   183 巻 ( 0 ) 頁: 51-60   2001年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  671. Control of strain in GaN by a combination of H2 and N2 carrier gases 査読有り

    Yamaguchi Shigeo, Kariya Michihiko, Kosaki Masayoshi, Yukawa Yohei, Nitta Shugo, Amano Hiroshi, Akasaki Isamu

    J. Apl. Phys.   89 巻 ( 0 ) 頁: 7820-7824   2001年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.1371278

  672. Time-resolved optical properties of GaN grown by metalorganic vapor phase epitaxy with indium surfactant 査読有り

    Pozina G, Bergman J P, Monemar B, Yamaguchi S, Amano H, Akasaki I

    Materials Science & EngineeringB: Solid-State Materials for Advanced Technology   82 巻 ( 0 ) 頁: 137-139   2001年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0921-5107(00)00714-5

  673. Metalorganic vapor phase epitaxy growth of crack-free AlN on GaN and its application to high-mobility AlN/GaN superlattices 査読有り

    Yamaguchi Shigeo, Kosaki Masayoshi, Watanabe Yasuyukihiro, Yukawa Yohei, Nitta Shugo, Amano Hiroshi, Akasaki Isamu

    Appl. Phys. Lett.   79 巻 ( 0 ) 頁: 3062-3064   2001年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.1416169

  674. Control of strain in GaN using an In doping-induced hardening effect 査読有り

    Yamaguchi Shigeo, Kariya Michihiko, Kashima Takayuki, Nitta Shugo, Kosaki Masayoshi, Yukawa Yohei, Amano Hiroshi, Akasaki Isamu

    Physical Review B: Condensed Matter and Materials Physics   64 巻 ( 0 ) 頁: 035318/1-035318/5   2001年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  675. Photoresponse and defect levels of AlGaN/GaN heterobipolar phototransistor grown on low-temperature AlN interlayer 査読有り

    Mouillet Robert, Hirano Akira, Iwaya Motoaki, Detchprohm Theeradetch, Amano Hiroshi, Akasaki Isamu

    Jpn. J. Appl. Phys. Part : Letters   40 巻 ( 0 ) 頁: L498-L501   2001年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.40.L498

  676. Metalorganic vapor phase epitaxial growth of high-quality (Al,In)N/(Al,Ga)N multiple layers on GaN 査読有り

    Kosaki Masayoshi, Mochizuki Shingo, Nakamura Tetsuya, Yukawa Yohei, Nitta Shugo, Yamaguchi Shigeo, Amano Hiroshi, Akasaki Isamu

    Jpn. J. Appl. Phys. Part : Letters   40 巻 ( 0 ) 頁: L420-L422   2001年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  677. Fracture of AlxGa1-xN/GaN heterostructure Compositional and impurity dependence 査読有り

    Terao Shinji, Iwaya Motoaki, Nakamura Ryo, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu

    Jpn. J. Appl. Phys. Part : Letters   40 巻 ( 0 ) 頁: L195-L197   2001年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  678. Heteroepitaxial lateral overgrowth of GaN on periodically grooved substrates: a new approach for growing low-dislocation-density GaN single crystals 査読有り

    Detchprohm Theeradetch, Yano Masahiro, Sano Shigekazu, Nakamura Ryo, Mochiduki Shingo, Nakamura Tetsuya, Amano Hiroshi, Akasaki Isamu

    Jpn. J. Appl. Phys. Part : Letters   40 巻 ( 0 ) 頁: L16-L19   2001年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.40.L16

  679. Zirconium diboride (0001) as an electrically conductive lattice-matched substrate for gallium nitride 査読有り

    Kinoshita Hiroyuki, Otani Shigeki, Kamiyama Satoshi, Amano Hiroshi, Akasaki Isamu, Suda Jun, Matsunami Kiroyuki

    Jpn. J. Appl. Phys. Part : Letters   40 巻 ( 0 ) 頁: L1280-L1282   2001年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.40.L1280

  680. Analysis of lateral-mode behavior in broad-area InGaN quantum-well lasers 査読有り

    Chow W W, Amano H

    IEEE Journal of Quantum Electronics   37 巻 ( 0 ) 頁: 265-273   2001年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  681. Mass transport of GaN and reduction of threading dislocations 査読有り

    Nitta S, Kashima T, Nakamura R, Iwaya M, Amano H, Akasaki I

    Surface Review and Letters   7 巻 ( 0 ) 頁: 561-564   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0218-625X(00)00056-7

  682. Electrical conductivity of low-temperature-deposited Al01Ga09N interlayer 査読有り

    Hayashi Nobuaki, Kamiyama Satoshi, Takeuchi Tetsuya, Iwaya Motoaki, Amano Hiroshi, Akasaki Isamu, Watanabe Satoshi, Kaneko Yawara, Yamada Norihide

    Jpn. J. Appl. Phys.   39 巻 ( 0 ) 頁: 6493-6495   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  683. Radiative recombination in (In,Ga)N/GaN multiple quantum wells 査読有り

    Bergman J P, Monemar B, Pozina G, Sernelius B E, Holtz P O, Amano H, Akasaki I

    Materials Science Forum   338-342 巻 ( 0 ) 頁: 1571-1574   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  684. Characterization of initial growth stage of GaInN multi-layered structure by X-ray CTR scattering method 査読有り

    Tabuchi M, Hirayama K, Takeda Y, Takeuchi T, Amano H, Akasaki I

    Applied Surface Science   159-160 巻 ( 0 ) 頁: 432-440   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0169-4332(00)00042-8

  685. Mass transport and the reduction of threading dislocation in GaN 査読有り

    Nitta S, Kariya M, Kashima T, Yamaguchi S, Amano H, Akasaki I

    Applied Surface Science   159-160 巻 ( 0 ) 頁: 421-426   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0169-4332(00)00089-1

  686. Strain relief by In-doping and its effect on the surface and on the interface structures in (Al)GaN on sapphire grown by metalorganic vapor-phase epitaxy 査読有り

    Yamaguchi S, Kariya M, Nitta S, Amano H, Akasaki I

    Applied Surface Science   159-160 巻 ( 0 ) 頁: 414-420   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  687. Realization of crack-free and high-quality thick AlxGa1-xN for UV optoelectronics using low-temperature interlayer 査読有り

    Iwaya M, Terao S, Hayashi N, Kashima T, Amano H, Akasaki I

    Applied Surface Science   159-160 巻 ( 0 ) 頁: 405-413   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0169-4332(00)00088-X

  688. Control of crystalline quality of MOVPE-grown GaN and (Al,Ga)N/AlGaN MQW using In-doping and/or N2 carrier gas 査読有り

    Yamaguchi S, Kariya M, Nitta S, Kashima T, Kosaki M, Yukawa Y, Amano H, Akasaki I

    J. Crystal Growth   221 巻 ( 0 ) 頁: 327-333   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0022-0248(00)00708-9

  689. Structural characterization of Al1-xInxN lattice-matched to GaN 査読有り

    Kariya Michihiko, Nitta Shugo, Yamaguchi Shigeo, Kashima Takayuki, Kato Hisaki, Amano Hiroshi, Akasaki Isamu

    J. Crystal Growth   209 巻 ( 0 ) 頁: 419-423   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0022-0248(99)00583-7

  690. Theoretical study of orientation dependence of piezoelectric effects in wurtzite strained GaInN/GaN heterostructures and quantum wells 査読有り

    Takeuchi Tetsuya, Amano Hiroshi, Akasaki Isamu

    Jpn. J. Appl. Phys. Part : Regular Papers Short Notes & Review Papers   39 巻 ( 0 ) 頁: 413-416   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.39.413

  691. Performance of GaN-based semiconductor laser with spectral broadening due to compositional inhomogeneity in GaInN active layer 査読有り

    Kamiyama Satoshi, Iwaya Motoaki, Amano Hiroshi, Akasaki Isamu

    Jpn. J. Appl. Phys.Part : Regular PapersShort Notes & Review Papers   39 巻 ( 0 ) 頁: 390-392   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  692. Piezoelectric polarization in GaInN/GaN heterostructures and some consequences for device design 査読有り

    Wetzel Christian, Amano Hiroshi, Akasaki Isamu

    Jpn. J. Appl. Phys. Part : Regular PapersShort Notes & Review Papers   39 巻 ( 0 ) 頁: 2425-2427   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.39.2425

  693. The effect of isoelectronic In-doping on the structural and optical properties of (Al)GaN grown by metalorganic vapor phase epitaxy 査読有り

    Yamaguchi Shigeo, Kariya Michihiko, Nitta Shugo, Amano Hiroshi, Akasaki Isamu

    Jpn. J. Appl. Phys. Part : Regular PapersShort Notes & Review Papers   39 巻 ( 0 ) 頁: 2385-2388   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.39.2385

  694. Gain-switching of GaInN multiquantum well laser diodes 査読有り

    Marinelli C, Khrushchev I Y, Rorison J M, Penty R V, White I H, Kaneko Y, Watanabe S, Yamada N, Takeuchi T, Amano H, Akasaki I, Hasnain G, Schneider R, Wang S-Y, Tan M R T

    Electronics Letters   36 巻 ( 0 ) 頁: 83-84   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1049/el:20000130

  695. Nitride-based laser diodes using thick n-AlGaN layers 査読有り

    Takeuchi T, Detchprohm T, Iwaya M, Hayashi N, Isomura K, Kimura K, Yamaguchi M, Yamaguchi S, Wetzel C, Amano H, Akasaki I, Kaneko Y W, Shioda R, Watanabe S, Hidaka T, Yamaoka Y, Kaneko Y S, Yamada N

    Journal of Electronic Materials   29 巻 ( 0 ) 頁: 302-305   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1007/s11664-000-0067-7

  696. Piezoelectric polarization in the radiative centers of GaInN/GaN quantum wells and devices 査読有り

    Wetzel C, Detchprohm T, Takeuchi T, Amano H, Akasaki I

    Journal of Electronic Materials   29 巻 ( 0 ) 頁: 252-255   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1007/s11664-000-0058-8

  697. Characterization of initial growth stage of GaInN multilayered structure by X-ray CTR scattering and X-ray reflectivity method 査読有り

    Tabuchi Masao, Takeda Yoshikazu, Takeuchi Tetsuya, Amano Hiroshi, Akasaki Isamu

    Hyomen Kagaku   21 巻 ( 0 ) 頁: 162-168   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  698. Effect of low-temperature deposited layer on the growth of Group III nitrides on sapphire 査読有り

    Amano Hiroshi, Akasaki Isamu

    Hyomen Kagaku   21 巻 ( 0 ) 頁: 126-133   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  699. Effect on GaN/Al017Ga083N and Al005Ga095N/Al017Ga083N quantum wells by isoelectronic in-doping during metalorganic vapor phase epitaxy 査読有り

    Kariya Michihiko, Nitta Shugo, Kosaki Masayoshi, Yukawa Yohei, Yamaguchi Shigeo, Amano Hiroshi, Akasaki Isamu

    Jpn. J. Appl. Phys. Part : Letters   39 巻 ( 0 ) 頁: L143-L145   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  700. Theoretical analysis of filamentation and fundamental-mode operation in InGaN quantum well lasers 査読有り

    Chow W W, Amano H, Akasaki I

    Appl. Phys. Lett.   76 巻 ( 0 ) 頁: 1647-1649   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.126123

  701. Dependence of the luminescence energy in InGaN quantum-well structures on applied biaxial strain 査読有り

    Shapiro N A, Kim Y, Feick H, Weber E R, Perlin P, Yang J W, Akasaki I, Amano H

    Physical Review B: Condensed Matter and Materials Physics   62 巻 ( 0 ) 頁: R16318-R16321   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1103/PhysRevB.62.R16318

  702. Quantized states in Ga1-xInxN/GaN heterostructures and the model of polarized homogeneous quantum wells 査読有り

    Wetzel C, Takeuchi T, Amano H, Akasaki I

    Physical Review B: Condensed Matter and Materials Physics   62 巻 ( 0 ) 頁: R13302-R13305   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1103/PhysRevB.62.R13302

  703. Ga-related defect in as-grown Zn-doped GaN: An optically detected magnetic resonance study 査読有り

    Hai P N, Chen W M, Buyanova I A, Monemar B, Amano H, Akasaki I

    Physical Review B: Condensed Matter and Materials Physics   62 巻 ( 0 ) 頁: R10607-R10609   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1103/PhysRevB.62.R10607

  704. Magneto-optical studies of the 088-eV photoluminescence emission in electron-irradiated GaN 査読有り

    Wagner Mt, Buyanova I A, Thinh N Q, Chen W M, Monemar B, Lindstrom J L, Amano H, Akasaki I

    Physical Review B: Condensed Matter and Materials Physics   62 巻 ( 0 ) 頁: 16572-16577   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  705. Localized vibrational modes in GaN:O tracing the formation of oxygen DX-like centers under hydrostatic pressure 査読有り

    Wetzel C, Amano H, Akasaki I, Ager J W III, Grzegory I, Topf M, Meyer B K

    Physical Review B: Condensed Matter and Materials Physics   61 巻 ( 0 ) 頁: 8202-8206   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1103/PhysRevB.61.8202

  706. Electric-field strength, polarization dipole, and multi-interface band offset in piezoelectric Ga1-xInxN/GaN quantum-well structures 査読有り

    Wetzel C, Takeuchi T, Amano H, Akasaki I

    Physical Review B: Condensed Matter and Materials Physics   61 巻 ( 0 ) 頁: 2159-2163   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1103/PhysRevB.61.2159

  707. Solar-blind UV photodetectors based on GaN/AlGaN p-i-n photodiodes 査読有り

    Pernot Cyril, Hirano Akira, Iwaya Motoaki, Detchprohm Theeradetch, Amano Hiroshi, Akasaki Isamu

    Jpn. J. Appl. Phys. Part : Letters   39 巻 ( 0 ) 頁: L387-L389   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.39.L387

  708. Optical spectroscopy of GaN grown by metalorganic vapor phase epitaxy using indium surfactant 査読有り

    Pozina G, Bergman J P, Monemar B, Yamaguchi S, Amano H, Akasaki I

    Appl. Phys. Lett.   76 巻 ( 0 ) 頁: 3388-3390   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.126655

  709. Improvement of structural and optical properties of GaN and AlGaN using isoelectronic In doping 査読有り

    Yamaguchi Shigeo, Kariya Michihiko, Nitta Shugo, Amano Hiroshi, Akasaki Isamu

    Institute of Physics Conference Series   166 巻 ( 0 ) 頁: 471-474   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  710. Origin of multiple peak photoluminescence in InGaN/GaN multiple quantum wells 査読有り

    Pozina G, Bergman J P, Monemar B, Takeuchi T, Amano H, Akasaki I

    J. Apl. Phys.   88 巻 ( 0 ) 頁: 2677-2681   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.1287124

  711. Multiple peak spectra from InGaN/GaN multiple quantum wells 査読有り

    Pozina G, Bergman J P, Monemar B, Takeuchi T, Amano H, Akasaki I

    Physica Status Solidi A: Applied Research   80 巻 ( 0 ) 頁: 85-89   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  712. InGaN/GaN multiple quantum wells grown by metalorganic vapor phase epitaxy with mass transport 査読有り

    Pozina G, Bergman J P, Monemar B, Iwaya M, Nitta S, Amano H, Akasaki I

    Appl. Phys. Lett.   77 巻 ( 0 ) 頁: 1638-1640   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.1310175

  713. Anomalous features in the optical properties of Al1-xInxN on GaN grown by metal organic vapor phase epitaxy 査読有り

    Yamaguchi Shigeo, Kariya Michihiko, Nitta Shugo, Takeuchi Tetsuya, Wetzel Christian, Amano Hiroshi, Akasaki Isamu

    Appl. Phys. Lett.   76 巻 ( 0 ) 頁: 876-878   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  714. Fabrication and characterization of GaN-based laser diode grown on thick n-AlGaN contact layer 査読有り

    Takeuchi T, Detchprohm T, Yano M, Yamaguchi M, Hayashi N, Iwaya M, Isomura K, Kimura K, Amano H, Akasaki I, Kaneko Y, Watanabe S, Yamaoka Y, Shioda R, Hidaka T, Kaneko Y, Yamada N

    Physica Status Solidi A: Applied Research   176 巻 ( 0 ) 頁: 31-34   1999年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  715. Spectral study of photoluminescence from GaInN/GaN MQWs using CW and time-resolved measurements 査読有り

    Watanabe S, Yamada N, Yamada Y, Taguchi T, Takeuchi T, Amano H, Akasaki I

    Physica Status Solidi B: Basic Research   216 巻 ( 0 ) 頁: 335-339   1999年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  716. Photoluminescence investigations of AlGaN on GaN epitaxial films 査読有り

    Meyer Bruno K, Steude G, Goldner A, Hoffmann A, Amano H, Akasaki I

    Physica Status Solidi B: Basic Research   216 巻 ( 0 ) 頁: 187-191   1999年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  717. X-ray interference and crystal truncation rod observation of GaN and GaInN layers grown on sapphire with AlN buffer layer 査読有り

    Tabuchi Masao, Takeda Yoshikazu, Matsumoto Nobuhiro, Amano Hiroshi, Akasaki Isamu

    Jpn. J. Appl. Phys.Part : Regular PapersShort Notes & Review Papers   38 巻 ( 0 ) 頁: 281-284   1999年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAPS.38S1.281

  718. Control of dislocations and stress in AlGaN on sapphire using a low temperature interlayer 査読有り

    Amano H, Iwaya M, Hayashi N, Kashima T, Nitta S, Wetzel C, Akasaki I

    Physica Status Solidi B: Basic Research   216 巻 ( 0 ) 頁: 683-689   1999年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  719. Discrete Stark-like ladder in piezoelectric GaInN/GaN quantum wells 査読有り

    Wetzel C, Kasumi M, Detchprohm T, Takeuchi T, Amano H, Akasaki I

    Physica Status Solidi B: Basic Research   216 巻 ( 0 ) 頁: 399-403   1999年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  720. Improvement of low-intensity ultraviolet photodetectors based on AlGaN with low threading dislocation density 査読有り

    Pernot Cyril, Hirano A, Iwaya M, Detchprohm T, Amano H, Akasaki I

    Physica Status Solidi A: Applied Research   176 巻 ( 0 ) 頁: 147-151   1999年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  721. Study on electroluminescence spectrum and waveguide loss of GaInN multiple quantum well lasers 査読有り

    Kaneko Y, Shioda R, Yamada N, Takeuchi T, Amano H, Akasaki I

    Physica Status Solidi A: Applied Research   176 巻 ( 0 ) 頁: 137-140   1999年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  722. GaN-based MQW light emitting diodes 査読有り

    Kato H, Koide N, Hirano A, Koike M, Amano H, Akasaki I

    Institute of Physics Conference Series   162 巻 ( 0 ) 頁: 31-35   1999年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  723. Structural properties of InN on GaN grown by metalorganic vapor-phase epitaxy 査読有り

    Yamaguchi Shigeo, Kariya Michihiko, Nitta Shugo, Takeuchi Tetsuya, Wetzel Christian, Amano Hiroshi, Akasaki Isamu

    J. Apl. Phys.   85 巻 ( 0 ) 頁: 7682-7688   1999年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.370571

  724. Piezoelectric Franz-Keldysh effect in strained (Ga,In)N/GaN heterostructures 査読有り

    Wetzel C, Takeuchi T, Amano H, Akasaki I

    J. Apl. Phys.   85 巻 ( 0 ) 頁: 3786-3791   1999年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  725. Strain relief and its effect on the properties of GaN using isoelectronic In doping grown by metalorganic vapor phase epitaxy 査読有り

    Yamaguchi Shigeo, Kariya Michihiko, Nitta Shugo, Amano Hiroshi, Akasaki Isamu

    Appl. Phys. Lett.   75 巻 ( 0 ) 頁: 4106-4108   1999年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.125551

  726. Improvement of far-field pattern in nitride laser diodes 査読有り

    Takeuchi T, Detchprohm T, Iwaya M, Hayashi N, Isomura K, Kimura K, Yamaguchi M, Amano H, Akasaki I, Kaneko Yw, Shioda R, Watanabe S, Hidaka T, Yamaoka Y, Kaneko Ys, Yamada N

    Appl. Phys. Lett.   75 巻 ( 0 ) 頁: 2960-2962   1999年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.125201

  727. Quantum-well width dependence of threshold current density in InGaN lasers 査読有り Open Access

    Chow W W, Amano H, Takeuchi T, Han J

    Appl. Phys. Lett.   75 巻 ( 0 ) 頁: 244-246   1999年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.124336

  728. Stress evolution during metalorganic chemical vapor deposition of GaN 査読有り

    Hearne S, Chason E, Han J, Floro J A, Figiel J, Hunter J, Amano H, Tsong I S T

    Appl. Phys. Lett.   74 巻 ( 0 ) 頁: 356-358   1999年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.123070

  729. Optical properties of doped InGaN/GaN multiquantum-well structures 査読有り

    Dalfors J, Bergman J P, Holtz P O, Sernelius B E, Monemar B, Amano H, Akasaki I

    Appl. Phys. Lett.   74 巻 ( 0 ) 頁: 3299-3301   1999年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  730. Optical investigations of AlGaN on GaN epitaxial films 査読有り

    Steude G, Meyer B K, Goldner A, Hoffmann A, Bertram F, Christen J, Amano H, Akasaki I

    Appl. Phys. Lett.   74 巻 ( 0 ) 頁: 2456-2458   1999年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.123879

  731. Electronic structure of the 088-eV luminescence center in electron-irradiated gallium nitride 査読有り

    Buyanova I A, Wagner Mt, Chen W M, Edwards N V, Monemar B, Lindstrom J L, Bremser M D, Davis R F, Amano H, Akasaki I

    Physical Review B: Condensed Matter and Materials Physics   60 巻 ( 0 ) 頁: 1746-1751   1999年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  732. Cooling dynamics of excitons in GaN 査読有り

    Hagele D, Zimmermann R, Oestreich M, Hofmann M R, Ruhle W W, Meyer B K, Amano H, Akasaki I

    Physical Review B: Condensed Matter and Materials Physics   59 巻 ( 0 ) 頁: R7797-R7800   1999年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1103/PhysRevB.59.R7797

  733. GaN-based laser diode with focused ion beam-etched mirrors 査読有り

    Ambe C, Takeuchi T, Katoh H, Isomura K, Satoh T, Mizumoto R, Yamaguchi S, Wetzel C, Amano H, Akasaki I, Kaneko Y, Yamada N

    Materials Science & EngineeringB: Solid-State Materials for Advanced Technology   59 巻 ( 0 ) 頁: 382-385   1999年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0921-5107(98)00349-3

  734. Mosaic structure of ternary Al1-xInxN films on GaN grown by metalorganic vapor phase epitaxy 査読有り

    Kariya Michihiko, Nitta Shugo, Yamaguchi Shigeo, Amano Hiroshi, Akasaki Isamu

    Jpn. J. Appl. Phys. Part : Letters   38 巻 ( 0 ) 頁: L984-L986   1999年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.38.L984

  735. Strain modification of GaN in AlGaN/GaN epitaxial films 査読有り

    Steude Guido, Meyer Bruno K, Goldner Axel, Hoffmann Axel, Kaschner Axel, Bechstedt Friedhelm, Amano Hiroshi, Akasaki Isamu

    Jpn. J. Appl. Phys. Part : Letters   38 巻 ( 0 ) 頁: L498-L500   1999年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.38.L498

  736. Low-intensity ultraviolet photodetectors based on AlGaN 査読有り

    Pernot Cyril, Hirano Akira, Iwaya Motoaki, Detchprohm Theeradetch, Amano Hiroshi, Akasaki Isamu

    Jpn. J. Appl. Phys. Part : Letters   38 巻 ( 0 ) 頁: L487-L489   1999年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.38.L487

  737. Piezoelectric Stark-like ladder in GaN/GaInN/GaN heterostructures 査読有り

    Wetzel Christian, Takeuchi Tetsuya, Amano Hiroshi, Akasaki Isamu

    Jpn. J. Appl. Phys.   38 巻 ( 0 ) 頁: L163-L165   1999年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.38.L163

  738. Microscopic investigation of Al043Ga057N on sapphire 査読有り

    Kashima Takayuki, Nakamura Ryo, Iwaya Motoaki, Katoh Hisaki, Yamaguchi Shigeo, Amano Hiroshi, Akasaki Isamu

    Jpn. J. Appl. Phys.Part : Letters   38 巻 ( 0 ) 頁: L1515-L1518   1999年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  739. Optical transitions of the Mg acceptor in GaN 査読有り

    Hofmann Detlev M, Meyer Bruno K, Leiter Frank, von Forster Walter, Alves Helder, Romanov Nikolai, Amano Hiroshi, Akasaki Isamu

    Jpn. J. Appl. Phys. Part : Regular Papers Short Notes & Review Papers   38 巻 ( 0 ) 頁: L1422-L1424   1999年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.38.L1422

  740. Correlation between dislocation density and the macroscopic properties of GaN grown by metalorganic vapor phase epitaxy 査読有り

    Watanabe Atsushi, Takahashi Hirokazu, Tanaka Toshiyuki, Ota Hiroyuki, Chikuma Kiyofumi, Amano Hiroshi, Kashima Takayuki, Nakamura Ryo, Akasaki Isamu

    Jpn. J. Appl. Phys. Part : Letters   38 巻 ( 0 ) 頁: L1159-L1162   1999年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.38.L1159

  741. Energy loss rate of excitons in GaN 査読有り

    Hagele D, Zimmermann R, Oestreich M, Hofmann M R, Ruhle W W, Meyer B K, Amano H, Akasaki I

    Physica B: Condensed Matter Amsterdam   272 巻 ( 0 ) 頁: 409-411   1999年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0921-4526(99)00387-7

  742. Correlation of vibrational modes and DX-like centers in GaN:O 査読有り

    Wetzel C, Ager J W III, Topf M, Meyer B K, Amano H, Akasaki I

    Physica B: Condensed Matter Amsterdam   273-274 巻 ( 0 ) 頁: 109-112   1999年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0921-4526(99)00418-4

  743. Mg acceptors in GaN Dependence of the g-anisotropy on the doping concentration 査読有り

    Hofmann Detlev M, Burkhardt Wolfgang, Leiter Frank, Von Forster Walter, Alves Helder, Hofstaetter Albrecht, Meyer Bruno K, Romanov Nikolai G, Amano Hiroshi, Akasaki Isamu

    Physica B: Condensed Matter Amsterdam   273-274 巻 ( 0 ) 頁: 43-45   1999年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  744. TEM/HREM characterization of structural defects in GaN epitaxial layers grown on sapphire 査読有り

    Ruvimov S, Liliental-Weber Z, Washburn J, Amano H, Akasaki I

    Electron Microscopy   2 巻 ( 0 ) 頁: 693-694   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  745. Weakly localized transport in modulation-doped GaN/AlGaN heterostructures 査読有り

    Buyanov A V, Sandberg J A, Sernelius B E, Holtz P O, Bergman J P, Monemar B, Amano H, Akasaki I

    J. Crystal Growth   189/190 巻 ( 0 ) 頁: 758-762   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0022-0248(98)00283-8

  746. Room-temperature photoluminescence linewidth versus material quality of GaN 査読有り

    Monemar B, Buyanova I A, Bergman J P, Amano H, Akasaki I

    Materials Science Forum   264-268 巻 ( 0 ) 頁: 1319-1322   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  747. Heteroepitaxy of group III nitrides for device applications 査読有り

    Amano H, Takeuchi T, Sakai H, Yamaguchi S, Wetzel C, Akasaki I

    Materials Science Forum   264-268 巻 ( 0 ) 頁: 1115-1120   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.4028/www.scientific.net/MSF.264-268.1115

  748. Valence band splitting and luminescence Stokes shift in GaInN/GaN thin films and multiple quantum well structures 査読有り

    Wetzel C, Takeuchi T, Amano H, Akasaki I

    J. Crystal Growth   189/190 巻 ( 0 ) 頁: 621-624   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0022-0248(98)00220-6

  749. Stimulated emission with the longest wavelength in the blue region from GaInN/GaN multi-quantum well structures 査読有り

    Sakai Hiromitsu, Takeuchi Tetsuya, Sota Shigetoshi, Katsuragawa Maki, Komori Miho, Amano Hiroshi, Akasaki Isamu

    J. Crystal Growth   189/190 巻 ( 0 ) 頁: 831-836   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0022-0248(98)00304-2

  750. Photoconductivity in n-type modulation-doped GaN/AlGaN heterostructures 査読有り

    Buyanov A V, Bergman J P, Sandberg J A, Sernelius B E, Holtz P O, Dalfors J, Monemar B, Amano H, Akasaki I

    J. Crystal Growth   189/190 巻 ( 0 ) 頁: 753-757   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0022-0248(98)00282-6

  751. Thermal ionization energy of Si and Mg in (Al,Ga)N 査読有り

    Katsuragawa Maki, Sota Shigetoshi, Komori Miho, Anbe Chitoshi, Takeuchi Tetsuya, Sakai Hiromitsu, Amano Hiroshi, Akasaki Isamu

    J. Crystal Growth   189/190 巻 ( 0 ) 頁: 528-531   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  752. Crystal quality and surface structure of sapphire and buffer layers on sapphire revealed by crystal truncation rod scattering 査読有り

    Tabuchi Masao, Matsumoto Nobuhiro, Takeda Yoshikazu, Takeuchi Tetsuya, Amano Hiroshi, Akasaki Isamu

    J. Crystal Growth   189/190 巻 ( 0 ) 頁: 291-294   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0022-0248(98)00263-2

  753. The dependence of the band gap on alloy composition in strained AlGaN on GaN 査読有り

    Steude G, Hofmann D M, Meyer B K, Amano H, Akasaki I

    Physica Status Solidi B: Basic Research   205 巻 ( 0 ) 頁: R7-R8   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  754. Structural and optical properties of AlInN and AlGaInN on GaN grown by metalorganic vapor phase epitaxy 査読有り

    Yamaguchi Shigeo, Kariya Michihiko, Nitta Shugo, Kato Hisaki, Takeuchi Tetsuya, Wetzel Christian, Amano Hiroshi, Akasaki Isamu

    J. Crystal Growth   195 巻 ( 0 ) 頁: 309-313   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0022-0248(98)00629-0

  755. The residual donor binding energy in AlGaN epitaxial layers 査読有り

    Steude G, Hofmann D M, Meyer B K, Amano H, Akasaki I

    Physica Status Solidi A: Applied Research   165 巻 ( 0 ) 頁: R3-R4   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  756. On the nature of radiative recombination processes in GaN 査読有り

    Wetzel Christian, Amano Hiroshi, Akasaki Isamu

    Institute of Physics Conference Series   156 巻 ( 0 ) 頁: 239-244   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/ISCS.1998.711626

  757. Effects of defect scattering on the photoluminescence of exciton-polaritons in n-GaN 査読有り

    Buyanova I A, Bergman J P, Monemar B, Amano H, Akasaki I, Wysmolek A, Lomiak P, Baranowski J M, Pakula K, Stepniewski R, Korona K P, Grzegory I, Bockowski M, Porowski S

    Solid State Communications   105 巻 ( 0 ) 頁: 497-501   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0038-1098(97)10184-3

  758. Optical band gap in Ga1-xInxN (0<x<02) on GaN by photoreflection spectroscopy 査読有り

    Wetzel C, Takeuchi T, Yamaguchi S, Katoh H, Amano H, Akasaki I

    Appl. Phys. Lett.   73 巻 ( 0 ) 頁: 1994-1996   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  759. Observation of photoluminescence from Al1-xInxN heteroepitaxial films grown by metalorganic vapor phase epitaxy 査読有り

    Yamaguchi Shigeo, Kariya Michihiko, Nitta Shugo, Takeuchi Tetsuya, Wetzel Christian, Amano Hiroshi, Akasaki Isamu

    Appl. Phys. Lett.   73 巻 ( 0 ) 頁: 830-831   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.122015

  760. Photoluminescence of GaN: Effect of electron irradiation 査読有り

    Buyanova I A, Wagner Mt, Chen W M, Monemar B, Lindstrom J L, Amano H, Akasaki I

    Appl. Phys. Lett.   73 巻 ( 0 ) 頁: 2968-2970   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.122646

  761. Determination of piezoelectric fields in strained (Ga,In)N quantum wells using the quantum-confined Stark effect 査読有り

    Takeuchi Tetsuya, Wetzel Christian, Yamaguchi Shigeo, Sakai Hiromitsu, Amano Hiroshi, Akasaki Isamu, Kaneko Yawara, Nakagawa Shigeru, Yamaoka Yoshifumi, Yamada Norihide

    Appl. Phys. Lett.   73 巻 ( 0 ) 頁: 1691-1693   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  762. Pit formation in GaInN quantum wells 査読有り

    Chen Y, Takeuchi T, Amano H, Akasaki I, Yamada N, Kaneko Y, Wang S Y

    Appl. Phys. Lett.   72 巻 ( 0 ) 頁: 710-712   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.120853

  763. Similarity between the 088-eV photoluminescence in GaN and the electron-capture emission of the OP donor in GaP 査読有り

    Chen W M, Buyanova I A, Wagner Mt, Monemar B, Lindstrom J L, Amano H, Akasaki I

    Physical Review B: Condensed Matter and Materials Physics   58 巻 ( 0 ) 頁: R13351-R13354   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  764. Influence of potential fluctuations on electrical transport and optical properties in modulation-doped GaN/Al028Ga072N heterostructures 査読有り

    Buyanov A V, Bergman J P, Sandberg J A, Sernelius B E, Holtz P O, Monemar B, Amano H, Akasaki I

    Physical Review B: Condensed Matter and Materials Physics   58 巻 ( 0 ) 頁: 1442-1450   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  765. Structural properties of Al1-xInxN ternary alloys on GaN grown by metalorganic vapor phase epitaxy 査読有り

    Kariya Michihiko, Nitta Shugo, Yamaguchi Shigeo, Kato Hisaki, Takeuchi Tetsuya, Wetzel Christian, Amano Hiroshi, Akasaki Isamu

    Jpn. J. Appl. Phys. Part : Letters   37 巻 ( 0 ) 頁: L697-L699   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.37.L697

  766. GaN based laser diode with focused ion beam etched mirrors 査読有り

    Katoh Hisaki, Takeuchi Tetsuya, Anbe Chitoshi, Mizumoto Ryuichi, Yamaguchi Shigeo, Wetzel Christian, Amano Hiroshi, Akasaki Isamu, Kaneko Yawara, Yamada Norihide

    Jpn. J. Appl. Phys. Part : Letters   37 巻 ( 0 ) 頁: L444-L446   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.37.L444

  767. Reduction of etch pit density in organometallic vapor phase epitaxy-grown GaN on sapphire by insertion of a low-temperature-deposited buffer layer between high-temperature-grown GaN 査読有り

    Iwaya Motoaki, Takeuchi Tetsuya, Yamaguchi Shigeo, Wetzel Christian, Amano Hiroshi, Akasaki Isamu

    Jpn. J. Appl. Phys. Part : Letters   37 巻 ( 0 ) 頁: L316-L318   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.37.L316

  768. Investigation of the leakage current in GaN p-n junctions 査読有り

    Pernot Cyril, Hirano Akira, Amano Hiroshi, Akasaki Isamu

    Jpn. J. Appl. Phys. Part : Letters   37 巻 ( 0 ) 頁: L1202-L1204   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.37.L1202

  769. Stress and defect control in GaN using low temperature interlayers 査読有り

    Amano Hiroshi, Iwaya Motoaki, Kashima Takayuki, Katsuragawa Maki, Akasaki Isamu, Han Jung, Hearne Sean, Floro Jerry A, Chason Eric, Figiel Jeffrey

    Jpn. J. Appl. Phys. Part : Letters   37 巻 ( 0 ) 頁: L1540-L1542   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.37.L1540

  770. Crystal growth and conductivity control of group III nitride semiconductors and their application to short wavelength light emitters 査読有り Open Access

    Akasaki Isamu, Amano Hiroshi

    Jpn. J. Appl. Phys. Part : Regular PapersShort Notes & Review Papers   36 巻 ( 0 ) 頁: 5393-5408   1997年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.36.5393

  771. Photoluminescence of exciton-polaritons in GaN 査読有り

    Buyanova I A, Bergman J P, Monemar B, Amano H, Akasaki I

    Materials Science & EngineeringB: Solid-State Materials for Advanced Technology   50 巻 ( 0 ) 頁: 130-133   1997年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0921-5107(97)00150-5

  772. Electron gas in modulation doped GaN/AlGaN structures 査読有り

    Bergman J P, Buyanov A, Lundstrom T, Monemar B, Amano H, Akasaki I

    Materials Science & EngineeringB: Solid-State Materials for Advanced Technology   43 巻 ( 0 ) 頁: 207-210   1997年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0921-5107(96)01862-4

  773. Temperature dependence of excitonic photoluminescence and residual shallow donors in high-purity GaN/Al2O3 査読有り

    Merz C, Kunzer M, Santic B, Kaufmann U, Akasaki I, Amano H

    Materials Science & EngineeringB: Solid-State Materials for Advanced Technology   43 巻 ( 0 ) 頁: 176-180   1997年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0921-5107(96)01858-2

  774. Electronic structure and temperature dependence of excitons in GaN 査読有り

    Monemar B, Buyanova I A, Bergman J P, Amano H, Akasaki I

    Materials Science & EngineeringB: Solid-State Materials for Advanced Technology   43 巻 ( 0 ) 頁: 172-175   1997年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0921-5107(96)01857-0

  775. Melt-back etching of GaN 査読有り

    Kaneko Yawara, Yamada Norihide, Takeuchi Tetsuya, Yamaoka Yoshifumi, Amano Hiroshi, Akasaki Isamu

    Solid-State Electronics   41 巻 ( 0 ) 頁: 295-298   1997年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0038-1101(96)00232-8

  776. Recessed gate GaN MODFETs 査読有り Open Access

    Burm Jinwook, Schaff William J, Martin Glenn H, Eastman Lester F, Amano Hiroshi, Akasaki Isamu

    Solid-State Electronics   41 巻 ( 0 ) 頁: 247-250   1997年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0038-1101(96)00210-9

    Open Access

  777. The excitonic bandgap of GaN: dependence on substrate 査読有り

    Monemar B, Bergman J P, Buyanova I A, Amano H, Akasaki I, Detchprohm T, Hiramatsu K, Sawaki N T

    Solid-State Electronics   41 巻 ( 0 ) 頁: 239-241   1997年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0038-1101(96)00208-0

  778. Properties of Mg and Zn acceptors in MOVPE GaN as studied by optically detected magnetic resonance 査読有り

    Kunzer M, Baur J, Kaufmann U, Schneider J, Amano H, Akasaki I

    Solid-State Electronics   41 巻 ( 0 ) 頁: 189-193   1997年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0038-1101(96)00164-5

  779. Optical characterization of GaN and related materials 査読有り

    Monemar B, Bergman J P, Lundstroem T, Harris C I, Amano H, Akasaki I, Detchprohm T, Hiramatsu K, Sawaki N

    Solid-State Electronics   41 巻 ( 0 ) 頁: 181-184   1997年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  780. Quantum-confined Stark effect due to piezoelectric fields in GaInN strained quantum wells 査読有り

    Takeuchi Tetsuya, Sota Shigetoshi, Katsuragawa Maki, Komori Miho, Takeuchi Hideo, Amano Hiroshi, Akasaki Isamu

    Jpn. J. Appl. Phys.Part : Letters   36 巻 ( 0 ) 頁: L382-L385   1997年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.36.L382

  781. Optical properties of strained AlGaN and GaInN on GaN 査読有り

    Takeuchi Tetsuya, Takeuchi Hideo, Sota Shigetoshi, Sakai Hiromitsu, Amano Hiroshi, Akasaki Isamu

    Jpn. J. Appl. Phys.Part : Letters   36 巻 ( 0 ) 頁: L177-L179   1997年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.36.L177

  782. Quantum beat spectroscopy on excitons in GaN 査読有り

    Zimmermann R, Hofmann M R, Euteneuer A, Mobius J, Weber D, Ruhle W W, Gobel E O, Meyer B K, Amano H, Akasaki I

    Materials Science & EngineeringB: Solid-State Materials for Advanced Technology-   50 巻 ( 0 ) 頁: 205-207   1997年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0921-5107(97)00182-7

  783. Effects of buffer layers in heteroepitaxy of gallium nitride 査読有り

    Hiramatsu K, Detchprohm T, Amano H, Akasaki I

    Advances in the Understanding of Crystal Growth Mechanisms   ( 0 ) 頁: 399-413   1997年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  784. Progress and prospect of group-III nitride semiconductors 査読有り

    Akasaki Isamu, Amano Hiroshi

    J. Crystal Growth   175/176 巻 ( 0 ) 頁: 29-36   1997年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0022-0248(96)00946-3

  785. Photoluminescence and optical gain in highly excited GaN 査読有り

    Eckey L, Holst J, Hoffmann A, Broser I, Amano H, Akasaki I, Detchprohm T, Hiramatsu K

    Journal of Luminescence   72-74 巻 ( 0 ) 頁: 59-61   1997年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0022-2313(97)00215-9

  786. Photoluminescence decay dynamics in an InGaN/GaN/AlGaN single quantum well 査読有り

    Li Wei, Bergman Peder, Monemar Bo, Amano H, Akasaki I

    J. Apl. Phys.   81 巻 ( 0 ) 頁: 1005-1007   1997年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.364194

  787. Optical properties of tensile-strained wurtzite GaN epitaxial layers 査読有り

    Chichibu S, Azuhata T, Sota T, Amano H, Akasaki I

    Appl. Phys. Lett.   70 巻 ( 0 ) 頁: 2085-2087   1997年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.118958

  788. Transient four-wave-mixing spectroscopy on gallium nitride: Energy splittings of intrinsic excitonic resonances 査読有り

    Zimmermann R, Euteneuer A, Mobius J, Weber D, Hofmann M R, Ruhle W W, Gobel E O, Meyer B K, Amano H, Akasaki I

    Physical Review B: Condensed Matter   56 巻 ( 0 ) 頁: R12722-R12724   1997年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1103/PhysRevB.56.R12722

  789. N K-edge x-ray-absorption study of heteroepitaxial GaN films 査読有り

    Katsikini M, Paloura E C, Fieber-Erdmann M, Kalomiros J, Moustakas T D, Amano H, Akasaki I

    Physical Review B: Condensed Matter   56 巻 ( 0 ) 頁: 13380-13386   1997年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1103/PhysRevB.56.13380

  790. Shortest wavelength semiconductor laser diode 査読有り

    Akasaki I, Sota S, Sakai H, Tanaka T, Koike M, Amano H

    Electronics Letters   32 巻 ( 0 ) 頁: 1105-1106   1996年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1049/el:19960743

  791. Crystal growth of column-III nitride semiconductors and their electrical and optical properties 査読有り

    Akasaki I, Amano H

    J. Crystal Growth   163 巻 ( 0 ) 頁: 86-92   1996年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/0022-0248(95)01040-8

  792. Raman and photoluminescence imaging of the GaN/substrate interface 査読有り

    Siegle H, Thurian P, Eckey L, Hoffmann A, Thomsen C, Meyer B K, Detchprohm T, Hiramatsu K, Amano H, Akasaki I

    Institute of Physics Conference Series   149 巻 ( 0 ) 頁: 97-102   1996年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  793. Present and future of group III nitride semiconductors 査読有り

    Akasaki Isamu, Amano Hiroshi

    Institute of Physics Conference Series   145 巻 ( 0 ) 頁: 19-22   1996年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  794. Relaxation and recombination dynamics in GaN/Al2O3 epilayers 査読有り

    Eckey L, Heitz R, Hoffmann A, Broser I, Meyer B K, Hiramatsu K, Detchprohm T, Amano H, Akasaki I

    Institute of Physics Conference Series   142 巻 ( 0 ) 頁: 927-930   1996年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  795. Remote plasma hydrogenation of Mg-doped GaN 査読有り

    Gotz W, Johnson N M, Walker J, Bour D P, Amano H, Akasaki I

    Institute of Physics Conference Series   142 巻 ( 0 ) 頁: 1031-1034   1996年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  796. Photoluminescence related to the two-dimensional electron gas at a GaN/AlGaN heterointerface 査読有り

    Bergman JP, Lundstroem T, Monemar B, Amano H, Akasaki I

    Institute of Physics Conference Series   142 巻 ( 0 ) 頁: 935-938   1996年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.117250

  797. Exciton dynamics in GaN 査読有り

    Bergman J P, Monemar B, Amano H, Akasaki I, Hiramatsu K, Sawaki N, Detchprohm T

    Institute of Physics Conference Series   142 巻 ( 0 ) 頁: 931-934   1996年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  798. Recent progress of crystal growth, conductivity control and light emitters of column-III nitrides, and future prospect of nitride-based laser diode 査読有り

    Akasaki Isamu, Amano Hiroshi, Suemune ikuo

    Institute of Physics Conference Series   142 巻 ( 0 ) 頁: 2010/07/10   1996年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  799. Magneto-optical studies of GaN and GaN/AlxGa1-xN: donor Zeeman spectroscopy and two dimensional electron gas cyclotron resonance 査読有り

    Wang Y J, Kaplan R, Ng H K, Doverspike K, Gaskill D K, Ikedo T, Akasaki I, Amono H

    J. Apl. Phys.   79 巻 ( 0 ) 頁: 8007-8010   1996年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.362351

  800. Effect of Si doping on the dislocation structure of GaN grown on a A-face of sapphire 査読有り

    Ruvimov Sergei, Liliental-Weber Zuzanna, Suski Tadesuz, Ager Joel W III, Washburn Jack, Krueger Joachim, Kisielowski Charistian, Weber Eicke R, Amano H, Akasaki I

    Appl. Phys. Lett.   69 巻 ( 0 ) 頁: 990-992   1996年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  801. Photoluminescence related to the two-dimensional electron gas at a GaN/AlGaN heterointerface 査読有り

    Bergman J P, Lundstroem t, Monemar B, Amano H, Akasaki I

    Appl. Phys. Lett.   69 巻 ( 0 ) 頁: 3456-3458   1996年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.117250

  802. High-resolution x-ray analysis of InGaN/GaN superlattices grown on sapphire substrates with GaN layers 査読有り

    Li Wei, Bergman Peder, Ivanov Ivan, Ni Wei-Xin, Amano H, Akasa I

    Appl. Phys. Lett.   69 巻 ( 0 ) 頁: 3390-3392   1996年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.117269

  803. Intrinsic optical properties of GaN epilayers grown on SiC substrates: effect of the built-in strain 査読有り

    Buyanova I A, Bergman J P, Monemar B, Amano H, Akasaki I

    Appl. Phys. Lett.   69 巻 ( 0 ) 頁: 1255-1257   1996年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.117429

  804. 75Å GaN channel modulation doped field effect transistors 査読有り

    Burm Jinwook, Schaff William J, Eastman Lester F, Amano Hiroshi, Akasaki Isamu

    Appl. Phys. Lett.   68 巻 ( 0 ) 頁: 2849-2851   1996年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  805. Infrared reflection of GaN and AlGaN thin film heterostructures with AlN buffer layers 査読有り

    Wetzel C, Haller E E, Amano H, Akasaki I

    Appl. Phys. Lett.   68 巻 ( 0 ) 頁: 2547/09/01   1996年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.116179

  806. Resonant Raman scattering in hexagonal GaN 査読有り

    Behr D, Wagner J, Schneider J, Amano H, Akasaki I

    Appl. Phys. Lett.   68 巻 ( 0 ) 頁: 2404/06/01   1996年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.116148

  807. High-quality (Ga,In)N/GaN multiple quantum wells 査読有り

    Koike M, Yamasaki S, Nagai S, Koide N, Asami S, Amano H, Akasaki I

    Appl. Phys. Lett.   68 巻 ( 0 ) 頁: 1403-5   1996年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  808. Spatially resolved photoluminescence and Raman scattering experiments on the GaN/substrate interface 査読有り

    Siegle H, Thurian P, Eckey L, Hoffmann A, Thomsen C, Meyer B K, Amano H, Akasaki I, Detchprohm T, Hiramatsu K

    Appl. Phys. Lett.   68 巻 ( 0 ) 頁: 1265-6   1996年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  809. Free exciton emission in GaN 査読有り

    Kovalev D, Averboukh B, Volm D, Meyer B K, Amano H, Akaski I

    Physical Review B: Condensed Matter   54 巻 ( 0 ) 頁: 2518-2522   1996年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1103/PhysRevB.54.2518

  810. Free and bound excitons in thin wurtzite GaN 査読有り

    Merz C, Kunzer M, Kaufmann U, Akasaki I, Amano H

    Materials Science & Engineering B: Solid-State Materials for Advanced Technology   43 巻 ( 0 ) 頁: 176-180   1996年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  811. Determination of the conduction band electron effective mass in hexagonal GaN 査読有り

    M. Drechsler D. M. Hofmann, B. K. Meyer, T. Detchprohm, H. Amano and I. Akasaki

    Jpn. J. Appl. Phys.Part : Letters   34 巻 ( 0 ) 頁: L1178-L1179   1995年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  812. GaN/GaInN/GaN double heterostructure light emitting diode fabricated using plasma-assisted molecular beam epitaxy 査読有り

    Sakai Hiromitsu, Koide Takashi, Suzuki Hiroyuki, Yamaguchi Machiko, Yamasaki Shiro, Koike Masayoshi, Amano Hiroshi, Akasaki Isamu

    Jpn. J. Appl. Phys.Part : Letters   34 巻 ( 0 ) 頁: L1429-L1431   1995年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  813. Direct patterning of the current confinement structure for p-type Column-III nitrides by low-energy electron beam irradiation treatment 査読有り

    Inamori Masahiko, Sakai Hiromitsu, Tanaka Toshiyuki, Amano Hiroshi, Akasaki Isamu

    Jpn. J. Appl. Phys.   34 巻 ( 0 ) 頁: 1190-3   1995年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  814. Stimulated emission by current injection from an AlGaN/GaN/GaInN quantum well device 査読有り

    I. Akasaki, H. Amano, S. Sota, H. Sakai, T. Tanaka and M. Koike

    Jpn. J. Appl. Phys.   34 巻 ( 0 ) 頁: L1517-L1519   1995年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  815. Exciton dynamics in GaN 査読有り

    . Bergman J.P., Monemar B., Amano H., Akasaki I.

    Lietuvos Fizikos Zurnalas   35 巻 ( 0 ) 頁: 569-574   1995年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  816. Characterization of residual transition metal ions in GaN and AlN 査読有り

    Baur J., Kaufmann U., Kunzer M., Schneider J., Amano H., Akasaki I., Detchprohm T., Hiramatsu K.

    Materials Science Forum   196-201 巻 ( 0 ) 頁: 20333   1995年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.4028/www.scientific.net/MSF.196-201.55

  817. Crystal growth of column III nitrides and their applications to short wavelength light emitters 査読有り

    Akasaki I, Amano H

    J. Crystal Growth   146 巻 ( 0 ) 頁: 455-61   1995年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  818. Magneto-optical investigation of the neutral donor bound exciton in GaN 査読有り

    Volm D, Streibl T, Meyer B K, Detchprohm T, Amano H, Akasaki I

    Solid State Communications   96 巻 ( 0 ) 頁: 53-56   1995年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  819. Exciton lifetimes in GaN and GaInN 査読有り

    Harris C I, Monemar B, Amano H, Akasaki I

    Appl. Phys. Lett.   67 巻 ( 0 ) 頁: 840-2   1995年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.115522

  820. Surface-mode stimulated emission from optically pumped GaInN at room temperature 査読有り

    Kim S T, Amano H, Akasaki I

    Appl. Phys. Lett.   67 巻 ( 0 ) 頁: 267-9   1995年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  821. Hydrogen passivation of Mg acceptors in GaN grown by metalorganic chemical vapor deposition 査読有り

    Gotz W, Johnson N M, Walker J, Bour D P, Amano H, Akasaki I

    Appl. Phys. Lett.   67 巻 ( 0 ) 頁: 1966/08/01   1995年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  822. Photoluminescence of residual transition metal impurities in GaN 査読有り

    Baur J, Kaufmann U, Kunzer M, Schneider J, Amano H, Akasaki I, Detchprohm T, Hiramatsu K

    Appl. Phys. Lett.   67 巻 ( 0 ) 頁: 1140-2   1995年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  823. p-Type conduction in Mg-doped Ga091In009N grown by metalorganic vapor-phase epitaxy 査読有り

    Yamasaki S, Asami S, Shibata N, Koike M, Manabe K, Tanaka T, Amano H, Akasaki I

    Appl. Phys. Lett.   66 巻 ( 0 ) 頁: 1112-13   1995年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  824. Photoemission capacitance transient spectroscopy of n-type GaN 査読有り

    Gotz W, Johnson N M, Street R A, Amano H, Akasaki I

    Appl. Phys. Lett.   66 巻 ( 0 ) 頁: 1340-2   1995年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  825. Properties of the yellow luminescence in undoped GaN epitaxial layers 査読有り

    . Hofmann D. M., Kovalev D., Steude G., Meyer B. K., Hoffmann A., Eckey L., Heitz R., Detchprom T., Amano H., Akasaki I.

    Physical Review B: Condensed Matter   52 巻 ( 0 ) 頁: 16702-6   1995年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1103/PhysRevB.52.16702

  826. Polarization of light from an optically pumped (Al-Ga-N)/(Ga-In-N) double heterostructure 査読有り

    S. T. Kim, T. Tanaka, H. Amano and I. Akasaki

    Mater. Sci. & Eng. B   26 巻 ( 0 ) 頁: L5-L7   1994年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  827. Iron acceptors in gallium nitride (GaN) 査読有り

    K. Maier, M. Kunzer, U. Kaufmann, J. Schneider, B. Monemar, I. Akasaki and H. Amano.

    Materials Science Forum   143-147 巻 ( 0 ) 頁: 1993/08/01   1994年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.4028/www.scientific.net/MSF.143-147.93

  828. ODMR studies of MOVPE-grown GaN epitaxial layers 査読有り

    M. Kunzer, U. Kaufmann, K. Maier, J. Schneider, N. Herres, I. Akasaki and H. Amano.

    Materials Science Forum   143-147 巻 ( 0 ) 頁: 87-92   1994年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.4028/www.scientific.net/MSF.143-147.87

  829. Widegap column-III nitride semiconductors for UV/blue light emitting devices 査読有り

    I. Akasaki and H. Amano.

    Journal of the Electrochemical Society   141 巻 ( 0 ) 頁: 2266-71   1994年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  830. Perspective of UV/blue light emitting devices based on column-III nitrides 査読有り

    I. Akasaki and H. Amano.

    Institute of Physics Conference Series   136 巻 ( 0 ) 頁: 249-56   1994年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  831. p-Type conduction in Mg-doped GaN and Al008Ga092N grown by metalorganic vapor phase epitaxy 査読有り

    T. Tanaka, A. Watanabe, H. Amano, Y. Kobayashi, I. Akasaki, S. Yamazaki, and M. Koike

    Appl. Phys. Lett.   65 巻 ( 0 ) 頁: 593-4   1994年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  832. Deep level defects in n-type GaN 査読有り

    W. Goetz, N. M. Johnson, H. Amano and I. Akasaki

    Appl. Phys. Lett.   65 巻 ( 0 ) 頁: 463-5   1994年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  833. Infrared luminescence of residual iron deep level acceptors in gallium nitride (GaN) epitaxial layers 査読有り

    J. Baur, K. Maier, M. Kunzer, U. Kaufmann, J. Schneider, H. Amano, I. Akasaki, T. Detchprohm and K. Hiramatsu

    Appl. Phys. Lett.   64 巻 ( 0 ) 頁: 857-9   1994年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  834. Optical gain of optically pumped Al01Ga09N/GaN double heterostructure at room temperature 査読有り

    S. T. Kim, H. Amano, I. Akasaki and N. Koide

    Appl. Phys. Lett.   64 巻 ( 0 ) 頁: 1535-6   1994年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  835. Room-temperature violet stimulated emission from optically pumped AlGaN/GaInN double heterostructure 査読有り

    H. Amano, T. Tanaka Y. Kunii, K. Kato S. T. Kim and I. Akasaki

    Appl. Phys. Lett.   64 巻 ( 0 ) 頁: 1377-9   1994年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  836. Room-temperature low-threshold surface-stimulated emission by optical pumping from aluminum gallium nitride/gallium nitride (Al01Ga09N/GaN) double heterostructure 査読有り

    H. Amano, N. Watanabe, N. Koide and I. Akasaki.

    Jpn. J. Appl. Phys.   32 巻 ( 0 ) 頁: L1000-L1002   1993年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  837. Conductivity control of gallium nitride and fabrication of UV/blue GaN light emitting devices 査読有り

    I. Akasaki, H. Amano, N. Koide M. Kotaki Mand K. Manabe

    Phys. B   185 巻 ( 0 ) 頁: 428-32   1993年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  838. Electric properties of zinc-doped gallium nitride-type light emitting diode 査読有り

    M. R. H. Khan, I. Akasaki, H. Amano, N. Okazaki and K. Manabe

    Phys. B   185 巻 ( 0 ) 頁: 480-4   1993年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  839. GaN-based UV/blue light emitting devices 査読有り

    I. Akasaki, H. Amano, K. Itoh, N. Koide and K. Manabe

    Inst. Phys. Conf. Ser.   129 巻 ( 0 ) 頁: 851-6   1993年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  840. The growth of single crystalline gallium nitride on a silicon substrate using aluminum nitride as an intermediate layer 査読有り

    A. Watanabe, T. Takeuchi K. Hirosawa, H. Amano, K. Hiramatsu and I. Akasaki

    J. Crystal Growth   128 巻 ( 0 ) 頁: 391-6   1993年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  841. The growth of thick gallium nitride film on sapphire substrate by using zinc oxide buffer layer 査読有り

    T. Detchprohm, H. Amano, K. Hiramatsu and I. Akasaki

    J. Crystal Growth   128 巻 ( 0 ) 頁: 384-390   1993年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  842. Growth of gallium nitride and aluminum gallium nitride for UV/blue p-n junction diodes 査読有り

    I. Akasaki, H. Amano, H. Murakami, M. Sassa H. Kato and K. Manabe

    J. Crystal Growth   128 巻 ( 0 ) 頁: 379-383   1993年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  843. Radiative energy transfer in magnesium-doped gallium nitride/chromium(3+)-doped alumina (GaN:Mg/Al2O3:Cr3+) epitaxial systems 査読有り

    K. Maier, J. Schneider, I. Akasaki and H. Amano.

    Jpn. J. Appl. Phys.   32 巻 ( 0 ) 頁: L846-L848   1993年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  844. Perspective of the UV/blue light emitting devices based on gallium nitride and related compounds 査読有り

    Akasaki Isamu, Amano Hiroshi.

    Optoelec. -Devices and Technol.   7 巻 ( 0 ) 頁: 49-56   1992年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  845. Hydride vapor phase epitaxial growth of a high quality gallium nitride film using a zinc oxide buffer layer 査読有り

    Detchprohm T., Hiramatsu K., Amano H., Akasaki I.

    Appl. Phys. Lett.   61 巻 ( 0 ) 頁: 2688-2690   1992年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  846. Cathodoluminescence properties of undoped and zinc-doped aluminum gallium nitride grown by metalorganic vapor phase epitaxy 査読有り

    Itoh Kenji, Amano Hiroshi, Hiramatsu Kazumasa, Akasaki Isamu.

    Jpn. J. Appl. Phys.   30 巻 ( 0 ) 頁: 1604-1608   1991年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  847. Stimulated emission in MOVPE-grown gallium nitride (GaN) film 査読有り

    Amano Hiroshi, Asahi Tsunemori, Kito Masahiro, Akasaki Isamu.

    J. Lumin.   48-49 巻 ( 0 ) 頁: 889-892   1991年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  848. Photoluminescence of magnesium-doped p-type gallium nitride (GaN) and electroluminescence of gallium nitride (GaN) p-n junction LED 査読有り

    Akasaki Isamu, Amano Hiroshi, Kito Masahiro, Hiramatsu Kazumasa.

    J. Lumin.   48-49 巻 ( 0 ) 頁: 666-670   1991年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  849. Growth and properties of single crystalline gallium nitride films by hydride vapor phase epitaxy 査読有り

    Akasaki I., Naniwae K., Itoh K., Amano H., Hiramatsu K.

    Crystal Properties and Preparation   32-34 巻 ( 0 ) 頁: 154-157   1991年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  850. Growth of silicon-doped aluminum gallium nitride on (0001) sapphire substrate by metalorganic vapor phase epitaxy 査読有り

    Murakami Hiroshi, Asahi Tsunemori, Amano Hiroshi, Hiramatsu Kazumasa, Sawaki Nobuhiko, Akasaki Isamu.

    J. Crystal Growth   115 巻 ( 0 ) 頁: 648-651   1991年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  851. Doping of gallium nitride with silicon and properties of blue m/i/n/n+ gallium nitride LED with silicon-doped n+-layer by MOVPE 査読有り

    Koide N., Kato H., Sassa M., Yamasaki S., Manabe K., Hashimoto M., Amano H., Hiramatsu K., Akasaki I.

    J. Crystal Growth   115 巻 ( 0 ) 頁: 639-642   1991年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  852. Growth mechanism of gallium nitride grown on sapphire with aluminum nitride buffer layer by MOVPE 査読有り

    Hiramatsu K., Itoh S., Amano H., Akasaki I., Kuwano N., Shiraishi T., Oki K.

    J. Crystal Growth   115 巻 ( 0 ) 頁: 628-633   1991年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  853. Cross-sectional TEM study of microstructures in MOVPE gallium nitride films grown on a -alumina with a buffer layer of aluminum nitride 査読有り

    Kuwano Noriyuki, Shiraishi Tadayoshi, Koga Akihiro, Oki Kensuke, Hiramatsu Kazumasa, Amano Hiroshi, Itoh Kenji, Akasaki Isamu.

    J. Crystal Growth   115 巻 ( 0 ) 頁: 381-387   1991年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  854. Growth of single crystalline gallium nitride film on silicon substrate using 3C silicon carbide as an intermediate layer 査読有り

    Takeuchi Tetsuya, Amano Hiroshi, Hiramatsu Kazumasa, Sawaki Nobuhiko, Akasaki Isamu.

    J. Crystal Growth   115 巻 ( 0 ) 頁: 634-638   1991年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  855. MOVPE growth of gallium nitride on a misoriented sapphire substrate 査読有り

    Hiramatsu Kazumasa, Amano Hiroshi, Akasaki Isamu, Kato Hisaki, Koide Norikatsu, Manabe Katsuhide.

    J. Crystal Growth   107 巻 ( 0 ) 頁: 509-512   1991年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  856. Dynamics of laser sputtering at gallium nitride, gallium phosphide, and gallium arsenide surfaces 査読有り

    Namiki A., Katoh K., Yamashita Y., Matsumoto Y., Amano H., Akasaki I.

    J. Apl. Phys.   70 巻 ( 0 ) 頁: 3268-3274   1991年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  857. Metalorganic vapor phase epitaxial growth and properties of gallium mononitride/aluminum gallium nitride (Al01Ga09N) layered structures 査読有り

    Itoh Kenji, Kawamoto Takeshi, Amano Hiroshi, Hiramatsu Kazumasa, Akasaki Isamu.

    Jpn. J. Appl. Phys.   30 巻 ( 0 ) 頁: 1924-1927   1991年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  858. Stimulated emission near ultraviolet at room temperature from a gallium nitride (GaN) film grown on sapphire by MOVPE using an aluminum nitride (AlN) buffer layer 査読有り

    Amano Hiroshi, Asahi Tsunemori, Akasaki Isamu.

    Jpn. J. Appl. Phys.   29 巻 ( 0 ) 頁: L205-L206   1990年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  859. Growth and luminescence properties of magnesium-doped gallium nitride (GaN) prepared by MOVPE 査読有り

    Amano Hiroshi, Kitoh Masahiro, Hiramatsu Kazumasa, Akasaki Isamu.

    J. Electrochem. Soc.   137 巻 ( 0 ) 頁: 1639-1641   1990年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  860. UV and blue electroluminescence from aluminum/magnesium-doped gallium nitride/gallium nitride (Al/GaN:Mg/GaN) LED treated with low-energy electron beam irradiation (LEEBI) 査読有り

    Amano H., Kitoh M., Hiramatsu K., Akasaki I.

    Inst. Phys. Conf. Ser.   106 巻 ( 0 ) 頁: 725-730   1990年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  861. Preparation of aluminum gallium nitride/gallium nitride heterostructure by MOVPE 査読有り

    Ito Kenji, Hiramatsu Kazumasa, Amano Hiroshi, Akasaki Isamu.

    J. Crystal Growth   104 巻 ( 0 ) 頁: 533-538   1990年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  862. Growth of single crystal gallium nitride substrate using hydride vapor phase epitaxy 査読有り

    Naniwae Kouichi, Itoh Shigetoshi, Amano Hiroshi, Itoh Kenji, Hiramatsu Kazumasa, Akasaki Isamu.

    J. Crystal Growth   99 巻 ( 0 ) 頁: 381-384   1990年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  863. Cathodoluminescence of MOVPE-grown gallium nitride layer on a -alumina 査読有り

    Hiramatsu Kazumasa, Amano Hiroshi, Akasaki Isamu.

    J. Crystal Growth   99 巻 ( 0 ) 頁: 375-380   1990年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  864. P-type conduction in magnesium-doped gallium nitride treated with low-energy electron beam irradiation (LEEBI) 査読有り

    H. Amano, M. Kito, K. Hiramatsu, I. Akasaki

    Jpn. J. Appl. Phys.   28 巻 ( 0 ) 頁: L2112-L2114   1989年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  865. Effects of aluminum nitride buffer layer on crystallographic structure and on electrical and optical properties of gallium nitride and aluminum gallium nitride (Ga1-xAlxN, 0< x < 0.4) films grown on sapphire substrate by MOVPE 査読有り

    I. Akasaki, H. Amano, Y. Koide, K. Hiramatsu, N. Sawaki

    J. Crystal Growth   98 巻 ( 0 ) 頁: 209-219   1989年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  866. Heteroepitaxial growth and the effect of strain on the luminescent properties of gallium mononitride films on (11-20) and (0001) sapphire substrates 査読有り

    H. Amano, K. Hiramatsu, I. Akasaki

    Jpn. J. Appl. Phys.   27 巻 ( 0 ) 頁: L1384-L1386   1988年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  867. Electron beam effects on blue luminescence of zinc-doped gallium mononitride 査読有り

    H. Amano, I. Akasaki, T. Kozawa, K. Hiramatsu, N. Sawaki, K. Ikeda, Y. Ishii

    J. Lumin.   40-41 巻 ( 0 ) 頁: 121-122   1988年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  868. Effects of the buffer layer in metalorganic vapor phase epitaxy of gallium mononitride on sapphire substrate 査読有り

    H. Amano, I. Akasaki, K. Hiramatsu, N. Koide, N. Sawaki

    Thin Solid Films   163 巻 ( 0 ) 頁: 415-420   1988年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  869. Zinc related electroluminescent properties in MOVPE grown gallium nitride 査読有り

    H. Amano, K. Hiramatsu, M. Kito, N. Sawaki, I. Akasaki

    J. Crystal Growth   93 巻 ( 0 ) 頁: 79-82   1988年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  870. High-efficiency blue LED utilizing gallium nitride (GaN) film with an aluminum nitride (AlN) buffer layer grown by MOVPE 査読有り

    I. Akasaki, H. Amano, K. Hiramatsu, N. Sawaki

    Inst. Phys. Conf. Ser.   91 巻 ( 0 ) 頁: 633-636   1988年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  871. Crystal growth and properties of gallium nitride and its blue light-emitting diode 査読有り

    I. Akasaki, H. Amano, N. Sawaki, M. Hashimoto, Y. Ohki, Y. Toyoda

    Jpn Ann. Rev. Elec. Comp. & Telecom.   19 巻 ( 0 ) 頁: 295-307   1986年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  872. Metalorganic vapor phase epitaxial growth of a high quality gallium nitride (GaN) film using an aluminum nitride (AlN) buffer layer 査読有り

    H. Amano, N. Sawaki, I. Akasaki, Y. Toyoda

    Appl. Phys. Lett.   48 巻 ( 0 ) 頁: 353-355   1986年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  873. Effects of hydrogen in an ambient on the crystal growth of gallium nitride using trimethyl gallium and ammonia 査読有り

    M. Hashimoto, H. Amano, N. Sawaki, I. Akasaki

    J. Crystal Growth   68 巻 ( 0 ) 頁: 163-168   1984年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

▼全件表示

書籍等出版物 32

  1. Growth of GaN on sapphire via low-temperature deposited buffer layer and realization of p-type gan by MG doping followed by low- energy electron beam irradiation

    Amano H.( 担当: 単著)

    Nobel Lectures In Physics (2011-2015)  2022年2月  ( ISBN:9789811245534

     詳細を見る

    記述言語:日本語

    This is a personal history of one of the Japanese researchers engaged in developing a method for growing GaN on a sapphire substrate, paving the way for the realization of smart television and display systems using blue LEDs. The most important work was done in the mid- to late 80s. The background to the author's work and the process by which the technology enabling the growth of GaN and the realization of p-type GaN was established are reviewed.

    Scopus

  2. 次世代半導体素材GaNの挑戦 : 22世紀の世界を先導する日本の科学技術

    天野 浩( 担当: 単著)

    講談社  2020年  ( ISBN:9784065136300

     詳細を見る

    担当ページ:.   記述言語:日本語

    researchmap

  3. 次世代半導体素材GaNの挑戦 : 22世紀の世界を先導する日本の科学技術

    天野 浩 ( 担当: 単著)

    講談社  2020年  ( ISBN:9784065136300

     詳細を見る

    記述言語:日本語

    CiNii Research

  4. 電磁気学ビギナーズ講義

    大野 哲靖, 松村 年郎, 内山 剛, 横水 康伸, 天野 浩( 担当: 単著)

    培風館  2018年  ( ISBN:9784563025212

     詳細を見る

    担当ページ:.   記述言語:日本語

    researchmap

  5. 持続可能な未来のための知恵とわざ : ローマクラブメンバーとノーベル賞受賞者の対話

    林 良嗣, 中村 秀規, Weizsäcker Ernst, U. von, c, 赤崎 勇, 小宮山 宏, 天野 浩, 飯尾 歩( 担当: 単著)

    明石書店  2017年  ( ISBN:9784750345512

     詳細を見る

    担当ページ:.   記述言語:日本語

    researchmap

  6. MOCVD of Nitrides, Chapter 16 in Handbook of Crystal Growth, Second Edition

    Hiroshi Amano( 担当: 共著)

    Elsevier  2015年12月 

     詳細を見る

    記述言語:英語

  7. 天野先生の「青色LEDの世界」

    天野浩、福田大展( 担当: 共著)

    講談社  2015年9月  ( ISBN:978-4062579322

     詳細を見る

    記述言語:日本語


    にすごいのはこれからだ! 青色LED技術の核心が分かる。
    1500回を超える実験の末に生まれた青色LEDの本体、窒化ガリウム結晶。今では電力ロスを10分の1に減らすパワー半導体や、水問題を解決する水質浄化装置など、たんなる「照明」にとどまらない多様な可能性に世界が注目しています。青色LEDはなぜ夢のある技術なのか、その原理と研究の最前線を開発者自らが分かりやすく紹介します。

  8. ワイドギャップ半導体 あけぼのから最前線へ

    天野 浩 ( 担当: 共著)

    培風館  2013年1月  ( ISBN:978-4-563-06787-8

     詳細を見る

    記述言語:日本語

    窒化物半導体に関する概論
    紫外発光素子応用

  9. III-Nitride Based Light Emitting Diodes and Applications, Introduction Part A. Progress and Prospect of Growth of Wide-Band-Gap III-Nitrides

    Hiroshi Amano( 担当: 共著)

    Springer  2013年  ( ISBN:978-94-007-5863-6

     詳細を見る

    記述言語:英語

    Captures an accurate snapshot regarding the field and provide an insightful review to all the key issues in anticipation of the revolution in lighting technology
    Provides a comprehensive coverage of contemporary LED issues, such as efficiency droop, green gap, GaN on silicon, and high voltage LEDs, which have not been adequately discussed in the published book

  10. バンドギャップエンジニアリング 第Ⅱ編 応用 光源技術の動向 -LED-

    天野 浩( 担当: 単著)

    シーエムシー出版  2011年12月  ( ISBN:978-4-7813-0508-0

     詳細を見る

    記述言語:日本語

    窒化物半導体を用いた白色LEDの開発状況に関する概説。

  11. 超高効率太陽電池・関連材料の最前線 第5章 新型太陽電池・材料 5.AlGaInN系太陽電池

    天野 浩( 担当: 単著)

    シーエムシー出版   2011年8月  ( ISBN:978-4-7813-0310-9

     詳細を見る

    記述言語:日本語

    AlGaInN系化合物半導体による太陽電池の可能性の概説

  12. 高効率太陽電池の開発と応用  第7章 新型太陽電池・材料 3.AlGaInN系太陽電池の可能性

    天野 浩( 担当: 単著)

    シーエムシー出版  2009年11月  ( ISBN:978-4-7813-0150-1

     詳細を見る

    記述言語:日本語

    AlGaInN系半導体を用いた太陽電池の可能性に関する概説

  13. GaNパワーデバイス技術開発の現状と展望

    天野 浩( 担当: 共著)

    有限会社 ブッカーズ  2009年10月 

     詳細を見る

    記述言語:日本語

    『次世代パワー半導体』,第2編パワーデバイス開発の最前線,第2章GaNパワーデバイスの研究開発

  14. 窒化物基板および格子整合基板の成長とデバイス特性

    飯田大輔,千田亮太,上山智,天野浩,赤﨑勇( 担当: 共著)

    シーエムシー出版  2009年10月 

     詳細を見る

    記述言語:日本語

    天野 浩:第1章7.「MOVPE成長」,第3章3.「ZrB2基板」, 0, 岩谷素顕,川島毅士,飯田大輔,千田亮太,上山智,天野浩,赤﨑勇:第2章「m面SiC上への非極性窒化物半導体の結晶成長」,pp.128-143

  15. 2009化合物半導体技術大全

    天野 浩( 担当: 共著)

    技術教育出版社 エヌ・ティー・エス  2009年9月 

     詳細を見る

    記述言語:日本語

    第2編第4章 「LEDとEDLC」

  16. Nitrides with Nonpolar Surfaces

    H. Amano, T. Kawashima, D. Iida, M. Imura, M. Iwaya, S. Kamiyama, I. Akasaki, Isamu( 担当: 共著)

    Wiley-VCH Verlag GmbH & Co. KGaA  2008年12月 

     詳細を見る

    記述言語:英語

    Metalorganic vapor phase epitaxial growth of nonpolar Al(Ga,In)N films on lattice-mismatched substrates

  17. LED革新のための最新技術と展望

    天野 浩( 担当: 単著)

    情報機構  2008年11月 

     詳細を見る

    記述言語:日本語

    第2章第2節第1項,“GaNの成長・低転位化・実用状況・基板欠陥とGaN膜欠陥の相関

  18. RGB三原色・白色レーザーの開発

    天野 浩( 担当: 共著)

    サイエンス&テクノロジー株式会社  2007年7月 

     詳細を見る

    記述言語:日本語

    第5章第3節青色および紫外半導体レーザ

  19. Wide Bandgap Semiconductors

    Hiroshi Amano( 担当: 共著)

    Spriger  2007年 

     詳細を見る

    記述言語:英語

    2.3.1 Doping Technology, pp.77-79, 3.5.3 Advances in UV Laser Diodes, pp.206-207

  20. 電子材料ハンドブック

    天野 浩( 担当: 共著)

    朝倉書店  2006年12月 

     詳細を見る

    記述言語:日本語

  21. 高周波半導体材料・デバイスの新展開

    天野 浩( 担当: 共著)

    シーエムシー出版  2006年11月 

     詳細を見る

    記述言語:日本語

  22. 図解 電子ディスプレイのすべて

    天野浩( 担当: 共著)

    工業調査会  2006年10月 

     詳細を見る

    記述言語:日本語

  23. ワイドギャップ半導体光・電子デバイス

    天野 浩( 担当: 共著)

    森北出版株式会社  2006年3月 

     詳細を見る

    記述言語:日本語

  24. “Nitride Semiconductors”, Handbook on Materials and Devices

    H. Amano( 担当: 共著)

    2003年7月 

     詳細を見る

    記述言語:英語

  25. エピタキシャル成長のフロンティア

    天野浩( 担当: 共著)

    共立出版株式会社  2002年6月 

     詳細を見る

    記述言語:日本語

  26. Ⅲ族窒化物半導体

    天野浩,赤崎勇( 担当: 共著)

    培風館  1999年12月 

     詳細を見る

    記述言語:日本語

  27. “Gallium Nitride and Related Semiconductors”,  EMIS Datareview Series,

    H. Amano and I. Akasaki( 担当: 共著)

    1999年12月 

     詳細を見る

    記述言語:英語

  28. Semiconductors and Semimetals Vol.48 High brightness light emitting diodes

    Hiroshi Amano, Isamu Akasaki( 担当: 共著)

    1997年11月 

     詳細を見る

    記述言語:英語

  29. Semiconductors and Semimetals Vol.50 Gallium nitride (GaN) I

    Hiroshi Amano, Isamu Akasaki( 担当: 共著)

    1997年11月 

     詳細を見る

    記述言語:英語

  30. Advances in the Understanding of Crystal Growth Mechanism

    K. Hiramatsu, T. Detchprohm, H. Amano, I. Akasaki( 担当: 共著)

    1997年8月 

     詳細を見る

    記述言語:英語

  31. 青色発光デバイスの魅力

    天野 浩( 担当: 共著)

    工業調査会  1996年2月 

     詳細を見る

    記述言語:日本語

  32. Ⅲ-Ⅴ族化合物半導体

    天野 浩、赤崎 勇( 担当: 共著)

    培風館  1994年5月 

     詳細を見る

    記述言語:日本語

▼全件表示

MISC 13

  1. Crystal engineering by tuning the growth kinetics of GaN 3-D microstructures in SAG-HVPE

    Avit Geoffrey, Zeghouane Mohammed, Andre Yamina, Castelluci Dominique, Gil Evelyne, Bae Si-Young, Amano Hiroshi, Trassoudaine Agnes  

    CRYSTENGCOMM20 巻 ( 40 ) 頁: 6207-6213   2018年10月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

    DOI: 10.1039/c8ce01177j

    researchmap

  2. Reduction of Residual Impurities in Homoepitaxial m-Plane (10(1)over-bar0) GaN by Using N-2 Carrier Gas in Metalorganic Vapor Phase Epitaxy

    Barry Ousmane I, Lekhal Kaddour, Bae Si-Young, Lee Ho-Jun, Pristovsek Markus, Honda Yoshio, Amano Hiroshi  

    PHYSICA STATUS SOLIDI-RAPID RESEARCH LETTERS12 巻 ( 8 )   2018年8月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

    DOI: 10.1002/pssr.201800124

    researchmap

  3. Transferrable monolithic multicomponent system for near-ultraviolet optoelectronics

    Chuan Qin, Xumin Gao, Jialei Yuan, Zheng Shi, Yuan Jiang, Yuhuai Liu, Yongjin Wang, Hiroshi Amano  

    Applied Physics Express11 巻 ( 5 )   2018年5月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:Japan Society of Applied Physics  

    A monolithic near-ultraviolet multicomponent system is implemented on a 0.8-mm-diameter suspended membrane by integrating a transmitter, waveguide, and receiver into a single chip. Two identical InGaN/Al0.10Ga0.90N multiple-quantum well (MQW) diodes are fabricated using the same process flow, which separately function as a transmitter and receiver. There is a spectral overlap between the emission and detection spectra of the MQW diodes. Therefore, the receiver can respond to changes in the emission of the transmitter. The multicomponent system is mechanically transferred from silicon, and the wire-bonded transmitter on glass experimentally demonstrates spatial light transmission at 200 Mbps using non-return-to-zero on–off keying modulation.

    DOI: 10.7567/APEX.11.051201

    Scopus

    researchmap

  4. Correlation between dislocations and leakage current of p-n diodes on a free-standing GaN substrate

    Shigeyoshi Usami, Yuto Ando, Atsushi Tanaka, Kentaro Nagamatsu, Manato Deki, Maki Kushimoto, Shugo Nitta, Yoshio Honda, Hiroshi Amano, Yoshihiro Sugawara, Yong-Zhao Yao, Yukari Ishikawa  

    APPLIED PHYSICS LETTERS112 巻 ( 18 )   2018年4月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:AMER INST PHYSICS  

    Dislocations that cause a reverse leakage current in vertical p-n diodes on a GaN free-standing substrate were investigated. Under a high reverse bias, dot-like leakage spots were observed using an emission microscope. Subsequent cathodoluminescence (CL) observations revealed that the leakage spots coincided with part of the CL dark spots, indicating that some types of dislocation cause reverse leakage. When etch pits were formed on the dislocations by KOH etching, three sizes of etch pits were obtained (large, medium, and small). Among these etch pits, only the medium pits coincided with leakage spots. Additionally, transmission electron microscopy observations revealed that pure screw dislocations are present under the leakage spots. The results revealed that lc pure screw dislocations are related to the reverse leakage in vertical p-n diodes. Published by AIP Publishing.

    DOI: 10.1063/1.5024704

    Web of Science

    researchmap

  5. The 2018 GaN power electronics roadmap

    Amano H., Baines Y., Beam E., Borga M., Bouchet T., Chalker P.R., Charles M., Chen K.J., Chowdhury N., Chu R., De Santi C., De Souza M.M., Decoutere S., Di Cioccio L., Eckardt B., Egawa T., Fay P., Freedsman J.J., Guido L., Häberlen O., Haynes G., Heckel T., Hemakumara D., Houston P., Hu J., Hua M., Huang Q., Huang A., Jiang S., Kawai H., Kinzer D., Kuball M., Kumar A., Lee K.B., Li X., Marcon D., März M., McCarthy R., Meneghesso G., Meneghini M., Morvan E., Nakajima A., Narayanan E.M.S., Oliver S., Palacios T., Piedra D., Plissonnier M., Reddy R., Sun M., Thayne I., Torres A., Trivellin N., Unni V., Uren M.J., Van Hove M., Wallis D.J., Wang J., Xie J., Yagi S., Yang S., Youtsey C., Yu R., Zanoni E., Zeltner S., Zhang Y.  

    Journal of Physics D: Applied Physics51 巻 ( 16 )   2018年3月

     詳細を見る

    記述言語:英語   掲載種別:書評論文,書評,文献紹介等   出版者・発行元:Journal of Physics D: Applied Physics  

    Gallium nitride (GaN) is a compound semiconductor that has tremendous potential to facilitate economic growth in a semiconductor industry that is silicon-based and currently faced with diminishing returns of performance versus cost of investment. At a material level, its high electric field strength and electron mobility have already shown tremendous potential for high frequency communications and photonic applications. Advances in growth on commercially viable large area substrates are now at the point where power conversion applications of GaN are at the cusp of commercialisation. The future for building on the work described here in ways driven by specific challenges emerging from entirely new markets and applications is very exciting. This collection of GaN technology developments is therefore not itself a road map but a valuable collection of global state-of-the-art GaN research that will inform the next phase of the technology as market driven requirements evolve. First generation production devices are igniting large new markets and applications that can only be achieved using the advantages of higher speed, low specific resistivity and low saturation switching transistors. Major investments are being made by industrial companies in a wide variety of markets exploring the use of the technology in new circuit topologies, packaging solutions and system architectures that are required to achieve and optimise the system advantages offered by GaN transistors. It is this momentum that will drive priorities for the next stages of device research gathered here.

    DOI: 10.1088/1361-6463/aaaf9d

    Scopus

    researchmap

  6. Low cost high voltage GaN polarization superjunction field effect transistors 査読有り

    H. Kawai, S. Yagi, S. Hirata, F. Nakamura, T. Saito, Y. Kamiyama, M. Yamamoto, H. Amano, V. Unni, E. M. S. Narayanan  

    PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE214 巻 ( 8 ) 頁: 1600834/1-10   2017年8月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:WILEY-V C H VERLAG GMBH  

    A comprehensive overview of the novel high voltage GaN field effect transistors (FETs) based on the Polarization Superjunction (PSJ) concept, and a cost-effective approach towards manufacturing these high performance devices are presented. Current challenges impeding wider adoption of GaN power switching transistors in applications, and the latest results of the scaled-up PSJ-FETs from POWDEC KK, are also discussed. The article also presents hard-switching characteristics of 400-800V boost converter, constructed using a PSJ-FET grown on sapphire substrate, and the future direction of GaN power semiconductor technology based on monolithic integration for advanced power electronics.

    DOI: 10.1002/pssa.201600834

    Web of Science

    researchmap

  7. A-plane GaN growth on (11-20) 4H-SiC substrate with an ultrathin interlayer 査読有り

    Zheng Sun, Peifeng Song, Shugo Nitta, Yoshio Honda, Hiroshi Amano  

    Journal of Crystal Growth468 巻   頁: 866-869   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

    A-plane GaN was attempted to be grown on (11-20) 4H-SiC bulk substrate without using a traditional thick buffer layer. By inducing TMAl treatment before the GaN growth step and using both a low pressure and V/III ratio, the interlayer thickness of the a-plane GaN/SiC was reduced to 1.7+0.5 nm. The ultrathin interlayer was observed to be either AlN or AlGaN with a low Ga composition. This study is aimed to contribute to the understanding of GaN growth on the sidewalls of c-plane trench structure SiC. The ultrathin growth technique is also hoped to be applied to the fabrication of GaN-based vertical structure nonpolar optical or electrical devices.

    DOI: 10.1016/j.jcrysgro.2017.01.31

    researchmap

  8. Role of threading dislocations in strain relaxation during GaInN growth monitored by real-time X-ray reflectivity 査読有り

    Guangxu Ju, Masao Tabuchi, Yoshikazu Takeda, Hiroshi Amano  

    APPLIED PHYSICS LETTERS110 巻 ( 26 ) 頁: 262105/1-5   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:AMER INST PHYSICS  

    Ga1-xInxN epilayers (x = 0.09 or 0.14) grown on c-plane GaN layers with different densities of threading dislocations have been investigated by real-time x-ray reflectivity during metal-organic vapor phase epitaxial growth. We found that the density of pre-existing threading dislocations in GaN plays an important role in the strain relaxation of Ga1-xInxN. Critical thicknesses were obtained and compared with theoretical predictions using the mechanical equilibrium model and the energy balance model. The critical thickness of GaInN varies inversely with dislocation density in the GaN sublayer. When the threading dislocation density in the sublayer was reduced by three orders of magnitude, the photoluminescence intensity of the Ga0.86In0.14N epilayer was improved by a factor of ten. Published by AIP Publishing.

    DOI: 10.1063/1.4990687

    Web of Science

    researchmap

  9. Relationship between lattice relaxation and electrical properties in polarization doping of graded AlGaN with high AlN mole fraction on AlGaN template 査読有り

    Toshiki Yasuda, Tetsuya Takeuchi, Motoaki Iwaya, Satoshi Kamiyama, Isamu Akasaki, Hiroshi Amano  

    Applied Physics Express10 巻   頁: 025502   2017年1月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

    We investigated polarization doping for hole generation in abrupt and graded GaN/Al0.7Ga0.3N interfaces on Al0.99Ga0.01N templates. The abrupt interface exhibited hole generation, whereas the graded interface exhibited electron generation. In the graded AlxGa1%xN( x = 0.65–0), a graded part with an AlN mole fraction ranging from 0.2 to 0 showed a large relaxation. Theoretical estimation revealed that this part contained positive polarization charges, accumulating electrons. Via Mg doping in the graded AlGaN layer, we obtained a high hole concentration of 3 &#039; 1013cm%2. These results indicate that understanding the relaxation conditions in the graded layer is indispensable for polarization doping.

    DOI: 10.4567/APEX.10.025502

    researchmap

  10. High quality Al0.99Ga0.01N layers on sapphire substrates grown at 1150 degrees C by metalorganic vapor phase epitaxy

    Shota Katsuno, Toshiki Yasuda, Koudai Hagiwara, Norikatsu Koide, Motoaki Iwaya, Tetsuya Takeuchi, Satoshi Kamiyama, Isamu Akasaki, Hiroshi Amano  

    JAPANESE JOURNAL OF APPLIED PHYSICS56 巻 ( 1 )   2017年1月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:IOP PUBLISHING LTD  

    We systematically investigated metalorganic vapor phase epitaxy (MOVPE) growths of AlN layers with trimethylgallium (TMGa) supply on sapphire substrates at 1100-1250 degrees C. We found that Ga incorporations into the AlN layers contributed to smooth surfaces covered with step terraces at the early stage of the Al(Ga)N growth. In addition, a GaN mole fraction leading to the smooth surfaces was found to be around 2-3% at the beginning of growth. The Ga supply during the AlN layer growth at 1150 degrees C provided very smooth Al0.99Ga0.01N layers on sapphire substrates. (C) 2017 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.56.015504

    Web of Science

    researchmap

  11. High quality Al0.99Ga0.01N layers on sapphire substrates grown at 1150 °C by metalorganic vapor phase epitaxy 査読有り

    Shota Katsuno, Toshiki Yasuda, Koudai Hagiwara, Norikatsu Koide, Motoaki Iwaya, Tetsuya Takeuchi, Satoshi Kamiyama, Isamu Akasaki, Hiroshi Amano  

    Japanese Journal of Applied Physics56 巻 ( 1 ) 頁: 015504   2017年1月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

    We systematically investigated metalorganic vapor phase epitaxy (MOVPE) growths of AlN layers with trimethylgallium (TMGa) supply on sapphire substrates at 1100–1250°C. We found that Ga incorporations into the AlN layers contributed to smooth surfaces covered with step terraces at the early stage of the Al(Ga)N growth. In addition, a GaN mole fraction leading to the smooth surfaces was found to be around 2–3% at the beginning of growth. The Ga supply during the AlN layer growth at 1150°C provided very smooth Al0.99Ga0.01N layers on sapphire substrates.

    DOI: 10.7567/JJAP.56.015504

    Web of Science

    researchmap

  12. Development of Sustainable Smart Society based on Transformative Electronics

    Ogura M, Ando Y, Usami S, Nagamatsu K, Kushimoto M, Deki M, Tanaka A, Nitta S, Honda Y, Pristovsek M, Kawai H, Yagi S, Amano H  

    2017 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM)   頁: .   2017年

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

    researchmap

  13. From the Dawn of GaN-Based Light-Emitting Devices to the Present Day

    Amano Hiroshi  

    HANDBOOK OF SOLID-STATE LIGHTING AND LEDS   頁: 3-11   2017年

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

    researchmap

▼全件表示

講演・口頭発表等 487

  1. A journey full of challenges How a poor university sparked the LED revolution and the continual impact in the future smart society 招待有り

    Hiroshi Amano

    Nyquist Lecture   2023年9月19日  Yale University

     詳細を見る

    開催年月日: 2023年9月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Yale University   国名:アメリカ合衆国  

  2. 何故、今の日本でスタートアップが必要か 招待有り

    天野 浩

    ディープテックシリアルイノベーションセンター 開所式  2023年9月11日  名大

     詳細を見る

    開催年月日: 2023年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名大   国名:日本国  

  3. 新エレクトロニクスが創る近未来社会 招待有り

    天野 浩

    IEICE ICT Pioneers Webinar シリーズ  2023年9月6日  電子情報通信学会

     詳細を見る

    開催年月日: 2023年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン   国名:日本国  

  4. 大学が果たすべき役割と TELオーディトリアムへの期待 招待有り

    天野 浩

    TElオーディトリアムオープニングイベント  2023年9月5日  TEL

     詳細を見る

    開催年月日: 2023年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名大   国名:日本国  

  5. How a poor university sparked the LED revolution and the continual impact in the future smart society 招待有り

    Hiroshi Amano

    Royal Palm Lecture Series   2023年9月1日  National Taiwan University

     詳細を見る

    開催年月日: 2023年9月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Taiwan   国名:台湾  

  6. GaN Electronics SupportingThe World After 2025 招待有り 国際会議

    Hiroshi Amano

    TSMC seminar  2023年9月1日  TSMC

     詳細を見る

    開催年月日: 2023年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Taiwan   国名:台湾  

  7. できっこないに挑戦する楽しみ どうすれば新しい産業を興すきっかけをつくれるか? 招待有り

    天野 浩

    第17回創造性の育成塾   2023年7月31日  創造性の育成塾 

     詳細を見る

    開催年月日: 2023年7月

    記述言語:日本語  

    開催地:東京   国名:日本国  

  8. 窒化物半導体への取り組み これまでの10年と未来社会での役割 招待有り

    天野 浩

    JPC関西  2023年7月19日  JPC関西

     詳細を見る

    開催年月日: 2023年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:大阪   国名:日本国  

  9. GaN on GaNデバイスのビジネス化と将来への道筋-高効率基板加工技術開発の重要性- 招待有り

    天野 浩

    次世代単結晶基板のための実用加工技術検討会(第2回)  2023年7月18日  精密工学会「プラナリゼーションCMPとその応用専門委員会」 一般社団法人GaNコンソーシアム 結晶・評価WG

     詳細を見る

    開催年月日: 2023年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:長岡   国名:日本国  

  10. How to make the most of your gifted talents 招待有り 国際会議

    Hiroshi Amano

    IPhO2023  2023年7月14日  IPhO2023

     詳細を見る

    開催年月日: 2023年7月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Tokyo   国名:日本国  

  11. 新半導体の魅力 招待有り

    天野 浩

    つくばサイエンスアカデミー  2023年7月6日  つくばサイエンスアカデミー

     詳細を見る

    開催年月日: 2023年7月

    記述言語:日本語   会議種別:口頭発表(基調)  

    開催地:つくば   国名:日本国  

  12. 2030年以降の日本の半導体業界を背負う若手研究者に向けて 20代後半~30代前半の研究者へのメッセージ 招待有り

    天野 浩

    半導体産業活性化  2023年6月18日  セミジャパン

     詳細を見る

    開催年月日: 2023年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:高槻   国名:日本国  

  13. 2123年の日本、世界と工学部の役割 招待有り

    天野 浩

    新潟大学工学部100周年記念   2023年6月3日  新潟大学

     詳細を見る

    開催年月日: 2023年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:新潟   国名:日本国  

  14. 稼げる研究と人材の育て方 招待有り

    天野 浩

    あいちフィナンシャルグループ  2023年5月23日  駐日新聞社

     詳細を見る

    開催年月日: 2023年5月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋   国名:日本国  

  15. GaN Electronics Supporting Japan and The World After 2030 招待有り 国際会議

    Hiroshi Amano

    2023年5月23日 

     詳細を見る

    開催年月日: 2023年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  16. これからの理科教育 招待有り

    天野 浩

    日本理科教育振興協会 第52回定時総会 理科好き人間の育て方  2023年5月16日  日本理科教育振興協会 

     詳細を見る

    開催年月日: 2023年5月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:東京   国名:日本国  

  17. SDGsに貢献する新半導体 招待有り

    天野 浩

    名古屋大学全学同窓会岐阜支部 令和5年度特別講演会   2023年5月6日  名古屋大学全学同窓会岐阜支部 

     詳細を見る

    開催年月日: 2023年5月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:岐阜   国名:日本国  

  18. 研究室と社会をつなぐあいちシンクロトロン光センター 招待有り

    天野 浩

    あいちシンクロトロン光センター 10周年記念  2023年4月20日  あいちシンクロトロン光センター

     詳細を見る

    開催年月日: 2023年4月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:あいちシンクロトロン光センター   国名:日本国  

  19. GaN as a key material for power and signal management as well as photon and electron source 招待有り

    Hiroshi Amano

    SEMINAR Molecular Foundry Lawrence Berkeley National Laboratory  2023年3月8日  Lawrence Berkeley National Laboratory

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:online   国名:アメリカ合衆国  

  20. 精神的自立 どこに行っても生きていける気持ちを持つために必要なこと 招待有り

    天野 浩

    博士へのトビラ 名大大学院で過ごす5年間  2023年2月18日  名古屋大学

     詳細を見る

    開催年月日: 2023年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン   国名:日本国  

  21. Wide bandgap and ultrawide bandgap semiconductors 招待有り 国際会議

    Hiroshi Amano

    Advance Functional Materials  2023年1月10日  Kyushu University

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:online   国名:日本国  

  22. 2122年を目指した研究開発戦略 招待有り

    天野 浩

    旭化成100周年記念  2022年11月29日  旭化成

     詳細を見る

    開催年月日: 2022年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン   国名:日本国  

  23. 日本発の技術を結集して 世界のカーボンニュートラル実現に貢献する! 招待有り

    天野 浩

    半導体テクノロジーシンポジウム  2022年11月28日  東北大学

     詳細を見る

    開催年月日: 2022年11月

    記述言語:日本語  

    開催地:東京   国名:日本国  

  24. How to increase investment in GaN? 招待有り 国際会議

    Hiroshi Amano

    APWS2022  2022年11月14日  APWS2022

     詳細を見る

    開催年月日: 2022年11月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:online   国名:台湾  

  25. もし君がノーベル賞を受賞したら 招待有り

    天野 浩

    IEEE 広島・四国・福岡YP  2022年11月12日  IEEE 広島・四国・福岡YP

     詳細を見る

    開催年月日: 2022年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:広島市立大学   国名:日本国  

  26. 世界を変えるGaN 招待有り

    天野 浩

    オリンパス東海セミナー  2022年10月21日  オリンパス東海

     詳細を見る

    開催年月日: 2022年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋   国名:日本国  

  27. Memorial talk of late Professor Isamu Akasaki 招待有り 国際会議

    Hiroshi Amano

    ISLC2022  2022年10月19日  ISLC

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:online   国名:日本国  

  28. Deep-UV laser diode: An example of overcoming the semiconducting limi 招待有り 国際会議

    Hiroshi Amano

    IWN2022  2022年10月10日  IWN2022

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Berlin   国名:ドイツ連邦共和国  

  29. これまでの100年、これからの100年 招待有り

    天野 浩

    静岡大学浜松キャンパス100周年  2022年9月24日  静岡大学

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン   国名:日本国  

  30. GaN on GaNデバイス・システムのこれまでの取り組みと 社会実装に向けた課題 招待有り

    天野 浩

    最先端GaN基盤半導体導入促進セミナー  2022年9月12日  環境省 日経BP

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:東京   国名:日本国  

  31. ワイドギャップパワー半導体の社会実装に向けてのロードマップ 招待有り

    天野 浩

    ワイドギャップパワー半導体の現状と今後  2022年9月6日  特定非営利活動(NPO)法人 高周波・アナログ半導体ビジネス研究会

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン   国名:日本国  

  32. If you become the Nobel Laureate 招待有り

    2022年8月27日 

     詳細を見る

    開催年月日: 2022年8月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  33. ディープテックの社会実装加速に貢献する人材育成の取り組み 招待有り

    天野 浩

    t東京オープンイノベーションカレッジ  2022年7月25日  東京東海証券

     詳細を見る

    開催年月日: 2022年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:東京   国名:日本国  

  34. 次世代パワー半導体がもたらすグリーン・デジタル化社会 招待有り

    天野 浩

    2022年6月2日  福岡県半導体デジタル産業振興会

     詳細を見る

    開催年月日: 2022年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン   国名:日本国  

  35. Message from Far East Asia to Young Researchers in Moldova 招待有り

    Hiroshi Amano

    Moldova seminar   2022年5月24日  Science Academy of Moldova

     詳細を見る

    開催年月日: 2022年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:online   国名:モルドバ共和国  

  36. How can university and industry work together to direct innovation? 招待有り 国際会議

    Hiroshi Amano

    THE Asia Universities Summit   2022年5月19日  THE

     詳細を見る

    開催年月日: 2022年5月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Fujita Health University   国名:日本国  

  37. 基礎研究成果の社会実装加速のための取り組み 招待有り

    天野 浩

    第138回中部整形外科災害科学会学術集会  2022年4月8日  中部整形外科災害科学会学術集会

     詳細を見る

    開催年月日: 2022年4月

    記述言語:日本語   会議種別:口頭発表(基調)  

    開催地:ウィンクあいち   国名:日本国  

  38. 昨今の半導体不足と電力消費削減のためのワイドギャップ半導体の役割 招待有り

    天野 浩

    国際ロータリー第2760地区②021-2022年度東尾張分区インターシティミーティング  2022年3月12日  国際ロータリー第2760地区②021-2022年度東尾張分区インターシティミーティング

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:瀬戸蔵つばきホール   国名:日本国  

  39. Frontier Electronics As “Pain Killer” of Our Society and Environments 招待有り 国際会議

    Hiroshi Amano

    ISPlasma2022/IC-Plants2022  2022年3月7日  ISPlasma2022/IC-Plants2022

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Online   国名:日本国  

  40. Protecting people from virus infection with a compact DUV light source  招待有り 国際会議

    Hiroshi Amano

    The 2nd Japan-ASEAN Multi-Stakeholder Strategic Consultancy Forum  2022年2月23日  JST

     詳細を見る

    開催年月日: 2022年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:online   国名:日本国  

  41. Frontier Electronics in Memory of Professor Isamu Akasaki 招待有り 国際会議

    Hiroshi Amano

    SPIE2022  2022年1月24日  SPIE

     詳細を見る

    開催年月日: 2022年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:online   国名:アメリカ合衆国  

  42. Message from the Program Coordinator 招待有り 国際会議

    Hiroshi Amano

    DII Collaborative Graduate Program for Accelerating Innovation in Future Electronics  2022年1月20日  DII

     詳細を見る

    開催年月日: 2022年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Nagoya University   国名:日本国  

  43. Illuminating the World with LEDs

    Hiroshi Amano

    Tashkent State Technocal University Seminar  2022年1月6日  Tashkent State Technocal University

     詳細を見る

    開催年月日: 2022年1月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:online   国名:ロシア連邦  

  44. フロンティアエレクトロニクスの 社会実装に向けた取り組み 招待有り

    天野 浩

    R025 先進薄膜界面機能創成委員会  2021年12月16日  先進薄膜界面機能創成委員会

     詳細を見る

    開催年月日: 2021年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:東大武田先端知ビル武田ホール    国名:日本国  

  45. Challenge to eradicate virus infection with a compact DUV light source  招待有り 国際会議

    Hiroshi Amano

    STI for Global Challenges International Research Collaboration Against the COVID-19  2021年12月1日  Japan Science and Technology Agency and Asia-Europe Foundation

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:online   国名:日本国  

  46. 日本型イノベーション創出システムの構築 招待有り

    天野 浩

    日経SDGsフェスinどまんなか  2021年12月1日  日経

     詳細を見る

    開催年月日: 2021年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋   国名:日本国  

  47. 日本型イノベーション創出システム構築 のための取り組み 招待有り

    天野 浩

    北大ノーベル賞受賞者フォーラム 次世代へのメッセージ  2021年11月27日  読売新聞

     詳細を見る

    開催年月日: 2021年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:北大   国名:日本国  

  48. 未来の開拓者になるために必要な経験 招待有り

    天野 浩

    名古屋工業大学フロンティア研究院シンポジウム  2021年11月25日  名古屋工業大学

     詳細を見る

    開催年月日: 2021年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋工業大学   国名:日本国  

  49. Introduction to C-TEFs and Future Prospects of GaN-Based Micro-LED Display   招待有り 国際共著

    Hiroshi Amano

    GIST Nobel Center   2021年11月11日  GIST

     詳細を見る

    開催年月日: 2021年11月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:online   国名:大韓民国  

  50. 青色LEDが生まれるまでと皆さんが作る新しい社会 招待有り

    天野 浩

    高浜市 セミナー  2021年11月9日  高浜市

     詳細を見る

    開催年月日: 2021年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン   国名:日本国  

  51. 日本発イノベーションシステム構築の条件 招待有り

    天野 浩

    サイエンスアゴラ  2021年11月6日  JST

     詳細を見る

    開催年月日: 2021年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン   国名:日本国  

  52. Past, Present and Future Prospects of Frontier Electronics 招待有り

    Hiroshi Amano

    GUTech Seminar  2021年11月4日  GUTech

     詳細を見る

    開催年月日: 2021年11月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:online   国名:オマーン国  

  53. シリコンと相補的なワイドギャップ 化合物半導体の将来戦略 招待有り

    天野 浩

    半導体・デジタル産業を考える講演会  2021年10月12日  ふくおかIST(糸島)3次元半導体研究センター

     詳細を見る

    開催年月日: 2021年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:ふくおかIST(糸島)3次元半導体研究センター   国名:日本国  

  54. 如何に投資を呼び込むか? WideGと研究者の役割 招待有り

    天野 浩

    WideG 研究会  2021年10月8日  WideG

     詳細を見る

    開催年月日: 2021年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン   国名:日本国  

  55. What issue the young generations think the most important? 招待有り

    Hiroshi Amano

    Tsukuba Conference 2021  2021年9月29日  JST

     詳細を見る

    開催年月日: 2021年9月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:online   国名:日本国  

  56. フロンティアエレクトロニクス 招待有り

    天野 浩

    応用物理学科宇赤﨑先生追悼シンポジウム  2021年9月11日  応用物理学会

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名城大学   国名:日本国  

  57. New materials and devices fabrication change the world 招待有り

    Hiroshi Amano

    Applied Materials Seminar   2021年8月12日  Applied Materials

     詳細を見る

    開催年月日: 2021年8月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:online   国名:アメリカ合衆国  

  58. Economical profit of establishing a renewable energy based society 招待有り

    Hiroshi Amano

    Academy of Science of Moldova  2021年6月10日  Academy of Moldova

     詳細を見る

    開催年月日: 2021年6月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:online  

  59. Building a graduate student curriculum that produces the talent to lead future innovation 招待有り 国際会議

    Hiroshi Amano

    THE Asia Universities Summit   2021年5月26日  Time Higher Education

     詳細を見る

    開催年月日: 2021年5月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Fujita Health University   国名:日本国  

  60. GaN device as a key technology for realizing carbon neutral society 招待有り 国際会議

    Hiroshi Amano

    EVTeC2021  2021年5月25日  EVTeC

     詳細を見る

    開催年月日: 2021年5月

    記述言語:英語  

    開催地:online   国名:日本国  

  61. Economical profit of establishing a renewable energy based society 招待有り 国際会議

    Hiroshi Amano

    Association of Pacific Rim Universities   2021年4月16日  Association of Pacific Rim Universities

     詳細を見る

    開催年月日: 2021年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:online   国名:日本国  

  62. 再生可能エネルギー普及拡大の 経済効果と技術課題

    天野 浩

    日本経済研究センター  2021年4月7日  日本経済研究センター

     詳細を見る

    開催年月日: 2021年4月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン   国名:日本国  

  63. Our Activities After 2014 招待有り 国際会議

    Hiroshi Amano

    THE JAPAN-SWEDEN SOCIETY    2021年4月6日  THE JAPAN-SWEDEN SOCIETY

     詳細を見る

    開催年月日: 2021年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  64. 再生可能エネルギーの経済合理性と新技術イノベーションによる カーボンニュートラル社会への貢献 招待有り

    天野 浩

    脱炭素社会構築シンポジウム 「気候変動を踏まえた脱炭素社会の実現に向けて」  2020年12月20日  環境省

     詳細を見る

    開催年月日: 2020年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:京都 国立京都国際会館     国名:日本国  

  65. 研究は誰のため? 招待有り

    天野 浩

    公正な研究活動の推進に関するFD講演会 (佐賀大学)  2020年11月12日  佐賀大学

     詳細を見る

    開催年月日: 2020年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:佐賀大学   国名:日本国  

  66. 機械と電気のコラボの重要性 招待有り

    天野 浩

    日本機械学会 2020年度年次大会  2020年9月15日  日本機械学会

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語  

    開催地:オンライン   国名:日本国  

  67. Dielectric Ruduced Surface Field Effect on Vertical GaN-on-GaN Nanowire Schottky Barrier Diodes

    Liao Y.

    Proceedings of the International Symposium on Power Semiconductor Devices and ICs 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    DOI: 10.1109/ISPSD46842.2020.9170101

    Scopus

  68. Low leakage Mg-compensated GaN Schottky diodes on free-standing GaN substrate for high energy α-particle detection

     詳細を見る

    開催年月日: 2020年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    DOI: 10.1109/EDTM47692.2020.9118000

    Scopus

  69. Role of defects in the mid-term degradation of UV-B LEDs investigated by optical and DLTS measurements

    Piva F.

    Proceedings of SPIE - The International Society for Optical Engineering 

     詳細を見る

    開催年月日: 2020年

    記述言語:英語   会議種別:口頭発表(一般)  

    DOI: 10.1117/12.2544704

    Scopus

  70. Visualizing intestines for diagnostic assistance of ileus based on intestinal region segmentation from 3D CT images

    Oda H.

    Progress in Biomedical Optics and Imaging - Proceedings of SPIE 

     詳細を見る

    開催年月日: 2020年

    記述言語:英語   会議種別:口頭発表(一般)  

    DOI: 10.1117/12.2548910

    Scopus

  71. Electronic structure analysis of core structures of threading dislocations in GaN

    Nakano T.

    2019 Compound Semiconductor Week, CSW 2019 - Proceedings 

     詳細を見る

    開催年月日: 2019年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    DOI: 10.1109/ICIPRM.2019.8819270

    Scopus

  72. Effects of Drift Layer Thicknesses in Reverse Conduction Mechanism on Vertical GaN-on-GaN SBDs grown by MOCVD

    Abhinay S.

    2019 Electron Devices Technology and Manufacturing Conference, EDTM 2019 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    DOI: 10.1109/EDTM.2019.8731215

    Scopus

  73. Electrical and Thermal Analysis of Vertical GaN-on-GaN PN Diodes

    Yates L.

    Proceedings of the 17th InterSociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems, ITherm 2018 

     詳細を見る

    開催年月日: 2018年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    DOI: 10.1109/ITHERM.2018.8419481

    Scopus

  74. Theoretical study of the electronic structure of threading edge dislocations in GaN

    Nakano T.

    ECS Transactions 

     詳細を見る

    開催年月日: 2018年

    記述言語:英語   会議種別:口頭発表(一般)  

    DOI: 10.1149/08612.0041ecst

    Scopus

  75. 世界を照らすLED 招待有り

    天野 浩

    平成28年度(一社)名古屋薬業倶楽部 講演会 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:アイリス愛知 2F コスモス   国名:日本国  

  76. 世界を照らすLED 招待有り

    天野 浩

    株式会社ニデック 創立45周年記念講演 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:ニデック拾石工場第5棟3F セミナーホールA/B   国名:日本国  

  77. 世界を照らすLED 招待有り

    天野 浩

    2016-17年度国際ロータリーゾーン1.2.3 第45回ロータリー研究会 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:ウェスティンナゴヤキャッスル   国名:日本国  

  78. 世界を照らすLED 招待有り

    天野 浩

    教育シンポジウム 

     詳細を見る

    開催年月日: 2016年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:浜松市内   国名:日本国  

  79. 世界を照らすLED 招待有り

    天野 浩

    サイエンスプラザ 

     詳細を見る

    開催年月日: 2016年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:NTT物性科学基礎研究所   国名:日本国  

  80. 子どもたちの未来のために今私たちができること 招待有り

    天野 浩

    第10回かけがわ教育の日 

     詳細を見る

    開催年月日: 2016年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:掛川市生涯学習センター   国名:日本国  

  81. 世界を照らす青色LED 招待有り

    天野 浩

    シニアフェローデイ 

     詳細を見る

    開催年月日: 2016年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:豊田中央研究所 アクタスアリーナ   国名:日本国  

  82. 世界を照らすLED 招待有り

    天野 浩

    幸田プレステージレクチャーズ 

     詳細を見る

    開催年月日: 2016年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:幸田ハッピネスヒル   国名:日本国  

  83. 超スマート・持続可能社会を実現するための窒化物デバイスの役割 招待有り

    天野 浩

    平成28年度愛知地域スーパークラスター成果報告会 

     詳細を見る

    開催年月日: 2016年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋国際センター別棟ホール   国名:日本国  

  84. 世界を照らすLED 招待有り

    天野 浩

    第26回全国産業教育フェア石川大会 

     詳細を見る

    開催年月日: 2016年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:石川県産業展示館4号館 特設会場   国名:日本国  

  85. 青色LEDにおけるJSTの貢献と未来のイノベーション創出への期待 招待有り

    天野 浩

    創立20周年記念式典 

     詳細を見る

    開催年月日: 2016年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:東京国際フォーラム B5ホール   国名:日本国  

  86. 新時代を築く エネルギーイノベーション創出への挑戦 招待有り

    天野 浩

    メッセナゴヤ2016 

     詳細を見る

    開催年月日: 2016年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:ポートメッセなごや   国名:日本国  

  87. 社会が期待する窒化物半導体光・電子デバイス  招待有り

    天野 浩

    学振162委員会100回研究会記念シンポジウム・祝賀会 

     詳細を見る

    開催年月日: 2016年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:東京大学生産技術研究所コンベンションホール   国名:日本国  

  88. Present and Future Prospects of UV/DUV LEDs and LDs 招待有り 国際会議

    天野 浩

    RadTech Asia 2016 

     詳細を見る

    開催年月日: 2016年10月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:ヒルトン東京お台場   国名:日本国  

  89. Blue LEDs and Future Electronics for Establishing Sustainable Society 招待有り 国際会議

    天野 浩

    NCSU 

     詳細を見る

    開催年月日: 2016年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:NCSU   国名:日本国  

  90. (Dr. Amano's research and his interests for the next) 招待有り 国際会議

    天野 浩

    DOE 

     詳細を見る

    開催年月日: 2016年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:DOE   国名:日本国  

  91. (窒化ガリウムプロジェクトの概要) 招待有り 国際会議

    天野 浩

    DOE 

     詳細を見る

    開催年月日: 2016年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:DOE   国名:日本国  

  92. 世界を照らすLED 招待有り

    天野 浩

    神戸高校教育講演会 

     詳細を見る

    開催年月日: 2016年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:鈴鹿市民会館   国名:日本国  

  93. GaNの工学と未来社会へのインパクト 招待有り

    天野 浩

    Tokyo Tech Inspiring Lecture Series 

     詳細を見る

    開催年月日: 2016年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:大岡山キャンパス   国名:日本国  

  94. Lighting the Earth with LEDs 招待有り 国際会議

    天野 浩

    Molecular Frontiers Symposium 2016 

     詳細を見る

    開催年月日: 2016年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:東京理科大学神楽坂キャンパス   国名:日本国  

  95. 世界を照らすLED 招待有り

    天野 浩

    富国生命経済講演会 

     詳細を見る

    開催年月日: 2016年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:リーガロイヤルホテル(大阪)   国名:日本国  

  96. 世界を照らすLED 招待有り

    天野 浩

    ATAC25周年記念講演会 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:大阪科学技術センター8F大講堂   国名:日本国  

  97. Blue LEDs and Future Electronics for Establishing a Sustainable Society 招待有り 国際会議

    天野 浩

    Midi-MINATEC 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:MINATEC   国名:日本国  

  98. 青色LEDに見るイノベーション創出の経緯と研究者の志向の重要性 招待有り

    天野 浩

    丸文財団20周年記念シンポジウム 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:新潟コンベンションセンター 朱鷺メッセ   国名:日本国  

  99. 世界を照らすLED 招待有り

    天野 浩

    日本物理学会市民科学講演会 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:石川県立音楽堂 邦楽ホール   国名:日本国  

  100. 世界を照らすLED 招待有り

    天野 浩

    岡崎市民大学 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:岡崎中央総合公園 武道場   国名:日本国  

  101. 世界を照らすLED 招待有り

    天野 浩

    2016年度名古屋大学 機器・分析技術研究会 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:学内   国名:日本国  

  102. 照明学会百周年に寄せて 招待有り

    天野 浩

    一般社団法人 照明学会 100周年事業 記念講演 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:sola city Conference Center(東京 御茶ノ水)   国名:日本国  

  103. Blue LEDs and Future Electronics for Establishing Sustainable Society 招待有り 国際会議

    天野 浩

    XXV International Materials Research Congress (IMRC2016) 

     詳細を見る

    開催年月日: 2016年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:JW Marriott Hotel in Cancun, Mexico   国名:日本国  

  104. Growth of nitride crystals to solve global issues 招待有り 国際会議

    天野 浩

    ICCGE-18 Plenary Talk 

     詳細を見る

    開催年月日: 2016年8月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:名古屋国際会議場   国名:日本国  

  105. 世界を照らすLED 招待有り

    天野 浩

    ICCGE-18 市民講座 

     詳細を見る

    開催年月日: 2016年8月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:坂田・平田ホール   国名:日本国  

  106. Development of GaN-Based Blue LEDs and Metalorganic Vapor Phase Epitaxy of GaN and Related Materials 招待有り

    天野 浩

    第16階結晶成長国際スクール【講義】 

     詳細を見る

    開催年月日: 2016年8月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:同志社大学リトリートセンター   国名:日本国  

  107. Lighting the Earth with LEDs 招待有り 国際会議

    天野 浩

    Colloquium at Tsinghua University 

     詳細を見る

    開催年月日: 2016年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Tsinghua University   国名:日本国  

  108. Nitrides as tools for solving global issues 招待有り 国際会議

    天野 浩

    33rd International Conference on the Physics of Semiconductors (ICPS2016) 

     詳細を見る

    開催年月日: 2016年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Beijing International Convention Center   国名:日本国  

  109. 世界を照らすLED 招待有り

    天野 浩

    中日文化センター 開講50周年記念講演会 

     詳細を見る

    開催年月日: 2016年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:栄中日文化センター   国名:日本国  

  110. 世界を照らすLED 招待有り

    天野 浩

    第28回愛知サマーセミナー 

     詳細を見る

    開催年月日: 2016年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋市内   国名:日本国  

  111. 世界を照らすLED 招待有り

    天野 浩

    三重大学工学部同窓会特別講演会 

     詳細を見る

    開催年月日: 2016年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:三重大学三翠ホール 大講堂   国名:日本国  

  112. 世界を照らすLED 招待有り

    天野 浩

    東海北陸中学校長会研究協議会 静岡大会 

     詳細を見る

    開催年月日: 2016年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:静岡県コンベンションアーツセンター グランシップ   国名:日本国  

  113. LEDが照らす日本と世界の明るい未来 招待有り

    天野 浩

    大阪市立工業研究所創立百周年・大阪工研協会創立九十年周年記念事業 

     詳細を見る

    開催年月日: 2016年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:大阪国際交流センター   国名:日本国  

  114. Lighting the Earth by LEDs 招待有り 国際会議

    天野 浩

    66th Lindau Nobel Laureate Meeting 2016 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Lindau(ドイツ)   国名:日本国  

  115. 未来エレクトロニクス集積研究センター紹介 招待有り

    天野 浩

    名古屋大学未来材料・システム研究所設立記念講演会 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:坂田・平田ホール   国名:日本国  

  116. 世界を照らすLED 招待有り

    天野 浩

    統合物質創製化学研究推進機構 開所式及び記念講演会 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:野依記念物質科学研究館2F講演室   国名:日本国  

  117. 世界を照らすLED 招待有り

    天野 浩

    経済同友会 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:ホテルニューオータニー ガーデンコート 宴会場階「シリウスの間」   国名:日本国  

  118. 明日をになう子どもたちへのメッセージ 招待有り

    天野 浩

    キッズサイエンス 10周年特別講演会 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:信州科学技術総合振興センター(信大工学部内)   国名:日本国  

  119. Lighting the Earth by LEDs 招待有り 国際会議

    天野 浩

    ISCSI-VII & ISTDM2016 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:野依記念学術交流館   国名:日本国  

  120. 世界を照らすLED 招待有り

    天野 浩

    長岡工業高等専門学校 特別講演会 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:長岡市立劇場 大ホール   国名:日本国  

  121. 世界を照らすLED 招待有り

    天野 浩

    斐太高等学校創立130周年記念式典 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:高山市民文化会館   国名:日本国  

  122. 世界を照らすLED 招待有り

    天野 浩

    静岡学園中学校・高等学校 創立50周年記念式典 記念講演 

     詳細を見る

    開催年月日: 2016年5月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:グランシップ 大ホール・海   国名:日本国  

  123. Lighting the World by LEDs 招待有り 国際会議

    天野 浩

    LS15 国際シンポジウム 

     詳細を見る

    開催年月日: 2016年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:京都大学   国名:日本国  

  124. 世界を照らすLED 招待有り

    天野 浩

    第29回 新世紀政経フォーラム 

     詳細を見る

    開催年月日: 2016年5月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:ザ・キャピタルホテル東急1階「鳳凰の間」   国名:日本国  

  125. GaNによる省エネルギー社会への貢献と事業構想 招待有り

    天野 浩

    省エネルギー社会の実現に資する次世代半導体研究開発 

     詳細を見る

    開催年月日: 2016年5月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:学術総合センター一橋講堂   国名:日本国  

  126. 産業用LEDの将来展望 招待有り

    天野 浩

    JPC産業用LED応用研究会&工学院大学2016年5月合同講演会 

     詳細を見る

    開催年月日: 2016年5月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:工学院大学新宿校舎・アーバンテックホール   国名:日本国  

  127. 安西祐一郎先生文化功労者顕彰を記念して、先生への御礼を兼ねて 招待有り

    天野 浩

    安西先生の文化功労者記念シンポジウム 

     詳細を見る

    開催年月日: 2016年5月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:慶應義塾大学日吉キャンパス協生館藤原洋記念ホール   国名:日本国  

  128. 世界を照らすLED 招待有り

    天野 浩

    名古屋大学全学同窓会関西支部 第11回総会懇親会  

     詳細を見る

    開催年月日: 2016年5月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:中央電気倶楽部   国名:日本国  

  129. 世界を照らすLED 招待有り

    天野 浩

    火曜会「講演会」 (トヨタグループ17社の役員OB(=火曜会)) 

     詳細を見る

    開催年月日: 2016年5月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋マリオットアソシアホテル16F「タワーズボールルーム」   国名:日本国  

  130. Nitrides as tools for solving global issues 招待有り 国際会議

    天野 浩

    Cambridge (5/5) 

     詳細を見る

    開催年月日: 2016年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Cambridge(イギリス)   国名:日本国  

  131. Lighting the Earth by LEDs 招待有り 国際会議

    天野 浩

    UP-VLC Open Day event at RAEng (5/4) 

     詳細を見る

    開催年月日: 2016年5月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:London(イギリス)   国名:日本国  

  132. History of the development of blue LED and Collaboration with Institute Pascal for INSOLIUM Project 招待有り 国際会議

    天野 浩

    Doctor Honoris Causa ceremony (5/2) 1. Université ďAuverge, 2. Université Blaise Pascal 

     詳細を見る

    開催年月日: 2016年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Clermont Ferrand(フランス)   国名:日本国  

  133. Nitrides as tools for solving global issues 招待有り 国際会議

    天野 浩

    Seminar in the Institut Pascal for the physics researchers (5/2) 

     詳細を見る

    開催年月日: 2016年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Clermont Ferrand(フランス)   国名:日本国  

  134. Nitrides as tools for solving global issues 招待有り 国際会議

    天野 浩

    Workshop "Gallium Nitride technology in Europe"(4/28) 

     詳細を見る

    開催年月日: 2016年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Padova(イタリア)   国名:日本国  

  135. Development of blue LEDs 招待有り 国際会議

    天野 浩

    Lectio Magistralis(Honoris Causa ceremony) (4/28) 

     詳細を見る

    開催年月日: 2016年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Padova(イタリア)   国名:日本国  

  136. In the frontires of efficiency in light generation 招待有り 国際会議

    天野 浩

    CSEM event with Nobel Prize winner Professor Hiroshi Amano(4/26) 

     詳細を見る

    開催年月日: 2016年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Neuchâtel(スイス)   国名:日本国  

  137. 次世代産業基盤GaNナノテクノロジー 招待有り

    天野 浩

    自民党ナノテクノロジー議連 勉強会 

     詳細を見る

    開催年月日: 2016年4月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  138. 窒化物半導体先進デバイスオープンイノベーションラボラトリ(GaN-OIL)への期待 招待有り

    天野 浩

    産総研・名大窒化物半導体先進デバイスオープンイノベーションラボラトリ開所式 

     詳細を見る

    開催年月日: 2016年4月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:ESホール   国名:日本国  

  139. The History of the Development of Blue UV-LEDs and their future society it affects 招待有り

    天野 浩

    日機装・威高集団・FPC特別講演会(4/10) 

     詳細を見る

    開催年月日: 2016年4月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:上海   国名:日本国  

  140. Lighting the Earth by LEDs 招待有り 国際会議

    天野 浩

    モンゴル 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Tuussin Hotel   国名:日本国  

  141. 青色及び紫外光デバイスの開発 招待有り

    天野 浩

    応用物理学会「化学物半導体エレクトロニクス業績賞(赤﨑勇賞)受賞記念講演」 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:東京工業大学 大岡山キャンパス   国名:日本国  

  142. 未来をつくる君たちへ 招待有り

    天野 浩

    第2回子供たちのための講演会2014年ノーベル物理学賞受賞 天野浩教授 講演会 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:日進市民会館大ホール   国名:日本国  

  143. 世界を照らすLED 招待有り

    天野 浩

    三田学園高等学校 特別講演会 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:小寺ホール   国名:日本国  

  144. 世界を照らすLED 招待有り

    天野 浩

    志野流香道松隠会 会合 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋国際会議場   国名:日本国  

  145. 未来をつくる君たちへ 招待有り

    天野 浩

    小・中学生 山梨市ノーベル科学賞表彰式 記念講演 及び 科学工作教室 

     詳細を見る

    開催年月日: 2016年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:花かげホール   国名:日本国  

  146. 世界を照らすLED 招待有り

    天野 浩

    ふくいサイエンスフェスタ2015 

     詳細を見る

    開催年月日: 2016年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:福井県県民ホール   国名:日本国  

  147. 世界を照らすLED 招待有り

    天野 浩

    豊橋市地下資源館開館35周年記念 天野浩先生講演会 

     詳細を見る

    開催年月日: 2016年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:穂の国とよはし芸術劇場プラット   国名:日本国  

    名大でどのように青色LED研究が行われたかについて、解説した。

  148. トークセッション「持続可能な未来のための知恵とわざ」 招待有り

    天野 浩

    エルンスト・フォン・ワイツゼッカー教授名誉博士授与記念講演&ローマクラブ共同会長・ノーベル賞受賞者らによるトークセッション 

     詳細を見る

    開催年月日: 2016年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋大学ESホール   国名:日本国  

    様々なグローバル問題に対して、どのように解決の糸口を見つけるか。

  149. 世界を照らすLED 招待有り

    天野 浩

    平成27年度名古屋観光コンベンションビューロー賛助会員のつどい 財団設立25周年記念講演 

     詳細を見る

    開催年月日: 2016年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋観光ホテル 3F 那古西   国名:日本国  

    名古屋は何故観光には魅力がないかを徹底検証する。

  150. 世界を照らすLED 招待有り

    天野 浩

    SGH講演会 

     詳細を見る

    開催年月日: 2016年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋大学教育学部附属中・高等学校   国名:日本国  

    高校生向けに、高校で学ぶべきこと、身に着けるべきこと

  151. 窒化物半導体による低炭素社会構築への貢献 招待有り

    天野 浩

    文科省GRENEプロジェクト 最終年度報告会 

     詳細を見る

    開催年月日: 2016年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:東京ビッグサイト   国名:日本国  

    GaN系パワーデバイスによる持続可能な社会構築への貢献

  152. 世界を照らすLED 招待有り

    天野 浩

    小川科学技術財団創立30周年記念講演会 

     詳細を見る

    開催年月日: 2016年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:ソフトピアジャパンセンター「ソピアホール」   国名:日本国  

    GaNの青色LED 深紫外LED及びパワーデバイス

  153. 研究者として生きる ソニーに期待する事 招待有り

    天野 浩

    天野先生講演会&座談会 

     詳細を見る

    開催年月日: 2016年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:ソニー(厚木)   国名:日本国  

    研究者として大切なことを議論する

  154. 世界を照らすLED 招待有り

    天野 浩

    名古屋大学 関東支部総会 

     詳細を見る

    開催年月日: 2016年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:東京   国名:日本国  

    GaN系青色LED開発の歴史、深紫外線LED及びパワーデバイスの今後の可能性について

  155. '-ノーベル賞受賞から1年-青色LEDに続く未来へのメッセージ 招待有り

    天野 浩

    福井大学 特別講演会 

     詳細を見る

    開催年月日: 2016年1月

    記述言語:日本語   会議種別:口頭発表(基調)  

    開催地:フェニックスプラザ大ホール   国名:日本国  

    ノーベル賞授賞式の様子及びこれから何をしようとしているか、紹介した。

  156. Present and Future Prospects of GaN-based Light Emitting Devices 招待有り 国際会議

    LGIT Consultant 

     詳細を見る

    開催年月日: 2016年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  157. 青色LEDとレーザーが拓く未来 招待有り

    天野 浩

    レーザー学会全国大会 

     詳細を見る

    開催年月日: 2016年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名城大学   国名:日本国  

    GaN青色LED及びレーザダイオード開発の歴史

  158. 世界を照らすLED 招待有り

    天野 浩

    平成28年東山会新年同窓会 特別講演 

     詳細を見る

    開催年月日: 2016年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名鉄ニューグランドホテル   国名:日本国  

    何故名古屋大学で青色LEDができたのか。

  159. 世界を照らすLED 招待有り

    天野 浩

    学士会「平成28年新春講演会」 

     詳細を見る

    開催年月日: 2016年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:学士会館(東京)   国名:日本国  

    GaN青色LED 深紫外LED及びパワーデバイス開発

  160. 世界を照らすLED 招待有り

    天野 浩

    九州大学GSC(グローバルサイエンスキャンパス) 

     詳細を見る

    開催年月日: 2015年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:九州大学伊都キャンパス 椎木講堂   国名:日本国  

    学生、若手研究者向けに、どのような心構えで青色LED研究を続けたかを説明した。

  161. 青色LED研究開発の歴史と及び今後の研究開発の方向性を探る 招待有り

    天野 浩

    ブラザー工業 

     詳細を見る

    開催年月日: 2015年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:ブラザー工業 瑞穂工場   国名:日本国  

    GaN LED開発のポイントと今後のパワーデバイス開発への道筋

  162. 世界を照らすLED 招待有り

    天野 浩

    丸八会 

     詳細を見る

    開催年月日: 2015年12月

    記述言語:日本語   会議種別:口頭発表(基調)  

    開催地:名古屋マリオットホテル   国名:日本国  

    GaN青色LED開発n歴史と今後の材料開発

  163. 世界を照らすLED 招待有り

    天野 浩

    愛名会・中産連 合同会員懇話会 

     詳細を見る

    開催年月日: 2015年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋国際ホテル   国名:日本国  

    1980年代の名大での青色LED研究の様子

  164. Lighting the Earth by LEDs 招待有り 国際会議

    IDW'15 

     詳細を見る

    開催年月日: 2015年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  165. 窒化物半導体デバイスの歴史と今後の展開 招待有り

    天野 浩

    日本半導体製造装置協会 創立30周年記念講演会 

     詳細を見る

    開催年月日: 2015年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:一橋大学一橋講堂   国名:日本国  

    GaN青色LED開発の歴史

  166. プラズマ援用による新材料創成 招待有り

    天野 浩

    第32回プラズマ・核融合学会 年会 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:豊田講堂   国名:日本国  

    GaNデバイス作製におけるプラズマの利用

  167. 固体素子が拓く未来と医療応用 招待有り

    天野 浩

    名古屋大学医学部第一内科同窓会 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋観光ホテル   国名:日本国  

    GaN青色LED開発の歴史

  168. Seeking Future Electronics for Better Human Life 招待有り 国際会議

    Hiroshi Amano

    ICAE2015 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:大韓民国  

  169. 未来ビジョンと突破力 招待有り

    天野 浩

    応用物理学会東海支部50周年記念講演会 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋大学IB大講義室   国名:日本国  

    研究者にとって大切な未来を見据える考え方

  170. NEC C&Cユーザーフォーラム&iEXPO2015 **対談** 招待有り

    天野 浩

    NEC C&Cユーザーフォーラム&iEXPO2015 **対談** 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:日本語  

    開催地:東京国際フォーラム   国名:日本国  

    GaN研究開発の裏話

  171. 青色LED研究に見る日本の大学の社会貢献のためのこれからの方向性 招待有り

    天野 浩

    サイエンスアゴラ 開幕セッション 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:東京国際交流館   国名:日本国  

    これからの材料研究の方向性

  172. Lighting the Earth by LEDs 招待有り 国際会議

    Hiroshi Amano

    Korea Maritime and Ocean University Special Lecture 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:英語   会議種別:公開講演,セミナー,チュートリアル,講習,講義等  

    開催地:Korea Maritime and Ocean University   国名:大韓民国  

    Development of InGaN-based blue LEDs

  173. 紫外線LEDの開発と医療応用 招待有り

    天野 浩

    日本皮膚科学会中部支部学術大会 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:神戸国際会議場   国名:日本国  

    1.青色LED開発

    2.紫外線LEDによる皮膚病治療器開発

    3.深紫外線LED開発

  174. 世界を照らすLED 招待有り

    天野 浩

    静岡県立浜名高等学校 特別講演会 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:日本語   会議種別:公開講演,セミナー,チュートリアル,講習,講義等  

    開催地:静岡県立浜名高等学校   国名:日本国  

    *若い人々は、何故頑張るべきなのか?       
    *これから何をすべきかを考えるヒント

  175. 青色LEDに見る未来へのヒント 招待有り

    天野 浩

    第4回富士通研究所大会 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:日本語   会議種別:公開講演,セミナー,チュートリアル,講習,講義等  

    開催地:パシフィコ横浜   国名:日本国  

    研究者は何を目指すべきか?

  176. Challenges for energy savings and energy harvesting by new materials 招待有り 国際会議

    Hiroshi Amano

    Tsukuba GIANT High Level Forum 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:University Hall, University of Tsukuba, Japan   国名:日本国  

    Understandg growth of GaN and related materials

  177. Group III nitride semiconductors as future key materials for energy savings and energy harvesting 招待有り 国際会議

    Hiroshi Amano

    ALC'15 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Kunibiki Messe, Matsue City   国名:日本国  

    Atomic-Level In-Situ InGaN Growth Process Monitoring for Nitride-Based Visible Long Wavelength Light Emitting Device Fabrication

  178. 世界を照らすLED 招待有り

    天野 浩

    旧制諏訪中学・諏訪清陵高等学校創立120周年記念講演会 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:日本語   会議種別:公開講演,セミナー,チュートリアル,講習,講義等  

    開催地:長野県立諏訪清陵高等学校   国名:日本国  

    *若い人々は、何故頑張るべきなのか?       
    *これから何をすべきかを考えるヒント

  179. 世界を照らすLED 招待有り

    天野 浩

    第11回名古屋大学ホームカミングデイ 持続可能社会の実現に向けて 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:日本語   会議種別:公開講演,セミナー,チュートリアル,講習,講義等  

    開催地:豊田講堂ホール   国名:日本国  

    持続可能社会を、どのように構築するか?

  180. 世界を照らすLED 招待有り

    天野 浩

    愛知県小坂井高等学校 40周年記念式典・記念講演会 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:日本語   会議種別:公開講演,セミナー,チュートリアル,講習,講義等  

    開催地:愛知県小坂井高等学校   国名:日本国  

    *若い人々は、何故頑張るべきなのか?      
    *夢中になった青色LED 
    *夢中になるための、考えるヒント

  181. 青色LEDと新産業創成 招待有り

    天野 浩

    SIPシンポジウム2015 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:EVENT SPACE EBiS 303イベントホール(エビススバルビル)   国名:日本国  

    青色LED研究を通して得た教訓

  182. 世界を照らすLED

    天野 浩

    青山学院大学理工学部創立50周年記念事業 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:青山学院大学相模原キャンパス ウェスレー・チャペル   国名:日本国  

    *若い人々に、どれくらい大きな期待がかかっているか?      
    *夢中になった青色LED 
    *夢中になるための考えるヒント

  183. LED Lighting for Energy Savings and Future Prospects of LED Applications 招待有り 国際会議

    Hiroshi Amano

    2015 IEEE Photonics Conference, 28th Annual Conference of the IEEE Photonics Society  

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Hyatt Regency Reston, Reston, Virginia USA   国名:アメリカ合衆国  

    InGaN blue LEDs
    AlGaN DUV LEDs

  184. 世界を変える力 招待有り

    天野 浩

    浜松市立高等学校講演会 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:日本語   会議種別:公開講演,セミナー,チュートリアル,講習,講義等  

    開催地:浜松市立高等学校   国名:日本国  

    夢中になる(努力できる)時期

  185. Lighting the Earth by LEDs 国際会議

    Hiroshi Amano

    GLOBE Asia Pacific Regional Forum 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Tokyo, Japan   国名:日本国  

    COllaboration with academia and private company

  186. Beyond blue LEDs 国際会議

    Hiroshi Amano

    SSDM2015 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Sapporo Convention Center   国名:日本国  

    DUV LEDs for water purification

    InGaN/GaN MQW coreshell nanorods by pulsed mode MOVPE for full color LEDs and LDs

    Ultralong GaN nanowire by catalyst assisted HVPE for mm wave transistors

  187. Prospects of the nitride based devices for future electronics 招待有り 国際会議

    Hiroshi Amano

    Linköping University Special Lecture 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Linköping University   国名:スウェーデン王国  

    Development of blue LED

  188. Lighting the Earth by LEDs 招待有り 国際会議

    Hiroshi Amano

    LiU 40 to be celebrated all year 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Linköping University   国名:スウェーデン王国  

    Personal history as the researchers

  189. 窒化物半導体レーザ開発の歴史と今後の展開 招待有り

    天野 浩

    第76回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋国際会議場   国名:日本国  

    *GaN創成期
    *窒化物LDが生まれるまで
       ZnCdSeの状況
       名城大学での研究
       日亜化学による世界初のLD
    *ビジネスの難しさ
    *窒化物LDの新しい応用の可能性

  190. 濱口道成先生にお世話になったこと 招待有り

    天野 浩

    濱口道成退官記念 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:日本語  

    開催地:名古屋観光ホテル    国名:日本国  

    濱口道成先生に対するお礼

  191. 真空技術とLED開発の歴史および未来の照明について 招待有り

    天野 浩

    日本真空工業会創立30周年記念 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:パシフィコ横浜 展示ホール内 講演会場   国名:日本国  

    青色LEDは、如何にして生まれ育ったか?

  192. 世界を照らすLED 招待有り

    天野 浩

    名古屋大学レクシャー 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋大学豊田講堂   国名:日本国  

    *若い人々は、何故頑張るべきなのか?      
    *夢中になった青色LED 
    *夢中になるための、考えるヒント

  193. 紫外線LEDの開発と医療応用 招待有り

    天野 浩

    日本乾癬学会学術大会 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:ウェスティンナゴヤキャッスル   国名:日本国  

    1.青色LED開発

    2.紫外線LEDによる皮膚病治療器開発

    3.深紫外線LED開発 

  194. Development of GaN-Based Devices and Future Prospects 招待有り 国際会議

    Hiroshi Amano

    The 14th Centennial Physics Lecture at Peking University 

     詳細を見る

    開催年月日: 2015年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地: Peking University   国名:中華人民共和国  

    In this presentation, I would like to introduce you our laboratory at Nagoya University.

    Target of our laboratory is to contribute to the mankind by solving the global issues.

    So, staff of our laboratory members and students are devoting themselves to realize bright future.

    Today, I will show you how students especially from China enjoy research life at Nagoya by actively pursuing each final goal.

    Also, I will explain the history of the development of blue LEDs, emphasizing how many people contributed to the blue LEDs.

  195. Lighting the Earth by LEDs 招待有り 国際会議

    Hiroshi Amano

    ICNS-11 

     詳細を見る

    開催年月日: 2015年8月 - 2015年9月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Beijing International Convention Center, China   国名:中華人民共和国  

    Development of InGaN-based blue LEDs

  196. 新しいエレクトロニクスによる省・創エネルギーへの貢献 招待有り

    天野 浩

    電気学会 電力・エネルギー部門大会 

     詳細を見る

    開催年月日: 2015年8月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名城大学 名城ホール   国名:日本国  

    *青色LEDが社会実装されるまでの長い道のり
    *青色LEDは、どの程度省エネに貢献できるか?
    *世界規模の問題に対する新システム開発の提案

  197. Present and future prospects of nitride-based light emitting devices 招待有り 国際会議

    Hiroshi Amano

    CLEO -PR2015 

     詳細を見る

    開催年月日: 2015年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Summit Hall, BEXCO, Busan, Korea   国名:大韓民国  

    Development of InGaN-based blue LEDs

  198. Challenge for short and long wavelength solid state light emitting devices 招待有り 国際会議

    Hiroshi Amano, Tetsuya Yamamoto, Akira Tamura, Maki Kushimoto, Byung-Oh Jung, Si-Young Bae, Kaddour Lekhal, Tadashi Mitsunari, Manato Deki, and Yoshio Honda

    WUPP for Wide Band Gap Semiconductors, 2015  

     詳細を見る

    開催年月日: 2015年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Hilton Fukuoka Sea Hawk, Fukuoka, Japan   国名:日本国  

    Contribution of InGaN LEDs for energy savings
    DUV LEDs

    InGaN/GaN MQW coreshell nanorods by pulsed mode MOVPE

    Ultralong GaN nanowire by catalyst assisted HVPE

  199. 次世代を築くみなさんへ 招待有り

    天野 浩

    KEKサマーチャレンジ 

     詳細を見る

    開催年月日: 2015年8月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:高エネルギー加速器研究機構つくばキャンパス   国名:日本国  

    若い人へのメッセージ

  200. ノーベルウィークにまつわる話

    天野 浩

    二葉会同窓会 

     詳細を見る

    開催年月日: 2015年8月

    記述言語:日本語   会議種別:公開講演,セミナー,チュートリアル,講習,講義等  

    開催地:IB電子情報館北棟5階 電気系会議室   国名:日本国  

    ノーベルウィークにまつわる話

  201. 人生のスイッチをオンするタイミング 招待有り

    天野 浩

    スルガ奨学財団サマーガイダンス講演会 

     詳細を見る

    開催年月日: 2015年8月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:スルガ銀行キャンパス・カレッジ 駿河小山講堂   国名:日本国  

    未来を拓く担い手は、皆さんのような若い人たちです。

    この話は、今後、人生の転機を迎えるであろう皆さんへのエールのつもりです。

  202. 未来を作る君たちへ 招待有り

    天野 浩

    あすなろ夢講座21 有徳の人づくり講演会 

     詳細を見る

    開催年月日: 2015年8月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:コンベンションアーツセンター「グランシップ」 中ホール   国名:日本国  

    本日は、静岡県の未来について考えたいと思います。

    これから10年後、20年後の新しい静岡のヒントを探るうえでヒントになるかもしれない、と言う思いから、最近訪れたさまざまな国を訪問して感じたことを紹介します。

    皆さんとは直接関係することでは無いかもしれませんが、一緒に考えてください。

  203. 浜松の思い出とストックホルムでの出来事

    天野 浩

    寶書展 

     詳細を見る

    開催年月日: 2015年8月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:ホテルクラウンパレス浜松   国名:日本国  

    浜松の思い出
    ノーベルウィーク

  204. 君は、いつ自分の人生のスイッチをオンしますか 招待有り

    天野 浩

    名古屋大学オープンキャンパス模擬講義 

     詳細を見る

    開催年月日: 2015年8月

    記述言語:日本語   会議種別:公開講演,セミナー,チュートリアル,講習,講義等  

    開催地:IB電子情報館大講義室   国名:日本国  

    いつやる気を出すべきか?

  205. Lighting the Earth by LEDs 招待有り

    Hiroshi Amano

    Sakura exchange program in science 

     詳細を見る

    開催年月日: 2015年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Toyama High School, Tokyo   国名:日本国  

    What's happne if you become Nobel Laureate.
    Development of blue LED

  206. 青色LEDと新産業創成 招待有り

    天野 浩

    中部経済同友会創立60周年記念行事 「ひろがる交流の和、中部から世界へ」 

     詳細を見る

    開催年月日: 2015年8月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:ウェスティンナゴヤキャッスル   国名:日本国  

    青色LEDは、如何にして生まれ育ったか?

  207. 学生~助手の頃を振り返って 招待有り

    天野 浩

    大阪青年会議所65周年祝賀会 

     詳細を見る

    開催年月日: 2015年8月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:大阪   国名:日本国  

    これまでの研究者生活を振り返って

  208. イノベーション創出の要諦 招待有り

    天野 浩、鈴木 寛、森 勇介

    大阪青年会議所65周年記念 

     詳細を見る

    開催年月日: 2015年8月

    記述言語:日本語   会議種別:シンポジウム・ワークショップ パネル(指名)  

    開催地:大阪   国名:日本国  

    イノベーション創出の要諦

  209. Progress in III-Nitrides Nanophotonics 招待有り 国際会議

    Hiroshi Amano

    iNOW2015 

     詳細を見る

    開催年月日: 2015年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

    * Comfortable life
    Broadband Communications by LD on Si
    * Sustainable society
    Energy savings by LEDs and Power Tr.
    Energy harvesting by photovoltaic cells
    Water purification by DUV LEDs

    Plant Factory by LEDs
    Optogenetics by LEDs

  210. 世界を照らすLED 招待有り

    天野 浩

    グローバル化社会の学校教育 Ⅱ  -これからの社会に求められる資質・能力- 

     詳細を見る

    開催年月日: 2015年8月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:アルカディア市ヶ谷(私学会館)   国名:日本国  

    本日は、
    グローバル化社会の学校教育 Ⅱ 
    -これからの社会に求められる資質・能力-

    について、青色LEDの研究を通して感じたことなどを
    もとに、特に教育に関係することについて紹介します。

  211. 夢中になれることから始めよう 招待有り

    天野 浩

    プラチナ未来人財育成塾 

     詳細を見る

    開催年月日: 2015年8月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:柏の葉カンファレンスセンター   国名:日本国  

    人生論

  212. Progress of GaN LEDs 招待有り 国際会議

    Hiroshi Amano

    EP2DS-21/MSS-17 

     詳細を見る

    開催年月日: 2015年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Sendai International Center   国名:日本国  

    History of blue LED

  213. 青色LED ノーベル物理学賞への軌跡 招待有り

    天野 浩

    西日本生産性会議2015 

     詳細を見る

    開催年月日: 2015年7月

    記述言語:日本語   会議種別:口頭発表(基調)  

    開催地:ウェスティンナゴヤキャッスル   国名:日本国  

    本日は、どのようにして青色LEDが名古屋大学から生まれたか、について紹介させていただきます。

  214. 新しいエレクトロニクスが開く未来 招待有り

    天野 浩

    塩谷立君と明日の日本を語る会 二十一世紀浜松の会 

     詳細を見る

    開催年月日: 2015年7月

    記述言語:日本語   会議種別:口頭発表(基調)  

    開催地:ホテルクラウンパレス   国名:日本国  

     最近訪れたさまざまな国を訪問して感じたことなどから、これから10年後、20年後の浜松のヒントを探ります。

  215. 世界を灯すLED 招待有り

    天野 浩

    岡崎あかりプロジェクト 

     詳細を見る

    開催年月日: 2015年7月

    記述言語:日本語   会議種別:口頭発表(基調)  

    開催地:岡崎市図書館交流プラザ(康生通西4丁目) 1Fりぶらホール   国名:日本国  

    滅多に出来ない貴重な体験をさせて
    頂いたので、青色LEDの説明の前に、
    受賞するとどうなるか、
    数々の行事などを紹介させて頂きます。

  216. 名古屋大学における青色LED研究の歴史 招待有り

    天野 浩

    名古屋大学学術研究 産学官連携推進本部 講演会 

     詳細を見る

    開催年月日: 2015年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋大学ES総合館ESホール   国名:日本国  

    *青色LEDの“種”はどこで生まれ、どのように育ったか? 

    *何故、青色LEDは難しかったのか?

    *何故、自分は青色LEDに惹かれたか?

    *名大での青色LED研究

    *未来社会創成の取り組み

  217. GaN-Based Devices for Future Electronics 招待有り 国際会議

    Hiroshi Amano, Tetsuya Yamamoto, Akira Tamura, Seunga Lee, Zheng Sun, Maki Kushimoto, Byung-Oh Jung, Si-Young Bae, Kaddour Lekhal, Tadashi Mitsunari, Manato Deki, and Yoshio Honda

    GJS-2015 

     詳細を見る

    開催年月日: 2015年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Kyoto University   国名:日本国  

    Contribution of InGaN LEDs for energy savings
    DUV LEDs
    long-wavelength light emitters by high-In-content InGaN
    GaN on Si
    GaN naowires and nanorods

  218. 未来に賭けるタイミング 招待有り

    天野 浩

    TEDx NagoyaU 

     詳細を見る

    開催年月日: 2015年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:ES Hall, Nagoya University   国名:日本国  

    未来を拓くための担い手は、皆さんのような若い人たちです。
    この話は、今後、人生の転機を迎えるであろう皆さんへのエールのつもりです。

  219. 未来へのメッセージ 招待有り

    天野 浩

    浜松市市制施工104周年記念式典 合併10周年記念事業 

     詳細を見る

    開催年月日: 2015年7月

    記述言語:日本語   会議種別:口頭発表(基調)  

    開催地:浜松市   国名:日本国  

    1.高校まで・・・多くの友人、親戚 
    2.大学に入ってから・・・産業の街  
    3.青色LEDにかかわってから・・・ 

  220. Development and future applications of GaN-based LEDs 招待有り 国際会議

    Hiroshi Amano, T. Yamamoto. A. Tamura, Y. Honda

    23rd International Symposium on Nanostructures Physics and Technology  

     詳細を見る

    開催年月日: 2015年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:St. Petersburg, Russia   国名:ロシア連邦  

    Thermodynamic analysis for MOVPE growth of InGaN and AlGaN

  221. Development of GaN based devices and future prospects 国際会議

    Hiroshi Amano

    44th Jaszowiec International School and Conference on the Physics of Semiconductors 

     詳細を見る

    開催年月日: 2015年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Wisła, Poland   国名:ポーランド共和国  

    In this presentation, I would like to explain how the seed of blue light emitting diodes, that is Nitride Semiconductors, have been developed and handed over by many researchers.
    I also would like to show that thermodynamic analysis is essential for understanding the MOVPE growth mechanism of AlGaN and InGaN.

  222. Revolution of Display and Lighting by LEDs 招待有り 国際会議

    Hiroshi Amano

    1st ICAI 

     詳細を見る

    開催年月日: 2015年6月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Hitotsubashi Hall (2F), National Center of Science (Hitotsubashi Memorial Hall)   国名:日本国  

    History of the development of blue LED

  223. これからの産業と大学の役割 招待有り

    天野 浩

    第20回名古屋大学遠州会同窓会 

     詳細を見る

    開催年月日: 2015年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:静岡文化芸術大学講堂   国名:日本国  

    次の産業をどのように創成するか 青色LEDの例 
    シーズ創成とボトムアップ型産業形成

  224. Junction Technology in GaN LEDs 国際会議

    Hiroshi Amano

    15th International Workshop on Junction Technology 2015 

     詳細を見る

    開催年月日: 2015年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Kyoto University Kihada Hall (Uji Campus), Kyoto, Japan   国名:日本国  

    Short history of blue LED development

  225. 世界を照らすLED 招待有り

    天野 浩

    浜田亀山ライオンズクラブ認証40周年記念 

     詳細を見る

    開催年月日: 2015年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:石央文化ホール(大ホール)   国名:日本国  

    *ノーベルウィークは毎日行事。
    *ストックホルム市民が、国を上げて盛り上げてくれる。
    *期間中に地元のTV放送があるので、街に行くと、街の人々も祝って
    くれる。
    *スチューデントユニオンを中心とした学生の活動も活発。学生組織
    の国民的行事への積極的な参加は、日本でも検討すべき!
    *国王、お妃、王女それぞれ大変気さくで、かつ勉強家。スウェーデン
    の状況をいろいろとお話しいただいた。
    *欧米の受賞者は皆さんタフ。18日まで各所で講演。

  226. History of the development of GaN-based blue LEDs and future prospects 招待有り 国際会議

    Hiroshi Amano

    Monday, June 1, 2015 Saint Petersburg State University Special Lecture 

     詳細を見る

    開催年月日: 2015年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Saint Petersburg State University   国名:日本国  

    In this presentation, I would like to explain how the seed of blue light emitting diode, that is Nitride Semiconductors, have been developed and handed over by many researchers. I also would like to show some perspective of the new development and applications of nitride-based light emitting devices.

  227. 皆さんは、いつ自分の人生のスイッチをオンしますか 招待有り

    天野 浩

    ノーベル賞受賞者を囲むフォーラム「次世代へのメッセージ」 

     詳細を見る

    開催年月日: 2015年5月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:郡山市立中央公民館(福島県郡山市麓山)   国名:日本国  

    未来を拓くための担い手は、皆さんのような若い人たちです。
    この話は、今後、人生の転機を迎えるであろう皆さんへのエールのつもりです。

  228. ワイドバンドギャップ半導体による 電力消費低減 招待有り

    天野 浩

    電気設備学会中部支部総会 

     詳細を見る

    開催年月日: 2015年5月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋ガーデンパレス   国名:日本国  

    1.ワイドバンドギャップ(WBG)半導体とは何か?
    SiCやGaNは、Siと何が違うのか? 
    半導体講義のおさらい
    2.LEDについて 
    3.電力用パワーデバイスについて 

  229. LEDが照らす明るい未来 招待有り

    天野 浩

    関西経済連合会 

     詳細を見る

    開催年月日: 2015年5月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:リーガロイヤルホテル   国名:日本国  

    青色LED開発の歴史

  230. 世界を照らすLED 招待有り

    天野 浩

    日本表面科学会 

     詳細を見る

    開催年月日: 2015年5月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:学習院大学 学習院創立百周年記念会館正堂   国名:日本国  

    結晶表面制御技術が、如何に青色LEDの実用化に貢献したか。

  231. Lighting the Earth with LEDs, -Past, Present and Future Prospects of GaN-Based Blue LEDs- 招待有り 国際会議

    Hiroshi Amano

    CS Mantech 

     詳細を見る

    開催年月日: 2015年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Hyatt Regency Scottsdale Resort and Spa at GaineyScottsdale, Arizona, USA   国名:アメリカ合衆国  

    In this presentation, I would like to explain how the seed of blue light emitting diode, that is Nitride Semiconductors, have been developed and handed over by many researchers.

    I also would like to show some perspective of the new development and applications of nitride-based light emitting devices.

  232. Current and Future of Solid State Lighting 国際会議

    Hiroshi Amano

    CLEO2015 

     詳細を見る

    開催年月日: 2015年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

    Crystal growth of GaN and pn junction LED

  233. 大学の研究室から生まれた青色LED

    天野 浩

    二葉会総会 

     詳細を見る

    開催年月日: 2015年5月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:IB電子情報館東棟2階 大講義室   国名:日本国  

    大学での研究予算

  234. 未来エレクトロニクスによる地球規模問題解決への挑戦

    天野 浩

    名城大学ノーベル賞受賞記念講演会 

     詳細を見る

    開催年月日: 2015年4月

    記述言語:日本語   会議種別:口頭発表(基調)  

    開催地:名城大学共通講義棟北名城ホール   国名:日本国  

    LED パワーデバイスによる省エネ

  235. GaN研究コンソーシアム(仮称)の目指すもの 新世代エレクトロニクスによる省エネ貢献の加速と 持続可能社会の実現の可能性

    天野 浩

    GaN研究コンソーシアム 

     詳細を見る

    開催年月日: 2015年4月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋大学   国名:日本国  

    GaN研究コンソーシアム設立にあたって

  236. Illuminating the World by LEDs 国際会議

    Hiroshi Amano

    OPIC 

     詳細を見る

    開催年月日: 2015年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

    Development of blue LED

  237. 世界を照らす青色LED

    天野 浩

    国際光年記念シンポジウム 

     詳細を見る

    開催年月日: 2015年4月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:東京大学安田講堂   国名:日本国  

    ノーベルウィークの話と青色LED開発の歴史

  238. 地球規模問題解決へのチャレンジ

    天野 浩

    ノーベル物理学賞レクチャー 

     詳細を見る

    開催年月日: 2015年4月

    記述言語:日本語   会議種別:口頭発表(基調)  

    開催地:東京医科歯科大学 鈴木章夫記念講堂   国名:日本国  

    窒化物を用いたグローバルイシュー解決への貢献

  239. LEDが照らす日本と世界の明るい未来

    天野 浩

    日本商工会議所昼食懇談会 

     詳細を見る

    開催年月日: 2015年4月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:JPタワーホール&カンファレンス   国名:日本国  

    青色LEDの開発と省エネ効果

  240. 青色LEDはどのように実用化されたか?

    天野 浩

    CATV×KDDIパートナーズコンベンション2015 

     詳細を見る

    開催年月日: 2015年4月

    記述言語:日本語   会議種別:口頭発表(基調)  

    開催地:ANAインターコンティネンタル東京   国名:日本国  

    青色LEDはどのように開発されたか

  241. 世界を照らすLED 招待有り

    天野 浩

    学生向け講演会「天野先生特別講演会」 

     詳細を見る

    開催年月日: 2015年4月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:東京工科大学 八王子キャンパス 片柳研究棟地下1Fホール   国名:日本国  

  242. If you become a Nobel Laureate 国際会議

    Hiroshi Amano

    Talk concert  

     詳細を見る

    開催年月日: 2015年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

    What's happen if you become a nobel leureate.

  243. Invention of LED and Future 国際会議

    Hiroshi Amano

    Pukyong National University and Korea Maritime and Ocean University Symposium 

     詳細を見る

    開催年月日: 2015年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

    How LED was developed.

  244. 研究の継続性とイノベーション

    天野 浩

    日本学術会議講演会 

     詳細を見る

    開催年月日: 2015年4月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:日本学術会議講堂 東京都港区六本木7-22-34   国名:日本国  

    イノベーションはどのようにして起こるのか

  245. 青色LEDが変えた社会

    天野 浩

    名古屋大学先端ナノバイオデバイス研究センター講演会 

     詳細を見る

    開催年月日: 2015年4月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋大学ES総合館1階ESホール   国名:日本国  

    イノベーションは、どのように起こるか

  246. Beyond Blue LED 国際会議

    Hiroshi Amano

    ISPlasma2015/IC-PLANTS2015 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

    Development of blueLED by plasma assisted MBE

  247. LEDの現状と次世代LEDの開発に向けて

    天野 浩

    Electronic Journal 第2833回 Technical Seminar 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:連合会館(東京・御茶ノ水)   国名:日本国  

    LEDの原理 成長 評価方法 応用

  248. 青色LEDの物理と今後の展開

    天野 浩

    日本物理学会 第70回年次大会(2015年)  

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:早稲田大学 早稲田キャンパス   国名:日本国  

    青色LED開発の歴史と今後の研究の方向性

  249. 未来の受賞者への伝言

    天野 浩

    科学の甲子園 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:つくば国際会議場 1階 大ホール   国名:日本国  

    ノーベルウィークの出来事

  250. Illuminating the World by LEDs 国際会議

    Hiroshi Amano

    Aalto University Seminar 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:フィンランド共和国  

    Development of blue LED

  251. 新世代エレクトロニクスによる省エネ貢献の加速と 持続可能社会の実現の可能性

    天野 浩

    SIRIJ 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:富国生命ビル23F  SIRIJ   国名:日本国  

    LEDを含めた省エネルギー技術の紹介

  252. 未来を築く皆さんへ

    天野 浩

    静岡県立浜松西高等学校・中等部 特別講演 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:静岡県立浜松西高等学校・中等部   国名:日本国  

    小学生から助手まで

  253. 応用物理学会活動への期待

    天野 浩

    応用物理学会  

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:東海大学 湘南キャンパス2号館大ホール   国名:日本国  

    応用物理学会のこれまでの活動と今後への期待

  254. 青色LED 開発と今後のエレクトロニクス

    天野 浩

    電子情報通信学会特別講演会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:立命館大学びわこ・くさつキャンパス プリズムホール   国名:日本国  

    ノーベルウィークの概要

  255. 自由民主党党大会・スピーチ

    天野 浩

    自由民主党党大会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(基調)  

    開催地:グランドプリンスホテル新高輪   国名:日本国  

    これからの科学技術予算と大学の取り組み

  256. 世界を照らすLED とこれからの産業について

    天野 浩

    プレスタワー30周年記念特別講演会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:浜松プレスタワー   国名:日本国  

    これからの浜松の産業

  257. 次に受賞する人へのメッセージ

    天野 浩

    京都工芸繊維大学講演会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:京都工芸繊維大学 センターホール   国名:日本国  

    研究にどのようにたち向かうべきか

  258. LEDの可能性と照明の未来

    天野 浩

    ライティングフェア 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(基調)  

    開催地:東京ビックサイト会議棟 国際会議場   国名:日本国  

    LED照明の歴史

  259. Lighting the Earth by LEDs - LEDs for Medical Applications - 国際会議

    Hiroshi Amano

    Nobel Prize Dialogue 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

    Application of DUV LED for Dermatology

  260. 21世紀を照らすLED  ー次世代産業創成のヒントを探るー

    天野 浩

    中日懇話会  

     詳細を見る

    開催年月日: 2015年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:ヒルトン名古屋 5階「扇の間」   国名:日本国  

    ノーベルウィークの出来事と青色LED

  261. Lighting the Earth by LEDs 国際会議

    Hiroshi Amano

    Sungkyunkwan University 

     詳細を見る

    開催年月日: 2015年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

    Development of blue LED

  262. Energy Savingを支えるGaN光デバイス

    天野 浩

    JPC関西 

     詳細を見る

    開催年月日: 2015年2月

    記述言語:日本語   会議種別:口頭発表(基調)  

    開催地:大阪新阪急ホテル2F 花   国名:日本国  

    青色LEDによる省エネ効果

  263. 新世代エレクトロニクスによる省エネ貢献の加速と 持続可能社会の実現の可能性

    天野 浩

    自民党 原子力政策・需給問題等調査会 

     詳細を見る

    開催年月日: 2015年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:自民党 原子力政策・需給問題等調査会   国名:日本国  

    LED パワーデバイスによる省エネ効果

  264. 名古屋大学から生まれた青色LED大学院博士前期課程時代から助手 現代に至るまで

    天野 浩

    第8回防災・環境シンポジウム 

     詳細を見る

    開催年月日: 2015年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:法文学部新棟 2階215教室   国名:日本国  

    大学院博士前期課程時代から助手 現代に至るまで

  265. 若い頃の夢と青色LED 小学生から学生時代まで

    天野 浩

    琉大ドリームチーム特別講演会 

     詳細を見る

    開催年月日: 2015年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:浦添市てだこホール 大ホール   国名:日本国  

    小学生から学生時代の過ごし方

  266. イノベーション創出に向けたNEDOへの期待

    天野 浩

    NEDO FORUM  

     詳細を見る

    開催年月日: 2015年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:東京国際フォーラム ホールB5・B7   国名:日本国  

    NEDOによる研究支援

  267. 青色LED開発の歴史と展望

    天野 浩

    参議院協会 

     詳細を見る

    開催年月日: 2015年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:参議院   国名:日本国  

    青色LED開発の歴史

  268. 世界を照らすLED にまつわる 知的財産の話

    天野 浩

    中部知財フォーラム2014 

     詳細を見る

    開催年月日: 2015年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:キャッスルプラザ   国名:日本国  

    青色LEDの特許関連の話題

  269. The Blue Light-Emitting Diode (LED): A New Light to Illuminate the World 国際会議

    Hiroshi Amao

    Nagoya University Day in Bangkok 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:タイ王国  

    Development of blue LED

  270. 青色LED誕生までの道のり  若者へのメッセージ

    天野 浩

    山口大学創基200年記念講演会 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:山口大学常盤キャンパス D講義棟11教室   国名:日本国  

    青色LED誕生ストーリー

  271. Nitride Semiconductors; From Blue LEDs to Solar Cells 国際会議

    Seunga Lee, Si-Young Bae, Motoaki Iwaya, Yoshio Honda, and Hiroshi Amano

    7th International Symposium on Innovative Solar Cells 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:日本国  

    Solar cells based on InGaN

  272. LED産業の今後の可能性

    天野 浩

    フォーリンプレスセンター 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:公益財団法人フォーリンプレスセンター   国名:日本国  

    照明用LED市場及び深紫外LED市場

  273. LEDが照らす日本と世界の明るい未来

    天野 浩

    公益社団法人 日本技術士会 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:日本教育会館 一ツ橋ホール   国名:日本国  

    ノーベルウィーク報告および青色LED開発の歴史

  274. 次の受賞者のために 10月7日から12月23日までの出来事

    天野 浩

    名古屋大学博士課程リーディングプログラム グリーン自然科学国際教育研究プログラム 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:坂田・平田ホール   国名:日本国  

    ノーベルウィークの報告

  275. 日本の省エネ・環境技術が世界を先導するために

    天野 浩

    環境省若手フォーラム 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:日本語  

    開催地:環境省   国名:日本国  

    GaN系パワーデバイスによる省エネ化の貢献

  276. 日本の省エネ・環境技術が 世界を先導するために

    天野 浩

    環境省若手セミナー 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:環境省   国名:日本国  

    環境省の若手に対して、パワーデバイス開発の重要性を説明する。

  277. GaNを用いた新しいエレクトロニクスと省・創エネルギーへの貢献

    天野 浩

    第8回 日中省エネルギー・環境総合フォーラム 

     詳細を見る

    開催年月日: 2014年12月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:北京   国名:日本国  

     GaNの青色LEDとパワーデバイスによって、どれくらい省エネ効果があるかを説明した。

  278. 明るく省エネ効果抜群の白色LED光源を可能にした高効率な青色LED

    天野 浩

    東北大学多元物質科学研究所・東北大学知の創出センター 光のページェント 

     詳細を見る

    開催年月日: 2014年12月

    記述言語:日本語   会議種別:口頭発表(基調)  

    開催地:仙台市民会館大ホール   国名:日本国  

    GaNの青色LEDが開発されたことによって、世にどのような貢献をしたか、を紹介した。

  279. Effect of Pressure Increase on the Growth of High-In-Content InGaN by MOVPE 国際会議

    A. Tamura, T. Yamamoto, K. Yamashita, T. Mitsunari, Y. Honda H. Amano

    ISSLED2014 

     詳細を見る

    開催年月日: 2014年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  280. History of the development of blue LEDs and their impact on the future human society 国際会議

    Hiroshi Amano

    National Taiwan University Seminar 

     詳細を見る

    開催年月日: 2014年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:台湾  

  281. History of the development of GaN LED and their future prospects 国際会議

    Hiroshi Amano

    Lund University Nobel Seminar 

     詳細を見る

    開催年月日: 2014年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  282. Development of blue LEDs and their future prospects 国際会議

    Hiroshi Amano

    Uppsala University Nobel Seminar 

     詳細を見る

    開催年月日: 2014年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  283. Growth of GaN on sapphire by low-temperature deposition of buffer layer and realization of p-type GaN by Mg-doping followed by LEEBI treatment 国際会議

    Hiroshi Amano

    Nobel Lecture 

     詳細を見る

    開催年月日: 2014年12月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:スウェーデン王国  

  284. Fundamental Physics of Nitride-Based Optoelectronic Devices 国際会議

    Hiroshi Amano

    MRS Fall Meeting 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語  

    国名:アメリカ合衆国  

  285. 新世代パワーエレクトロニクス

    天野 浩

    NEDO パワーエレクトロニクスシンポジウム 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:品川プリンスホテル   国名:日本国  

    SIPを中心とした、GaN系パワーデバイスプロジェクトの概要、およびその目標について紹介した。

  286. GaN系パワー半導体の結晶成長とプロセスの基礎

    石井貴大、叶 正、孫 政、呂 迪、出来真斗、本田善央、天野 浩

    先進パワー半導体分科会 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:ウィンク愛知    国名:日本国  

    GaNを用いたパワーデバイス作製のための結晶成長技術とプロセス技術の現状を紹介した。

  287. ナイトライドナノワイヤによる新しいエレクトロニクスデバイス

    天野 浩

    平成26年度VBL先端理工学特論 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:日本語  

    開催地:名古屋大学VBL   国名:日本国  

    GaNのナノロッド及びナノワイヤについて、その製造方法及び従来の構造に対するデバイス応用時の優位性について紹介した。

  288. Atomic-Level In-Situ InGaN Growth Process Monitoring for Nitride-Based Visible Long Wavelength Light Emitting Device Fabrication 国際会議

    H. Amano,G. Ju, A. Tamura, K. Yamashita, T. Mitsunari, Y. Honda, M. Tabuchi, Y. Takeda, S Fuchi

    THU-CAS-JSPS Joint Symposium  

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  289. Development of blue LEDs and their future prospects 国際会議

    Hiroshi Amano

    Tsinghua Global Vision Lectures 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  290. GaN系パワー半導体の技術の現状と将来展望

    叶 正、石井貴大、孫 政、呂 迪、本田善央、天野 浩

    次世代パワーエレクトロニクス研究会 

     詳細を見る

    開催年月日: 2014年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:広島YMCA国際文化センター   国名:日本国  

    名大でのGaN系パワーデバイス研究の現状を紹介した。

  291. GaN光デバイス(基礎) GaN光デバイス(結晶成長とデバイス)

    天野 浩

    日本学術振興会第162 委員会 第8回ワイドバンドギャップ半導体スクール 

     詳細を見る

    開催年月日: 2014年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:浜名湖ロイヤルホテル   国名:日本国  

    GaNのを用いたLEDLD 太陽電池などのデバイスの動作原理の基礎を学生、若手研究者向けに説明した。

  292. 大学人が考える科学・技術イノベーション―ノーベル物理学賞受賞研究を通じて―

    天野 浩

    自民党参・政策審議会 

     詳細を見る

    開催年月日: 2014年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:自民党本部   国名:日本国  

    名大での1980年代前半の青色LED開発の状況、特に研究開発費の状況を
    説明した。

  293. 窒化物半導体光デバイス

    天野 浩

    ふくい成長産業創造フォーラム 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:福井県若狭湾エネルギー研究センター ホール   国名:日本国  

    GaN系青色LEDの開発の歴史及び今後の展望

  294. X線反射、CTR散乱及びレーザ吸収散乱法を用いた窒化物半導体結晶成長の原子レベルその場観察 

    田村 彰、山下康平、光成 正、鞠 光旭、本田善央、田渕 雅夫、竹田 美和、渕 真悟、天野 浩

    平 成 26 年 度 文部科学省ナノテクノロジープラットフォーム事業 微細構造解析プラットフォーム放射光利用研究セミナー 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:大阪大学大学院基礎工学研究科   国名:日本国  

    X線CTR、X線反射及び多波長レーザを用いたInGaNのMOVPE成長その場観察

  295. Ultraprecision surface monitoring during growth of InGaN on GaN 国際会議

    H. Amano,G. Ju, A. Tamura, K. Yamashita, T. Mitsunari, Y. Honda

    WUPP for Wide-bandgap Semiconductors 2014 

     詳細を見る

    開催年月日: 2014年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:グレートブリテン・北アイルランド連合王国(英国)  

  296. Pressurized MOVPE of high-In-content InGaN 国際会議

    Akira Tamura, Kouhei Yamashita, Tadashi Mitsunari, Yoshio Honda and Hiroshi Amano

    ICMOVPE 

     詳細を見る

    開催年月日: 2014年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  297. パワーデバイス実用化のためのGaN系結晶成長・関連技術の理解

    杉山貴之、石井貴大、孫 政、呂 迪、本田善央、天野 浩

    応用電子物性分科会研究例会 

     詳細を見る

    開催年月日: 2014年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋大学   国名:日本国  

    GaN基板上GaNパワーデバイス開発の現状

  298. Recent Development of Atomic-Level in Situ Growth Monitoring Tools for the Fabrication of Nitride-Based Light Emitting Devices 国際会議

    H. Amano, G. Ju, A. Tamura, S. Usami, K. Yamashita, T. Mitsunari,Y. Honda, M. Tabuchi, Y. Takeda, S, Fuchi

    The 5th International Conference on White LEDs and Solid State Lighting 

     詳細を見る

    開催年月日: 2014年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  299. Conference on LED and its industrial application '14 国際会議

    Hiroshi AMANO

    Optics&Photonics International Congress 2014 

     詳細を見る

    開催年月日: 2014年4月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:日本国  

  300. Two types of buffer layer for the growth of GaN on highly lattice mismatched substrates and their impact on the development of sustainable systems 国際会議

    Tadashi Mitsunari, Koji Okuno, Yoshio Honda, and Hiroshi Amano

    DPG Dresden14 

     詳細を見る

    開催年月日: 2014年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:ドイツ連邦共和国  

  301. 環境貢献と経済発展の両立・・・進化を続けるLED技術

    天野 浩

    日本フォトニクス協議会関西支部設立記念講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(基調)  

    開催地:大阪商工会議所   国名:日本国  

    LEDの研究の歴史と現状の紹介

  302. 窒化物半導体結晶成長の表面反応制御と三次元構造デバイス

    天野 浩

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:青山学院大学相模原キャンパス   国名:日本国  

    GaNナノワイヤ研究の現状の紹介

  303. 窒化物半導体による生活の変革

    天野 浩

    CREST 太陽光を利用した独創的クリーンエネルギー生成技術の創成 第2回研究シンポジウム 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:豊田工業大学   国名:日本国  

    InGaN系太陽電池の現状紹介

  304. 名古屋大学赤﨑記念館の概要と名古屋大学におけるLED研究の取り組み

    天野 浩

    産業用LED応用研究会 2013年12月見学・定例会 

     詳細を見る

    開催年月日: 2013年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

    名古屋大学赤﨑記念館の概要と名古屋大学におけるLED研究の取り組みの紹介

  305. Research activity at Nagoya University Akasaki Research Center

    Hiroshi AMANO

    2013 Meijo International Symposium on Nitride Semiconductors (MSN 2013) 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  306. 窒化物半導体デバイス開発におけるモデリングの重要性

    天野 浩

    応用物理学会名古屋大学スチューデントチャプター(SC) 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋大学   国名:日本国  

  307. 化合物半導体系太陽光発電素子の現状と窒化物太陽光発電素子の可能性

    李 昇我、本田善央、山口雅史、天野 浩、岩谷素顕

    第5回薄膜太陽電池セミナー 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋大学   国名:日本国  

  308. Plasma technology as the candidate for the source of next generation LED lighting 国際会議

    Hiroshi Amano

    The GRDC symposium 

     詳細を見る

    開催年月日: 2013年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

    By PA-MBE using HDRS, growth rate of InGaN as high as 1.6 microns/hr and threading dislocation density as low as 4E9cm-2 were realized.
    Degradation of mosaicity of InGaN grains with increasing InN composition was successfully suppressed.
    With increasing III/V ratio, surface roughness and mosaicity of InGaN were drastically improved.
    Fine PL spectrum was obtained for InGaN grown with III/V ratio of 1.46.

  309. GaN発光デバイス

    天野 浩

    日本学術振興会第162 委員会 第7回ワイドバンドギャップ半導体スクール 

     詳細を見る

    開催年月日: 2013年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:奈良   国名:日本国  

    1.光源としてのLEDの特徴・省エネ貢献・LED市場動向
    2.発光の物理
    3.GaN系半導体の特徴
    4.GaN系LEDの作製法
    5.LEDの物理
    6.最近の話題

  310. Are we approaching the limit of the current technology?  What's next? 国際会議

    Hiroshi Amano

    LED Japan Strategies in Light 

     詳細を見る

    開催年月日: 2013年10月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:日本国  

    Realizing ultimate light source
    Developing low cost process technology

  311. LED照明の現状と今後の展望

    天野 浩

    ファインセラミックシンポジウム2013 

     詳細を見る

    開催年月日: 2013年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋   国名:日本国  

    1.LEDの特徴と市場動向 
    2.LEDの現状と問題点   
    3.問題点に対する取り組み・・・未来光源としてのLED開発

  312. Nitride-based devices on Na-flux-grown GaN substrate 国際会議

    Tadashi Mitsunari, Kouhei Yamashita, Guangxu Ju,Yoshio Honda, Masahito Yamaguchi, Hiroshi Amano Mamoru Imade and Yusuke Mori

    IWBNS-VIII2013 

     詳細を見る

    開催年月日: 2013年9月 - 2013年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:ドイツ連邦共和国  

    In-situ monitoring system during MOVPE
    LEDs on Na flux GaN substrates
    HFETs on Na flux GaN substrates

  313. 可視光および X 線を用いた窒化物半導体結晶成長原子レベルその場観察

    鞠 光旭、渕 真悟、田渕 雅夫、竹田 美和、本田善央、山口雅史、天野 浩

    応用物理学会 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:同志社大学   国名:日本国  

    気相成長における原子レベルその場観察の必要性
    気相成長における原子レベル表面反応解析の必要性
    *C O H 混入の無いALE成長の実現
    *各結晶面での表面反応過程の解明
    *歪緩和過程の実時間観測

  314. Future prospects of nitride-based photovoltaic devices

    Seunga Lee, Takuya Tabata, Shinta Nakagawa, Tomohiro Doi, Yoshio Honda, Masahito Yamaguchi, and Hiroshi Amano

    2013 JSAP-MRS Joint Symposia  

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

    1.Understanding the PV properties of InGaN
    2.How to grow high IQE nitride-based PV cell?
    How to grow high quality In-rich InGaN?
    3.How to realize good tunnel junction?

  315. 窒化物半導体デバイスの今後の展望

    天野 浩

    日本機械学会 2013年度年次大会 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:岡山大学   国名:日本国  

    *窒化物半導体はどれくらい市場浸透しているか?
    *窒化物半導体LEDの現状と将来性
        照明用に必要な要件
        未来の照明用LED実現のための取り組み
    *未来の窒化物半導体デバイス開発
        パワーデバイス
        太陽光発電素子

  316. LED開発の現状と照明の将来

    天野 浩

    照明学会 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(基調)  

    開催地:名古屋大学   国名:日本国  

    今後大きな市場拡大が見込まれる中国等では、自然とLED関係者のモチベーションは高められる。一方今後大きな市場拡大は見込まれない我が国で、研究者が高いモチベーションを維持するのは容易ではない。今後はシーズオリエンテッドからニーズオリエンテッド、すなわちユーザー、システムサイド、或いは行政からの要求やアイディアが、高い国際競争力の新製品を生み出す原動力となる。

  317. Growth of InGaN-based multiple-quantum-well structures by Increased pressure metalorganic vapor phase epitaxy and atomic layer epitaxy 国際会議

    Hiroshi Amano

    ICNS 10 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:日本国  

    The commercialization of AlInGaN-based devices now extends from low-In-content InGaN to AlN. The remaining compositional region that has not yet been commercialized is In-rich InGaN and InN. In-rich InGaN is also very attractive, for example, it is essential for the fabrication of next-generation general lighting systems with high luminous efficacy and high color rendering index as well as high-efficiency multiple-junction photovoltaic top cells. InN-channel heterostructured field effect transistor is expected to pave the way to realizing THz transistors because of their high saturation velocity and high electron mobility. To realize such novel devices, the development of new growth technologies is indispensable.
    From the thermodynamic viewpoint, high-pressure MOVPE is undoubtedly highly suitable for the growth of In-rich InGaN because the high-pressure atmosphere suppresses the decomposition of InGaN and InN at the surface. However, owing to the increase in the unwanted parasitic reaction between metalorganics and ammonia with the increase in gas density and thermal conductivity upon increasing the reactor pressure, it has been difficult to grow high-quality InGaN quantum wells by high-pressure MOVPE. To overcome this problem, we have successfully developed a new high-pressure MOVPE system that can be operated at reactor pressures of up to 10 atm. In addition, the parasitic reaction between metalorganics and ammonia can be minimized. An InGaN-based MQW grown at 6 atm exhibits strong long wavelength PL emission with a peak wavelength 120 nm longer than that grown at 1 atm. Details of the new high-pressure MOVPE system and control of the chemical kinetics and fluid dynamics will be discussed.

  318. グリーンデバイス材料としての窒化物半導体の現状と今後の展開

    天野 浩

    第7回TIAナノグリーンセミナー 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:(独)物質・材料研究機構 並木地区   国名:日本国  

    窒化物LEDの現状

  319. さまざまな基板上へのGaN系LEDの現状と展望

    山田貴也、名和健吾、佐野智崇、田畑拓也、久志本真希、光成  正、鞠 光旭、本田善央、山口雅史、天野 浩

    ワイドギャップ半導体の基板から展開するデバイス 

     詳細を見る

    開催年月日: 2013年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:京都テルサ 西館 3F第1会議室   国名:日本国  

    Si サファイア GaN基板上のLEDの比較

  320. Blue and Green Light-Emitting Diodes on Na-Flux-Grown GaN Substrate Using Point Seed Method 国際会議

    Tomotaka Sano, Tomohiro Doi, Shunko Albano Inada, Yoshio Honda, Masahito Yamaguchi, Hiroshi Amano, Mamoru Imade and Yusuke Mori

    European MRS 

     詳細を見る

    開催年月日: 2013年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

    LEDs on Na-flux grown GaN

  321. Growth of GaN and InGaN by MOVPE under reactor pressure of up to 8 atm 国際会議

    Tomohiro Doi, Yoshio Honda, Masahito Yamaguchi, and Hiroshi Amano

    The 6th Asia-Pacific Workshop on Widegap Semicondcutors 

     詳細を見る

    開催年月日: 2013年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

    MOVPE growth of InGaN by high pressure MOVPE

  322. Conference on LED and its industrial application '13 国際会議

    Hiroshi Amano

     詳細を見る

    開催年月日: 2013年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

    Introduction to LEDIA'13

  323. Introductory Talk on In-rich InGaN

    Hiroshi Amano

    JSAP 37.1 Growth of In-rich InGaN and its application  

     詳細を見る

    開催年月日: 2013年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

    Growth of In-rich InGaN

  324. 「省・創エネを実現する窒化物半導体」

    天野 浩

    第8回「グリーンエネルギーシステム実証研究会」  

     詳細を見る

    開催年月日: 2013年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:坂田・平田ホール(理学南館)1階セミナールーム   国名:日本国  

    窒化物デバイスの紹介

  325. 照明用白色LEDの現状と次世代LEDの開発

    天野 浩

    電子ジャーナル  

     詳細を見る

    開催年月日: 2013年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:連合会館(東京・御茶ノ水)   国名:日本国  

    市場概況、歴史と現状、未来

  326. Future prospects of nitride-based photovoltaic devices

    HIroshi Amano

     詳細を見る

    開催年月日: 2013年1月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(指名)  

    開催地:EPOCHAL  TSUKUBA    国名:日本国  

  327. 窒化物半導体を用いた太陽光発電の現状と将来性

    天野 浩

    応用物理学会量子エレクトロニクス研究会 

     詳細を見る

    開催年月日: 2012年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:上智大学 軽井沢セミナーハウス   国名:日本国  

    窒化物半導体を用いた太陽光発電の現状と将来性の紹介

  328. InGaN系太陽光発電素子

    天野 浩

    明日のくらしを考える 特別シンポジウム―次世代省エネルギー・創エネルギーデバイス・材料の開発動向と課題― 

     詳細を見る

    開催年月日: 2012年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:明治大学 駿河台校舎 リバティタワー15階 1156教室(   国名:日本国  

    InGaN系半導体による太陽電池の現状

  329. 窒化物半導体デバイスの現状及び今後の発展のための放射光測定への期待

    天野 浩

    第2回名古屋大学シンクロトロン光研究センターシンポジウム 

     詳細を見る

    開催年月日: 2012年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:野依記念学術交流館   国名:日本国  

    その場観察手法の紹介

  330. 窒化物半導体エピ成長の基礎と光デバイス

    天野 浩

    日本学術振興会第162 委員会 第6回ワイドバンドギャップ半導体スクール 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:ホテルサンバレー伊豆長岡「富士見」   国名:日本国  

    MOVPE成長の基礎とLED製造方法

  331. 深紫外LED開発におけるLEDシミュレーションソフトの活用

    天野 浩

    日本学術振興会第162委員会 第81回研究会 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:主婦会館プラザエフ   国名:日本国  

    平成18年度~22年度実施特定領域研究窒化物光半導体のフロンティアの成果をもとにしたSiLENSeの活用方法の紹介

  332. 青色LED紛争とその後の日本

    天野 浩

    名古屋大学「先端イノベーション研修」 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名大インキュベーション施設プレゼンルーム   国名:日本国  

    窒化物半導体デバイスに関する特許紛争の詳細

  333. 創成期の窒化物半導体研究

    天野 浩

    応用物理学会第73回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:愛媛大学、松山   国名:日本国  

    我が国における創成期の窒化物半導体研究の歴史

  334. 窒化物半導体デバイスの現状と今後の元素戦略

    本田善央、山口雅史、天野 浩 

    日本学術振興会素材プロセッシング第69委員会 第2分科会第66回研究会 

     詳細を見る

    開催年月日: 2012年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:東京大学本郷キャンパス 山上会館 大会議室   国名:日本国  

    NEDOを中心とした窒化物半導体の研究旧開発のサポート状況の紹介

  335. Fabrication of High-Internal-Quantum-Efficiency Light Emitting Diodes on High Quality Bulk GaN Substrate 国際会議

    H. Amano, M. Yamagcuhi, Y. Honda, M. Imade, and Y. Mori

    Workshop on Frontier Photonic and Electronic Materials and Devices -2012 German-Japanese-Spanish Joint Workshop-, Berlin, Germany, Invited 

     詳細を見る

    開催年月日: 2012年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Berlin, Germany   国名:日本国  

  336. 窒化物半導体エピタキシャル成長の新展開

    本田善央、山口雅史、天野 浩, 今出 完、森 勇介, 岩谷素顕

    第137回結晶工学分科会研究会 

     詳細を見る

    開催年月日: 2012年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:京都テルサ   国名:日本国  

    GaNの様々な結晶成長技術

  337. In and impurity incorporation in InGaN 国際会議

    T. Doi, T. Ohata, T. Sano, Y. Honda, M. Yamaguchi, H. Amano, M. Imade, Y. Mori, M. Iwaya, T. Takeuchi, S. Kamiyama, I. Akasaki

    ICMOVPE-XVI 

     詳細を見る

    開催年月日: 2012年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Busan, Korea   国名:大韓民国  

    高In組成InGaNの結晶成長の面方位依存性

  338. Challenge for the growth of high-In-content InGaN

    T. Doi, T. Ohata, T. Tabata, S. Nakagawa, Y. Kawai, Y. Honda, M. Yamaguchi, H. Amano, M. Imade, Y. Mori, M. Iwaya, T. Takeuchi, S. Kamiyama, I. Akasaki

    III-V and III-N-based High Efficiency Solar Cells for Future Energy Harvesting 

     詳細を見る

    開催年月日: 2012年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

    高In組成InGaN成長

  339. 様々なⅢ族窒化物半導体デバイスの現状と結晶成長の課題

    天野 浩

    第4回窒化物半導体結晶成長講演会 

     詳細を見る

    開催年月日: 2012年4月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:東京大学生産技術研究所   国名:日本国  

    GaNのLED応用 PV応用

  340. 窒化物LEDの基礎知識と開発動向

    天野 浩

    Optics & Photonics International 2012 特別セミナー 

     詳細を見る

    開催年月日: 2012年4月

    記述言語:日本語   会議種別:シンポジウム・ワークショップ パネル(指名)  

    開催地:パシフィコ横浜   国名:日本国  

    LEDの開発動向の概説

  341. 高In組成InGaN実用化にむけて

     天野 浩、山口雅史、本田善央、谷川智之、坂倉誠也、大畑俊也、田畑拓也

    シンポジウム 窒化物半導体における特異構造の理解と制御 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:日本語   会議種別:シンポジウム・ワークショップ パネル(指名)  

    開催地:早稲田大学   国名:日本国  

    高In組成InGaN成長

  342. LED開発の過去・現状及び将来

    天野 浩

    『光の日』公開シンポジウム 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地: 森戸記念館 第1フォーラム・第2フォーラム   国名:日本国  

    名大でのGaN系LEDの創成期のころの昔話

  343. 窒化物を用いたLED 及び太陽電池の現状と将来性

    天野 浩

    「グリーン&ライフイノベーションに向けた次世代ナノ材料・デバイス」  

     詳細を見る

    開催年月日: 2012年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:産業技術総合研究所・臨海副都心センター   国名:日本国  

    GaNのLEDと太陽電池応用

  344. 理論効率60%超のInGaN系太陽電池★徹底解説

    天野 浩

    Electronic Journal 第1062回 Technical Seminar 

     詳細を見る

    開催年月日: 2012年1月

    記述言語:日本語   会議種別:シンポジウム・ワークショップ パネル(指名)  

    開催地:総評会館   国名:日本国  

    GaNの太陽電池応用

  345. Second- and third-generation nitride-based LEDs and  challenge for future photovoltaic applications

    T. Sano, T. Ohata, S. Sakakura,T. Tanikawa,Y. Honda, M. Yamaguchi, and H. Amano, M. Mori, M. Iwaya, M. Imade, Y. Mori

    3rd Global COE International Symposium Electronic Devices Innovation  

     詳細を見る

    開催年月日: 2011年12月

    記述言語:英語   会議種別:シンポジウム・ワークショップ パネル(指名)  

    開催地:Life Hall, Osaka   国名:日本国  

    GaN基板上のLED

  346. Effect of high-quality GaN substrates on the improvement of  performance of group-III-nitride-based devices

    Hiroshi Amano, Takayuki Sugiyama, Tomoyuki Tanikawa, Yoshio Honda, Masahito Yamaguchi, Yasuhiro Isobe, Akira Mishima, Takafumi Makino, Motoaki Iwaya, Mamoru Imade, Yasuo Kitaoka, Yusuke Mori

    ECO-MATES2011 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Hotel Hankyu Expo Park   国名:日本国  

    GaN基板の優位性確認

  347. 紫外発光素子最前線

    天野 浩

    月刊OPTRONICS特別セミナー 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:日本語   会議種別:シンポジウム・ワークショップ パネル(指名)  

    開催地:東京・四谷 主婦会館プラザエフ   国名:日本国  

    UV LED開発の現状と将来性

  348. Contribution of Atomic Layer Epitaxy of Group III Nitrides To Future Reduction of Fossil Fuel Consumption

    Hiroshi Amano

    4thInternational Symposium on Atomically controlled fabrication technology 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Osaka University Nakanoshima Center, Osaka   国名:日本国  

    GaNのALE

  349. Seeking New Application Fields Using Group III Nitrides 国際会議

    Hiroshi Amano

    2011 International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Nagoya   国名:日本国  

    GaNのHFET応用

  350. 世界を照らすLED

    天野 浩

    テクノ・フェア名大2011 

     詳細を見る

    開催年月日: 2011年9月

    記述言語:日本語   会議種別:口頭発表(基調)  

    開催地:名大豊田講堂   国名:日本国  

    名大におけるLED開発

  351. 日本のエレクトロニクス産業の歴史に学ぶ窒化物半導体開発の未来 

    天野 浩

    第5 回 窒化物半導体の高品質結晶成長とその素子応用 

     詳細を見る

    開催年月日: 2011年8月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:東北大学金属材料研究所   国名:日本国  

    日本のエレクトロニクス産業の問題点と課題解決への提言

  352. 加圧MOVPE及び窒素ラジカルMBEを用いた高In組成InGaN成長

    大畑 俊也、田畑 拓也、坂倉 誠也、谷川 智之、河合 洋次郎、本田 善央、山口 雅史、天野 浩

    日本学術振興会ワイドギャップ半導体光・電子デバイス第162委員会 第75回研究会 

     詳細を見る

    開催年月日: 2011年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:キャンパス・イノベーションセンター東京    国名:日本国  

    MBE及びMOVPEを用いた太陽光発電素子

  353. High In Content InGaN for Solar Cell Applications 国際会議

    Hiroshi Amano

    ICNS9 

     詳細を見る

    開催年月日: 2011年7月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:UK   国名:グレートブリテン・北アイルランド連合王国(英国)  

    InGaN系太陽光発電素子

  354. Review of R&D and technology trend of LEDs in Japan What we learn from the history ? 国際会議

    Hiroshi Amano

    LEDEXPO &OLED EXPO Seminar and Forum 2011 

     詳細を見る

    開催年月日: 2011年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Seoul, Korea   国名:大韓民国  

    我が国のエレクトロニクス産業の現状と課題解決への提言

  355. Impact of high temperature growth of AlGaN by MOVPE and  its application to high efficiency UV/DUV light emitting devices 国際会議

    Hiroshi Amano

    14th European Workshop on Metalorganic Vapor Phase Epitaxy 

     詳細を見る

    開催年月日: 2011年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Wrocław, Poland    国名:ポーランド共和国  

    全組成域AlGaInN成長

  356. 照明用白色LEDの現状と次世代LED開発

    天野 浩

    Semi Forum Japan2011 

     詳細を見る

    開催年月日: 2011年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:グランキューブ大阪   国名:日本国  

  357. Internal quantum efficiency of nitride-based light emitting devices 国際会議

    H. Amano, T. Tabata, G. J. Park, T. Murase, T. Sugiyama, T. Tanikawa, Y. Kawai, Y. Honda, M. Yamaguchi, K. Takeda, K. Ban, J. Yamamoto, M. Iwaya, T. Takeuchi, S. Kamiyama, I. Akasaki, M. Imade, Y. Kitaoka, Y. Mori

    APWS-2011 

     詳細を見る

    開催年月日: 2011年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Toba, Japan   国名:日本国  

    LEDの内部量子効率

  358. 車載用LEDの現状とGaN系光・電子デバイスの可能性

    天野 浩

    日本学術振興会 薄膜第131委員会 第249回委員会・第255回研究会 

     詳細を見る

    開催年月日: 2011年4月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:愛知県産業労働センター   国名:日本国  

    特に車載用LEDの現状

  359. Recent status and future prospects of AlGaN-based UV/DUV LEDs and InGaN-based blue LED  国際会議

    Hiroshi Amano

    The 5th International Conference on LED and Solid State Lighting (LED 2011) 

     詳細を見る

    開催年月日: 2011年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Seoul, Korea   国名:大韓民国  

    可視~紫外LED開発の現状

  360. Growth of AlGaInN over the Whole Compositional Range By High Temperature and Raised Pressure MOVPE System   国際会議

    H. Amano, G. J. Park, T. Tanikawa, Y. Honda, M. Yamaguchi, K. Ban, K. Nonaka, K. Nagata, K. Takeda, M. Iwaya, T. Takeuchi, S. Kamiyama, I. Akasaki

    MSM XVII 

     詳細を見る

    開催年月日: 2011年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Cambridge, UK   国名:グレートブリテン・北アイルランド連合王国(英国)  

    AlGaInNのMOVPE成長

  361. Plasma Assisted Molecular Beam Epitaxial Growth of Thick InGaN Films and InGaN Nanowires for Future Light Source  国際会議

    T. Tabata, Y. Kawai, Y. Honda, M. Yamaguchi, and H. Amano, Z. H. Wu, Y.-Y. Fang, and C. Q. Chen , H. Kondo and M. Hori

    ISPlasma 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Nagoya Institute of Technology   国名:日本国  

    MBEによるGaNの成長

  362. IQE and EQE of the nitride-based UV/DUV LEDs 国際会議

    H. Amano, G.J. Park, T. Tanikawa, Y. Honda, M. Yamaguchi, K. Ban, K. Nagata, K. Nonaka, K. Takeda, M. Iwaya, T. Takeuchi, S. Kamiyama, I. Akasaki

    CLEO2011 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Baltimore, USA   国名:アメリカ合衆国  

    LEDの内部量子効率に関する発表

  363. LED電球の現状と今後の開発への期待

    天野 浩

    CILAC 

     詳細を見る

    開催年月日: 2010年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋栄ビル12階   国名:日本国  

    LED電球開発の歴史と展望

  364. 窒化物半導体を用いた太陽電池の現状と将来性

    天野 浩、山口雅史、本田善央、岩谷素顕、上山 智、竹内哲也、赤﨑 勇

    日本学術振興会ワイドギャップ半導体光・電子デバイス第162委員会 68回委員会・第72回研究会 

     詳細を見る

    開催年月日: 2010年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:静岡県伊東市サンハトヤ    国名:日本国  

    窒化物半導体を用いた太陽光発電素子の将来に関する概説。

  365. Recent Developments and Future Prospects of LED Technologies for Displays and General Lighting 国際会議

    Hiroshi Amano

    The 17th International Display Workshops 

     詳細を見る

    開催年月日: 2010年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Fukuoka, Japan   国名:日本国  

    LED 開発の現状と将来性

  366. 紫外~赤色LED究極効率を目指した窒化物半導体結晶成長技術

    東北大学多元物質科学研究所窒化物ナノ・エレクトロニクス材料研究センター講演会 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  367. 白色LEDの現状と将来への課題

    有機EL討論会5周年記念 公開シンポジウム[未来を拓く有機EL・LED] 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  368. 次世代・照明太陽電池創成に向けた取り組み

    天野 浩

    平成22年度東海工学教育協会地区大会プログラム 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名城大学天白キャンパス   国名:日本国  

    LED 太陽光発電素子への応用

  369. ③GaNのLDへの応用

    Electronic Journal 第592回 Technical SeminarGaNデバイスの最前線★徹底解説 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  370. Recent development and future prospects of the fabrication of InGaN-based solar cells 国際会議

    Korea-Japan Workshop on Semiconductors for Energy Saving and Harvesting 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  371. 白色LEDの現状と将来の可能性

    (社)照明学会 光関連材料・デバイス研究専門部会次世代固体照明光源に関する研究調査委員会 公開研究会「次世代固体照明光源の最新動向」 

     詳細を見る

    開催年月日: 2010年10月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  372. Past, present and future prospects of group III nitride-based light emitting diodes- What is the origin of the difficulties, how to solve them ? - 国際会議

    IWN2010 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  373. 総合討論 ここまでわかった!AlGaN系光デバイスの物理

    2010年秋季 第71回応用物理学会学術講演会 シンポジウム結晶工学分科会企画「ワイドギャップ窒化物AlGaNの結晶評価と深紫外光デバイス応用」 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  374. プラズマによるGaN未来照明・パワーデバイスの革新

    天野 浩、杉山 貴之、河合洋次郎、本田 善央、山口 雅史

    応用物理学会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  375. Expansion of the emission wavelength of nitride-based light emitting diodes- From DUV to IR 国際会議

    International Conference on LED-IT 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  376. High-Temperature MOVPE of AlGaN and Raised Pressure MOVPE of High In-content GaInN 国際会議

    IUMRS-ICEM2010 

     詳細を見る

    開催年月日: 2010年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  377. Atomic Layer Epitaxy of AlN and AlGaN and Raised Pressure MOVPEFor the Growth of High In-Content GaInN 国際会議

     詳細を見る

    開催年月日: 2010年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  378. ナイトライドデバイスのこれからの10年を展望して

    応用物理学会結晶工学分科会主催第1回 結晶工学講演会半導体デバイスの基盤をなす結晶工学 

     詳細を見る

    開催年月日: 2010年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  379. 「ワイドギャップ窒化物半導体基板への期待」

    日本学術振興会 結晶成長の科学と技術第161委員会ワイドギャップ半導体光・電子デバイス第162委員会合同委員会 

     詳細を見る

    開催年月日: 2010年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  380. Recent development of high efficiency UV LEDs and achievement of UV LDs 国際会議

    Taiwan Solod State Lighting 

     詳細を見る

    開催年月日: 2010年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  381. Recent development and future prospects of the fabrication of GaInN-based solar cells

    ISCS2010 

     詳細を見る

    開催年月日: 2010年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  382. Atomic layer epitaxy of GaInN and AlGaN by high pressure MOVPE 国際会議

    APS Spring Meeting, 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  383. How can we improve performance of nitride-based devices using plasma technology ?

    IC-PLANTS 2010 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  384. New MOVPE system for next generation AlGaInN growth 国際会議

    The 4th International Conference on LED and Solid State Lighting (LED 2010) 

     詳細を見る

    開催年月日: 2010年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:中華人民共和国  

  385. 窒化物半導体応用の波長範囲の拡大

    天野 浩

     詳細を見る

    開催年月日: 2009年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  386. 光デバイス II  (9) 紫外発光デバイス

    天野 浩

     詳細を見る

    開催年月日: 2009年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  387. Past, present and future prospects of group III nitride based light emitting devices

    ICMAP 2009 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  388. Revolutions in Solid State Lighting Technology

    International Workshop on EEWS 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  389. GaN基板ウエハ実現の鍵を握る結晶育成・加工技術 ~その現状と課題、及び将来展望~

    天野 浩

     詳細を見る

    開催年月日: 2009年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  390. Growth and Conductivity Control of High-Quality GaInN for the Realization of High Efficiency Photovoltaic Devices

    POEM2009 

     詳細を見る

    開催年月日: 2009年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  391. 世界を変えるGaN発光デバイス ~低炭素社会実現の救世主となるために~

    天野 浩

     詳細を見る

    開催年月日: 2009年8月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  392. Growth and conductivity control of high quality AlGaN and its application to high performance ultraviolet laser diodes

    SIMC-XV 

     詳細を見る

    開催年月日: 2009年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  393. 持続可能な社会システム構築のための窒化物半導体の役割

    天野 浩

     詳細を見る

    開催年月日: 2009年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  394. 窒化物半導体LEDのエピタキシャル成長とLED高効率化の最新動向

    天野 浩

     詳細を見る

    開催年月日: 2009年4月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  395. 窒化物半導体LED開発の歴史、現状と今後の展望 および微細構造観察・元素分析への期待

    天野 浩

     詳細を見る

    開催年月日: 2009年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  396. Challenge for short wavelength semiconductor UV laser diodes

    SPIE Photonics West 

     詳細を見る

    開催年月日: 2009年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  397. 窒化物半導体の結晶成長とデバイス応用に関する研究

    天野 浩

     詳細を見る

    開催年月日: 2008年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  398. 光デバイス II  (9) 紫外発光デバイス

    天野 浩

     詳細を見る

    開催年月日: 2008年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  399. GaNのLDへの応用

    天野 浩

     詳細を見る

    開催年月日: 2008年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  400. 窒化物半導体の新しい応用を目指して

    天野 浩

     詳細を見る

    開催年月日: 2008年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  401. Research on the Key Technology of Nitride-Based UV LED and LDs

    Summer School 2008 on Wide-bandgap Semiconductor Physics and Devices 

     詳細を見る

    開催年月日: 2008年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  402. Growth of Non Polar/Semi Polar Nitrides and Devices 国際会議

    Summer School 2008 on Wide-bandgap Semiconductor Physics and Devices 

     詳細を見る

    開催年月日: 2008年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:中華人民共和国  

  403. シミュレータを用いた窒化物半導体光デバイスの動作シミュレーションおよび実際との比較

    天野 浩、永松健太郎、飯田大輔、竹田健一郎、都築宏俊、早川 武雅、岩谷素顕、上山 智、赤﨑 勇

     詳細を見る

    開催年月日: 2008年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  404. High efficiency UV LEDs and LDs

    5th China International Forum on Solid State Lighting 

     詳細を見る

    開催年月日: 2008年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  405. Short wavelength semiconductor laser diodes

    Japan- Brazil Memorial Symposium on Science and Technology for the Celebration of 100 Years of Japanese Immigration in Brazil 

     詳細を見る

    開催年月日: 2008年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  406. Growth of Group III Nitrides For UV and Green Light Emitting Devices

    The 4th Asian Conference on Crystal Growth and Crystal Technology 

     詳細を見る

    開催年月日: 2008年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  407. 学生が創ったイノベーション ~青色発光ダイオード誕生の秘密~

    天野 浩

     詳細を見る

    開催年月日: 2008年5月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  408. Theoretical and experimental aspects of the nitride-based UV LEDs and LDs

    KOPTI Seminar 

     詳細を見る

    開催年月日: 2008年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  409. Growth of Thick InGaN with the Aim of Realizing Bright Green LED and LD

    The 2nd International Conference on Display and Solid State Lighting 

     詳細を見る

    開催年月日: 2008年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  410. Theoretical and experimental aspects of the nitride-based UV light-emitting devices

    UKNC Meeting 

     詳細を見る

    開催年月日: 2008年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  411. ワットクラス超高出力紫外レーザダイオードの実現にむけて

    天野 浩、岩谷 素顕、上山 智、赤﨑 勇

     詳細を見る

    開催年月日: 2008年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  412. 青色発光LEDの開発を通して研究するたのしみ

    天野 浩

     詳細を見る

    開催年月日: 2008年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  413. LEDの各種基板への作製の取り組みとその比較・評価

    天野 浩

     詳細を見る

    開催年月日: 2007年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  414. ナイトライドを用いた紫外LEDおよび緑色LEDの 高効率化に向けて

    天野 浩

     詳細を見る

    開催年月日: 2007年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  415. AlGaN-based UV light emitting devices

    20th Annual Meeting of the IEEE Lasers and Electro-Optics Society 

     詳細を見る

    開催年月日: 2007年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  416. Doping of high mole fraction p-type AlGaN -Mg in AlGaN-

    Challenges facing ZnO and GaN 

     詳細を見る

    開催年月日: 2007年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  417. Growth and Properties of Non-Polar Nitrides on Various Substrates

    7th International Conference of Nitride Semiconductors 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  418. 紫外発光素子への期待とIII族窒化物半導体を用いた紫外発光素子の高性能化

    天野 浩、岩谷 素顕、上山 智、赤﨑 勇

     詳細を見る

    開催年月日: 2007年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  419. SiC基板のLED/LDへの応用

    天野 浩、上山 智

     詳細を見る

    開催年月日: 2007年8月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  420. High temperature metalorganic vapor phase epitaxial growth of AlN and AlGaN for fabrication of high performance UV/DUV emitters

    IVC17 / ICSS13 and ICN+T 2007 

     詳細を見る

    開催年月日: 2007年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  421. 窒化物系半導体の最近の動向と課題

    天野 浩

     詳細を見る

    開催年月日: 2007年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  422. <デバイスの立場から> 窒化物半導体光・電子デバイス 極限機能創出のための結晶成長の技術課題

    天野 浩

     詳細を見る

    開催年月日: 2007年4月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  423. High temperature growth of AlN and AlGaN for UV/DUV devices

    The 3rd Asia-Pacific Workshop on Widegap Semiconductors 

     詳細を見る

    開催年月日: 2007年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  424. LEDの各種基板への作製の取り組みとその比較・評価

    天野 浩

     詳細を見る

    開催年月日: 2007年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  425. Key issues for achieving high-efficiency nonpolar nitride-based light emitting diodes

    CDL 2007 

     詳細を見る

    開催年月日: 2007年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  426. Present and future prospects of nitride-based UV emitters<BR>

    APOC2006 

     詳細を見る

    開催年月日: 2006年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  427. 窒化物半導体を用いた短波長発光デバイス

    天野 浩、クリシュナン・バラクリシュナン,岩谷素顕,上山 智,赤﨑 勇,丸山久明、野呂匡志,高木俊, 坂東 章

     詳細を見る

    開催年月日: 2006年8月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  428. 青色半導体レーザが出来るまで徹底解説

    天野 浩

     詳細を見る

    開催年月日: 2006年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  429. High temperature growth of AlN and AlGaN by metalorganic vapor phase epitaxy

    ISGN-1 

     詳細を見る

    開催年月日: 2006年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  430. Education and Research at Meijo Nano-factory

    MRS Spring 2006 

     詳細を見る

    開催年月日: 2006年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  431. 青・紫外LEDのため結晶成長技術と光取り出し効率の向上・高出力化手法 ナイトライド系半導体レーザの基礎と発光ダイオードの光取り出し効率の向上手法

    天野 浩

     詳細を見る

    開催年月日: 2006年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  432. 非シリコン半導体の現状と展望、Ⅲ族窒化物半導体光・電子デバイスの展望

    天野 浩

     詳細を見る

    開催年月日: 2006年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  433. ナノナイトライド構造の作製とデバイス応用

    天野 浩

     詳細を見る

    開催年月日: 2006年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  434. III族窒化物半導体デバイスの展望

    天野 浩

     詳細を見る

    開催年月日: 2006年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  435. High-temperature MOVPE growth of AlN and AlGaN for UV/DUV optoelectronics

    5th Akasaki Research Center Symposium 

     詳細を見る

    開催年月日: 2005年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  436. MOVPE法による厚膜GaNおよびAlN成長

    天野 浩、岩谷素顕、上山 智、赤﨑 勇

     詳細を見る

    開催年月日: 2005年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  437. LD への展開 -紫外用光源はナイトライドで置き換えられるか-

    天野 浩、岩谷素顕、上山 智、赤﨑 勇

     詳細を見る

    開催年月日: 2005年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  438. ELO of AlGaN for Fabrication of UV/deep UV Devices

    H. Amano, M. Iwaya, S. Kamiyama and I. Akasaki,

     詳細を見る

    開催年月日: 2005年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  439. 日本におけるナイトライドLED開発の歴史と今後の展望

    天野 浩

     詳細を見る

    開催年月日: 2005年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  440. ナイトライド系LED性能の現状 ~光取り出し効率と温度依存性~

    天野 浩

     詳細を見る

    開催年月日: 2005年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  441. Trend of substrate materials for nitride epitaxy

    The 6th Topical Workshop on Heterostructure Microelectronics 

     詳細を見る

    開催年月日: 2005年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  442. 高輝度・高照度LEDの開発とその応用

    天野 浩

     詳細を見る

    開催年月日: 2005年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  443. Ⅲ族窒化物半導体による紫外光源の開発動向

    天野 浩、岩谷素顕、上山 智、赤﨑 勇

     詳細を見る

    開催年月日: 2005年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  444. -ハイパワー化に向けた-ナイトライドLEDの構造・動作原理と性能向上技術

    天野 浩

     詳細を見る

    開催年月日: 2005年5月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  445. 期待されるLEDとその応用 紫外LEDの研究開発最新動向

    天野 浩

     詳細を見る

    開催年月日: 2005年4月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  446. AlN、AlGaNの高温MO-VPE成長と紫外半導体レーザ

    天野 浩、Krishnan Balakrishnan、岩谷素顕、上山 智、赤﨑 勇

     詳細を見る

    開催年月日: 2005年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  447. 大学の実験室から青色発光ダイオードが生まれるまで

    天野 浩

     詳細を見る

    開催年月日: 2004年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  448. En route to 80% external quantum efficiency nitride-LEDs

    1st OSRAM OS Workshop on Gallium Nitride 

     詳細を見る

    開催年月日: 2004年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  449. Defect and stress control of AlGaN and fabrication of high performance UV light emitters

    The Electrochemical Society 

     詳細を見る

    開催年月日: 2004年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  450. Facet controlled epitaxial lateral overgrowth of AlxGa1-xN

    The Electrochemical Society 

     詳細を見る

    開催年月日: 2004年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  451. ナノファクトリーにおけるナノナイトライド研究 -新世代半導体が拓く未来社会-

    天野 浩

     詳細を見る

    開催年月日: 2004年8月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  452. Growth and fabrication of nitride-based UV devices on various substrates

    4th INTERNATIONAL CONFERENCE ON PHYSICS OF LIGHT-MATTER COUPLING IN NANOSTRUCTURES 

     詳細を見る

    開催年月日: 2004年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  453. GaNの結晶成長における低温堆積緩衝層

    天野 浩

     詳細を見る

    開催年月日: 2004年5月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  454. History and future prospects of nitride-based LEDs and solid state lighting

    ChinaSSL2004 

     詳細を見る

    開催年月日: 2004年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  455. Critical issues for achieving high efficiency/high power nitride-based UV devices

    ISBLLED2004 

     詳細を見る

    開催年月日: 2004年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  456. 高効率,高演色性でバックライトを変えるLEDの進展

    天野 浩

     詳細を見る

    開催年月日: 2004年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  457. Critical Issues for the Development of GaN-Based UV Device

    2004 RCIQE International Seminar for 21st Century COE Program 

     詳細を見る

    開催年月日: 2004年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  458. 紫外発光素子用ナイトライド半導体の開発

    天野 浩

     詳細を見る

    開催年月日: 2004年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  459. Effect of dislocation and light extraction on the performance of AlGaN-based UV light emitting devices

    Photonics West 

     詳細を見る

    開催年月日: 2004年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  460. 格子不整合基板上へのナイトライドの結晶成長における低温プロセスの果たした役割

    天野 浩

     詳細を見る

    開催年月日: 2004年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  461. ナイトライド集積型表示デバイスの期待と課題

    天野 浩

     詳細を見る

    開催年月日: 2001年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  462. 加工基板上への結晶成長

    天野 浩、岩谷素顕、新田州吾、上山 智、赤﨑 勇

     詳細を見る

    開催年月日: 2001年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  463. 青色(紫外光)発光ダイオード

    天野 浩

     詳細を見る

    開催年月日: 2001年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  464. Present and Future Nitride Based Devices

    SSDM2001 

     詳細を見る

    開催年月日: 2001年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  465. Control of stress and defects in nitrides

    ICCG-13 

     詳細を見る

    開催年月日: 2001年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  466. Nitride-Based UV-Light Emitters

    2001OSA Annual Meeting 

     詳細を見る

    開催年月日: 2001年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  467. Control of strain and defects in nitride MOVPE

    MRS Fall 2000 

     詳細を見る

    開催年月日: 2000年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  468. Past, Present and Future of the Growth of Group III Nitrides on Sapphire

    The 1st Asian Conference on Crystal Growth and Crystal Technology Sendai 

     詳細を見る

    開催年月日: 2000年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  469. Crystal growth of group III nitridesand their application to blue, violet and UV optoelectronics

    2000 FRONTIER SCIENCE RESEARCH CONFERENCESCIENCE and TECHNOLOGY of NITRIDE MATERIALS 

     詳細を見る

    開催年月日: 2000年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  470. 高輝度短波長LEDの開発と応用

    天野 浩

     詳細を見る

    開催年月日: 2000年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  471. ナイトライド研究と青色発光素子の実用化

    天野 浩

     詳細を見る

    開催年月日: 2000年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  472. 青色発光デバイス”はどんな応用分野を拓くのか

    天野 浩

     詳細を見る

    開催年月日: 2000年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  473. Growth of high-quality AlxGa1-xN for VUV/UV opto-electronics

    The 2nd International Symposium on Laser 

     詳細を見る

    開催年月日: 2000年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  474. Mass transport process of GaN and reduction of threading dislocations

    2000 US-Japan Mesoscopic Phenomena on Surfaces Park City 

     詳細を見る

    開催年月日: 2000年4月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  475. 光デバイスと応用(高輝度短波長LEDとLD)

    天野 浩

     詳細を見る

    開催年月日: 2000年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  476. III族窒化物半導体の結晶成長とデバイス

    天野 浩、赤﨑 勇

     詳細を見る

    開催年月日: 2000年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  477. Defect and stress control in group Ⅲ nitrides using low temperature interlayers

    Proceedings of the Third Symposium on Atomic-scale Surface and Interface Dynamics 

     詳細を見る

    開催年月日: 1999年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  478. GaN系デバイスの現状と将来 ―電極に期待するもの ―

    H. Amano and I. Akasaki

     詳細を見る

    開催年月日: 1999年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  479. Crystalline quality of GaN on sapphire and group Ⅲ nitride alloys on GaN studied by X-ray diffraction and TEM

    Symposium in the 45th Spring Meeting 

     詳細を見る

    開催年月日: 1998年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  480. In situ observation of the crystallization process of the low temperature deposited buffer layer on sapphire

    International Workshop on Surface Morphology 

     詳細を見る

    開催年月日: 1998年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  481. Characterization and growth of nitride based quantum structures

    Materials Research Society 1997 Fall Meeting 

     詳細を見る

    開催年月日: 1997年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  482. Structural and Optical properties of Group Ⅲ Nitrides and Their Lasers

    Intl. Conf. on SiC, Ⅲ-Nitrides and Related Materials 

     詳細を見る

    開催年月日: 1997年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  483. Effects of Drift Layer Thicknesses in Reverse Conduction Mechanism on Vertical GaN-on-GaN SBDs grown by MOCVD 国際会議

    Abhinay S

    2019 Electron Devices Technology and Manufacturing Conference, EDTM 2019  2019年3月  2019 Electron Devices Technology and Manufacturing Conference, EDTM 2019

     詳細を見る

    記述言語:英語   会議種別:口頭発表(一般)  

    researchmap

  484. Theoretical study of the electronic structure of threading edge dislocations in GaN 国際会議

    Nakano T

    ECS Transactions  2018年  ECS Transactions

     詳細を見る

    記述言語:英語   会議種別:口頭発表(一般)  

    researchmap

  485. Role of defects in the mid-term degradation of UV-B LEDs investigated by optical and DLTS measurements 国際会議

    Piva F

    Proceedings of SPIE - The International Society for Optical Engineering  2020年  Proceedings of SPIE - The International Society for Optical Engineering

     詳細を見る

    記述言語:英語   会議種別:口頭発表(一般)  

    researchmap

  486. Electronic structure analysis of core structures of threading dislocations in GaN 国際会議

    Nakano T

    2019 Compound Semiconductor Week, CSW 2019 - Proceedings  2019年5月  2019 Compound Semiconductor Week, CSW 2019 - Proceedings

     詳細を見る

    記述言語:英語   会議種別:口頭発表(一般)  

    researchmap

  487. Electrical and Thermal Analysis of Vertical GaN-on-GaN PN Diodes 国際会議

    Yates L

    Proceedings of the 17th InterSociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems, ITherm 2018  2018年7月24日  Proceedings of the 17th InterSociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems, ITherm 2018

     詳細を見る

    記述言語:英語   会議種別:口頭発表(一般)  

    researchmap

▼全件表示

共同研究・競争的資金等の研究課題 32

  1. 有害物質分解システムに向けた高性能紫外線レーザーダイオードの研究

    2016年4月 - 2019年3月

    J-MOST日中共同研究 

    天野 浩

      詳細を見る

    資金種別:競争的資金

    AlGaN結晶を用いた紫外線レーザーダイオードの試作

  2. Si基板上のInGaNナノワイヤ太陽電池

    2016年4月 - 2018年3月

    二国間交流事業(共同研究・セミナー)フランス 

    天野 浩

      詳細を見る

    資金種別:競争的資金

    Si基板上にGaNナノロッドを成長させ、太陽電池を試作する。

  3. V4(高In組成InGaNの高品質エピタキシャル成長)

    2015年11月 - 2018年3月

    V4(高In組成InGaNの高品質エピタキシャル成長) 

    天野 浩

      詳細を見る

    資金種別:競争的資金

  4. 高In組成InGaNの高品質エピタキシャル成長と次世代ディスプレイ・照明及び通信用光源と高効率太陽電池

    2015年4月 - 2019年3月

    国際科学技術共同研究推進事業(戦略的国際共同研究プログラム:SICORP)V4 

    天野 浩

      詳細を見る

    資金種別:競争的資金

    加工GaN基板による高In組成InGaN量子ドットの成長

  5. 高性能・高信頼性太陽光発電の発電コスト低減技術開発/革新的新構造太陽電池の研究開発/超高効率・低コストⅢ-Ⅴ化合物太陽電池モジュールの研究開発(窒化物ハイブリッド結晶成)

    2015年4月 - 2018年3月

    NEDO (高性能・高信頼性太陽光発電の発電コスト低減技術開発) 

    天野 浩

      詳細を見る

    資金種別:競争的資金

    窒化物半導体窓層他接合太陽電池

  6. 知の拠点あいちプロジェクトE「近未来水素エネルギー社会形成技術開発プロジェクト」

    2015年4月 - 2018年3月

    知の拠点あいちプロジェクト 

    天野 浩

      詳細を見る

    資金種別:競争的資金

    GaNナノロッドLEDによる次世代ディスプレイ試作

  7. 新規結晶成長法の探索

    2015年4月 - 2018年3月

    NEDO FS(窒化ガリウムパワーデバイスの実用化促進等に関する先導研究」 

    本田善央

      詳細を見る

    資金種別:競争的資金

    HVPE法を用いた高品質ヘテロ接合成長開発と電子デバイスへの応用

  8. B系Ⅲ族窒化物/ダイヤモンド・ハイブリッドデバイスに関する基盤研究

    2015年4月 - 2018年3月

    学内共同研究 

    本田善央、出来真斗、田中敦之

      詳細を見る

    BN系窒化物による新たなMIS型FETの試作

  9. SIP(戦略的イノベーション創造プログラム)

    2014年4月 - 2017年3月

    SIP(戦略的イノベーション創造プログラム) 

    天野 浩

      詳細を見る

    資金種別:競争的資金

  10. SIP(戦略的イノベーション創造プログラム)/次世代パワーエレクトロニクス/GaNに関する拠点型共通基盤技術開発/GaN縦型パワーデバイスの基盤技術開発

    2014年4月 - 2017年3月

    SIP(戦略的イノベーション創造プログラム) 

    天野 浩

      詳細を見る

    資金種別:競争的資金

    m面GaN基板上へのパワーデバイス用エピタキシャル成長技術の構築

  11. 高品質GaN基板を用いた超高効率GaNパワー・光デバイスの技術開発とその実証

    2014年4月 - 2017年3月

    未来のあるべき社会・ライフスタイルを創造する技術イノベーション事業 

    天野 浩

      詳細を見る

    資金種別:競争的資金

    Naフラックス法で作製されたGaN基板上にGaNパワーデバイスの結晶成長を行う。

  12. AlGaN系紫外線発光素子の開発

    2014年4月 - 2017年3月

    学内共同研究 

    本田善央、出来真斗、久志本真希

      詳細を見る

    AlGaN系紫外発光素子の高効率化と高信頼性化

  13. GaN基板上GaN系パワーデバイス開発

    2013年4月 - 2018年3月

    スーパークラスター プログラム 

    天野 浩

      詳細を見る

    資金種別:競争的資金

    山口大、福井大サテライトと連携したGaNパワーデバイスの開発

  14. Naフラックス法GaNウェハーを用いた高輝度LED作製

    2011年11月 - 2017年3月

    学内共同研究 

    本田善央、出来真斗、久志本真希、田中敦之

      詳細を見る

    Naフラックス法で作製されたGaN基板上のLED LD開発

  15. 窒化物半導体の原子層エピタキシャル成長技術に関する共同研究

    2010年4月 - 2017年3月

    学内共同研究 

    本田善央、久志本真希

      詳細を見る

    原子層エピタキシャル法を用いた新しいLED作製法及びスパッタリングによるGaNの成長

  16. シリコン基板上の窒化ガリウムヘテロエピタキシャル成長の研究

    2010年2月 - 2017年3月

    学内共同研究 

    本田善央、久志本真希

      詳細を見る

    SI基板上のGaNヘテロエピタキシャル技術構築

  17. 次世代照明等に向けた窒化物半導体等基盤技術開発 /次世代高効率・高品質照明の基盤技術開発

    2009年4月 - 2014年3月

    NEDOエネルギーイノベーションプログラム  

      詳細を見る

    資金種別:競争的資金

    次世代高効率・高演色性LEDの開発

  18. LED投光器の光路設計、放熱設計およびその測定

    2009年4月 - 2010年3月

    国内共同研究 

  19. 超高効率太陽電池研究開発の加速・強化 (高効率集光型多接合太陽電池の開発) 低損傷、低反射表面コーティング技術の確立

    2009年4月 - 2010年2月

    国立大学法人東京大学⇒豊田工業大学[科学技術振興機構JST科学技術振興調整費「革新 

      詳細を見る

    資金種別:競争的資金

  20. ポストシリコン超高効率太陽電池の研究開発(広帯域AlGaInN)

    2008年4月 - 2015年3月

    NEDO 新エネルギー技術開発 革新的太陽光発電技術研究開発 

      詳細を見る

    資金種別:競争的資金

  21. 高効率光・パワーデバイス部材の開発 〔低消費電力高輝度高演色性LED、環境対応モニタリング用センサーの開発〕

    2008年4月 - 2010年3月

    (財)科学技術交流財団[文部科学省]地域科学技術振興事業 知的クラスター創成事業( 

      詳細を見る

    資金種別:競争的資金

  22. 先進プラズマナノ科学研究拠点形成プログラム(広域化プログラム)プラズマナノ科学を基盤とした超高速・超高精度プラズマプロセス技術〔プラズマ制御デバイス集積プロセス〕

    2008年4月 - 2010年3月

    (財)科学技術交流財団[文部科学省]地域科学技術振興事業 知的クラスター創成事業( 

      詳細を見る

    資金種別:競争的資金

  23. 高効率光・パワーデバイス部材の開発 〔低消費電力高輝度高演色性LED、環境対応モニタリング用センサーの開発〕

    2008年4月 - 2010年3月

    国内共同研究 

  24. 窒化物系化合物半導体基板・エピタキシャル成長技術の開発

    2007年4月 - 2010年3月

    NEDO〔新エネルギー・産業技術総合開発機構〕 ナノエレクトロニクス半導体新材料・新 

      詳細を見る

    資金種別:競争的資金

  25. 溶液成長AlN単結晶の結晶物性に関する研究

    2006年4月 - 2007年3月

    国内共同研究 

  26. AlN系MOCVD成長および特性評価に関する研究

    2005年4月 - 2007年3月

    国内共同研究 

  27. 窒化物半導体の研究

    2005年4月 - 2007年3月

    国内共同研究 

  28. 極限紫外短波長光半導体の実用化開拓

    2004年4月 - 2008年3月

    大阪ガス㈱[新エネルギー・産業技術総合開発機構(NEDO)]課題設定型産業技術開発費 

      詳細を見る

    資金種別:競争的資金

  29. 高効率UV発光素子用半導体開発プロジェクト

    2004年4月 - 2007年3月

    昭和電工㈱NEDO〔新エネルギー・産業技術総合開発機構〕 

      詳細を見る

    資金種別:競争的資金

  30. 高品質結晶成長技術の研究

    2002年4月 - 2007年3月

    大阪ガス㈱[新エネルギー・産業技術総合開発機構(NEDO)] 基盤技術研究促進事業( 

      詳細を見る

    資金種別:競争的資金

  31. 紫外線レーザーのための超ワイドギャップナイトライド半導体の研究

    2001年4月 - 2004年3月

    新エネルギー・産業技術総合開発機構(NEDO) 国際共同研究 

      詳細を見る

    資金種別:競争的資金

  32. 省エネルギー燃焼制御用センサの特性改善に関する研究

    1997年4月 - 2001年3月

    新エネルギー・産業技術総合開発機構(NEDO) 省エネルギー燃焼制御用センサの特性改 

      詳細を見る

    資金種別:競争的資金

▼全件表示

科研費 24

  1. GaNのIMPATT格子によるコヒーレントハイパワーTHz源

    研究課題/研究課題番号:22H00213  2022年4月 - 2027年3月

    科学研究費助成事業  基盤研究(A)

    天野 浩

      詳細を見る

    担当区分:研究代表者 

    配分額:41340000円 ( 直接経費:31800000円 、 間接経費:9540000円 )

  2. アンモノサーマル法による高品質GaN基板成長

    研究課題/研究課題番号:19F19752  2019年7月 - 2021年3月

      詳細を見る

    担当区分:その他 

    Ammonothermal method is the best technology for growing the lowest dislocation density single crystal. The problem is th eincorporation of impurities. In this study, several materials will be used for autoclave to grow much higher purity GaN single crystal by ammonothermal method.
    GaNは次世代省エネルギー社会システム構築のカギである。現在、ハロゲン気相成長法(HVPE)を用いて成長した自立基板が市販されている。HVPEは成長速度が速いため低コスト成長法の候補であるが、単位時間当たりの生産性を考えると、現状では多数枚成長にならざるを得ず、巨大な成長装置が必須になる。Siのチョクラルスキーのように長尺化すれば、スライスにより一回の成長で多数枚得ることができ、低コスト化できる。その際重要になるのは種結晶である。我々のこれまでの検討で、市販のHVPEによる自立GaN基板では結晶欠陥、反りが大きすぎて種結晶として利用できないことが分かっている。低欠陥密度、低反りの点で最も有望なのはアンモノサーマル法によるGaN結晶である。アンモノサーマル法では、鉱化剤としてアルカリ性と酸性の物質を使う場合がある。アルカリ性の場合、容器であるオートクレーブと強く反応して重金属が溶け出し、高純度の結晶を作製するのは困難である。一方酸性の場合はオートクレーブとの反応も穏やかで、より高純度な結晶が実現できる。
    Saskia Carola SCHIMMEL氏は、現在、当研究室にある酸性鉱化剤によるアンモノサーマル装置でGaN結晶の成長に取り組んでいる。同氏は従来の白金に加え、金を用いたオートクレーブによりバルク成長を行っている。アンモノサーマル法の最大の課題は成長速度が遅いことである。同氏は成長装置の温度分布流体シミュレーションを活用し、炉壁への多結晶析出の抑制に取り組んだ。まず、空気のまま実験を行いシミュレーションの精度が十分であること確認の後、超臨界アンモニアでシミュレーションを行った。その結果、伝熱機構のほとんどが熱対流によるものであり、上部と下部の温度分布を最適化すれば、炉壁への多結晶析出抑制が可能であることを見出した。
    Saskia Carola SCHIMMEL氏は、GaN結晶中への酸素混入低減のための自らのアイディアを実践するため、硬化剤チャージのためのグローブボックスを設計した。現在実験再開を待っている。 アンモノサーマル法は、1回の成長に1週間ほど必要で、また高圧での成長のため、装置のリークに対しては地道な対応が必要である。白金オートクレーブによる成長実験はようやく1回の成長が確認できた段階である。現在本番の金のオートクレーブによる成長実験の準備が始まったところである。その結果が出てまとめるのにまだ相当の時間が必要である。
    また同氏は機械学習を用いた結晶成長条件最適化を進めている。現在、従来の決定方法の問題点等が明らかになりつつあるが、更なるデータ収集が必要である。
    ある。その結果が出てまとめるのにまだ相当の時間が必要である。
    現在、様々な予想できなかった課題の発生で成長実験が止まっている。まず白金ライナーの故障が発生し、時間のかかる修理を行っている。また、新型コロナウィルスの影響による成長実験が止まっている。そのため、現在は主に成長炉内の温度分布の流体シミュレーションを行っている。その結果、従来の方法では複数の温度ピークが存在し、成長速度が小さくなることが確かめられるなど、新たな知見も得られた。今後、遅れを取り戻すために本番の金のオートクレーブによる成長実験を行うとともに、炉の温度分布の改善のために装置の改造を行う予定である。

  3. InGaNナノロッド創・省エネルギーデバイス・システム

    研究課題/研究課題番号:18F18347  2018年11月 - 2020年3月

      詳細を見る

    担当区分:その他 

    これまで、以前Geoffrey Avit氏が学生として所属していたフランスクレルモンオーベーニュ大学では、ハロゲン気相成長法を用いてInGaNナノロッドの成長を行ってきたが、pn接合が出来ないため、LED作製が出来なかった。当研究室が持つ有機金属化合物気相成長(MOVPE)法によるナノロッド成長ではLED作製は可能であるが、従来のボトムアップ的手法での作製では、c面のほかに半極性面や無極性面にも成長する。それぞれの面で成長速度やInの取り込まれが変化することから発光波長が複数になり、ディスプレイに必要な単色性で半値幅の狭いナノロッドLEDができないという課題があった。同氏は当研究室のMOVPE装置を用いてc面上にInGaN/GaN多重量子井戸(MQW)を成長後、トップダウン的にエッチングすることにより、アキシャルタイプのナノロッドを作製する方法を用いた。エッチングパターンの作製には、従来の電子線描画に比べて圧倒的に生産性の高いナノインプリントを用いた。トップダウンで作製したMQWは(0001)面のみのため、幅の狭い単一ピークの発光が観察された。さらに興味深いことに、ナノロッド直径を1000 nmから100 nmと細くするにつれてブルーシフトし、例えば加工前の二次元のc面MQWの場合575 nmであったものが、200 nm径のナノロッドでは545 nmと30 nmもの短波長側へのシフトが観測された。この原因は、1.ナノロッド化による歪緩和の影響、あるいは、2.側壁部空乏層の広がりによる空乏化に基づくサイズ効果が考えられる。トップダウンによるナノロッド作製法は、応用上、ナノロッド径制御による簡易な発光波長制御が期待される。
    そのほか、下地のn-GaN層、上のp-GaN層、p+n+のトンネル接合、AlCを用いたSi基板上へのGaNの成長などを行った。
    令和元年度が最終年度であるため、記入しない。
    令和元年度が最終年度であるため、記入しない。

  4. 分極を有する半導体の物理構築と深紫外発光素子への展開

    2013年4月 - 2016年3月

    科学研究費補助金  特別推進研究

    天野 浩

      詳細を見る

    担当区分:研究代表者 

  5. 圧力印加MOVPEによる高品質InGaN厚膜成長

    2010年4月 - 2013年3月

    科学研究費補助金  22246004 基盤研究(A)

    天野 浩

      詳細を見る

    担当区分:研究代表者 

  6. ワットクラス超高出力紫外レーザダイオードの実現

    2006年4月 - 2011年3月

    科学研究費補助金  18069011 特定領域研究→特定領域研究

    天野 浩

      詳細を見る

    担当区分:研究代表者 

    紫外レーザダイオード(LD)は,現在水銀ランプを用いたリソグラフィシステムやエキシマレーザ,炭酸ガスレーザ等のガスレーザを用いた加工システムの小型化・高効率化,角膜治療,皮膚疾患部位や腫瘍部位への照射治療等新しい医療システムの実現,殺菌や空気・水の清浄化等多くの分野への応用が期待されている。本研究ではAlNを含むAlGaN系III族窒化物半導体において,(1)基板結晶の作製,極性制御基板の作製,および(2)高導電性p型およびn型結晶の実現に特化して研究を行い,更にその成果を用いて,(3)波長365nm以下のワットクラスハイパワー紫外LDの実現を目指す。

  7. 光・電子集積回路用長寿命発光素子のためのSi上無転位GaNの成長

    2006年4月 - 2010年3月

    科学研究費補助金  18360155 基盤研究(B)

    成塚 重弥

      詳細を見る

    担当区分:研究分担者 

    良好な光素子の実現が可能な無転位ナイトライド系材料をSi基板上に成長すること、成長した無転位領域を利用して、ナイトライド系材料による光素子を作製することを目的とする。

    そのため、(1) 有機金属原料を使用できるよう分子線結晶成長装置を改造し、(2) 低温での選択成長条件を導出し、(3) 低角入射マイクロチャンネルエピタキシー(LAIMCE)をおこなう。

  8. 光制御のための半導体ナノ構造作製

    2006年4月 - 2009年3月

    科学研究費補助金  18560353 基盤研究(C)

    上山 智

      詳細を見る

    担当区分:研究分担者 

    周期300nmの三角格子配置を有するモスアイ構造の作製方法を検討し、テーパー角度制御のためのエッチングマスク材構成を最適化することができた。また、理論計算によって予測された光透過率の角度依存性、光取出し効率の周期依存性は、実験値と定性的に一致することが確認できた。さらに、周期が500nm-600nmの範囲においては、モスアイ構造において反射される光が周期によって決定されるブラッグの回折条件を満たす反射角に変化することを見出し、SiC基板上青色LEDにこれを適用すると240%の光出力向上を得ることができた。

  9. 次世代大電力制御用超高効率デバイス

    2006年4月 - 2008年3月

    科学研究費補助金  18206036 基盤研究(A)

    天野 浩

      詳細を見る

    担当区分:研究代表者 

    III族窒化物半導体(GaN)による大電力制御用FETの可能性を示すことを目的とした。平成18年度には、1.p型GaNゲート接合型HFETにおいて、表面パッシベーションによりオン抵抗の低減と相互コンダクタンスの増大を確認。2.サブスレッショルドスイングや、インバータとしてのオン損失・オフ損失が、従来のGaN系FETと比較して少ないことを確認。3.p型GaNゲート接合型HFETにおいて、障壁層組成・膜厚の制御およびゲート長、ソースドレイン間隔とオン抵抗依存性を確認。4.その関係からチャネル移動度とゲート直下の移動度の違いを明らかにした。また、FET成長用テンプレートまたは基板として、5.昇華法を用いて、2インチSiC基板上にAIN単結晶の成長に成功、および6.高温MOVPEにおけるELOを用いてSiC上に転位密度10^6cm^<-2>以下のAlNの成長に成功した。

    平成19年度には、最大ドレイン電流はSiN保護膜の膜厚と相関が強いことを見出し、SiN膜厚5nmにおいて、最大ドレイン電流がゲート電圧4[V]において1.58×10^<-1>[A/mm]と、ノーマリーオフ型FETとしては極めて高く、かつリーク電流が1.45×10^<-8>[A/mm]と極めて少なく、ON/OFF比が7桁以上、サブ閾値電圧が90[mV/dec.]と小さく、また低消費電力動作に必須の低オン抵抗3.4[mΩcm^2]を実現した。また耐圧は家庭用クーラーのインバーター用としては十分な325[V]であった。更に、耐圧はゲートドレイン間距離に比例することを実験的に証明した。

  10. 紫外発光ダイオードを用いた皮膚病治療システム

    2005年4月 - 2006年3月

    科学研究費補助金  17650155 萌芽研究

    天野 浩

      詳細を見る

    担当区分:研究代表者 

    光線治療の光源として、中波長紫外線(UV-B)や長波長紫外線(UV-A)を波長域に持つ蛍光管が用いられ、紫外線治療は皮膚疾患の治療として一般化している。様々な皮膚疾患に対して、それぞれある特定の波長の光線を用いることが治療に必要である。名古屋市立大学病院では、現在、波長311-313nmのUV-B波により乾癬の治療を実施している。その光源として用いられているのが、オランダのフィリップス社が開発した蛍光管である。蛍光管を用いた光線治療システムは、大面積での照射が可能であり、また311-313nmのみであるがスペクトル線幅の非常に細い紫外光を得られる特徴がある。しかし、(1)装置が大掛かりで持ち運びできない。(2)装置の設置に数m^2程度の大きな面積が必要である。(3)大面積照射のため正常部位にも照射してしまう。(4)医療従事者の被爆の可能性がある。更に、(5)現在では、スペクトル線幅の非常に細い紫外光は311-313nmのみであり、利用可能な波長が蛍光管によって限定されて波長選択性に乏しい、などの問題点があった。これらを解決する方法として、小型UV光源である半導体発光ダイオード(Light Emitting Diode:LED)が注目される。III族窒化物半導体を用いたUV LEDは急速に開発が進んではいるものの、360nm以下の短波長では、現在も効率は数%程度である。本研究では、市販のUV LEDによる紫外線照射装置を用い、健全細胞よりもUV光に対する感度が高い腫瘍細胞のうち、ヒト急性T細胞性白血病細胞株であるJurkat細胞に対してUV照射を行い、細胞の自発的な死である、いわゆる細胞のアポトーシス、および壊死の状態であるネクローシスが観測されるかどうかを確認することを目的とした。その結果、従来の大型蛍光管を用いたものと同等のアポトーシス及びネクローシスを確認した。

  11. 超ワイドギャップAlN系半導体の超高温エピタキシャル成長による低転位化とデバイス

    2003年4月 - 2006年3月

    科学研究費補助金  15206003 基盤研究(A)

    天野 浩

      詳細を見る

    担当区分:研究代表者 

    GaN系およびGaInN系ナイトライドは本申請者等が開発した低温堆積層によるサファイア基板の表面制御法が世界標準となり、既に青色LED、緑色LED、白色LEDや紫色LDなどへ応用され、実用化している。ナイトライドの応用は可視先に留まらない。癌細胞・殺菌への照射死滅・DNA選別、近視治療、皮膚病治療など生体応用、色彩制御型高効率・長寿命・高性能照明等への応用、エキシマレーザを代替する超高精細加工等、紫外~深紫外発光素子はAIN系ナイトライドによってのみ実現可能である。従来、AIN系ナイトライドは1,200℃程度で製膜が行われていたが、本申請者は独自の表面泳動の実験より、高品質エピタキシャルAIN膜を得るためには、(1)AIN基板上に製膜すること、および(2)1,800℃以上の高温で製膜することが必要であることを見出した。

    本研究の目的は、超ワイドギャップAIN系半導体の開発のため、

    1.AIN基板の開発

    2.AIN基板上への超高温MOVPE法による低転位AIN薄膜の成長、および

    3.低転位AIN薄膜上へのAlGaN量子構造による深紫外発光・受光素子の開発を目指した。

    平成15年度後半に超高温MOVPE装置を導入して高温での成長方法を検討し、イビデン(株)の協力を得て、特に高温・アンモニア耐性部品の開発に努めた。また昇華法を用いてSiC基板上および自然核発生にてバルクAIN単結晶を成長した。平行して従来の通常温度MOVPE装置を用いて、横方向成長(ELO)を利用した紫外発光素子用低転位AlGaNの成長およびレーザダイオード(LD)の試作を行い、サファイア上では世界最短波長のLDを実現した。平成16年度には超高温MOVPE装置による厚膜AINの成長を行った。平成17年度には、ELOを利用して、サファイア基板上への低転位AIN成長に成功した。

  12. サファイア基板上へのレーザアシスト超高品質AlNエピタキシャル成長

    2003年4月 - 2004年3月

    科学研究費補助金  15656008 萌芽研究

    天野 浩

      詳細を見る

    担当区分:研究代表者 

    本萌芽研究は、トリメチルアルミニウムと純窒素を原料に用いた、MOVPE法による高品質AlN単結晶薄膜の成長を目的として行った。

    従来、MOVPE法によるAlN薄膜の成長時の基板温度は、せいぜい1,300℃程度までである。しかし、本申請者は独自の凹凸加工を施したAlNでの表面泳動の実験より、高品質エピタキシャルAlN薄膜成長には、1,800℃以上の高温で製膜することが必要であることを見出した。従来のMOVPE法で1,300℃程度で成長が行われていたのは、窒素原料として反応性の高いアンモニアが用いられていたからであり、本来のエピタキシャル成長温度よりずっと低いことから高品質結晶を得るには至っていない。また研究開始当初は、1,800℃という高温で製膜可能なMOVPE装置部品、特に基板を加熱する為のサセプタがなかった。そこで、炭酸ガスレーザを援用し、基板表面だけ加熱する事により高品質AlNの製膜に必要な1,800℃の確保を試みた。

    しかしながら、使用した炭酸ガスレーザでは、パワーが少ないことから、表面の温度を上げるには至らなかった。そのため、研究計画を根本から再検討し、1,800℃で使用可能なMOVPE装置部品、特に基盤加熱に用いるサセプタ材料を探索した。いくつかの材料のうち、CVD法で製膜したカーボンをコーティングしたグラファイトが安定性・寿命および制御性にすぐれていることが分かった。現在、そのCVDカーボンコーティンググラファイトを使用してAlNの製膜実験を遂行中である。本萌芽研究は、トリメチルアルミニウムと純窒素を原料に用いた、MOVPE法による高品質AlN単結晶薄膜の成長を目的として行った。

    従来、MOVPE法によるAlN薄膜の成長時の基板温度は、せいぜい1,300℃程度までである。しかし、本申請者は独自の凹凸加工を施したAlNでの表面泳動の実験より、高品質エピタキシャルAlN薄膜成長には、1,800℃以上の高温で製膜することが必要であることを見出した。従来のMOVPE法で1,300℃程度で成長が行われていたのは、窒素原料として反応性の高いアンモニアが用いられていたからであり、本来のエピタキシャル成長温度よりずっと低いことから高品質結晶を得るには至っていない。また研究開始当初は、1,800℃という高温で製膜可能なMOVPE装置部品、特に基板を加熱する為のサセプタがなかった。そこで、炭酸ガスレーザを援用し、基板表面だけ加熱する事により高品質AlNの製膜に必要な1,800℃の確保を試みた。

    しかし

  13. マストランスポートIII族窒化物秩序化ゼロ次元構造の実現と緑色レーザダイオード

    2000年4月 - 2002年3月

    科学研究費補助金  12450017 基盤研究(B)

    赤﨑 勇

      詳細を見る

    担当区分:研究分担者 

    GaN系III族窒化物半導体の単結晶薄膜成長法は、サファイアを基板とする場合、本申請者のグループが1986年に開発した成長モード制御法が一般化している。この結晶成長法が基礎となり、青色~緑色発光ダイオードが実用化し、紫外~紫色レーザダイオードが実現したのは、周知の通りである。これら発光素子の活性層としてGaInNが用いられている。GaInNは成長表面における表面エネルギーの微妙な差によって、成長層には空間的な組成変調が生じる。この数十nmレベルの空間的な組成変調のうちInNモル分率の高い部分は電子正孔対を捉える、いわば自然ゼロ次元構造として働くため、同材料を用いた発光ダイオード中に高密度の貫通転位密度があっても発光効率が低下しないひとつの理由として考えられている。この自然組成変調構造は、発光ダイオードとしては都合が良いが、組成に対する空間的コヒーレンシーが低下するため、利得幅が広くなり、最大利得が低下するために、レーザダイオードには大きなマイナスの要因として働く可能性がある。これが青色から更に長波長のレーザダイオードが、III族窒化物においていまだ実現していない理由の一つである。また同材料の強い圧電性のため、電子正孔対が分離しInNモル分率の増加とともに再結合割合が低下することも理由として考えられている。本研究では、これらの実験事実に基づき、空間的コヒーレンシーに優れた組成変調構造、所謂秩序化ゼロ次元構造を実現することを目的として研究を行った。この実現のため、本研究グループが世界ではじめて見出したマストランスポート現象を応用した。1年目は、GaInN多重量子井戸の発光特性を詳細に評価し、従来いわれているようなGaInNの組成変調構造は存在しないことを突き止めた。2年目には、その発光特性の解析を進め、発光過程が表面空乏層に強く影響を受けていることを見出した。本研究により、GaInN系量子井戸の発光過程が明らかとなり、緑色レーザダイオード実現のための学術的バックボーンが確立した。

  14. 光励起及び結合長制御不純物共添加による超低抵抗p型III族窒化物の作製

    2000年4月 - 2001年3月

    科学研究費補助金  12875006 萌芽的研究

    天野 浩

      詳細を見る

    担当区分:研究代表者 

    GaN系III族窒化物半導体は、サファイアを基板とする場合、本申請者のグループが1986年に開発した成長モード制御法が一般化している。この結晶成長法が基礎となり、伝導性制御が可能となり、1989年には不可能とまで云われたp型結晶及びpn接合が実現した。

    現在、有機金属化合物気相成長法によりGaNにおいて、室温での抵抗率数Ωcm、自由正孔濃度10^<18>cm^<-3>台のp型伝導性結晶が再現性良く得られている。n型結晶は抵抗率10^<-3>Ωcm以下、自由電子濃度10^<19>cm^<-3>台であり、p型結晶の電気的特性は、今だ十分な水準とは云えない。また、よりバンドギャップの大きいAlGaNに至っては、AlNモル分率0.3を超えると、p型伝導性を生じさせるのは現状では極めて困難である。

    アクセプタ不純物としてMgが用いられているが、1.水素により不活性化すること、2.活性化エネルギーが大きいこと、が問題である。1.については、成長後低加速電子線照射処理などにより、ある程度脱水素化することは可能であるが、2.については本質的な問題である。本研究では、これらの問題の解決を探る方法を検討する。

    ドナーであるシリコンをコドープして特性を評価したが、p型の正孔濃度がN_A-N_Dに従い、ドープしたシリコン濃度に従って減少するという、極常識的な結果であった。理論の中には、Mgの形成するアクセプタ準位の形成機構を考慮してコドープの効果を論ずるものも見受けられるが、本実験からは、Mgアクセプタの形成は、水素原子様であり、単純であると推測された。AlGaN中へのMgのドーピングに関しては、二次元正孔形成の可能性が見出され、今後の研究の発展が期待される。

  15. III族窒化物半導体気相成長における応力制御による転位低減とその場観察法の確立

    1999年4月 - 2001年3月

    科学研究費補助金  11450131 基盤研究(B)

    天野 浩

      詳細を見る

    担当区分:研究代表者 

    低温堆積緩衝層を用いたサファイア上へのGaNの成長において問題となっている高密度貫通転位の構造・特性の理解及びその低減のため、成長中に意識的に結晶に応力を印加し、貫通転位の振舞いを観察してその機構を明らかにすることを目的として研究を行った。

    平成12年度は、(1)低温中間層を用いた熱応力印加、(2)トレンチ部での成長面変化による貫通転位への応力変化、を調べた。(1)に関しては、GaN低温中間層を用いると、サファイアとの熱膨張係数差によるニ軸性引張応力が、繰り返し回数と共に増加し、それにともなって刃状転位、混合転位両方とも屈曲して、その上のGaNでは貫通転位密度が減少することが分かった。一方、AlN低温中間層の場合は、その上のGaN成長において、AlNとGaNの格子定数不整に基づき、最初ニ軸性圧縮応力が加わることにより、引張応力を相殺してしまうこと、またそれに伴い、混合転位は減少するが刃状転位に影響は無いか、或いは逆に増加してしまうことが明らかとなった。(2)に関しては、トレンチ部でマストランスポートが起き、熱処理だけでトレンチが徐々に埋まってしまうこと、及び特に混合転位については、自らの応力場による力が加わり、必ず表面に垂直になるように伝播することが分かった。逆に、混合転位の振舞いから、どの様に成長が進むかを特定できることがわかった。一方、刃状転位については、横方向の応力が加わったとき、水平方向に屈曲することが実験的に明らかとなった。平成13年度は、昨年度の結果を更に発展させ、(1)GaNのトレンチ構造のみならず、サファイア基板やその他の基板についてもトレンチ構造を形成し、その際に生じる応力分布と転位の挙動を調べること、及び(2)部分的応力印下をGaNだけではなくAlGaNにも適用し、転位挙動の差異等について透過電子顕微鏡を用いて詳しく検討した。(1)に関しては、サファイア基板のトレンチ構造についても、昨年度見出した横方向応力による転位の屈曲が効率的に生じ、トレンチ上で低転位密度GaNを得ることに成功した。(2)に関しては、クラック抑制のため低温堆積AlN中間層とGaNのトレンチ構造を併用した。AlGaNの場合もGaNと同様、横方向の応力印加により低転位化できることが分かった。AlNモル分率0.25のAlGaNでトレンチ上部での転位密度は10^6cm^<-2>程度であり、GaNの横方向成長の結果と遜色ない。一方、GaとAlの拡散場での振る舞いに違いより、トレンチとテラ

  16. 全固体式真空紫外レ-ザ-の実現

    1997年4月 - 1999年3月

    科学研究費補助金  9875083 萌芽的研究

    天野 浩

      詳細を見る

    担当区分:研究代表者 

    二次高調波結晶、アップコンバ-ジョン結晶等による固体式真空紫外レ-ザ-ダイオ-ド実現のため、III族窒化物半導体レ-ザ-ダイオ-ドの高性能化について検討した。

    AlInNはInNモル分率0.17でGaNと格子整合するため、新しいヘテロ接合用混晶として有望である。本研究では、組成の異なるAlInNをGaN上に成長し、格子整合する付近でモザイク性が最も減少し、高い結晶品質を有するAlInNの成長が可能であることをはじめて見出した。また、同混晶系からの室温でのフォトルミネッセンスを始めて観測した。

    活性層として用いられるGaInNの組成とバンド構造の関係を変調反射分光法を用いて精密に測定した。

    III族窒化物半導体レ-ザ-ダイオ-ドは、通常サファイア上に作製されており、壁開性がないため、他の化合物半導体と同様の方法では共振器ミラ-面を作製することは出来ない。本研究では、集束イオンビ-ム(FIB)加工装置を用いて共振器ミラ-の作製を行った。その結果、FIBは有用であり、またミラ-同士の回転角依存性やあおり角依存性に関して、新たな知見を得ることが出来た。

    また従来、光閉じ込め不足によるビ-ムの多峰性が大きな問題であったが、AlGaNn型導電層を用いた新しい構造により単峰性ビ-ムを実現した。

    現在、高出力化について検討を進めている。更に、二次高調波結晶やアップコンバ-ジョン結晶とのカップリングについては今後の課題である。

  17. 超高感度紫外線検出器の試作研究

    1997年4月 - 1999年3月

    科学研究費補助金  9450133 基盤研究(B)

    赤﨑 勇

      詳細を見る

    担当区分:研究分担者 

    アンド-プGaNの結晶成長条件と電気的特性の関係について詳しく検討し、特にアンモニア流量を増加することにより、高感度紫外線検出に必要な超高抵抗GaNの作製に成功した。

    サファイア上のIII族窒化物半導体結晶成長において、低温堆積中間層の挿入という新しい結晶成長法を開発した。この新しい結晶成長法により、紫外線検出に最も悪影響を及ぼすと云われているGaN中の貫通転位を、従来に比べて2桁以上低減することに成功した。また、同法をAlGaNに適用し、全組成域に亙りGaNと同程度の高い結晶品質を持ち、しかもクラックの無いAlGaNの作製に世界で始めて成功した。

    新しい結晶成長法により作製した低転位GaN結晶を用いて、くし形電極による光伝導セルを試作した。アンド-プGaNを用いて100pW/cm^2以下の照射強度で応答する受光面を実現した。実用レベルは1,000pW/cm^2なので、少なくとも10倍以上の高感度であった。またアンド-プAl_<0.2>Ga_<0.8>Nにおいて、紫外光応答を確認した。吸収端は335nmであった。感度は5A/Wであり、世界最高水準であった。

    更に同結晶成長法によりp-n接合型GaNフォトダイオ-ドを試作した。実用上問題となる暗電流の起源について検討し、低逆バイアス時においては反応性イオンエッチングにより作製したメサ部での表面電流が、数ボルト以上の高逆バイアス時には、pn接合でのトンネル電流が支配的であることを明かにした。実際の使用バイアス条件において0.8pAの超低暗電流を実現した。従来は、10、000pA以上であった。

    今後更に高AlNモル分率AlGaNのpn接合の実現、波長選択型検出器の実現、電流駆動力の大きいフォトトランジスタタイプの光検出器の実現等の課題に取り組む予定である。

  18. 低次元構造のIII族窒化物による極限機能デバイスの試作研究

    1995年4月 - 1998年3月

    科学研究費補助金  7505012 試験研究(A)→基盤研究(A)

    赤﨑 勇

      詳細を見る

    担当区分:研究分担者 

    本研究は、III族窒化物を用いて二次元のみならず、一次元または零次元など低次元構造を作製する事により極限の性能を引き出し、その量子的基礎物性を評価すると共に、短波長発受光極限、高温動作極限、高速動作極限など、III族窒化物半導体の極限を極め、また、それを各種デバイスに応用し、極限機能半導体デバイスを試作する事を目的とした。以下各項目事に得られた成果を纏める。

    (1)二次元量子井戸とそれを活性層に持つIII族窒化物レ-ザ-ダイオ-ド

    1.高精度X線回折及び顕微PLにより、1分子層よりはるかに少ない層厚揺らぎ及び組成不均一1%以内の高品質GaInN/GaN二次元量子井戸構造を作製した。

    2.圧電効果による内部量子閉じ込めシュタルク効果を検証した。

    3.最短波長半導体レ-ザ-ダイオ-ドを試作した。

    4.FIB加工共振器端面をもつ紫色レ-ザ-ダイオ-ドを試作した。

    (2)ダブルヘテロ構造を利用した波長選択型紫外線検出器

    AlGaN/GaN及びGaInN/GaN構造フォトダイオ-ドを試作し、設計値通りの波長選択性を得た。

    (3)二次元電子ガスを用いたマイクロ波増幅器

    AlGaN/GaN界面での二次元電子ガスを用いた電界効果トランジスタを試作し、最大発振周波数77GHzを記録した。

  19. GaN基板上へのIII族窒化物の低次元構造の作製と物性に関する研究

    1995年4月 - 1997年3月

    科学研究費補助金 

    天野 浩

      詳細を見る

    担当区分:研究代表者 

  20. シリコンを基板として用いたIII族窒化物大型バルク単結晶の作製に関する研究

    1994年4月 - 1996年3月

    科学研究費補助金  一般研究B

    赤﨑 勇

      詳細を見る

    担当区分:研究分担者 


    本研究は、二つのテ-マに大別できる。即ち、(1)OMVPE法或いはMBE法により大面積Si 基板上にGaNを作製し、(2)それを種結晶としてHVPE法によりGaNを高速成長させる事である。(1)について、本研究グル-プは研究開始当初より既にAlN中間層を用いたSi基板上へのGaNの作製の検討をしていたが、最大の問題点はSiとGaNの熱膨張係数最に基づくクラックの発生であった。そこで、本研究では、A.GaNの薄膜化によるクラックの抑制、及びB.一部絶縁層で覆った上への横方向成長、即ちエピタキシャルラテラルオ-バ-グロ-ス (ELO)(西永等)法等の方法を検討し、大面積でしかもクラックの無い単結晶の作製を狙った。(2)について、大型単結晶の作製には、再現性良く、しかも高速成長可能な成長法の開発が必要である。HVPE法では、成長速度が最大0.1mm/hであり、しかも成長速度の再現性に乏しい。本研究では、成長装置を工夫し、出来る限り早い成長速度を実現する事を目的とした。以下、得られた成果を纏める。 (1)OMVPE法によるSi基板上のGaN単結晶の作製サファイアの場合における低温堆積緩衝層と異なり、高温1,100℃程度でAlN単結晶を成長し、その上にGaNを成長することにより、高品質GaNの成長が可能となった。室温において、初めて励起子に基づく遷移が反射光変調スペクトルより確認された。得られた膜は、熱膨張係数の違いにより、強い引っ張り応力を受けていることが分かった。 (2)HVPE法の改良 GaCl_3とTMGaを供給可能な装置を試作し、まずサファイア上に低温堆積緩衝層を用いてOMVPE法で高品質GaNを成長の後、HVPE法でGaN を成長させる事により、再現性良く高品質GaN厚膜の成長が可能である事が分かった。

  21. シリコン基板上への窒化物半導体結晶成長

    1993年4月 - 1994年3月

    科学研究費補助金 

    赤﨑 勇

      詳細を見る

    担当区分:研究分担者 

    GaNを中心とするIII族窒化物半導体、AlGaN,GaN及びGaInNは、室温で安定相であるウルツ鉱構造を形成する場合、全て直接遷移型バンド構造を有し、しかもそのバンドギャップが室温で1.9eVから6.2eVと広範囲に亙ることから、特に400nm台の可視短波長、及び紫外光のレ-ザダイオ -ドの実現、或いはフルカラ-発光ダイオ-ドの実現にとって有望である。通常、III族窒化物半導体はサファイア基板上に作製するが、サファイアが絶縁性であること及び堅牢であることなどから、加工の容易な低抵抗材料基板が望まれていた。Siは加工及び低抵抗化が容易であるため、有望な基板用材料である。しかしながら、III族窒化物とSiは結晶構造或いは原子配列周期が異なるため、高品質結晶の作製は容易ではなかった。本研究では、本科学研究費の補助などにより、【.encircled1.】Si基板上への高品質結晶作製のためのMOVPE装置の作製、及び【.encircled2.】Si基板上への高品質結晶作製法の確立を目的として研究を行った。その結果、1.二層流横型MOVPE炉の導入により、極めて制御性のよい成長が可能となった。また、 2.GaN、AlGaN或いはGaInN成長の前に、比較的高温でSi基板上にAlNを中間層として成長することによりサファイア基板上と同程度の高品質結晶の作製が可能であることが明かとなった。しかしながら、熱膨張係数の違いによりクラックが発生してしまうこともわかり、現在までデバイス作製には成功していない。今後、クラック発生を抑制する方策を検討していく予定である。

  22. 窒化物半導体極短波長面発光レ-ザの研究

    1993年4月 - 1994年3月

    科学研究費補助金  一般研究C

    天野 浩

      詳細を見る

    担当区分:研究代表者 

  23. 窒化物ワイドギャップ半導体の結晶成長の低温化に関する研究

    1991年4月 - 1992年3月

    科学研究費補助金  一般研究C

    平松 和政

      詳細を見る

    担当区分:研究分担者 

    1.サファイア基板上に成長させたGaN(0001)単結晶膜上に常圧MOVPE法を用いて、窒素原料ガスとTMIn(トリメチルインジウム)原料ガスを交互に供給することによりInNの成長を試みた。その結果、340〜380℃の低温で単結晶InN単結晶薄膜を成長させることに成功した。1パルス当たりのTMInの供給量が0.22μmolの場合には、Inのドロップレットが発生しRHEEDはハロ-パタ-ンを示すのに対し、TMInの供給量を減少させ NH_3の供給量を増加させるとともにNH_3供給時にはH_2の供給を止めることにより、膜質を改善することができた。また、同様な条件において TMInとNH_3を同時に供給した場合、単結晶が得られなかったことから、原料ガスの交互供給がこの温度での単結晶成長に必要不可欠であることが明らかになった。 2.窒化物半導体の低温での結晶成長をサファイア以外にSi基板上においても実現するために、まず通常の高温(1050℃)で常圧MOVPE法によりSi 基板上にGaNの結晶成長を行った。Si基板上に直接GaNを成長させた場合には、膜は多結晶になり多くの粒状結晶からなることが分かった。他方、Si基板上にSiC中間層(〜200nm)を介して成長さたGaN薄膜は、表面平坦性が良好で単結晶であることが確認された。この結果、SiC中間層がSi基板上の窒化物半導体の結晶成長に重要な役割を果たすことが明らかになった。このことから結晶成長温度の低温化においても中間層の検討が必要であることが示唆された。 3.窒化物半導体による多層構造の作製およびその低温成長の可能性を探るために、まず通常の高温でGaN/Al_xGa_<1-x>Nの多層構造の成長を常圧MOVPE法により試みた。得られた膜をX線回折法等により評価した結果、4.5nm〜60nmの周期をもつ多層構造が GaN(0001)/サファイア基板上に実現していることが判明した。

  24. 高性能GaN系青色LEDの試作研究

    1987年4月 - 1989年3月

    科学研究費補助金 

    赤﨑 勇

      詳細を見る

    担当区分:研究分担者 

▼全件表示

産業財産権 32

  1. 薄膜基板と半導体装置とこれらの製造方法および成膜装置および成膜方法およびGaNテンプレート

    天野 浩、本田善央、光成 正

     詳細を見る

    出願人:国立大学法人 名古屋大学

    出願番号:PCT/JP2016/000895  出願日:2016年2月

    出願国:国内  

    方向性スパッタリングを用いたSi基板上への半極性面GaNの成長法

  2. III 族窒化物半導体基板の製造方法及びIII 族窒化物半導体基板

    本田善央、天野浩、松本光二、小野俊昭

     詳細を見る

    出願人:株式会社SUMCO

    出願番号:特願2015-222503  出願日:2015年11月

    出願国:国内  

    クラックを生じさせないためのSi基板上のGaN成長法

  3. 発光ダイオード素子及びその製造方法

    上山智、天野浩、岩谷素顕、赤﨑勇、西村拓哉、寺前文晴、近藤俊行

     詳細を見る

    出願人:学校法人 名城大学

    出願番号:2009-080543  出願日:2009年3月

    特許番号/登録番号:5330880  登録日:2013年8月 

    出願国:国内  

  4. GaN系化合物半導体の成長方法及び成長層付き基板

    天野 浩、上山 智

     詳細を見る

    出願人:名城大学 スタンレー電気株式会社

    出願番号:特願2013-012169  出願日:2009年2月

    特許番号/登録番号:5570625  登録日:2014年7月 

    出願国:国内  

  5. 発光素子及びその製造方法

    上山智、岩谷素顕、天野浩、赤﨑勇、鈴木敦志、北野司、寺前文晴、近藤俊行

     詳細を見る

    出願人:学校法人名城大学

    出願番号:2008-283595  出願日:2008年11月

    特許番号/登録番号:5306779  登録日:2013年7月 

    出願国:国内  

  6. 2光束干渉露光装置及びそれを用いた2時限微細周期構造

     詳細を見る

    出願人:学校法人 名城大学

    出願番号:95105692  出願日:2006年2月

    特許番号/登録番号:1406101  登録日:2013年8月 

    出願国:外国  

  7. トランジスタ

    岩谷素顕、上山智、天野浩、赤﨑勇

     詳細を見る

    出願人:学校法人名城大学

    出願番号:2005-247824  出願日:2005年8月

    特許番号/登録番号:5299805  登録日:2013年6月 

    出願国:国内  

  8. 高温用CVD装置

    市岡 幹朗、禅野 由明、山本 高稔、天野 浩

     詳細を見る

    出願番号:2004-255404  出願日:2004年9月

    特許番号/登録番号:4433947  登録日:2010年1月 

    出願国:国内  

  9. 無クラックAlNまたはAlGaNの結晶成長方法

    赤﨑勇、天野浩、山口栄雄

     詳細を見る

    出願番号:2001-176365  出願日:2001年6月

    特許番号/登録番号:4183931  登録日:2008年9月 

    出願国:国内  

  10. 半導体レーザ及び半導体レーザの製造方法

    赤﨑勇、天野浩、上山智、岩谷素顕、中村亮

     詳細を見る

    出願番号:2000-198829  出願日:2000年6月

    特許番号/登録番号:3455500  登録日:2003年6月 

    出願国:国内  

  11. 半導体素子の製造方法及び半導体素子

    新田州吾、湯川洋平、小嵜正芳、

     詳細を見る

    出願番号:2000-181229  出願日:2000年6月

    特許番号/登録番号:3430206  登録日:2003年5月 

    出願国:国内  

  12. GaN/AlGaNまたはAlGaN/GaN量子井戸構造の形成方法

    赤﨑勇、天野浩、山口栄雄

     詳細を見る

    出願番号:2000-039811  出願日:2000年2月

    特許番号/登録番号:3833431  登録日:2006年7月 

    出願国:国内  

  13. 半導体の製造方法および該方法により製造した半導体素子

     詳細を見る

    出願番号:231057  出願日:1999年4月

    特許番号/登録番号:3294223  登録日:2002年4月 

    出願国:国内  

  14. p型III族窒化物半導体の製造方法

    赤﨑勇、天野浩、山口栄雄

     詳細を見る

    出願番号:288550  出願日:1998年10月

    特許番号/登録番号:3883303  登録日:2006年11月 

    出願国:国内  

  15. 3族窒化物半導体レーザダイオードの製造方法

    加藤久喜、赤﨑勇、天野浩

     詳細を見る

    出願番号:080185  出願日:1998年3月

    特許番号/登録番号:3905629  登録日:2007年1月 

    出願国:国内  

  16. 窒化ガリウム系化合物半導体の結晶成長方法

    小出典克、小池正好、赤﨑勇、天野浩

     詳細を見る

    出願番号:033178  出願日:1997年1月

    特許番号/登録番号:3946805  登録日:2007年4月 

    出願国:国内  

  17. 半導体発光素子

    小出典克、小池正好、山崎史郎、赤﨑勇、天野浩

     詳細を見る

    出願番号:257818  出願日:1996年9月

    特許番号/登録番号:3548654  登録日:2004年4月 

    出願国:国内  

  18. 3族窒化物半導体レーザダイオードの製造方法

    山崎史郎、永井誠二、小池正好、赤﨑勇、天野浩

     詳細を見る

    出願番号:207977  出願日:1996年7月

    特許番号/登録番号:3670768  登録日:2005年4月 

    出願国:国内  

  19. 3族窒化物半導体のドライエッチング方法及び素子

    山崎史郎、永井誠二、小池正好、赤﨑勇、天野浩

     詳細を見る

    出願番号:202998  出願日:1996年7月

    特許番号/登録番号:3529950  登録日:2004年3月 

    出願国:国内  

  20. 3 族窒化物半導体の映像方法及び半導体素子

    永井誠二、山崎史郎。小池正好、森茂雄、赤﨑勇、天野浩

     詳細を見る

    出願番号:025852  出願日:1996年1月

    特許番号/登録番号:3712770  登録日:2005年8月 

    出願国:国内  

  21. 3族窒化物半導体発光素子及びその製造方法

    佐々 道成、小出典克、柴田直樹、赤﨑勇、天野浩

     詳細を見る

    出願番号:328011  出願日:1995年11月

    特許番号/登録番号:3705637  登録日:2005年8月 

    出願国:国内  

  22. 3族窒化物半導体素子

     詳細を見る

    出願番号:308251  出願日:1995年10月

    特許番号/登録番号:3727091  登録日:2005年10月 

    出願国:国内  

  23. 発光素子の製造方法

    山崎史郎、永井誠二、赤﨑勇、天野浩

     詳細を見る

    出願番号:303441  出願日:1995年10月

    特許番号/登録番号:3561057  登録日:2004年6月 

    出願国:国内  

  24. 3族窒化物半導体素子の製造方法

     詳細を見る

    出願番号:279906  出願日:1994年10月

    特許番号/登録番号:3538628  登録日:2004年4月 

    出願国:国内  

  25. 発光素子

    小出典克、柴田直樹、山崎史郎、梅崎潤一、佐々道成、小池正好、赤﨑勇、天野浩

     詳細を見る

    出願番号:2003-133807  出願日:1994年7月

    特許番号/登録番号:4188750  登録日:2008年9月 

    出願国:国内  

  26. 発光素子及び製造方法

    小出典克、柴田直樹、山崎史郎、梅崎潤一、佐々道成、小池正好、赤﨑勇、天野浩

     詳細を見る

    出願番号:2003-133806  出願日:1994年7月

    特許番号/登録番号:4188749  登録日:2008年9月 

    出願国:国内  

  27. 発光素子

    小出典克、柴田直樹、山崎史郎、梅崎潤一、佐々道成、小池正好、赤﨑勇、天野浩

     詳細を見る

    出願番号:190069  出願日:1994年7月

    特許番号/登録番号:3717196  登録日:2005年9月 

    出願国:国内  

  28. 窒素―3族元素化合物半導体発光素子

    真部勝英、小池正宏、加藤久喜、田牧真人、赤﨑勇、天野浩

     詳細を見る

    出願番号:090209  出願日:1992年10月

    特許番号/登録番号:3506874  登録日:2003年12月 

    出願国:国内  

  29. 窒化ガリウム系半導体素子及びその製造方法

    竹内哲也、天野浩、赤﨑勇

     詳細を見る

    出願番号:335255  出願日:1991年12月

    特許番号/登録番号:3352712  登録日:2002年9月 

    出願国:国内  

  30. 窒化ガリウム系化合物半導体発光素子

    岡崎伸夫、真部勝英、赤﨑勇、天野浩

     詳細を見る

    出願番号:2002-027143  出願日:1990年12月

    特許番号/登録番号:3592300  登録日:2004年9月 

    出願国:国内  

  31. 窒化ガリウム系化合物半導体レーザダイオード

    岡崎伸夫、真部勝英、赤﨑勇、天野浩

     詳細を見る

    出願番号:414843  出願日:1990年12月

    特許番号/登録番号:3160914  登録日:2001年2月 

    出願国:国内  

  32. 窒化ガリウム系化合物半導体レーザダイオード

    小出 典克、山崎史郎、梅崎潤一、赤﨑勇。天野浩

     詳細を見る

    出願番号:354571 

    特許番号/登録番号:3753747  登録日:2005年12月 

    出願国:国内  

▼全件表示

 

担当経験のある科目 (本学) 18

  1. 量子理論

    2015

  2. 半導体工学

    2015

  3. 電磁気学I

    2015

  4. 電磁気学I

    2014

  5. 半導体工学

    2014

  6. 基礎セミナー

    2014

  7. 半導体工学特論

    2014

  8. 量子理論

    2014

  9. G30講義「自動車のための電子・情報技術」

    2014

  10. 半導体工学

    2013

  11. 電磁気学I

    2013

  12. 基礎セミナー

    2012

  13. 電磁気学I

    2012

  14. 半導体工学

    2012

  15. 半導体工学特論

    2012

  16. 半導体工学

    2011

  17. 量子理論

    2011

  18. 電磁気学Ⅰ

    2011

▼全件表示

担当経験のある科目 (本学以外) 2

  1. 半導体工学

    2010年4月 - 2011年3月 名城大学)

  2. デジタル電子回路

    2010年4月 - 2011年3月 名城大学)

 

社会貢献活動 13

  1. 浜松・東三河地域イノベーション戦略推進協議会 長期的教育システム研究チーム 顧問

    2016年6月 - 現在

  2. 浜松科学館 名誉館長

    2015年4月 - 2017年3月

  3. テクノ・フェア2013

    2013年9月

     詳細を見る

    9月6日テクノ・フェア2013にてパネル展示

  4. 岡崎高校スーパーサイエンススクール 大学研究室体験

    2013年8月

     詳細を見る

    8/5-8/9 岡崎高校の2名の生徒を研究室で受け入れ、LED、PV、HFETに関する講義とLEDの製造体験を行った

  5. オープンキャンパス2013

    2013年8月

     詳細を見る

    8月7日に行われたオープンキャンパス2013において、研究室公開を行った。

  6. 名城大学附属高等学校SSH運営指導委員

    2012年4月 - 現在

     詳細を見る

    名城大学附属高等学校のSSHに関する指導や運営のサポート

  7. Optics & Photonics International 2012 特別セミナー

    2012年4月

     詳細を見る

    パシフィコ横浜 2012年4月26日(木)  LT-1コース 9:30-10:55 
    専門家を相手に”窒化物LEDの基礎知識と開発動向”というタイトルで講演を行った。

  8. Electronic Journal 第1062回 Technical Seminar

    2012年3月

     詳細を見る

     理論効率60%超のInGaN系太陽電池★徹底解説 というタイトルで、企業の若手研究者等を相手にセミナーを行った。

  9. 日本学術振興会第162 委員会 第5回ワイドバンドギャップ半導体スクール

    2011年10月

     詳細を見る

    光デバイス II  (9) 紫外発光デバイスと題して、全国の大学生及び企業の若手研究者を相手に研修の講師を務めた。

  10. テクノ・フェア名大2011

    2011年9月

     詳細を見る

    世界を照らすLED と題して、基調講演を行った。

  11. SEMI FORUM Japan2011

    2011年6月

     詳細を見る

    照明用白色LEDの現状と次世代LED開発と題して、分野外の研究者・技術者に対してセミナーを行った。

  12. 日本学術振興会第162 委員会 第5回ワイドバンドギャップ半導体スクール

    2010年10月

     詳細を見る

    光デバイス II  (9) 紫外発光デバイスと題して、全国の大学生及び企業の若手研究者を相手に研修の講師を務めた。

  13. 名城大学LED共同研究センター運営委員

    2010年4月 - 現在

     詳細を見る

    名城大学LED共同研究センターの運営にかかわる援助

▼全件表示

メディア報道 21

  1. 産業春秋 新聞・雑誌

    日刊工業新聞  2022年3月

     詳細を見る

    執筆者:本人以外 

  2. パソコン用充電器2300台を名大に寄贈 新聞・雑誌

    中日新聞  2022年3月

     詳細を見る

    執筆者:本人以外 

  3. 日経SDGsフェスinどまんなか「地球規模での課題解決へ イノベーション多彩に提示」 新聞・雑誌

    日経新聞  2022年1月

     詳細を見る

    執筆者:本人以外 

  4. 持論「脱炭素時代の半導体に挑戦」 新聞・雑誌

    日経新聞  2022年1月

     詳細を見る

    執筆者:本人以外 

  5. WAYS”道を拓く” インターネットメディア

    朝日インテック  2021年12月

  6. 天野教授「若手に寄り添ってくれた」 新聞・雑誌

    中日新聞  2021年11月

     詳細を見る

    執筆者:本人以外 

  7. 青色LEDへの情熱 赤﨑勇さんしのぶ 新聞・雑誌

    朝日新聞  2021年11月

     詳細を見る

    執筆者:本人以外 

  8. 「諦めず新年貫く先生」 新聞・雑誌

    毎日新聞  2021年11月

     詳細を見る

    執筆者:本人以外 

  9. ド真ん中ジャーナル!ノーベル賞技術が再び世界をかえる!? テレビ・ラジオ番組

    NHK名古屋放送局  2021年10月

  10. 一橋ビジネスレビュー特集「日本の大学の研究力の危機を乗り越える」 新聞・雑誌

    一橋大学イノベーション研究センター  2021年9月

  11. 「こども・ニュースの授業」◎暮らし支える半導体 新聞・雑誌

    時事通信  2021年9月

     詳細を見る

    執筆者:本人以外 

  12. 社会変える戦略見劣り 新聞・雑誌

    日経新聞  2021年8月

     詳細を見る

    執筆者:本人以外 

  13. 日の丸半導体、再興への道は 識者インタビュー 新聞・雑誌

    時事通信  2021年6月

     詳細を見る

    執筆者:本人以外 

  14. 「一人荒野を行く」 新聞・雑誌

    毎日新聞  2021年6月

     詳細を見る

    執筆者:本人 

  15. For Future先端技術「「脱炭素化へ社会実装加速」 新聞・雑誌

    日刊工業新聞  2021年6月

     詳細を見る

    執筆者:本人以外 

  16. 「光でミライを変える」 テレビ・ラジオ番組

    BSテレビ東京  2021年6月

  17. 青色LED 荒野を開拓 新聞・雑誌

    読売新聞  2021年6月

     詳細を見る

    執筆者:本人以外 

  18. プロジェクト最前線「LED材料で脱炭素革命」 新聞・雑誌

    日経新聞  2021年6月

     詳細を見る

    執筆者:本人以外 

  19. (惜別)赤﨑勇さん ノーベル賞を受賞した物理学者 新聞・雑誌

    朝日新聞  2021年6月

     詳細を見る

    執筆者:本人以外 

  20. 赤﨑勇さん追悼「青色LED開発へ情熱」 新聞・雑誌

       読売新聞  2021年4月

     詳細を見る

    執筆者:本人以外 

  21. Japanese Scientist Isamu Akasaki Helped Create LED Technology 新聞・雑誌

    Wall Street Journal  2021年4月

     詳細を見る

    執筆者:本人以外 

▼全件表示