Updated on 2024/04/11

写真a

 
TOYODA, Hirotaka
 
Organization
Graduate School of Engineering Electronics 1 Professor
Graduate School
Graduate School of Engineering
Undergraduate School
School of Engineering Electrical Engineering, Electronics, and Information Engineering
Title
Professor
Contact information
メールアドレス

Degree 1

  1. Doctor of Engineering ( 1988.3   Nagoya University ) 

Research Interests 1

  1. Plasma Science and Technology

Research Areas 2

  1. Others / Others  / Plasma Science

  2. Others / Others  / Atomic/Molecular/Quantum Electronics, Plasma

Current Research Project and SDGs 6

  1. Large area process by atmospheric pressure microwave line plasma

  2. Charging process on the hole bottom of high-aspect hole in etching plasma

  3. Frontier material processing and water purification by microwave plasma

  4. Analysis of angular distribution of high energy particles in etching plasma

  5. Plasma behavior of inductively/capacitively coupled plasma

  6. Surface interaction between liquid tin and hydrogen plasma

▼display all

Research History 6

  1. 自然科学研究機構 核融合科学研究所   特任教授

    2020.4

  2. 名古屋大学教授(工学研究科)   教授

    2007.4

      More details

    Country:Japan

  3. 名古屋大学助教授(工学研究科プラズマナノ工学研究センター)   助教授

    2006.10 - 2007.3

      More details

    Country:Japan

  4. 名古屋大学助教授(工学研究科)   助教授

    2000.4 - 2006.9

      More details

    Country:Japan

  5. 名古屋大学講師(工学部)   講師

    1992.4 - 2000.3

      More details

    Country:Japan

  6. 名古屋大学助手(工学部)   助手

    1988.4 - 1992.3

      More details

    Country:Japan

▼display all

Education 2

  1. Nagoya University   Graduate School, Division of Engineering   Department of Electrical Engineering

    - 1988

      More details

    Country: Japan

  2. Nagoya University   Faculty of Engineering

    - 1983

      More details

    Country: Japan

Professional Memberships 3

  1. The Institute of Electrical Engineers of Japan

  2. Japan Society of Applied Physics

  3. The Japan Society of Plasma Science and Nuclear Fusion Research

Committee Memberships 17

  1.   International Organizing Committee  

    2022.1 - 2022.12   

  2. International Symposium on Dry Process   Chair of Publication Committee  

    2022.1 - 2022.12   

  3. International Symposium on Dry Process   International Program Committee  

    2022.1 - 2022.12   

  4. 名古屋大学プラズマ研究60年記念シンポジウム   オーガナイザー  

    2021.7 - 2021.9   

  5. 応用物理学会東海支部   幹事  

    2021.4 - 2022.3   

      More details

    Committee type:Academic society

  6. International Symposium on Advanced Plasma Science and its Application to Nitorides and Nanomaterials   International Organizing Committee  

    2021.3 - 2022.3   

  7. International Symposium on Advanced Plasma Science and its Application to Nitrides and Nanomaterials   Chair of Executive Committee  

    2021.3 - 2022.3   

  8.   International Program Committee  

    2021.3 - 2022.3   

  9. International Symposium on Dry Process   International Organizing Committee  

    2021.1 - 2021.12   

  10. International Symposium on Dry Process   Vice Chair of Publication Committee  

    2021.1 - 2021.12   

  11. International Symposium on Advanced Plasma Science and its application to nitride and nanomaterials   International Organizing Committee  

    2020.4 - 2021.3   

  12. International Symposium on Dry Process   Organizing Committee  

    2020.3 - 2020.11   

  13. 応用物理学会東海支部   企画幹事  

    2019.4 - 2020.4   

      More details

    Committee type:Academic society

  14. プラズマ・核融合学会   副会長  

    2018.6 - 2020.5   

      More details

    Committee type:Academic society

  15. 電気学会   理事・東海支部支部長  

    2018.6 - 2020.5   

      More details

    Committee type:Academic society

  16. Microwave Discharge Workshop   International Organizing Committee  

    2018.3   

      More details

    Committee type:Academic society

  17. プラズマ・核融合学会   理事  

    2014.6 - 2018.5   

      More details

    Committee type:Academic society

▼display all

Awards 12

  1. 応用物理学会優秀論文賞

    2024.3   応用物理学会  

     More details

    Award type:International academic award (Japan or overseas)  Country:Japan

    3D-NAND に代表されるメモリ作製技術では,反応性イオンエッチングプロセスの理解が重要であるものの,プラズマ中からバイアスされた基板へのイオンや中性粒子の輸送に関する実験的検証はその測定の困難さから現在までに検討された例は少ない.本論文では,プラズマからバイアス基板へ輸送されるイオンと中性粒子の角度分布をドリフトチューブを用いた洗練された計測法により詳細に明らかにしたものである.この中で,イオンと中性粒子の角度分布は,1 度以下の鋭いピークを持つことなどを明らかにした.得られた知見は,反応性イオンエッチングの理解を深める,半導体デバイスプロセスの深化に貢献するものであるため,本論文は応用物理学会優秀論文賞にふさわしい.

  2. 応用物理学会フェロー表彰

    2020.9   公益社団法人 応用物理学会   プロセスプラズマの気相・表面解析と新規プロセスプラズマ源創出

    豊田浩孝

     More details

    Country:Japan

  3. Plasma Electronics Award

    2023.3   Japan Society of Applied Physics, Plasma Electronics Division  

     More details

    Award type:International academic award (Japan or overseas)  Country:Japan

  4. 平成22年度第4回貢献賞

    2010.11   (社)プラズマ・核融合学会  

     More details

    Country:Japan

    「平成22年度作成のプラズママップに対して」

  5. Plasma Electronics Award

    2007.3   Japan Society of Applied Physics, Plasma Electronics Division  

     More details

    Country:Japan

  6. 講演奨励賞

    2022.10   応用物理学会   二周波重畳容量結合型プラズマから 電極へ入射する高速粒子の イメージング法を用いた角度分布計測

    市川景太, 鈴木陽香, 飯野大輝, 福水裕之, 栗原一彰, 豊田浩孝

     More details

    Award type:Award from Japanese society, conference, symposium, etc.  Country:Japan

  7. Best Poster Presentation Award

    2022.3   ISPlasma2022/IC-PLANTS2022   Radical measurements of Ar/C4F8 dual frequency capacitively-coupled plasma

     More details

    Award type:Award from international society, conference, symposium, etc. 

  8. 電気学会優秀論文発表賞

    2022.1   令和3年度電気・電子・情報関係学会東海支部連合大会   イメージング法による容量結合型プラズマから電極へ入射する高速粒子の角度分布計測

    市川景太,鈴木陽香,豊田浩孝

  9. APEX/JJAP編集貢献賞

    2016.4   応用物理学会  

     More details

    Country:Japan

  10. Best Poster Presentation Award (Poster)

    2014.3   ISPlasma2014 Organizing Committee  

     More details

    Country:Japan

  11. 応用物理学会講演奨励賞

    2000  

     More details

    Country:Japan

  12. 応用物理学会講演奨励賞

    1998  

     More details

    Country:Japan

▼display all

 

Papers 151

  1. *Fine Structure of O Kinetic Energy Distribution in RF Plasma and Its Formation Mechanism Reviewed

    H.Toyoda, K. Goto, T. Ishijima, T. Morita, N. Ohshima, K. Kinoshita

    Applied Physics Express   Vol. 2 ( 12 ) page: 126001-(1-3)   2009.11

     More details

    Authorship:Last author, Corresponding author   Language:English   Publishing type:Research paper (scientific journal)  

  2. Design of Large-Area Surface Wave Plasma Excited by Slotted Waveguide Antennas with Novel Power Divider Reviewed

    Tatsuo Ishijima, Hirotaka Toyoda, Yudai Takanishi, and Hideo Sugai

    Jpn. J. Appl. Phys.   Vol. 50   page: 036002   2011.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  3. *Generation processes of super-high-energy atoms and ions in magnetron sputtering plasma Reviewed

    Y. Takagi, Y. Sakashita, H. Toyoda, and H. Sugai

    Vacuum   Vol. 80 ( 6 ) page: 581-587   2006.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  4. *Evidence of Radical-free Etching of SiO2 by Fluorocarbon Molecules under Ion Bombardment Reviewed

    N. Takada, H. Toyoda and H. Sugai

    Transacitons of the Materials Research Society of Japan   Vol. 30 ( 1 ) page: 319-322   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  5. *Suppression of Oxygen Impurity Incorporation into Silicon Films Prepared from Surface-Wave Excited H2/SiH4 Plasma Reviewed

    S. Somiya, H. Toyoda, Y. Hotta and H. Sugai

    Jpn. J. Appl. Phys.   Vol. 43 ( 11A ) page: 7696-7700   2004

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  6. Time-varying mechanism of ion composition in a pulse-modulated Ar/C<sub>4</sub>F<sub>8</sub>/O<sub>2</sub> dual-frequency capacitively coupled plasma

    Kuboi, S; Kato, H; Akatsuka, Y; Suzuki, H; Toyoda, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 63 ( 1 )   2024.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    The time-varying mechanism of the positive-ion composition in a pulse-modulated Ar/C4F8/O2 dual-frequency capacitively coupled plasma was investigated in detail using a quadrupole mass spectrometer equipped with an electrostatic energy analyzer. Time-resolved measurements of the ion composition with respect to the pulse-off period ( T off ) revealed that the electron temperature ( T e ) just after turning on the pulse is an important factor in determining the ratio of the ionization rates of the Ar+ and Cx Fy + ions. The time-varying phenomenon of the ion composition was also investigated through a numerical analysis based on the particle balance model, considering the production and loss rates of ions, ion-ion recombination processes, and ion-molecule reactions. When the VHF power ( P VHF ) was increased, a decrease in the ambipolar diffusion loss time constants of the ions ( τ loss i ) was observed, and the change in electronegativity was pointed out as the cause of this phenomenon.

    DOI: 10.35848/1347-4065/ad121f

    Web of Science

    Scopus

  7. Mass spectroscopic measurement of time-varying ion composition in a pulse-modulated Ar/C4F8/O2 dual-frequency capacitively coupled plasma International journal

    Shuichi Kuboi, Haruhito Kato, Yuto Seki, Haruka Suzuki and Hirotaka Toyoda

    Japanese Journal of Applied Physics   Vol. 62 ( SI ) page: SI1003   2023.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/acb6a1

    DOI: 10.35848/1347-4065/acb6a1

    Web of Science

  8. Polyvinyl alcohol-potassium iodide gel probe to monitor the distribution of reactive species generation around atmospheric-pressure plasma jet

    Tran, TN; Hu, M; Ogasawara, T; Iwata, Y; Suzuki, H; Sakamoto, J; Akiyoshi, M; Toyoda, H; Matsuura, H

    PLASMA SCIENCE & TECHNOLOGY   Vol. 25 ( 3 )   2023.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Plasma Science and Technology  

    This study proposes polyvinyl alcohol-potassium iodide (PVA-KI) as a novel gel chemical probe. The probe uses the reactions among PVA, KI, water, borax, and oxidative species to visualize the distribution of reactive species. This method provides information regarding the distribution of reactive species by coloration on the gel surface. The effects of the surrounding gas phase on the distribution and diffusion of the reactive species are also investigated using the PVA-KI gel probe. Further, the relationship between the irradiation distance and reactive species diffusion is determined on the surface of the PVA-KI probe with and without plastic shielding. Adjusting the irradiation distance appropriately leads to an increase in the modified area as detected by the PVA-KI gel probe analysis. The relative concentration distributions of the reactive species are also obtained from visualized color distributions measured using a colorimeter. Furthermore, reactive species generation by long-scale line plasma is confirmed by the color reaction on the PVA-KI gel surface, with a greater area being covered by an atmospheric-pressure pulsed microwave line plasma source.

    DOI: 10.1088/2058-6272/ac9891

    Web of Science

    Scopus

  9. Influence of small ground surface on plasma property in an inductively-coupled plasma with floating liner International journal

    Manabu Kyuzo, Yukinori Chiba, Haruka Suzuki and Hirotaka Toyoda

    Japanese Journal of Applied Physics   Vol. 62 ( SA ) page: SA1008-1 - SA1008-7   2023.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    Currently, the components of semiconductor processing chamber are coated with oxides to avoid metal contamination. As a result, electrical ground is hidden from the plasma. In this study, we experimentally investigate the effect of small ground surfaces in an inductively-coupled plasma (ICP) generated in an electrically floating liner. Peak-to-peak and DC voltages at a biasing stage and a floating liner are measured as a function of stage peak-to-peak voltage for various ICP powers and the ground surface areas. The results show that the stage and liner voltages vary with changing ground surface area due to a change in sheath thickness. The analytical plasma potentials, calculated from the experimental values are compared with the simulation results, show good agreement. It is suggested that under low biased voltage and high ICP power conditions, such as in the atomic layer etching process, the small ground surface affects the potential structure in the chamber.

    DOI: 10.35848/1347-4065/ac7e19

    DOI: 10.35848/1347-4065/ac7e19

    Web of Science

    Scopus

    Other Link: https://iopscience.iop.org/article/10.35848/1347-4065/ac7e19

  10. Experimental study on boron distribution and transport at plasma-facing components during impurity powder dropping in the Large Helical Device Reviewed

    NUCLEAR FUSION   Vol. 62 ( 12 )   2022.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1741-4326/ac9ac6

    Web of Science

    Scopus

  11. Bubbling phenomenon of liquidized Sn-Bi-Li-Er alloy under hydrogen plasma exposure Reviewed

    Tamura Kota, Miyazawa Junichi, Masuzaki Suguru, Tokitani Masayuki, Hamaji Yukinori, Toyoda Hirotaka

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 61 ( 10 ) page: 106005   2022.10

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ac92b1

    Web of Science

    Scopus

  12. Dry Process

    Japanese Journal of Applied Physics   Vol. 61 ( SI )   2022.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ac6726

    Scopus

  13. High-speed hydrophilic and ashing treatments of polyimide using Ar/O-2 atmospheric-pressure microwave line plasma Reviewed

    Japanese Journal of Applied Physics   Vol. 61 ( SI ) page: 1008-1 - 1008-5   2022.7

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ac64e2

    Web of Science

  14. Enhancement of the ion flux to the substrate through high-voltage biasing in an electron cyclotron resonance plasma and its application to high-speed deposition of conductive carbon film Reviewed

    Bae Hansin, Hamaguchi Ikumi, Sasai Kensuke, Suzuki Haruka, Toyoda Hirotaka

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 60 ( 12 )   2021.12

     More details

    Authorship:Last author, Corresponding author   Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japanese Journal of Applied Physics  

    A method for enhancing ion flux to the substrate via high-voltage pulse biasing is investigated in an electron cyclotron resonance plasma. When high-voltage pulse biases above 500 V are applied to the stage, an increase in the stage current is observed, especially in the case of diverging magnetic field configurations in front of the bias stage. The growth and decay time constants of the plasma density and emission intensity are evaluated using a time-resolved Langmuir probe and emission spectroscopy while the pulse is on, and the enhancement of the ionization rate during the bias application is estimated using the zero-dimensional global model. The estimated density enhancement from the model is in good agreement with the measured one. From the numerical simulation of secondary electron trajectory, it is concluded that the electron confinement from the magnetic field is the key factor in plasma density enhancement during stage biasing. Using the high-density plasma produced by the bias voltage, conductive carbon is deposited at a high deposition rate of ∼4 nm s-1.

    DOI: 10.35848/1347-4065/ac32b6

    Web of Science

    Scopus

  15. Angular distribution measurement of high-energy argon neutral and ion in a 13.56 MHz capacitively-coupled plasma Reviewed

    Keita Ichikawa, Manh Hung CHU, Makoto Moriyama, Naoya Nakahara, Haruka Suzuki, Daiki Iino, Hiroyuki Fukumizu, Kazuaki KURIHARA and Hirotaka TOYODA

    Applied Physics Express   Vol. 14 ( 126001 ) page: 126001-1 - 126001-5   2021.11

     More details

    Authorship:Last author, Corresponding author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: https://doi.org/10.35848/1882-0786/ac33c4

  16. High-speed deposition of graphite-like carbon film by Ar/C6H6 surface-wave plasma with high-voltage pulse biasing Reviewed

    Hansin BAE,Kensuke Sasai,Haruka Suzuki,Hirotaka Toyoda

    Vacuum   Vol. 192   page: 110429-1 - 110429-8   2021.10

     More details

    Authorship:Last author, Corresponding author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.vacuum.2021.110429

    Web of Science

    Scopus

  17. Quantitative evaluation of hydrogen retention of solid tin after exposure to hydrogen plasma Reviewed

    Kota Tamura,Haruka Suzuki, Junichi Miyazawa,Suguru Masuzaki,Hirotaka Toyoda

    Fusion Engineering and Design   Vol. 170 ( September 112532 ) page: 112532-1 - 112532-6   2021.9

     More details

    Authorship:Last author, Corresponding author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.fusengdes.2021.112532

    Scopus

  18. Spatial Uniformity Evaluation of Atmospheric-Pressure Microwave Line Plasma for Wide-Area Surface Treatment Reviewed

    Haruka SUZUKI, Hirotsugu KOMA, Tomohiro OGASAWARA, Yosuke KOIKE and Hirotaka TOYODA

    Plasma and Fusion Research   Vol. 16 ( 0 ) page: 1406046 - 1406046   2021.4

     More details

    Authorship:Last author, Corresponding author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1585/pfr.16.1406046

    Web of Science

  19. Time-dependent measurement of charge density on the bottom of high aspect capillary hole in pulse-modulated VHF capacitively coupled Ar plasma Reviewed

    Makoto Moriyama, Naoya Nakahara, Kazuaki Kurihara, Daiki Iino, Hiroyuki Fukumizu, Haruka Suzuki, and Hirotaka Toyoda

    Japanese Journal of Applied Physics   Vol. 60 ( 016001 ) page: 016001-1 - 016001-5   2021.1

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    harging and discharging behavior of high aspect-ratio (AR) hole capillary plate (CP) exposed to a pulse-modulated very high frequency (VHF) capacitively-coupled plasma is investigated. From an equivalent circuit model, time-dependent charge density on the bottom of the CP is quantitatively evaluated. AR of the CP plays very important role for the charging current, although the discharge current is dominated by the leakage current of the CP. Importance of electron current flowing into the CP bottom during the VHF pulse-on phase is suggested at higher self-bias voltages.

    DOI: 10.35848/1347-4065/abd0ca

  20. 長尺大気圧マイクロ波プラズマの生成と表面処理への応用

    豊田 浩孝、鈴木 陽香

    表面技術   Vol. 71 ( 10 ) page: 624-628   2020.10

     More details

    Authorship:Lead author   Language:Japanese  

  21. Evaluation of absolute charge density at the bottom of high aspect capillary holes exposed to a pulsed very high frequency plasma

    Makoto Moriyama1*, Naoya Nakahara1, Akihiro Mitsuya1, Haruka Suzuki1 , Kazuaki Kurihara2, Daiki Iino2, Hiroyuki Fukumizu2, and Hirotaka Toyoda1,3

    Japanese Journal of Applied Physics   Vol. 59   page: SJJB03   2020.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  22. Atmospheric-pressure microwave line plasma with an asymmetric cross-section waveguide for long-scale N2 plasma production

    Haruka Suzuki1, Yuto Tamura1, Manh Hung Chu2 and Hirotaka Toyoda1

    Japanese Journal of Applied Physics   Vol. 59 ( 016002 ) page: 016002-1-016002-6   2020.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  23. Microwave plasma

    Toyoda H.

    RF Power Semiconductor Generator Application in Heating and Energy Utilization     page: 181 - 194   2020.1

     More details

    Publishing type:Research paper (scientific journal)   Publisher:RF Power Semiconductor Generator Application in Heating and Energy Utilization  

    DOI: 10.1007/978-981-15-3548-2_8

    Scopus

  24. 高密度表面波励起プラズマによるフッ素樹脂の表面改質

    豊田浩孝

    機能材料   ( 12月 ) page: PP   2019.12

     More details

    Authorship:Lead author   Language:Japanese  

    DOI: PP01

  25. Rapid resist ashing by slot-excited atmospheric pressure microwave O2 plasma

    Hirotaka Toyoda1, Shota Ishikawa1, Haruka Suzuki1 and Takeshi Honda2

    Japanese Journal of Applied Physics   Vol. 58 ( 12 ) page: 126001-1-4   2019.11

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  26. 大気圧マイクロ波放電による高密度長尺ラインプラズマ Reviewed

    豊田浩孝、鈴木陽香

    応用物理   Vol. 88 ( 2 ) page: 101-104   2019.2

     More details

    Language:English  

  27. Atmospheric pressure water-vapor plasma in an air-shielded environment by water flow Reviewed

    Hikaru Senba, Haruka Suzuki and Hirotaka Toyoda

    Japanese Journal of Applied Physics   Vol. 58 ( SA ) page: pp.SAAC05-1~SAAC05-5   2018.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  28. Production of atmospheric pressure microwave plasma with dielectric half-mirror resonator and its application to polymer surface treatment

    Sasai Kensuke, Keyamura Kazuki, Suzuki Haruka, Toyoda Hirotaka

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 6 )   2018.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.066201

    Web of Science

  29. 液体処理用マイクロ波励起プラズマの生成

    豊田 浩孝

    表面と真空   Vol. 61 ( 3 ) page: 113-118   2018.3

     More details

    Authorship:Lead author   Language:Japanese  

  30. Effectiveness of plasma and radical control for the low temperature synthesis and properties of a-SiNx:H films using RF-near microwave PECVD

    Sahu Bibhuti Bhusan, Toyoda Hirotaka, Han Jeon Geon

    PHYSICS OF PLASMAS   Vol. 25 ( 2 ) page: 023511-1-14(2018)   2018.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.5016618

    Web of Science

  31. Development and plasma characterization of an 850 MHz surface-wave plasma source Reviewed

    B. B. Sahu, S. Koga, H. Toyoda, and Jeon G. Han

    AIP Advances   Vol. 7   page: 105213-1-17(2017)   2017.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4995442

  32. Quantitative evaluation of high-energy O- ion particle flux in a DC magnetron sputter plasma with an indium-tin-oxide target

    Suyama Taku, Bae Hansin, Setaka Kenta, Ogawa Hayato, Fukuoka Yushi, Suzuki Haruka, Toyoda Hirotaka

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 50 ( 44 )   2017.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aa87a1

    Web of Science

  33. An approach to line-shaped nitrogen plasma production at atmospheric pressure by slot-excited microwave discharge

    Suzuki Haruka, Toyoda Hirotaka

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 56 ( 11 )   2017.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.116001

    Web of Science

  34. 液体処理用マイクロ波励起プラズマの生成 Reviewed

    豊田浩孝

    表面と真空   Vol. 61 ( 3 ) page: 113-118   2017.2

     More details

    Authorship:Lead author   Language:Japanese  

  35. Enhancement of Liquid Treatment Efficiency by Microwave Plasma under Flow-Induced Reduced Pressure Reviewed

    Michiko Ito, Tomohiro Takahashi, Sho Takitou, Seigo Takashima, Norio Nomura, Tominori Kitagawa, Hirotaka Toyoda

    Japanese Journal of Applied Physics   Vol. 56   page: 026201-1-6   2017.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  36. Magnet-free uniform sputtering of dielectric film by RF and microwave power superposition Reviewed

    K. Sasai, T. Hagihara, T. Noda, H. Suzuki, H. Toyoda

    Jpn. J. Appl. Phys.,   Vol. 55   page: 086202 -1-5(2016)   2016.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  37. Desorption behavior of zinc atoms from zinc-sulfate solution irradiated with pulsed DC plasma Reviewed

    Takafumi Takaba, Haruka Suzuki and Hirotaka Toyoda

    Journal of Physics D: Applied Physics, Volume 49, Number 29   Vol. 49 ( 29 ) page: 295202   2016.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  38. Characteristics of an atmospheric-pressure line plasma excited by 2.45 GHz microwave travelling wave Reviewed

    Haruka Suzuki, Suguru Nakano, Hitoshi Itoh, Makoto Sekine, Masaru Hori, Hirotaka Toyoda

    Jpn. J. Appl. Phys.   ( 55 ) page: Article ID: 01AH09-1-6(2016)   2015.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  39. Low pressure sustainment of surface-wave microwave plasma with modified microwave coupler Reviewed

    Kensuke Sasai, Haruka Suzuki, Hirotaka Toyoda

    Jpn. J. Appl. Phys.   ( 55 ) page: Article ID: 016203-1-5(2016)   2015.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  40. New line plasma source excited by 2.45 GHz microwave at atmospheric pressure Reviewed

    Haruka Suzuki, Suguru Nakano, Hitoshi Itoh, Makoto Sekine, Masaru Hori and Hirotaka Toyoda

      Vol. 8 ( 3 ) page: 036001   2015.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  41. Synergistic effect of microwave plasma and ultrasonic wave on decomposition of organic compounds in water Reviewed

    Tomohiro Takahashi, Noriharu Takada, and Hirotaka Toyoda

    Japanese Journal of Applied Physics   Vol. 53 ( 7S ) page: 07KE01   2014.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  42. 酸化物ターゲットを用いたRFマグネトロンプラズマにおける高エネルギー粒子の挙動 Invited Reviewed

    豊田浩孝

    Journal of the Vacuum Society of Japan   Vol. 57 ( 3 ) page: 80-83   2014.3

     More details

    Authorship:Lead author   Language:Japanese  

  43. Influence of Si Substrate Temperature and Bias Voltage on Surface Reaction of Br Radical in HBr Inductively Coupled Plasma

    D. Iino, Y. Fujii, T. Oike, H. Toyoda

    JPS Conf. Proc.   Vol. 1   page: 015070-1-4 (2014)   2014.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  44. Influence of Oxygen Addition and Wafer Bias Voltage on Bromine Atom Surface Reaction in a HBr/Ar Inductively Coupled Plasma Reviewed

    Daiki Iino , Yasuhiro Nojiri, Keiji Suzuki, Takumi Oike, Yoshitaka Fujii, and Hirotaka Toyoda

    Japanese Journal of Applied Physics   Vol. 52 ( 11 ) page: 11NC01-1-6   2013.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  45. High H Radical Density Produced by 1-m-Long Atmospheric Pressure Microwave Plasma System Reviewed

    Hitoshi Itoh, Yusuke Kubota, Yusaku Kashiwagi, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Hirotaka Toyoda and Masaru Hori

    Jpn. J. Appl. Phys.   Vol. 52   page: 11NE01   2013.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  46. A Development of Atmospheric Pressure Plasma Equipment and Its Applications for Treatment of Ag Films Formed from Nano-Particle Ink

    H. Itoh, Y. Kubota, Y. Kashiwagi, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, H. Toyoda and M. Hori

    Journal ofPhysics:ConferenceSeries   Vol. 441   page: 012019   2013.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  47. Rapid Plasma Treatment of Polyimide for Adhesive and Durable Copper Film Deposition

    K. Usami, T. Ishijima, H. Toyoda

    Thin Solid Films   Vol. 521   page: 22-26   2012.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  48. High performance of carbon nanowall supported Pt catalyst for methanol electro-oxidation

    Chengxu Zhang , Jue Hu , Xiangke Wang , Xiaodong Zhang , Hirotaka Toyoda , Masaaki Nagatsu , Yuedong Meng

    CARBON   Vol. 50   page: 3731–3738   2012.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  49. Nitriding of Polymer by Low Energy Nitrogen Neutral Beam Source Reviewed

    Yasuhiro Hara , Keigo Takeda, Koji Yamakawa, Shoji Den, Hirotaka Toyoda, Makoto Sekine, and Masaru Hori

    Applied Physics Express   Vol. 5   page: 035801   2012.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  50. Characterization of a steam plasma jet at atmospheric pressure

    Guohua Ni, Peng Zhao, Cheng Cheng, Ye Song, Hirotaka Toyoda and Yuedong Meng

    Plasma Sources Sci. Technol.   Vol. 21   page: 015009 (12pp)   2012.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  51. Microphase separated hydroxide exchange membrane synthesis by a novel plasma copolymerization approach

    Chengxu Zhang, Jue Hua, Xiangke Wang, Hirotaka Toyoda, Masaaki Nagatsu, Xiaodong Zhang, Yuedong Meng

    Journal of Power Sources   Vol. 198   page: 112– 116   2011.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  52. Spatial Profile Measurement of SiH3 Radical Flux in SiH4/H2 Microwave Plasma by Modified Appearance Mass Spectrometry Reviewed

    Toshiyuki Kuroda, Masahira Ikeda, Tatsuo Ishijima, and Hirotaka Toyoda

    Jpn. J. Appl. Phys.   Vol. 50   page: 08JB05   2011.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  53. High-Performance Plasma-Polymerized Alkaline Anion-Exchange Membranes for Potential Application in Direct Alcohol Fuel Cells

    Chengxu Zhang, Jue Hu, Masaaki Nagatsu, Yuedong Meng, Wei Shen, Hirotaka Toyoda, Xingsheng Shu

    Plasma Process. Polym.   Vol. 8   page: 1024–1032   2011.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  54. Preparation of high-performance hydroxide exchange membrane by a novel ablation restriction plasma polymerization approach

    Chengxu Zhang, Jue Hu, Yuedong Meng, Masaaki Nagatsu and Hirotaka Toyoda

    Chem. Commun.   Vol. 47   page: 10230–10232   2011.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  55. Magnetron sputtering of platinum nanoparticles onto vertically aligned carbon nanofibers for electrocatalytic oxidation of methanol

    Chengxu Zhang, Jue Hu, Masaaki Nagatsu, Xingsheng Shu, Hirotaka Toyoda, Shidong Fang, Yuedong Meng

    Electrochimica Acta   Vol. 56   page: 6033– 6040   2011.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  56. Refractory Organic Solute Decomposition in Water using Microwave Plasma Reviewed

    Tatsuo Ishijima, Ryota Saito, Hiroyasu Sugihara, and Hirotaka Toyoda

    Transactions of the Materials Research Society of Japan   Vol. 36 ( 3 ) page: 475-478   2011.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  57. Influence of temperature and pressure on solute decomposition efficiency by microwave-excited plasma Reviewed

    R. Saito , H. Sugiura , T. Ishijima, H. Toyoda

    Current Applied Physics   Vol. 11 ( 5 ) page: S195-S198   2011.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  58. Pulsed plasma-polymerized alkaline anion-exchange membranes for potential application in direct alcohol fuel cells

    Chengxu Zhang, Jue Hu, Jie Cong, Yanping Zhao, Wei Shen, Hirotaka Toyoda, Masaaki Nagatsuc, Yuedong Menga

    Journal of Power Sources   Vol. 196   page: 5386–5393   2011.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  59. Effect of O Ion Beam Irradiation during RF-Magnetron Sputtering on Characteristics of CoFeB-MgO Magnetic Tunnel Junctions Reviewed

    Kazunaga Ono, Norikazu Ohshima, Kazuya Goto, Hiroki Yamamoto, Tadashi Morita, Keizo Kinoshita, Tatsuo Ishijima, and Hirotaka Toyoda

    Jpn. J. Appl. Phys. 50 (2011) 023001   Vol. 50   page: 023001   2011.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  60. Plasma-grafted alkaline anion-exchange membranes based on polyvinyl chloride for potential application in direct alcohol fuel cell

    Jue Hu, Chengxu Zhang, Jie Cong, Hirotaka Toyoda, Masaaki Nagatsu, Yuedong Meng

      Vol. 196   page: 4483–4490   2011.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  61. Novel Antenna Coupler Design for Production of Meter-ScaleHigh-Density Planar Surface Wave Plasma Reviewed

    Tatsuo Ishijima, Yasunori Nojiri, Hirotaka Toyoda, Hideo Sugai

      Vol. 49   page: 086002   2010.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  62. Efficient production of microwave bubble plasma in water for plasma processing in liquid Reviewed

    T. Ishijima, H. Sugiura, R. Saito, H. Toyoda, H. Sugai

    Plasma Sources Sci. Technol. 015010   Vol. 19   page: 015010   2010

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  63. Spatial Variation of Negative Oxygen Ion Energy Distribution in RF Magnetron Plasma with Oxide Target Reviewed

    T. Ishijima, K. Goto, N. Ohshima, K. Kinoshita, and H. Toyoda

    Jpn. J. Appl. Phys.   Vol. 48   page: 116004   2009.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  64. メタルスパッタリングプラズマの高度化とその最新動向

    豊田浩孝(分担執筆)

    電気学会技術報告書   Vol. 1162   page: pp.11~14   2009.7

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  65. Rapid treatment of polyimide film surfaces using high-density microwave plasma for enhancement of Cu layer adhesion Reviewed

    Y. Takagi , Y. Gunjo , H. Toyoda , H. Sugai

    Vacuum,   Vol. 83   page: 501–505   2008.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  66. Effect of Sputtering Deposition Process on Magnetic Properties on Magnetic Multilayers Reviewed

    Y. Maeda, Y. Suzuki, Y. Sakashita, S. Iwata, T. Kato, S. Tsunashima, H. Toyoda and H. Sugai

    Jpn. J. Appl. Phys.   Vol. 47 ( 10 ) page: 7879-7885   2008.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  67. Surface Modification of Fluorocarbon Polymer Film by High Density Microwave Plasma Reviewed

    K. Ishikawa, T. ishijima, K. Sasai, H. Toyoda and H. Sugai

    Transactions of the Materials Research Society of Japan   Vol. 33 ( 3 ) page: 683-686   2008.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  68. Characteristics of Low-energy Atom and Molecule Beams Generated by the Charge Exchange Reaction Reviewed

    Y. Hara, S. Takashima, K. Yamakawa, S. Den, H. Toyoda, M. Sekine, M. Hori

    J. Appl. Phys.   Vol. 103 ( 5 ) page: 053301 1-5   2008.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  69. Deposition of polycrystalline SiGe by surface wave excited plasma Reviewed

    Y. Takanishi, T. Okayasu, H. Toyoda, H. Sugai

    Thin Solid Films Vol., pp.   Vol. 516   page: 3554-3557   2008.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  70. スパッタプラズマ中の高エネルギー粒子計測と制御 Reviewed

    豊田浩孝

    真空   Vol. 51 ( 4 ) page: 258-263   2008.4

     More details

    Authorship:Lead author   Language:Japanese  

  71. Suppression of Super High-Energy Species by VHF-DC Superimposed Magnetron Sputter Plasma Reviewed

    Y. Sakashita, Y. Takagi, T. Kato, H. Toyoda, S. Iwata, S. Tsunashima and H. Sugai

    Transaction of Materials Research Society of Japan   Vol. 32 ( 2 ) page: 481-484   2007.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  72. Tunable Low-energy Ar Fast Atom Source with Large Diameter Reviewed

    Y. Hara, S. Takashima, K. Yamakawa, S. Den, H. Toyoda, M. Hori

    Appl. Phys.Lett.   Vol. 91 ( 23 ) page: 23502 1-3   2007.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  73. Suppression of High-Energy Backscattered Particles in Magnetron Plasma Reviewed

    Yusuke Takagi, Hirotaka Toyoda, and Hideo Sugai

    Jpn. J. Appl. Phys.   Vol. 46 ( 12 ) page: 7865-7869   2007.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  74. High-Speed μc-Si Films Deposition and Large-Grain Poly-Si Films Deposition by Surface Wave Discharge Reviewed

    Y. Hotta, H. Toyoda and H. Sugai

    Thin Solid Films   Vol. 515   page: 4983-4987   2007.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  75. Role of atomic nitrogen during GaN growth by plasma-assisted molecular beam epitaxy revealed by appearance mass spectrometry Reviewed

    J. Osaka, M. Senthil Kumar, H. Toyoda, T. Ishijima, H. Sugai and T. Mizutani

    APPLIED PHYSICS LETTERS   Vol. 90   page: 172114 1-3   2007.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  76. Investigation of the ion dese non-uniformity caused by sheath-lens focusing effect on silicon wafers Reviewed

    N. Holtzer , E. Stamate, H. toyoda and H. Sugai

    Thin Solid Films   Vol. 515   page: 4887-4891   2007.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  77. Dependence of Giant Magnetoresitance in Cn/Cu Multilayers on Sputtering Condition Reviewed

    Changchuan Chen, Y. Suzuki, T. Kato. S. Iwata, S. Tsunashima, H. Toyoda, H. Sugai

    Japanese Juenal of Applied Physics   Vol. 45 ( 10B ) page: 8466-8468   2006.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  78. Generation processes of super-high-energy atoms and ions in magnetron sputtering plasma Reviewed

    Y. Takagi, Y. Sakashita, H. Toyoda, and H. Sugai

    Vacuum   Vol. 80 ( 6 ) page: 581-587   2006.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  79. バイアス光プローブによる反応性プラズマのEEDF測定 Reviewed

    豊田浩孝

    プラズマ・核融合学会誌   Vol. 81 ( 7 ) page: 496-501   2005

     More details

    Authorship:Lead author   Language:Japanese  

  80. High-energy ions and atoms sputtered and reflected from magnetron source for deposition of magnetic thin films Reviewed

    H. Matsui, H. Toyoda and H. Sugai

    J. Vac. Sci. Technol. A   Vol. 23 ( 4 ) page: 671-675   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  81. Evidence of Direct SiO2 Etching by Fluorocarbon Molecules under Ion Bombardment Reviewed

    N. Takada, H. Toyoda, I. Murakami and H. Sugai

    Journal of Applied Physics   Vol. 97 ( 1 ) page: 013534 1-5   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  82. Evidence of Radical-free Etching of SiO2 by Fluorocarbon Molecules under Ion Bombardment Reviewed

    N. Takada, H. Toyoda and H. Sugai

    Transacitons of the Materials Research Society of Japan   Vol. 30 ( 1 ) page: 319-322   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  83. Beam Study of the Si and SiO2 Etching Processes by Energetic Fluorocarbon Ions Reviewed

    H. Toyoda, H. Morishima, R. Fukute, Y. Hori, I .Murakami and H. Sugai

    J. Appl. Phys.   Vol. 95   page: 5172-5179   2004

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  84. Dramatic Reduction of Chemical Sputtering of Graphite under Intercalation of Lithium Reviewed

    H. Yagi, H. Toyoda, H. Sugai

    J. Nucl. Mater.   Vol. 313-316   page: 284-287   2003

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  85. Wall Heating Effect on Crystallization of Low-Temperature Deposited Silicon Films from an Inductively-Coupled Plasma Reviewed

    T. Kojima, A. Ohishi, H. Toyoda, M. Goto, M. Nishitani and H. Sugai

    Jpn. J. Appl. Phys.   Vol. 40 ( 1 ) page: 322-325   2001

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  86. Rapid Diffusion of Lithium into Bulk Graphite in Lithium Conditioning Reviewed

    N. Itou, H. Toyoda, K. Morita and H. Sugai

    J. Nucl. Mater.   Vol. 290-293   page: 381-385   2001

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  87. Radial Density Profile of Microwave Plasma for Wall Conditioning in a Purely Toroidal Magnetic Field Reviewed

    H. Sugai, H. Toyoda, J. Ihde and J. Winter

    J. Plasma and Fusion Research   Vol. 77 ( 2 ) page: 130-136   2001

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  88. Electron Energy Distribution Function and the Influence on Fluorocarbon Plasma Chemistry Reviewed

    H. Sugai, I. Ghanashev, M. Hosokawa, K. Mizuno, K. Nakamura, H. Toyoda and K. Yamauchi

    Plasma Sources Sci. Technol   Vol. 10   page: 378-385   2001

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  89. Measurement of Electron-Impact-Dissociation Cross Section for Neutral Products Reviewed

    H. Sugai, H. Toyoda

    AIP Conf. Proc.   Vol. 500   page: 349-358   2000

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  90. Laboratory Experiment on Lithium Chemistry and its Application to Effective Wall Conditioning Reviewed

    S. Kato, M. Watanabe, H. Toyoda, H. Sugai

    J. Nucl. Mater.   Vol. 266-269   page: 406-411   1999

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  91. Mechanism of Carbon Impurity Suppression by Lithium Conditioning Reviewed

    J. Plasma and Fusion Research   Vol. 75 ( 4 ) page: 459-466   1999

     More details

    Authorship:Lead author   Language:Japanese   Publishing type:Research paper (scientific journal)  

  92. Beam Experiments on Radical Production in Gas Phase and on Solid Surfaces Reviewed

    J. Plasma and Fusion Research   Vol. 75 ( 7 ) page: 779-784   1999

     More details

    Authorship:Lead author   Language:Japanese   Publishing type:Research paper (scientific journal)  

  93. PSI in Fusion Devices -Function and Perspective of Wall Conditioning

    J. Plasma and Fusion Research   Vol. 75 ( 4 ) page: 403-408   1999

     More details

    Authorship:Lead author   Language:Japanese   Publishing type:Research paper (scientific journal)  

  94. Lower Temperature Deposition of Poly-Crystalline Silicon Films from a Modified Inductively-Coupled Silane Plasma

    K. Goshima, H. Toyoda, T. Kojima, M. Nishitani, M. Kitagawa, H. Yamazoe, H. Sugai

    Jpn. J. Appl. Phys.   Vol. 38 ( 6A ) page: 3655-3659   1999

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  95. High Sensitivity Optical Absorption Spectroscopy by Optical Multichannel Analyzer Reviewed

    OYO-BUTURI   Vol. 68 ( 5 ) page: 551-552   1999

     More details

    Authorship:Lead author   Language:Japanese   Publishing type:Research paper (scientific journal)  

  96. Cross Sections for Electron-Impact Dissociation of Alternative Etching Gas, C3HF70 Reviewed

    H. Tanaka, H. Toyoda, H. Sugai

    Jpn. J. Appl. Phys.   Vol. 37 ( 9A ) page: 5053-5059   1998

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  97. Observation of Surface Dissociation of Low-energy Polyatomic Ions Relevant to Plasma Processing

    H. Sugai, Y. Mitsuoka, H. Toyoda

    J. Vac. Sci. Technol.   Vol. 16 ( 1 ) page: 290-293   1998

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  98. Basic Experiments on in-situ Magnetized Boronization by Electron Cycrotron Resonance Discharges Reviewed

    H. Kokura, K. Sasaki, H. Toyoda, T. Mizuuchi, K. Kondo, F. Sano, T. Obiki, H. Sugai

    J. Nucl. Mater.   Vol. 241-243   page: 1217-1221   1997

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  99. Diagnostics for Advanced Plasma Control of Materials Processing Reviewed

    H. Sugai, T.H. Ahn, I. Ghanashev, M. Goto, H. Toyoda, N. Nagatsu, K. Nakamura, K. Suzuki

    Plasma Phys. Control. Fusion   Vol. 39   page: A445-458   1997

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  100. Low Temperature Growth of Amorphous and Polycrystalline Silicon Films from a Modified Inductively Coupled Plasmas Reviewed

    M. Goto, H. Toyoda, M. Kitagawa, T. Hirao, H. Sugai

    Japanese Journal of Applied Physics   Vol. 36 ( 6A ) page: 3714-3720   1997

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  101. Cross Section Measurements for Electron-Impact Dissociation of C4F8 into Neutral and Ionic Radicals Reviewed

    H. Toyoda, M. Iio, H. Sugai

    Japanese Journal of Applied Physics   Vol. 36 ( 6A ) page: 3730-3735   1997

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  102. Laboratory Expercment on hydrogen and impurity behaviors in lithium-deposited environment

    H. Toyoda, M. Watanabe, H. Sugai

    Journal of Nuclear Materials   Vol. 241-243   page: 1031-1035   1997

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  103. Lithium Wall Conditioning for Fuel and Impurity Control Reviewed

    H. Sugai, M. Ohori, H. Toyoda

    Vacuum   Vol. 47 ( 6-9 ) page: 981-984   1996

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  104. Lower Temperature Growth of Hydrogenated Amorphous Silicon Films from Inductivety Coupled Silane Plasma

    M. Goto, H. Toyoda, M. Kitagawa, T. Hirao, H. Sugai

    Japanese Journal of Applied Physics   Vol. 35 ( 8A ) page: L1009-1011   1996

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  105. Dissociative Ion Yields on Metal Surfaces Bombarded with Low-Energy Fluorocarbon Ions. Reviewed

    Y. Mitsuoka, H. Toyoda, H. Sugai

    Jpn. J. Appl. Phys.   Vol. 34 ( 11A ) page: L1486-1489   1995

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  106. Hydrogen Content and Isotope Exchange in Boronization Reviewed

    M. Yamage, H. Sugai, T. Saito, H. Toyoda, M. Saidoh, N. Ogiwara, T. Mizuuchi, F. Sano, et al.

    J. Nucl. Mater.   Vol. 220-222   page: 743-747   1995

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  107. Observation of Ion Scattering from Metals Surfaces Bombarded with Low-Energy Hydrocarbon Ions Reviewed

    Y. Mitsuoka, H. Toyoda, H. Sugai

    Jpn. J. Appl. Phys.   Vol. 34 ( 4B ) page: L516-519   1995

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  108. Wall Conditioning with Lithium Evaporation Reviewed

    H. Sugai, H. Toyoda, K. Nakamura, K. Furuta, M. Ohori, K. Toi, S. Hirokura, K. Sato

    J. Nucl. Mater.   Vol. 220-222   page: 254   1995

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  109. Simple Direct Monitoring of SiH3 Radical and Particulates in a Silane Plasma with Ultra-Violet Transmission Spectroscopy Reviewed

    H. Toyoda, M. Goto, M. Kitagawa, T. Hirao, H. Sugai

    Jpn. J. Appl. Phys.   Vol. 34 ( 4A ) page: L448-451   1995

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  110. A Biased Optical Probe Method for Measurements of Electron Enaergy Distribution in a Plasma

    H. Sugai, H. Toyoda, K. Nakano, N. Isomura

    Journal of Plasma Sources, Science and Technoloy   Vol. 4   page: 366372   1995

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  111. Boronization Study for Application to Large Helical Device

    N. Noda, A. Sagara, H. Yamada, H. Toyoda, H. Sugai, Y. Kubota, N. Inoue, K. Akaishi,, et al.

    J. Nucl. Mater.   Vol. 220-222   page: 623-626   1995

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  112. Relative Cross Sections for Electron-Impact Dissociation of SF6 into SFx(x=1-3) Neutral Radicals Reviewed

    M. Iio, M. Goto, H. Toyoda, H. Sugai

    Contribution to Plasma Physics   Vol. 35 ( 4-5 ) page: 405-413   1995

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  113. Absolute Cross Sections for the Electron-Impact Dissociation of CF4 and CHF3 into the CFx (x=1-3) Neutral Radicals Reviewed

    H. Sugai, H. Toyoda, T. Nakano, M. Goto

    Contribution to Plasma Physics   Vol. 35 ( 4-5 ) page: 415-420   1995

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  114. CCH3 and CH Densities in a Diamond Growth DC Discharge Reviewed

    K.L. Menningen, M.A. Childs, H. Toyoda, Y. Ueda, L.W. Anderson, J.E. .Lawler

    Contribution to Plasma Physics   Vol. 35 ( 4-5 ) page: 359-373   1995

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  115. Measurement of CH3 and CH Densities in a Diamond Growth d. c. Discharge Reviewed

    M.A. Childs, K.L. Menningen, H. Toyoda, L.W. Anderson, J.E. Lawler

    Europhys. Lett.   Vol. 25 ( 9 ) page: 729-734   1994

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  116. Cross Section Measurements for Electron-Impact Dissociation of CHF3 into Neutral and Ionic Radicals, Reviewed

    M. Goto, K. Nakamura, H. Toyoda, H. Sugai

    Jpn. J. Appl. Phys.,   Vol. 33 ( 6A ) page: 3602-3607   1994

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  117. Measurement of Absolute Hydrogen Dissociation in a Diamond Deposition System Reviewed

    M.A. Childs, K.L. Menningen, H. Toyoda, Y. Ueda, L.W. Anderson, J.E. Lawler

    Phys. Lett. A   Vol. 194   page: 119123   1994

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  118. Ultraviolet Spectroscopy of Gaseous Spacies in a Hot Filament Diamond Deposition System When C2H2 and H2 are the Input Gases. Reviewed

    H. Toyoda, M.A. Childs, K.L. Menningen, L.W. Anderson, J.E. Lawler

    J. Appl. Phys.   Vol. 75 ( 6 ) page: 3142-3150   1994

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  119. Absolute Radical Density Measurements in a CH4-H2 d.c. Discharge Reviewed

    K.L. Menningen, M.A. Childs, H. Toyoda, Y. Ueda, L.W. Anderson, J.E. Lawler

    Diamond and Related Mater   Vol. 3   page: 422-425   1994

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  120. Evaluation of a Substrate Pretreatment for Hot Filament CVD Reviewed

    K.L. Menningen, M.A. Childs, H. Toyoda, L.W. Anderson, J.E. Lawler

    J. Mater. Res.   Vol. 9 ( 4 ) page: 915-920   1994

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  121. Plasma-assisted Surface Modification and Radical Diagnostics Reviewed

    H. Sugai, M. Yamage, Y. Hikosaka, T. Nakano, H. Toyoda

    J. Nucl. Mater   Vol. 200   page: 403-411   1993

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  122. Methyl Radical Production in a Hot Filament CVD System Reviewed

    K.L. Menningen, M.A. Childs, P. Chevako, H. Toyoda, L.W. Anderson, J.E. Lawler

    Chem. Phys. Lett   Vol. 204 ( 5-6 ) page: 573-577   1993

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  123. Spatial Distribution and Surface Loss of CF3 and CF2 Radicals in a CF4 Etching Plasma Reviewed

    Y. Hikosaka, H. Toyoda, H. Sugai

    Jpn. J. Appl. Phys   Vol. 32 ( 3A ) page: L353-L356   1993

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  124. Drastic Change in CF2 and CF3 Kinetics Induced by Hydrogen Addition into CF4 Etching Plasma Reviewed

    Y. Hikosaka, H. Toyoda, H. Sugai

    Japanese J. Appl. Phys   Vol. 32 ( 5A ) page: L690-L693   1993

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  125. Appearance Mass Spectrometry of Neutral Radicals in Radio Frequency Plasmas Reviewed

    H. Sugai, H. Toyoda

    Journal of Vacuum Science and Technology   Vol. A10 ( 4 ) page: 1193-12004   1992

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  126. In-situ Boron Nitride Coating and Comparison with Existing Boronization Reviewed

    M. Yamage, T. Ejima, H. Toyoda, H. Sugai

    J. Nucl. Mater.   Vol. 196-198   page: 618-621   1992

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  127. プラズマ界面における異常発光とフラグメンテーション Reviewed

    片寄恭一, 豊田浩孝, 菅井秀郎

    核融合研究   Vol. 67 ( 1 ) page: 51-61   1992

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  128. High Speed Cleaning of Boronized Wall with a CF4 Containing Plasma Reviewed

    H. Toyoda, A. Hanami, M. Yamage, H. Sugai

    Japanese Journal of Applied Physics   Vol. 30 ( 3B ) page: L514-517   1991

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  129. Electron Impact Dissociation of Methane into CH3 and CH2 Radicals, II. Absolute Cross Sections Reviewed

    T. Nakano, H. Toyoda, H. Sugai

    Jpn. J. Appl. Phys.   Vol. 30 ( 11A ) page: 2912-2915   1991

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  130. Electron Impact Dissociation of Methane into CH3 and CH2 Radicals, I. Relative Cross Sections Reviewed

    T. Nakano, H. Toyoda, H. Sugai

    Jpn. J. Appl. Phys.   Vol. 30 ( 11A ) page: 2908-2911   1991

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  131. Interactions of Bulk-Boronized Graphites with Deuterium Plasmas in the PISCES-B Facility Reviewed

    Y. Hirooka, R.W. Conn, H. Toyoda, H. Sugai et al.

    Fusion Technol   Vol. 19   page: 2059-2069   1991

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  132. Spatial Distribution of CH2 and CH2 Radicals in a Methane RF Discharge Reviewed

    H. Sugai, H. Kojima, A. Ishida, H. Toyoda

    Applied Physics Letters   Vol. 56 ( 26 ) page: 2616-2618   1990

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  133. Rapid Cleaning of Boronized Walls with a Chlorine/Hydrogen Glow Discharge Reviewed

    H. Toyoda, A. Hanami, H. Sugai

    Jpn. J. Appl. Phys.   Vol. 29 ( 7 ) page: 1322-1323   1990

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  134. RF Plasma Production at Ultralow Pressure with Surface Magnetic Confinement Reviewed

    T. Shirakawa, H. Toyoda, H. Sugai

    Jpn. J. Appl. Phys.   Vol. 29 ( 6 ) page: L1015-1018   1990

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  135. Ion-Induced Radical Production on Surfaces during Deposition of Hydrogenated Amorphous Carbon Reviewed

    Y. Yamashita, K. Katayose, H. Toyoda, H. Sugai

    Journal of Applied Physics   Vol. 68 ( 7 ) page: 3735-3737   1990

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  136. Effects of Carbon Wall on the Bahaviour of Heliotron-E Plasmas Reviewed

    N. Noda, T. Mizuuchi, H. Toyoda, S. Yoshida, H. Sugai et al.

    J. Nucl. Mater.   Vol. 162-164   page: 769-775   1989

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  137. Hydrogen Release and Retention Dynamics of Amorphous Carbon Layers Exposed to a Hydrogen/Helium Plasma Reviewed

    S. Yoshida, H. Sugai, H. Toyoda

    Jpn. J. Appl. Phys   Vol. 28 ( 6 ) page: 1101-1108   1989

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  138. Genaration of a Large Electron Beam for Plasma Processing, Reviewed

    H. Sugai, N. Yabuoshi, H. Toyoda

    Jpn. J. Appl. Phys.   Vol. 28 ( 5 ) page: L868-870   1989

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  139. Hydrogen Recycling Control by Helium Ion Bombardment onto Carbonized Surfaces Reviewed

    H. Sugai, H. Toyoda, S. Ohshita, S. Yoshida, A. Sagara

    J. Nucl. Meter.   Vol. 162-164   page: 1035-1039   1989

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  140. Carbonization Experiment by ECR Discharge in JIPPT-IIU Reviewed

    Y.Sakamoto, H. Amemiya, H. Toyoda, H. Sugai et al.

    J. Nucl. Mater.   Vol. 162-164   page: 783-786   1989

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  141. Mass Spectroscopic Investigation of the CH3 Radicals in a Methane RF Discharge Reviewed

    H. Toyoda, H. Kojima, H. Sugai

    Applied Physics Letters   Vol. 54 ( 16 ) page: 1507-1509   1989

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  142. Hydrogen Retention and Release Dynamics of Amorphous Carbon Filsm Exposed to a Hydrogen Plasma Reviewed

    H. Sugai, S. Yoshida, H. Toyoda

    Applied Physics Letters   Vol. 54 ( 15 ) page: 1412-1414   1989

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  143. In situ Boron Coating and its Removal by Glow Discharge Processes Reviewed

    H. Toyoda, T. Isozumi, H. Sugai, T. Okuda

    Journal of Nuclear Materials   Vol. 162-164   page: 732-736   1989

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  144. Observation of CH2 Radical and Comparison with CH3 Radical in a RF Methane Discharge Reviewed

    H. Kojima, H. Toyoda, H. Sugai

    Applied Physics Letters   Vol. 55 ( 13 ) page: 1292-1294   1989

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  145. Formation of CH Radical by Surface Bombardment in a Methane/Argon DC Discharge Reviewed

    Y. Yamashita, H. Toyoda, H. Sugai

    Jpn. J. Appl. Phys.   Vol. 28 ( 9 ) page: L1647-1650   1989

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  146. Control of Hydrogen Content of Boron Films Produced by a DC Toroidal Discharge Reviewed

    H. Toyoda, H. Sugai, T. Isozumi, T. Okuda

    Applied Physics Letters   Vol. 51 ( 11 ) page: 798-800   1987

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  147. イオン束を制御した水素化アモルファスシリコンの生成と成膜過程 Reviewed

    豊田浩孝, 菅井秀郎, 吉田 明, 奥田孝美

    応用物理   Vol. 55 ( 7 ) page: 718-726   1986

     More details

    Authorship:Lead author   Language:Japanese   Publishing type:Research paper (scientific journal)  

  148. Hydrogenated Amorphous Silicon Formation by Flux Control and Hydrogen Effects on the Growth Mechanism Reviewed

    H. Toyoda, H. Sugai, K. Kato, A. Yoshida, T. Okuda

    Appl. Phys. Lett.   Vol. 48 ( 24 ) page: 1648-1650   1986

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  149. Ion and Radical Contributions to a Si : H Film Formation in a DC Toroidal Discharge Reviewed

    H. Sugai, H. Toyoda, A. Yoshida, T. Okuda

    Applied Physics Letters   Vol. 46 ( 11 ) page: 1048-1050   1985

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  150. プラズマイオン分析器の開発 Reviewed

    菅井秀郎, 小島啓明, 森 直生, 豊田浩孝, 奥田孝美

    核融合研究   Vol. 51 ( 3 ) page: 221-240   1984

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  151. A Mass Spectrometer for Boundary Plasma Diagnostics Reviewed

    H. Kojima, H. Sugai, T. Mori, H. Toyoda, T. Okuda

    J. Nucl. Mater   Vol. 128-129   page: 965-968   1984

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

▼display all

Books 4

  1. RF Power Semiconductor Generator Application in Heating and Energy Utilization

    Hirotaka Toyoda( Role: Joint author)

    2020  ( ISBN:978-981-15-3547-5

     More details

    Language:English Book type:Scholarly book

  2. メタルスパッタリングプラズマの高度化とその最新動向

    ( Role: Joint author)

    電気学会技術報告書 第1162号2009年7月 pp.11~14  2009.7 

     More details

    Language:Japanese

  3. スパッタ実務(Q&A集)

    ( Role: Joint author)

    ㈱技術情報協会  2009.1 

     More details

    Language:Japanese

  4. 最新プラズマプロセスのモニタリング技術と解析・制御

    豊田浩孝( Role: Joint author)

    リアライズ社  1997 

     More details

    Language:Japanese

Presentations 835

  1. Large volume liquid treatment with high-density microwave plasma Invited International conference

    Hirotaka Toyoda

    Plasma Thin Film International Meeting (PLATHINUM2021)  2021.9.13 

     More details

    Event date: 2021.9

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:Virtual Meeting(France)  

  2. High-energy Negative Ions in Sputtering Plasma - Energy Distribution and Its Spatial Variation - Invited International conference

    Hirotaka Toyoda

    3rd Asia-Pacific Conference on Plasma Physics 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (keynote)  

    Country:China  

  3. Interaction of liquid tin with hydrogen plasma International conference

    Hirotaka Toyoda, Kota Tamura, Haruka Suzuki, Junichi Miyazawa, Suguru Masuzaki, Masayuki Tokitani, Yukinori Hamaji

    US-Japan and International Workshop on Power and Particle Control in DEMO Fusion Reactor by Liquid Metal Plasma-Facing Components  2024.3.7  National Institute for Fusion Science

     More details

    Event date: 2024.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Toki, Gifu   Country:Japan  

  4. 高アスペクト比エッチングプラズマにおけるイオン挙動 Invited

    豊田浩孝

    サタデーモーニング講座  2023.12.9  名古屋大学低温プラズマ科学研究センター

     More details

    Event date: 2023.12

    Language:Japanese   Presentation type:Public lecture, seminar, tutorial, course, or other speech  

    Venue:名古屋   Country:Japan  

  5. 気体流による減圧形成を応⽤した放電易化におけるノズル電極の構造検討

    小川泰那, 中林賢二, 鈴木陽香, 豊田浩孝

    第40回 プラズマ・核融合学会 年会  2023.11.29  プラズマ・核融合学会

     More details

    Event date: 2023.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:盛岡市 岩手県民情報交流センター   Country:Japan  

  6. Production and Application of High-density Microwave Plasma in Contact with Flowing Liquid Invited International conference

    Haruka Suzuki, Hirotaka Toyoda

    13th Asia European International Conference on Plasma Surface Engineering  2023.11 

     More details

    Event date: 2023.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Pusan Korea   Country:Korea, Republic of  

  7. 高速ガス流を用いた弱減圧マイクロ波プラズマ生成にむけた減圧形成シミュレーション

    中林 賢二,小川 泰那,鈴木 陽香, 豊田 浩孝

    令和5年度電気・電子情報関係学会東海支部連合講演会  2023.8.29 

     More details

    Event date: 2023.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:豊橋技術科学大学   Country:Japan  

  8. 二周波容量結合型Ar/C4F8/O2パルスプラズマの電子密度時分解計測

    赤塚 勇大,加藤 閣人,久保井 宗一, 鈴木 陽香, 豊田 浩孝

    令和5年度電気・電子情報関係学会東海支部連合講演会  2023.8.29 

     More details

    Event date: 2023.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:豊橋技術科学大学   Country:Japan  

  9. トリチウムプラズマ照射による固体・液体スズ中水素分布の高精度評価

    豊田浩孝

    核融合科学研究所-富山大学研究推進機構水素同位体科学研究センター 双方向型共同研究 研究会  2023.7.17 

     More details

    Event date: 2023.7

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:富山大学    Country:Japan  

  10. Time-dependent measurement of ion composition in a capacitively-coupled Ar/C4F8/O2 power-modulated VHF plasma International conference

    H. Toyoda, H. Kato, Y. Seki, S. Kuboi, Y. Akatsuka, and H. Suzuki

    International Conference on Phenomena of Ionized Gases  2023.7.10 

     More details

    Event date: 2023.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Egmond aan Zee, The Netherlands   Country:Netherlands  

  11. Influence of Pulse-off Time on Time-varying Ion Composition in a Pulse-operated Ar/C4F8/O2 Dual-frequency Capacitively Coupled Plasma International conference

    Shuichi Kuboi, Haruhito Kato, Yuto Seki, Yudai Akatsuka, Haruka Suzuki and Hirotaka Toyoda

    International Symposium on Plasma Chemistry  2023.5.22 

     More details

    Event date: 2023.5

    Language:English   Presentation type:Poster presentation  

    Venue:Miyako-Messe, Kyoto   Country:Japan  

  12. 高アスペクト比加工におけるチャージング現象 Invited

    豊田浩孝

    グリーンDXプラズマコンソーシアム2023年度第1回講習会  2023.4.21  名古屋大学低温プラズマ科学研究センター

     More details

    Event date: 2023.4

    Language:Japanese   Presentation type:Public lecture, seminar, tutorial, course, or other speech  

    Venue:名古屋大学   Country:Japan  

  13. 二周波重畳容量結合型プラズマにおけるシース内衝突がRF電極入射粒子の角度分布に及ぼす影響

    川村 隼也,市川 景太,鈴木 陽香, 飯野大輝,福水裕之,栗原一彰,豊田浩孝

    第70回応用物理学会春季講演会  2023.3.17  応用物理学会

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京   Country:Japan  

  14. 旋回流による流動液体プラズマ処理装置の放電部の電極保護

    柚木 健吾,鈴木 陽香,笹井 建典,増崎 貴,林 浩 己,村瀬 尊則,中川 翔,柳原 悠人,豊田 浩孝

    第70回応用物理学会春季講演会  2023.3.18  応用物理学会

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京   Country:Japan  

  15. 発光イメージをもとにしたプラズマ構造の評価

    原田健汰, 久蔵学, 大館暁, 豊田浩孝

    第70回応用物理学会春季講演会  2023.3.16  応用物理学会

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京   Country:Japan  

  16. 二周波容量結合型Ar/C4F8/O2プラズマにおける電力変調方式がイオン組成に与える影響

    加藤閣人,関悠斗,久保井宗一,鈴木陽香, 豊田浩孝

    第70回応用物理学会春季講演会  2023.3.17  応用物理学会

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京   Country:Japan  

  17. 高速ガス流を用いた減圧形成によるマイクロ波放電の易化

    小川泰那,岩田悠輝,鈴木陽香,豊田浩孝

    第70回応用物理学会春季講演会  2023.3.15  応用物理学会

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:東京   Country:Japan  

  18. 大気圧プラズマによるラジカル生成に及ぼす酸素ガス混合の効果

    松浦寛人、トラントラングエン、岡本陽太、胡敏、仲野匠、岩田悠輝、鈴木陽香、豊田浩孝

    第70回応用物理学会春季講演会  2023.3.15  応用物理学会

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京   Country:Japan  

  19. C2F4の電子物性とPTFEの生成機構

    林俊雄、石川健治、関根誠、堀勝、兒玉直人,豊田浩孝

    第70回応用物理学会春季講演会  2023.3.17  応用物理学会

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京   Country:Japan  

  20. Time dependent nanoparticle density measurement in a liquid-flow plasma process International conference

    Kengo Yunoki, Haruka Suzuki, Kensuke Sasai and Hirotaka Toyoda

    ISPlasma2023/IC-PLANTS2023  2023.3.6 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  21. Microwave plasma production under air-flow-induced reduced pressure International conference

    Taina Ogawa, Yuki Iwata, Haruka Suzuki and Hirotaka Toyoda

    ISPlasma2023/IC-PLANTS2023  2023.3.6 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  22. Time Variation of Ion Composition in Dual-frequency Capacitively-Coupled Ar/C4F8/O2 Pulsed Plasma International conference

    Haruhito Kato, Yuto Seki, Shuichi Kuboi, Haruka Suzuki, and Hirotaka Toyoda

    ISPlasma2023/IC-PLANTS2023  2023.3.6 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  23. Power absorption of high negative pulse power to an electron cyclotron plasma International conference

    Ikumi Hamaguchi, Kensuke Sasai, Haruka Suzuki, Hirotaka Toyoda

    ISPlasma2023/IC-PLANTS2023  2023.3.6 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  24. Development of a tomography calculation code for three-dimensional emission profile reconstruction International conference

    Kenta Harada, Manabu Kyuzo, Satoshi Ohdachi, Hirotaka Toyoda

    ISPlasma2023/IC-PLANTS2023  2023.3.6 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  25. Atomic composition of droplet ejected from liquidized Sn-Bi-Li-Er alloy under hydrogen plasma exposure International conference

    Kota Tamura, Junichi Miyazawa, Suguru Masuzaki, Masayuki Tokitani, Yukinori Hamaji, Hirotaka Toyoda

    ISPlasma2023/IC-PLANTS2023  2023.3.6 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gifu, Japan   Country:Japan  

  26. Hydrogen bubble formation on liquidized Sn-Bi-Li-Er Alloy under H2 Plasma Exposure International conference

    Hirotaka Toyoda

    US-Japan and International Workshop on Power and Particle Control in DEMO Fusion Reactor by Liquid Metal Plasma-Facing Components  2023.3.1 

     More details

    Event date: 2023.2 - 2023.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Princeton Plasma Physics Lab., NJ, USA   Country:United States  

  27. 未来社会を担うクリーンエネルギー生成研究の最先端 Invited

    豊田浩孝

    グリーンDXプラズマコンソーシアム第4回講習会  2023.2.24  名古屋大学低温プラズマ科学研究センター

     More details

    Event date: 2023.2

    Language:Japanese   Presentation type:Public lecture, seminar, tutorial, course, or other speech  

    Venue:名古屋大学   Country:Japan  

  28. Sn-Bi-Li-Er液体合金への水素プラズマ照射における気泡中への水素吸蔵量定量評価

    豊田浩孝

    2023.2.17 

     More details

    Event date: 2023.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:土岐市   Country:Japan  

  29. パルス変調Ar/C4F8/O2二周波容量結合型プラズマにおけるイオン組成時間変化のメカニズム Invited

    久保井宗一,加藤閣人,関悠斗, 鈴木陽香, 豊田浩孝

    第242回シリコンテクノロジー分科会研究集会  2023.2.17  応用物理学会シリコンテクノロジー分科会

     More details

    Event date: 2023.2

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:東京大学本郷キャンパス   Country:Japan  

  30. Quantitative Evaluation of Hydrogen Accumulation into Bubble Occurred in Liquidized Sn-Bi-Li-Er under H2 Plasma Exposure International conference

    Kota Tamura

    The 7th INTERNATIONAL SYMPOSIUM ON LIQUID METALS APPLICATIONS FOR FUSION 

     More details

    Event date: 2022.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kasugai, Japan   Country:Japan  

  31. Imaging measurement of angular distribution of high-speed particles from a dual-frequency capacitively-coupled plasma incident on an RF electrode International conference

    K. Ichikawa, H. Suzuki, D. Iino, H. Fukumizu, K. Kurihara and H. Toyoda

    43rd International Symposium on Dry Process  2022.11.24  Japan Society of Applied Physics

     More details

    Event date: 2022.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Osaka International Convention Center   Country:Japan  

  32. Time-resolved measurement of Ionic composition change in Pulse-modulated Ar/C4F8/O2 DF-CCP International conference

    Shuichi Kuboi, Haruhito Kato, Yuto Seki, Haruka Suzuki, Hirotaka Toyoda

    43rd International Symposium on Dry Process  2022.11.24  Japan Society of Applied Physics

     More details

    Event date: 2022.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Osaka International Convention Center   Country:Japan  

  33. マイクロ波プラズマ技術による大気圧プラズマ生成と液体処理 Invited

    豊田浩孝

    第16回日本電磁波エネルギー応用学会  2022.10.14  日本電磁波エネルギー応用学会

     More details

    Event date: 2022.10

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:オンライン   Country:Japan  

  34. Microwave plasma source development and applications from low pressure to atmospheric pressure Invited International conference

    2022.10.13  Association of Asia Pacific Physical Societies, Division of Plasma Physics

     More details

    Event date: 2022.10

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:Remote  

  35. Continuous liquid treatment by high-density microwave plasma in flowing liquid Invited International conference

    Haruka Suzuki, Hirotaka Toyoda

    11th International Conference on Reactive Plasmas/75th Annual Gaseous Electronics Conference  2022.10.6  Japan Society of Applied Physics

     More details

    Event date: 2022.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Sendai International Ceneter, Sendai   Country:Japan  

  36. 二周波容量結合型Ar/C4F8/O2プラズマのパルス運転がイオン組成に及ぼす影響

    関 悠斗、加藤 閣人、久保井 宗一,鈴木 陽香, 豊田浩孝

    2022年第83回応用物理学会秋季学術講演会  2022.9.22  応用物理学会

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東北大学川内北キャンパス   Country:Japan  

  37. 二周波重畳容量結合型プラズマからRF電極へ入射する高速粒子入射角度分布のイメージング計測 Invited

    市川 景太,鈴木 陽香, 飯野大輝, 福水裕之,栗原一彰,豊田浩孝

    2022年第83回応用物理学会秋季学術講演会  2022.9.22  応用物理学会

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:東北大学川内北キャンパス   Country:Japan  

  38. 化学プローブを用いた長尺大気圧プラズマ生成活性ラジカルの可視化

    松浦寛人、トラントラングエン、胡敏、仲野匠、岩田悠揮、鈴木陽香、豊田浩孝

    2022年第83回応用物理学会秋季学術講演会  2022.9.20  応用物理学会

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東北大学川内北キャンパス   Country:Japan  

  39. Angular distribution of high-energy species on RF-biased electrode of a capacitively-coupled plasma Invited International conference

    Hirotaka Toyoda

    cLPS Global Plasma Forum  2022.9.8  Center for Low-temperature Plasma Sciences

     More details

    Event date: 2022.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Nagoya University   Country:Japan  

  40. 二周波容量結合型Ar/C4F8/O2パルスプラズマ中のイオン時分解計測

    加藤 閣人, 関 悠斗,久保井 宗一, 鈴木 陽香, 豊田 浩孝

    令和4年度電気・電子情報関係学会東海支部連合講演会  2022.8.29 

     More details

    Event date: 2022.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:中部大学 (オンライン)   Country:Japan  

  41. Experimental Study on boron distribution and transport at plasma-facing components during impurity powder dropping International coauthorship International conference

    T. Kawate, N. Ashikawa, M. Goto, T. Oishi, Y. Kawamoto, H. Toyoda, M. Shoji, G. Kawamura, S. Masuzaki, F. Nespoli, E.P. Gilson, R. Lunsford, C. Suzuki, A. Nagy, D. Gates

    15th Asia Pacific Physics Conference  Association of Asia Pacific Physical Societies

     More details

    Event date: 2022.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:On-line  

  42. 二周波重畳容量結合型プラズマから電極へ入射する高速粒子のイメージング法を用いた角度分布計測

    市川景太, 鈴木陽香, 豊田浩孝

    第69回応用物理学会春期学術講演会   2022.3.25 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

  43. Surface analysis of polyimide film treated by Ar/O2 atmospheric-pressure microwave plasma

    Y. Iwata, T. Ogasawara, H. Suzuki, H. Toyoda

    2022.3.26 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Poster presentation  

  44. 水シールド環境下でのマイクロ波励起水蒸気プラズマの生成と応用 Invited

    鈴木陽香、豊田浩孝

    第69回応用物理学会春期学術講演会   2022.3.23 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Symposium, workshop panel (nominated)  

  45. Time-resolved measurements of ions in a dual-frequency capacitively-coupled Ar/C4F8/O2 pulsed plasma by mass spectrometry

    Yuto Seki, Haruhito Kato, Schuichi Kuboi, Haruka Suzuki, Hirotaka Toyoda

    2022.3.25 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

  46. Increase in plasma density by applying negative pulse bias voltage on a substrate stage in an electron cyclotron resonance plasma

    Ikumi Hamaguchi, Hansin Bae, Kensuke Sasai2, Haruka Suzuki, and Hirotaka Toyoda

    2022.3.22 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

  47. 高周波容量結合型プラズマにおける高アスペクト比キャピラリーホール底部のチャージアップ現象 Invited

    豊田 浩孝

    応用物理学会シリコンテクノロジー分科会第234回研究集会  2022.3.15 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

  48. Bubbling Phenomenon on Liquidized Sn-Bi-Li-Er Alloy Surface under H-Plasma Exposure International conference

    Kota Tamura, Hirotaka Toyoda

    The 2nd US-Japan Workshop on Power and Particle Control in a Steady State Magnetic Fusion DEMO Reactor by Liquid Metal PFCs  2022.3.11 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Oral presentation (general)  

  49. Angular distribution of high-energy Ar ion and neutral in a 13.56 MHz capacitively-coupled plasma International conference

    Keita Ichikawa, Haruka suzuki, Hirotaka Toyoda

    ISPlasma2022/IC-PLANTS2022 09aC05O  2022.3.9 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  50. RF Voltage Division of in RF-biased High-density Plasma with Floating Liner International conference

    Yukinori Chiba, Manabu Kyuzo, Haruka Suzuki, Hirotaka Toyoda

    ISPlasma2022/IC-PLANTS2022 07P-14  2022.3.7 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  51. Radical measurements of Ar/C4F8 dual frequency capacitively-coupled plasma International conference

    Yuto Seki, Haruhito Kato, Schuichi Kuboi, Haruka Suzuki, and Hirotaka Toyoda

    ISPlasma2022/IC-PLANTS2022 08P-14  2022.3.8 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  52. Bubbling Phenomenon of Sn-Bi-Li-Er Alloy under H2 Plasma Exposure International conference

    Kota Tamura, H. Suzuki, J. Miyazawa, S. Masuzaki, M. Tokitani, H. Toyoda

    ISPlasma2022/IC-PLANTS2022 08P-21  2022.3.8 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  53. O Radical Measurement of Ar/O2 Microwave Plasma in Atmospheric Pressure International conference

    Yuki Iwata, Tomohiro Ogasawara, Haruka Suzuki and Hirotaka Toyoda

    ISPlasma2022/IC-PLANTS2022 07pC09O  2022.3.7 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  54. Plasma density increase of electron cyclotron resonance plasma by high voltage pulse power application International conference

    Ikumi Hamaguchi, Hansin Bae, Kensuke Sasai, Haruka Suzuki, and Hirotaka Toyoda

    ISPlasma2022/IC-PLANTS2022 07pC08O  2022.3.7 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  55. Influence of the magnetic field configuration on the conductive carbon film deposition in an ECR Ar/C6H6 plasma with high voltage bias

    Ikumi Hamaguchi, Hansin Bae, Kensuke Sasai, Haruka Suzuki, and Hirotaka Toyoda

     More details

    Event date: 2022.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  56. Bubbling phenomenon of melted tin-bismuth-lithium-erbium alloy during hydrogen plasma exposure

    Kota Tamura, H. Suzuki, J. Miyazawa, S. Masuzaki, M. Tokitani, H. Toyoda

    2022.1.25 

     More details

    Event date: 2022.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  57. Imaging measurement of angular distribution of high-energy particles incident on an electrode in a capacitively-coupled plasma

    Keita Ichikawa, Manh Hung Chu, Makoto Moriyama, Naoya Nakahara, Haruka Suzuki, and Hirotaka

    2022.1.25 

     More details

    Event date: 2022.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  58. Ionic and Neutral radical measurement of Ar/C4F8/O2 very high frequency capacitively-coupled plasma

    Yuto Seki, Haruhito Kato, Schuichi Kuboi, Haruka Suzuki, and Hirotaka Toyoda

    2022.1.24 

     More details

    Event date: 2022.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  59. 液体スズ合金の水素プラズマ照射における発泡現象

    田村晃汰、豊田浩孝

    「ダイバータの学理と応用」研究会  2022.1.21 

     More details

    Event date: 2022.1

    Language:Japanese   Presentation type:Oral presentation (general)  

  60. 大気圧長尺マイクロ波プラズマの連続・離散構造変化

    豊田浩孝、鈴木陽香

    「原子分子過程研究の最先端と先進的プラズマ分光計測」 「原子分子データ応用フォーラムセミナー」合同研究会  2021.12.24 

     More details

    Event date: 2021.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン   Country:Japan  

  61. High-performance Ag nanoparticle production by novel liquid-flow plasma treatment device International conference

    Kazuya Yamaguchi, Kensuke Sasai, Haruka Suzuki, Hirotaka Toyoda

    Materials Research Meeting2021(MRM2021)  2021.12.14 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Poster presentation  

  62. Conductive carbon film deposition by microwave plasma with high voltage biasing International conference

    Ikumi Hamaguchi, Hansin Bae, Kensuke Sasai, Haruka Suzuki, Hirotaka Toyoda

    Materials Research Meeting2021(MRM2021)  2021.12.16 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

  63. Spatial distribution measurement of atomic oxygen from atmospheric-pressure microwave line plasma by atomic absorption spectroscopy International conference

    Tomohiro Ogasawara, Yuki Iwata, Haruka Suzuki, Takayoshi Tsutsumi, Masaru Hori, Hirotaka Toyoda

    The 12th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology(APSPT-12)  2021.12.10 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:(Taipei On-line)   Country:Taiwan, Province of China  

  64. Influence of metal exposure on the plasma potential in RF-biased high-density plasma with floating liner International conference

    Yukinori Chiba, Manabu Kyuzo, Haruka Suzuki and Hirotaka Toyoda

    The 12th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology(APSPT-12)  2021.12.9 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:(Taipei On-line)   Country:Taiwan, Province of China  

  65. Performance evaluation of pulsed-microwave atmospheric-pressure Ar/O₂ line plasma source

    Tomohiro Ogasawara, Yuki Iwata, Haruka Suzuki, Takayoshi Tsutsumi, Masaru Hori, Hirotaka Toyoda

    2021.11.22 

     More details

    Event date: 2021.11

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  66. Measurement of sputtered atoms from Sn and Sn alloy exposed to hydrogen plasma

    Kota Tamura, Haruka Suzuki, Junichi Miyazawa, Suguru Masuzaki, Masayuki Tokitani, Hirotaka Toyoda

    2021.11.22 

     More details

    Event date: 2021.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  67. Melting of Ag nanoparticles by using a high-density surface-wave plasma

    Kazuya Hikita, Kensuke Sasai, Haruka Suzuki, Hirotaka Toyoda

    2021.11.22 

     More details

    Event date: 2021.11

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  68. Influence of small grounded surface on plasma property in an inductively-coupled plasma with floating liner International conference

    Haruka Suzuki, Makoto Moriyama, Naoya Nakahara, Keita Ichikawa, and Hirotaka Toyoda

    DPS2021 ONLINE SYMPOSIUM 42nd International Symposium on DryProcess 

     More details

    Event date: 2021.11

    Language:English   Presentation type:Oral presentation (general)  

  69. Investigation of Ar/O2 atmospheric-pressure microwave line plasma and its application to Polyimide film ashing International conference

    H. Suzuki, T. Ogasawara1, Y. Iwata and H. Toyoda

    DPS2021 ONLINE SYMPOSIUM 42nd International Symposium on DryProcess 

     More details

    Event date: 2021.11

    Language:English  

  70. High Speed sp2-rich Carbon Film Deposition with Surface-wave Microwave Plasma International conference

    H. Bae, H. Suzuki, K. Sasai and H. Toyoda

    DPS2021 ONLINE SYMPOSIUM 42nd International Symposium on DryProcess 

     More details

    Event date: 2021.11

    Language:English   Presentation type:Oral presentation (general)  

  71. Conductive carbon deposition by surface wave plasma with high voltage pulse biasing International conference

    Ikumi Hamaguchi, Hansin Bae, Haruka Suzuki,Kensuke Sasai and Hirotaka Toyoda

    Workshop Japan-RUB 2021Bridging the Pandemic: Reigniting Cooperation on Plasma Research(Germany, Online)  2021.11.25 

     More details

    Event date: 2021.10 - 2021.11

    Language:English   Presentation type:Poster presentation  

  72. Microwave application to liquid process in reduced pressure environment Invited International conference

    Hirotaka Toyoda, Kazuya Yamaguchi, Kengo Yunoki, Haruka Suzuki, Kensuke Sasai

    Workshop Japan-RUB 2021,Bridging the Pandemic: Reigniting Cooperation on Plasma Research  2021.10.29 

     More details

    Event date: 2021.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:(Germany, Online)   Country:Germany  

  73. Oxygen radical measurement in Ar/O2 atmospheric pressure microwave line plasma International conference

    Yuki Iwata, Tomohiro Ogasawara, Haruka Suzuki and Hirotaka Toyoda

    Workshop Japan-RUB 2021,Bridging the Pandemic: Reigniting Cooperation on Plasma Research  2021.11.25 

     More details

    Event date: 2021.10

    Language:English   Presentation type:Poster presentation  

    Venue:(Germany, Online)   Country:Germany  

  74. Conductive carbon deposition by surface wave plasma with high voltage pulse biasing International conference

    Ikumi Hamaguchi, Hansin Bae, Haruka Suzuki,Kensuke Sasai and Hirotaka Toyoda

    Workshop Japan-RUB 2021,Bridging the Pandemic: Reigniting Cooperation on Plasma Research  2021.11.25 

     More details

    Event date: 2021.10

    Language:English   Presentation type:Poster presentation  

    Venue:(Germany, Online)   Country:Germany  

  75. Evaluation of charge density at hole bottom of capillary plates in a pulsed dual-frequency capacitively coupled plasma International conference

    Haruka Suzuki,Makoto Moriyama,Naoya Nakahara,Hirotaka Toyoda

    74th Annual Gaseous Electronics Conference  2021.10.7 

     More details

    Event date: 2021.10

    Language:English   Presentation type:Oral presentation (general)  

  76. Highly conductive carbon film deposition by DC and microwave power superposition International conference

    Hansin Bae,Kensuke Sasai, Haruka Suzuki, Hirotaka Toyoda

    74th Annual Gaseous Electronics Conference  2021.10.5 

     More details

    Event date: 2021.10

    Language:English   Presentation type:Poster presentation  

  77. Fast and massive synthesis of silver nanoparticle by a liquid-flow plasma source using AgNO3 solution* International conference

    Haruka Suzuki,Kazuya Yamaguchi,Kensuke Sasai,Hirotaka Toyoda

    74th Annual Gaseous Electronics Conference  2021.10.5 

     More details

    Event date: 2021.10

    Language:English   Presentation type:Poster presentation  

  78. Time-resolved Measurement of Charge Density at the Bottom of High-aspect-ratio Holes in a Pulsed Capacitively Coupled Plasma Invited International conference

    Haruka Suzuki, Makoto Moriyama, Naoya Nakahara, Keita Ichikawa, and Hirotaka Toyoda

    5th Asia-Pacific Conference on Plasma Physics, 26 Sept-1Oct, 2021, Remote e-conference(AAPPS-DPP2021)  2021.9.28 

     More details

    Event date: 2021.9 - 2021.10

    Language:English   Presentation type:Oral presentation (invited, special)  

  79. Large A rea Ashing Treatment of Polyimide Film by Atmospheric pressure Pulsed Microwave Line Plasma with Ar/O 2 International conference

    T.Ogasawara, Y.Iwata, H.Suzuki and H.Toyoda

    5th Asia-Pacific Conference on Plasma Physics, 26 Sept-1Oct, 2021, Remote e-conference(AAPPS-DPP2021) 

     More details

    Event date: 2021.9 - 2021.10

    Language:English   Presentation type:Poster presentation  

  80. 流動液体プラズマ処理装置を用いたAgナノ粒子の長時間安定合成

    〇山口 和也1、鈴木 陽香1、笹井 建典1、豊田 浩孝1,2 (1.名大工、2.核融合研)

    第82回応用物理学会秋季学術講演会、22a-P08-2  2021.9.22  応用物理学会

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:オンライン開催  

  81. High conductive carbon film deposition by electron cyclotron resonance (ECR) plasma

    〇Hansin BAE1、Hamaguchi Ikumi1、Kensuke Sasai2、Haruka Suzuki1,2、Hirotaka Toyoda1,2,3 (1.Nagoya Univ.、2.Nagoya Univ. cLPS、3.NIFS)

    2021.9.12 

     More details

    Event date: 2021.9

    Language:English   Presentation type:Oral presentation (general)  

  82. イメージング法による容量結合型プラズマから電極へ入射する高速粒子の角度分布計測

    ○市川景太,鈴木陽香(名大),豊田浩孝(名大/ 核融合研)

    令和3年度電気・電子・情報関係学会東海支部連合大会、A1-7  2021.9.7 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン開催  

  83. 二周波容量結合型フルオロカーボンプラズマ中のラジカル計測

    ○関悠斗,久保井宗一,鈴木陽香(名大), 豊田浩孝(名大/ 核融合研)

    令和3年度電気・電子・情報関係学会東海支部連合大会、A1-6  2021.9.7 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン開催  

  84. 表面波プラズマを用いた高導電性炭素膜の高速堆積

    ○濱口育未,BaeHansin,笹井建典,鈴木陽香(名大), 豊田浩孝(名大/ 核融合研)

    令和3年度電気・電子・情報関係学会東海支部連合大会、A1-5  2021.9.7 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン開催  

  85. 大気圧長尺マイクロ波ラインプラズマによる広幅高速アッシング処理

    ○岩田悠揮,小笠原知裕,鈴木陽香(名大), 豊田浩孝(名大/ 核融合研)

    令和3年度電気・電子・情報関係学会東海支部連合大会、A1-4  2021.9.7 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン開催  

  86. BH and BD molecular spectroscopy during the Impurity Powder Dropper experiments International conference

    T. KAWATE, N. Ashikawa, M. Goto, T. Oishi, Y. Kawamoto, and H. Toyoda

    15th International Workshop on Hydrogen Isotopes in Fusion Reactor Materials(HWS2020) 

     More details

    Event date: 2021.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:zoom  

  87. LHDにおけるボロンを使った水素リサイクリング抑制実験

    芦川直子(核融合研、総研大)、川手朋子(核融合研、総研大)、豊田浩孝(名古屋大学)、Zhen SUN(ASIPP、PPPL)

    日本原子力学会 春の年会(2021)オンライン(早稲田大学)  2021.3.17 

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン開催   Country:Japan  

  88. High-speed Deposition of Graphite-like Carbon Film by Microwave Surface-wave Plasma

    2021.3.18 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Oral presentation (general)  

  89. International Expansion of Division of Plasma Electronics -Collaboration with GEC- Invited

    2021.3.17 

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

  90. Long-term Operation of Liquid Flow Plasma Processing Device and Its Application to Ag Nanoparticle Production

    2021.3.19 

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Poster presentation  

  91. Wide-Area Ashing Treatment by Pulsed Microwave Atmospheric Pressure Ar/O2 Plasma

    2021.3.19 

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

  92. Plasma Fluctuation b y Inse rtion of a Small Grou nded Electrode into I nductively Coupled Plasma with I nsulated L iner

    2021.3.19 

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Poster presentation  

  93. Wide-area and High-speed Deposition of Graphitic Film by Microwave Surface Wave Plasma with High Power Pulse International conference

    Hansin Bae, Haruka Suzuki, Kensuke Sasai and Hirotaka Toyoda

    ISPlasma2021/IC-PLANTS2021 09aB05O  2021.3.9 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  94. Effect of grounded electrode on plasma propety in ICP with floating liner International conference

    Manabu Kyuzo*, Yukinori Chiba, Haruka Suzuki and Hirotaka Toyoda

    2021.3.8 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

  95. Deuterium Depth Profile of Plasma-Exposed Solid/Liquid Tin International conference

    Kota Tamura,Haruka Suzuki, Suguru Masuzaki,Masayuki Tokitani, Junichi Miyazawa, Hirotaka Toyoda

    ISPlasma2021/IC-PLANTS2021 08P-38  2021.3.8 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

  96. Time-dependent Measurement of Charge Density at Bottom of High-aspect Hole in a Capacitively-coupled Plasma International conference

    Makoto Moriyama, Naoya Nakahara, Keita Ichikawa,Manh Hung Chu,Haruka Suzuki* and Hirotaka Toyoda

    ISPlasma2021/IC-PLANTS2021 08pB14O  2021.3.8 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Oral presentation (general)  

  97. Ashing Treatment of Polyimide Film by Atmospheric-pressure Microwave Line Plasma with Ar/O2 International conference

    2Haruka Suzuki*, Tomohiro Ogasawara, Yuki Iwata, and Hirotaka Toyoda

    ISPlasma2021/IC-PLANTS2021 08pB07O  2021.3.8 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Oral presentation (general)  

  98. Wide Area and High Rate Deposition of Graphitic Carbon by Surface Wave Plasma

     More details

    Event date: 2021.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  99. Temporal Variation of Accumulated Charge at the Bottom of High Aspect Hole in Pulse-modulated Capacitively-Coupled Plasma

     More details

    Event date: 2021.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  100. グロー放電水素プラズマを用いたスズ-水素プラズマ相互作用の基礎研究

    田村 晃汰

    ダイバータの学理と応用 NIFS 

     More details

    Event date: 2020.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  101. A Deuterium Distribution Measurement in Deuterium Plasma Exposed-Tin

    Kota Tamura1), Haruka Suzuki1), Suguru Masuzaki2), Masayuki Tokitani2), Junichi Miyazawa2), Hirotaka Toyoda1,2) 1)Nagoya Univ., 2) NIFS

     More details

    Event date: 2020.12

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

    DOI: 02P99

  102. High speed and wide area conductive carbon film deposition by surface-wave plasma.

    H.Bae 1), K.Hikita 1), H.Suzuki 1) 2), K.Sasai 2), H.Toyoda 1) 2) 3) (1) Department of electronics, Nagoya univ., (2) Center for Low-temperature Plasma Sciences(cLPS), Nagoya univ., (3) National Institute for Fusion Science(NIFS)

     More details

    Event date: 2020.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

    DOI: 03Ba06

  103. Surface treatment of resin material by pulsed microwave atmospheric pressure Ar/O2 plasma

    OGASAWARA Tomohiro1), SUZUKI Haruka1), TOYODA Hirotaka1,2) (1)Nagoya Univ.,2)NIFS)

     More details

    Event date: 2020.12

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

    DOI: 02P31

  104. Evaluation of charge density at the bottom of the capillary plate in a pulse modulated dual frequency CCP International conference

     More details

    Event date: 2020.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  105. Application of atmospheric pressure microwave plasma to large area and high-speed surface treatment

    2020.12.3 

     More details

    Event date: 2020.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  106. Quantitative Evaluation of Hydrogen Retention of Solid and Liquid Tin by Thermal Desorption Spectroscopy International conference

    Kota TAMURA1, Haruka SUZUKI1, Junichi MIYAZAWA2, Suguru MASUZAKI2, Hirotaka TOYODA1;2 1. Nagoya University

    The 29th International Toki Conference on Plasma and Fusion Research 

     More details

    Event date: 2020.10

    Language:English   Presentation type:Poster presentation  

    Venue:zoom   Country:Japan  

  107. Evaluation of absolute charge density at the bottom of hole pattern using high aspect ratio capillary plate International conference

    MAKOTO MORIYAMA, NAOYA NAKAHARA, HARUKA SUZUKI,HIROTAKA TOYODA

    GEC20 Meeting of The American Physical Society、RW3.4 

     More details

    Event date: 2020.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  108. Film Surface Treatment with O2/Ar Line Plasma under Atmospheric Pressure International conference

    Haruka Suzuki, Hirotsugu Koma, Tomohiro Ogasawara, Manh Hung Chu, Hirotaka Toyoda

    GEC20 Meeting of The American Physical Society、LT3.00007  2020.10.6 

     More details

    Event date: 2020.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  109. パルス変調容量結合型プラズマにおけるキャピラリープレート下部の電荷密度変化

    中原 尚哉 ,森山 誠 ,鈴木 陽香 ,豊田 浩孝

    第81回応用物理学会秋季学術講演会 

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

    DOI: 11p-Z0310

  110. Time-resolved measurement of charge density at the bottom of capillary plate in a pulse modulated CCP

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

    DOI: 02Ba07

  111. Ag Particle Behavior in an Inductively Coupled Plasma

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

    DOI: B5-4

  112. Surface Hydrophilic Treatment by Microwave Line Plasma at Atmospheric Pressure

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

    DOI: B5-6

  113. Heat flux evaluation of the atmospheric pressure microwave line plasma from spatio-temporal temperature measurement of the slot-plate

    (M2) Manh Hung Chu1, Haruka Suzuki1, Hirotaka Toyoda1

     More details

    Event date: 2020.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  114. Suppression of redissolution of p lasma synthesis silver nanoparticles using alkaline agent

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  115. Absolute charge density evaluation of a capillary plate in a pulse modulated CCP

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  116. Estimation of voltage at the top of capillary plate in a CCP

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  117. Temperature measurement of slot-plate in an atmospheric-pressure microwave linear plasma International conference

    ISPlasma/IC-PLANTS2020 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University   Country:Japan  

  118. Electromagnetic Analysis in a Long-scale Slot Plasma Excited by Microwave Travelling Wave International conference

    Hirotsugu Koma, Haruka Suzuki and Hirotaka Toyoda

    ISPlasma/IC-PLANTS2020 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya University   Country:Japan  

  119. Synthesis of silver nanoparticles by in line liquid process using microwave plasma source International conference

    Jyo Suzuki, Haruka Suzuki, Kensuke Sasai and Hirotaka Toyoda

    ISPlasma/IC-PLANTS2020 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  120. Quantitative Evaluation of Hydrogen Retention in Metal Tin using Thermal Desorption Spectroscopy International conference

    ISPlasma/IC-PLANTS2020 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya University   Country:Japan  

  121. Spatial characteristics analysis of atmospheric pressure microwave plasma with FEM simulation International conference

    Yoshiki Baba*, Makoto Moriyama, Haruka Suzuki and Hirotaka Toyoda

    ISPlasma/IC-PLANTS2020 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya University   Country:Japan  

  122. 容量結合プラズマ中に設置されたエッチングホール模擬用キャピラリープレートの電位構造解析

    森⼭ 誠、中原 尚哉、三⽮ 晶洋、鈴⽊ 陽⾹、豊⽥ 浩孝

    プラズマ・核融合学会 第36回年会 

     More details

    Event date: 2019.11 - 2019.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:中部大学 春日井キャンパス   Country:Japan  

  123. 核融合炉ダイバー応用へ向けたスズの水素吸蔵量定量評価

    田村晃汰,鈴木陽香,宮澤順一,豊田浩孝

    プラズマ・核融合学会 第36回年会 

     More details

    Event date: 2019.11 - 2019.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:中部大学 春日井キャンパス   Country:Japan  

  124. potential structure of high-aspect-ratio capillary hole in a pulsed-VHF capactive coupled plasma International conference

    M. Moriyama, A. Mitsuya, N. Nakahara, H. Suzuki, H. Toyoda

    2019 International Symposium on DryProcess 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Poster presentation  

    Venue:JMS Aster Plaza,Hiroshima,Japan   Country:Japan  

  125. Microscopic observation of an atmospheric-pressure microwave plasma produced in a meter-scale slot with sub-millimeter gap International conference

    HIROTAKA TOYODA, HIROTSUGU KOMA, YOSHIKI BABA, MANH HUNG CHU, HANSIN BAE, HARUKA SUZUKI

    GEC19 Meeting of The American Physical Society 

     More details

    Event date: 2019.10 - 2019.11

    Language:English   Presentation type:Poster presentation  

    Venue:Texas A&M University Campus in College Station   Country:United States  

  126. Space-resolved oxygen radical measurement of atmo- spheric pressure microwave line plasma using vacuum ul- traviolet absorption spectroscopy International conference

    HANSIN BAE, HIROTSUGU KOMA, HARUKA SUZUKI, HIROTAKA TOYODA

    GEC19 Meeting of The American Physical Society 

     More details

    Event date: 2019.10 - 2019.11

    Language:English   Presentation type:Poster presentation  

    Venue:Texas A&M University Campus in College Station   Country:United States  

  127. Time-resolved Measurement of Pulsed-microwave Excited Atmospheric-pressure Long-scale Slot Plasma

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  128. Temporal Variation of Surface Potential on Insulated Film During DC Pulse Voltage Application to an Antenna of Surface-wave Plasma

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  129. Measurement of ion energy distribution with Faraday cup in dual frequency capacitively-coupled plasma

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  130. Electromagnetic field distribution in a long-scale slot plasma using traveling waves

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  131. Potential structure analysis of a capillary plate in a dual frequency CCP

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  132. Space-resolved cross-sectional observation of slot-excited microwave O2/Ar plasma at atmospheric pressure

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  133. 二周波重畳容量結合型プラズマにおける キャピラリープレート内の電位構造解析

    中原 尚哉*, 森山 誠,三矢 晶洋,鈴木 陽香,豊田 浩孝 (名大工) 栗原 一彰,飯野 大輝,福水 裕之,林 久貴,鈴木 啓之 (東芝メモリ)

    令和元年度 電気・電子・情報関係学会東海支部連合大会 

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大同大学   Country:Japan  

  134. 昇温脱離法を用いた固体スズ中の水素吸蔵量評価

    田村晃汰,鈴木陽香,宮澤順一,豊田浩孝

    令和元年度 電気・電子・情報関係学会東海支部連合大会 

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大同大学   Country:Japan  

  135. 大気圧マイクロ波プラズマにおけるギャップ内ガス温度の空間分解計測 

    馬場 賀己*,鈴木 陽香,豊田 浩孝

    令和元年度 電気・電子・情報関係学会東海支部連合大会 

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大同大学   Country:Japan  

  136. "二周波重畳容量結合型プラズマ装置における ファラデーカップを用いたイオンエネルギー分布の測定"

    三矢 晶洋*,森山 誠,中原 尚哉、鈴木 陽香、豊田 浩孝

    令和元年度 電気・電子・情報関係学会東海支部連合大会 

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大同大学   Country:Japan  

  137. Consideration of Surface Potential on Insulated Film during DC Pulse Voltage Application to an Antenna of Surface-wave Plasma

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  138. High Speed Synthesis of Nanoparticles in Liquid Environment by In-Line Type Plasma Device

    Shaofei Yang, Jyo Suzuki, Haruka Suzuki, Hirotaka Toyoda

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  139. High Speed Synthesis of Nanoparticles in Liquid Environment by In-Line Type Plasma Device

    Shaofei Yang, Jyo Suzuki, Haruka Suzuki, Hirotaka Toyoda

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  140. Oxygen Radical Flux Measurement of Atmospheric Pressure Microwave Line Plasma International conference

    H. Bae, Y. Koike, H. Koma, H. Suzuki and H. Toyoda

    AEPSE2019 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  141. Potential Control of Surface Wave Excited Plasma by Pulsed DC Voltage Applied to Plasma-Immersed Waveguide International conference

    S. Fukuda, K. Udagawa, H. Suzuki, Y. Tauchi, H. Toyoda

    XXXIV ICPIG & ICRP-10 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Poster presentation  

    Venue: Sapporo, Hokkaido, Japan   Country:Japan  

  142. Measurement of ion energy in dual-frequency capacitive coupled plasma International conference

    M. Moriyama, A. Mitsuya, N. Nakahara, H. Suzuki, H. Toyoda

    XXXIV ICPIG & ICRP-10 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Poster presentation  

    Venue: Sapporo, Hokkaido, Japan   Country:Japan  

  143. Development of Microwave Remote Plasma Source for New Surface Functionalization International conference

    T. Okimoto, Yoshiyuki Isomura, Y. Ikari, Y. Tauchi, K. Nishiyama, Kobe Steel, Ltd., Japan H. Toyoda, H. Suzuki, Nagoya University, Japan

    International Conference on Metallurgical Coatings and Thin Films(ICMCTF 2019) 

     More details

    Event date: 2019.5

    Language:English   Presentation type:Poster presentation  

    Venue:San Diego, CA,USA   Country:United States  

  144. Large-area surface treatment using microwave plasma excited in meter-length slot with sub-millimeter gap International conference

    Haruka Suzuki, Hirotsugu Koma, Manh Hung Chu, Hansin Bae, Yoshiki Baba, and Hirotaka Toyoda

    The 10th of International Workshop on Microplasmas (IWM-10) 

     More details

    Event date: 2019.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  145. Time-resolved microscopic measurement of slot excited atmospheric pressure microwave plasma International conference

    Yoshiki Baba, Haruka Suzuki, and Hirotaka Toyoda

    The 10th of International Workshop on Microplasmas (IWM-10) 

     More details

    Event date: 2019.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  146. 革新的プラズマ源開発とエネルギー科学応用 Invited International conference

    豊田浩孝

    第82回マテリアルズテーラリング研究会 

     More details

    Event date: 2019.4

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:横浜 慶応大学日吉キャンパス   Country:Japan  

  147. 長尺大気圧マイクロ波プラズマ生成と表面処理の応用 Invited

    豊田浩孝

    表面技術協会 第139回講演大会 

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:神奈川大学 横浜キャンパス   Country:Japan  

  148. Optimized waveguide structure for atmospheric pressure microwave plasma International conference

    Manh Hung Chu,Haruka Suzuki ,Hirotaka Toyoda

    ISPlasma/IC-PLANTS2019(11th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/12th International Conference on Plasma-Nano Technology & Science) 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Institute of Technology   Country:Japan  

  149. Time-resolved Measurement of Cross-Sectional Structure of a Narrow Gap Plasma Produced by an Atmospheric Pressure Microwave Discharge International conference

    Yoshiki Baba, Haruka Suzuki and Hirotaka Toyoda

    ISPlasma/IC-PLANTS2019(11th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/12th International Conference on Plasma-Nano Technology & Science) 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya Institute of Technology   Country:Japan  

  150. Potential Control of Surface Wave Plasma by Applying DC Pulse Voltage to a Slot type Microwave Antenna International conference

    Sho Fukuda, Kou Udagawa, Haruka Suzuki, Yuki Yauchi, Hirotaka Toyoda

    ISPlasma/IC-PLANTS2019(11th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/12th International Conference on Plasma-Nano Technology & Science) 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya Institute of Technology   Country:Japan  

  151. Microscopic Measurement of Long Microwave Plasma at Atmospheric Pressure International conference

    Hirotsugu Koma, Yosuke Koike, Haruka Suzuki,Hirotaka Toyoda

    ISPlasma/IC-PLANTS2019(11th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/12th International Conference on Plasma-Nano Technology & Science) 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya Institute of Technology   Country:Japan  

  152. Oxygen radical measurement of atmospheric pressure microwave plasma employing vacuum ultraviolet absorption spectroscopy International conference

    Hansin Bae, Koike Yosuke, Hirotsugu Koma, Haruka Suzuki Hirotaka Toyoda

    ISPlasma/IC-PLANTS2019(11th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/12th International Conference on Plasma-Nano Technology & Science) 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya Institute of Technology   Country:Japan  

  153. Hydrogen Recycling Properties of Tin wall in DC Glow Hydrogen Plasma International conference

    Kota Tamura*, Haruka Suzuki, Hirotaka Toyoda and Junichi Miyazawa

    ISPlasma/IC-PLANTS2019(11th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/12th International Conference on Plasma-Nano Technology & Science) 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya Institute of Technology   Country:Japan  

  154. 大気圧長尺マイクロ波プラズマによる大面積高速表面処理

    小間 浩嗣 ,杉山 敦士 ,鈴木 陽香,本田 剛 ,豊田 浩

    第66回応用物理学会春季学術講演会 

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東工大 大岡山キャンパス   Country:Japan  

  155. マイクロ波アンテナへのDCパルス電圧印加による絶縁表面へのイオン衝撃

    福田 奨,宇田川 洸, 鈴木 陽香, 田内 裕基, 豊田 浩孝

    第66回応用物理学会春季学術講演会 

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:東工大 大岡山キャンパス   Country:Japan  

  156. High Speed Synthesis of Nanoparticles by Liquid Flow-type Microwave Plasma

    Shaofei Yang, Shohei Fujimura, Masafumi Shiigai, Kensuke Sasai, Haruka Suzuki, Hirotaka Toyoda

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  157. Oxygen Radical Measurement of Atmospheric Pressure Microwavw Line Plasma by Vacuum Ultraviolet Absorption Spectroscopy

     More details

    Event date: 2019.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  158. 二周波重畳容量結合プラズマ基本特性の実験的評価 の実験的評価 の実験的評価

    三矢 晶洋, 森山 誠,鈴木 ,鈴木 陽香,豊田 浩孝

    第66回応用物理学会春季学術講演会 

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:東工大 大岡山キャンパス   Country:Japan  

  159. シミュレーションによる二周波重畳容量結合プラズマの基本特性解析

    森山 誠,三矢 晶洋,中原 尚哉,鈴木 陽香,豊田 浩孝

    第66回応用物理学会春季学術講演会 

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:東工大 大岡山キャンパス   Country:Japan  

  160. スロット型大気圧マイクロ波プラズマの時空間分解計測

    馬場 賀己,鈴木陽香,豊田 浩孝

    第66回応用物理学会春季学術講演会 

     More details

    Event date: 2019.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:東工大 大岡山キャンパス   Country:Japan  

  161. Time-resolved microscopic measurement of cross-sectional structure of slot excited atmospheric pressure microwave plasma

     More details

    Event date: 2019.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  162. A Systematic Analysis of Modified Waveguide Structure for Atmospheric-Pressure Microwave Plasma Source via Simulations

     More details

    Event date: 2019.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  163. メートル級長尺スロット型プラズマ源における 大気圧マイクロ波プラズマの顕微計測

    小間 浩嗣、小池 洋右、鈴木 陽香、豊田 浩孝、

    第36回プラズマプロセシング研究会と第31回プラズマ材料科学シンポジウム 

     More details

    Event date: 2019.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:高知城ホール   Country:Japan  

  164. Water Vapor Plasma Treatment Process using Slot-Excited Microwave Plasma Invited

    28th Annual Meeting of MRS-Japan 2018 

     More details

    Event date: 2018.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  165. Organic-matter removal treatment using atmospheric pressure microwave O2/CF4

     More details

    Event date: 2018.12

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  166. 新規大気圧マイクロ波プラズマ源の開発と応用 Invited

    鈴木陽香、豊田浩孝

    高機能トライボ表面プロセス部会 第12回例会 

     More details

    Event date: 2018.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:岐阜大学サテライトキャンパス   Country:Japan  

  167. 直流放電プラズマを用いた金属スズの水素リサイクリング評価

    田村晃汰,鈴木陽香,豊田浩孝,宮澤順一

    プラズマ核融合学会 第35回年会 

     More details

    Event date: 2018.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大阪大学   Country:Japan  

  168. 二周波重畳容量結合プラズマの基本特性評価

    森山誠,三矢晶洋,中原尚哉,鈴木陽香,豊田浩孝

    プラズマ核融合学会 第35回年会 

     More details

    Event date: 2018.12

    Language:Japanese   Presentation type:Poster presentation  

    Venue:大阪大学   Country:Japan  

  169. 大気圧マイクロ波ラインプラズマにおける酸素原子の紫外吸収分光計測

    BAE Hansin,小池洋右,小間浩嗣,鈴木陽香,豊田浩孝

    プラズマ核融合学会 第35回年会 

     More details

    Event date: 2018.12

    Language:Japanese   Presentation type:Poster presentation  

    Venue:大阪大学   Country:Japan  

  170. 大気圧下でのメートル級マイクロ波プラズマの生成と応用 Invited

    鈴木陽香、豊田浩孝

    プラズマ核融合学会 第35回年会 

     More details

    Event date: 2018.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:大阪大学   Country:Japan  

  171. Influence of magnetic field on high-energy negative ion behavior in magnetron plasma with oxide targets Invited International conference

    Hirotaka Toyoda, Ko Udagawa, Akihiro Mitsuya, Hansin Bae, Haruka Suzuki

    2nd Asia-Pacific Conference on Plasma Physics 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:The Kanazawa Chamber of Commerce and Industry Hall &Ishikawa Prefectural Bunkyo Hall   Country:Japan  

  172. High speed photoresist removal using slot-excited atmospheric-pressure microwave O2/CF4 plasma International conference

    Shota Ishikaw1, Haruka Suzuki, Tsuyoshi Honda and Hirotaka Toyoda

    The 40th International Symposium on Dry Process(2018) 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  173. Ring-shaped microwave plasma production in liquid flow environment for nanoparticle synthesis Invited International conference

    S. Fujimura, S. Yang, K. Sasai, H. Suzuki and H. Toyoda

    The 40th International Symposium on Dry Process(2018) 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  174. Observation of cross sectional plasma structure in a gap of an atmospheric pressure microwave discharge International conference

    Hirotaka Toyoda Yoshiki Baba Haruka Suzuki

    71st Annual Gaseous Electronics Conference  

     More details

    Event date: 2018.11

    Language:English   Presentation type:Poster presentation  

    Venue: Portland, Oregon   Country:United States  

  175. Scaling of Microwave Absorption in Atmospheric Pressure Microwave Line Plasma International conference

    Ivan Ganachev,Haruka Suzuki, and Hirotaka Toyoda

    The Eighteenth Biennial IEEE Conference on Electromagnetic Field Computation (CEFC2018) 

     More details

    Event date: 2018.10

    Language:English   Presentation type:Poster presentation  

    Country:China  

  176. Diagnostics of energetic particles in magnetron plasma using oxide target Invited International conference

    Ivan Ganachev, Haruka Suzuki, and Hirotaka Toyoda

    International Symposium on Sputtering & Plasma Processes Pre session (ISSP2018) 

     More details

    Event date: 2018.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  177. 流動液体マイクロ波プラズマによるナノ粒子生成

    藤村 昇平、楊 少菲、鈴木 陽香、豊田 浩孝

    応用物理学会秋季学術講演会 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋国際会議場   Country:Japan  

  178. 大気圧マイクロ波励起O2/CF4プラズマによるフォトレジスト除去処理

    (M2)石川 翔太1、鈴木 陽香1、本田 剛2、豊田 浩孝1 (1.名大工、2.ニッシン)

    応用物理学会秋季学術講演会 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋国際会議場   Country:Japan  

  179. メートル級長尺大気圧マイクロ波プラズマの顕微計測 Invited

    鈴木 陽香、小池 洋右、小間 浩嗣、馬場 賀己、豊田 浩孝

    応用物理学会秋季学術講演会 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋国際会議場   Country:Japan  

  180. 電磁界シミュレーションを用いた大気圧長尺マイクロ波プラズマにおける定在波抑制

    (M2)小池 洋右、小間 浩嗣、鈴木 陽香、豊田 浩孝

    応用物理学会秋季学術講演会 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋国際会議場   Country:Japan  

  181. Potential Control of Surface Wave Plasma by Applying DC Pulse Voltage to a Microwave Antenna

    (M2)Kou Udagawa1,Sho Fukuda1,Haruka Suzuki1,Yuki Tauchi2,Hirotaka Toyoda1(1.Nagoya Univ.,2.Kobe Steel Ltd.)

     More details

    Event date: 2018.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  182. Meter-scale atmospheric pressure microwave line plasma and its applications. Invited International conference

    H. Toyoda

    Xth INTERNATIONAL WORKSHOP on MICROWAVE DISCHARGES: Fundamentals and Applications 

     More details

    Event date: 2018.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Zvenigorod, Russia   Country:Russian Federation  

  183. 表面波プラズマアンテナへのDC パルス電圧印加によるプラズマ電位制御

    福田奨、宇田川洸、鈴木陽香、豊田浩孝(名古屋大学)、田内裕基(神戸製鋼所)

    平成30年度電気・電子・情報関係学会東海支部連合大会講演論文集 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名城大学   Country:Japan  

  184. 酸化物ターゲットを用いたマグネトロンスパッタにおける酸素負イオンフラックスの空間分布の数値解析

    三矢 晶洋、宇田川 洸、鈴木 陽香、豊田 浩孝

    平成30年度電気・電子・情報関係学会東海支部連合大会講演論文集 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名城大学   Country:Japan  

  185. 大気圧メートル級長尺マイクロ波ラインプラズマの顕微計測

    小間 浩嗣、小池 洋右、鈴木 陽香、豊田 浩孝

    平成30年度電気・電子・情報関係学会東海支部連合大会講演論文集 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名城大学   Country:Japan  

  186. スロット励起大気圧マイクロ波プラズマにおけるスロット断面構造の顕微観測

    馬場賀己, 鈴木陽香,豊田浩孝

    平成30年度電気・電子・情報関係学会東海支部連合大会講演論文集 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名城大学   Country:Japan  

  187. Surface Roughness Control of ITO Films by VHF Superposed DC Magnetron Plasma Invited International conference

    Hirotaka Toyoda, Haruka Suzuki

    THERMEC2018 

     More details

    Event date: 2018.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Paris - FRANCE   Country:France  

  188. Long-scale atmospheric-pressure microwave plasma Invited International conference

    H. Toyoda, H. Suzuki Y. Koike, H. Koma, Y. Baba, J.H. Qiu, M.H. Chu, A. Sugiyama

    JAPAN-RUB Workshop on Plasma Science 

     More details

    Event date: 2018.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Bochum /Germany   Country:Germany  

  189. Meter-scale atmospheric-pressure microwave plasma for molecular gas processes Invited International conference

    Hirotaka Toyoda

    19th International Congress on Plasma Physics 

     More details

    Event date: 2018.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Vancouver   Country:Canada  

  190. 大気圧長尺マイクロ波プラズマにおける電力吸収の空間分布

    小池 洋右,田村 宥人,鈴木 陽香,豊田 浩孝

    第65回応用物理学会春季学術講演会 

     More details

    Event date: 2018.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学   Country:Japan  

  191. 同軸導波管の外部導体ギャップ間におけるマイクロ波プラズマ生成

    藤村 昇平, 鈴木 陽香, 笹井 建典, 豊田 浩孝

    第65回応用物理学会春季学術講演会 

     More details

    Event date: 2018.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学   Country:Japan  

  192. マグネトロンスパッタリングにおける負イオンの数値解析による評価

    宇田川 洸,三矢 晶洋,鈴木 陽香,豊田 浩孝

    第65回応用物理学会春季学術講演会 

     More details

    Event date: 2018.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学   Country:Japan  

  193. Water-vapor Atmospheric Pressure Microwave Plasma in an Air-Shielded Environment by Water Flow International conference

    Haruka Suzuki, Hikaru Senba and Hirotaka Toyoda

    ISPlasma2018 / IC-PLANTS2018 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Poster presentation  

    Venue:Meijo University, Nagoya, Japan   Country:Japan  

  194. Space-Resolved Measurement of Microwave Power along Waveguide in Atmospheric Pressure Microwave Line Plasma International conference

    Yosuke Koike, Haruka Suzuki, Yuto Tamura, Yoshiki Baba and Hirotaka Toyoda

    ISPlasma2018 / IC-PLANTS2018 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Poster presentation  

    Venue:Meijo University, Nagoya, Japan   Country:Japan  

  195. Oxygen Negative Ion Behavior in a VHF-superposed DC Magnetron Sputtering International conference

    Kou Udagawa, Akihiro Mitsuya, Haruka Suzuki and Hirotaka Toyoda

    ISPlasma2018 / IC-PLANTS2018 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Poster presentation  

    Venue:Meijo University, Nagoya, Japan   Country:Japan  

  196. A coaxial-waveguide microwave plasma source for in-line treatment of large volume liquid International conference

    Shohei Fujimura, Haruka Suzuki, Kensuke Sasai and Hirotaka Toyoda

    ISPlasma2018 / IC-PLANTS2018 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Poster presentation  

    Venue:Meijo University, Nagoya, Japan   Country:Japan  

  197. Power Absorption Mechanism of Atmospheric-Pressure Microwave Line Plasma International conference

    Haruka Suzuki, Yosuke Koike, Yuto Tamura, Yoshiki Baba and Hirotaka Toyoda

    ISPlasma2018 / IC-PLANTS2018 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Meijo University, Nagoya, Japan   Country:Japan  

  198. 質量分析を用いた粒子計測の基礎 — 計測原理から分析応用までー International conference

    豊田 浩孝

    第30回専門講習会「プロセスプラズマ診断の基礎」 

     More details

    Event date: 2018.1

    Language:Japanese   Presentation type:Public lecture, seminar, tutorial, course, or other speech  

    Venue:名古屋大学   Country:Japan  

  199. Development of Microwave Plasma Sources and its Application to Materials Processing International conference

    Hirotaka Toyoda

    27th Annual Meeting of MRS-Japan 2017 

     More details

    Event date: 2017.12

    Language:English  

    Country:Japan  

  200. 大気圧マイクロ波プラズマCVDによるSiO2膜形成及び膜評価

    石川 翔太、鈴木 陽香、豊田 浩孝

     More details

    Event date: 2017.11

    Language:Japanese   Presentation type:Poster presentation  

    Venue:姫路商工会議所   Country:Japan  

  201. 直流およびVHF重畳直流マグネトロンプラズマにおける高エネルギー酸素負イオンに起因する指向性熱フラックスの測定

    宇田川 洸、鈴木 陽香、豊田 浩孝

     More details

    Event date: 2017.11

    Language:Japanese   Presentation type:Poster presentation  

    Venue:姫路商工会議所   Country:Japan  

  202. 電磁界シミュレーションを用いた大気圧マイクロ波ラインプラズマの均一性向上の検討

    小池 洋右、田村 宥人、鈴木 陽香、豊田 浩孝

     More details

    Event date: 2017.11

    Language:Japanese   Presentation type:Poster presentation  

    Venue:姫路商工会議所   Country:Japan  

  203. ギャップ型マイクロ波プラズマ源の改良による液体処理能力向上

    藤村 昇平、堤 和紀、鈴木 陽香、豊田 浩孝

     More details

    Event date: 2017.11

    Language:Japanese   Presentation type:Poster presentation  

    Venue:姫路商工会議所   Country:Japan  

  204. 水流遮蔽水蒸気マイクロ波プラズマの生成とその応用

    鈴木 陽香、仙波 輝、豊田 浩孝

     More details

    Event date: 2017.11

    Language:Japanese   Presentation type:Poster presentation  

    Venue:姫路商工会議所   Country:Japan  

  205. Power Absorption Mechanism of Atmospheric Microwave Line Plasma International conference

    H. Suzuki, Y. Koike, Y. Tamura, Y. Baba, and H. Toyoda

    DPS2017 

     More details

    Event date: 2017.11

    Language:English   Presentation type:Poster presentation  

    Venue:Tokyo Institute of Technology, Tokyo   Country:Japan  

  206. Power absorption of atmospheric-pressure microwave-line-plasma International conference

    HIROTAKA TOYODA, HARUKA SUZUKI, YUTO TAMURA, YOSUKE KOIKE, YOSHIKI BABA

    GEC2017 

     More details

    Event date: 2017.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:DoubleTree by Hilton, Pittsburgh, Green Tree, Pennsylvania ,USA   Country:United States  

  207. Production of long-scale atmospheric pressure microwave plasma Invited International conference

    Hirotaka Toyoda

    AAPPS-DPP2017(the 1st Asia-Pacific Conference on Plasma Physics) 

     More details

    Event date: 2017.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Jinniu Hotel, Chengdu, China   Country:China  

  208. Oxygen Negative Ion Flux Evaluation in DC and DC-VHF Magnetron Plasmas through Directional Heat Flux Measurement International conference

    Hirotaka Toyoda, Kou Udagawa, Haruka Suzuki, Taku Suyama and Hansin Bae

    AEPSE2017 

     More details

    Event date: 2017.9

    Language:English   Presentation type:Poster presentation  

    Venue:Jeju International Convention Center, Jeju, Korea   Country:Korea, Republic of  

  209. VHF-DC重畳マグネトロンプラズマにおける高エネルギーO-イオンに由来する熱フラックスの評価

    宇田川 洸,鈴木 陽香,豊田 浩孝

    平成29年度電気・電子・情報関係学会東海支部連合大会 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  210. 空間分解型吸収分光装置を用いたプラズマによる有機溶質分解過程の調査

    藤村 昇平, 堤 和紀, 鈴木 陽香, 豊田 浩孝

    平成29年度電気・電子・情報関係学会東海支部連合大会 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  211. スロット励起大気圧マイクロ波プラズマにおける消費電力シミュレーション

    小池 洋右,田村 宥人,馬場 賀己,鈴木 陽香,豊田 浩孝

    平成29年度電気・電子・情報関係学会東海支部連合大会 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  212. スロット励起大気圧マイクロ波プラズマCVDによるSiO2薄膜形成

    石川 翔太,鈴木 陽香,豊田 浩孝

    平成29年度電気・電子・情報関係学会東海支部連合大会 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  213. スロット励起大気圧マイクロ波プラズの電力消費に関する電磁界シミュレーョン

    鈴木 陽香 ,小池 洋右 , 田村 宥人 , 馬場 賀己 , 豊田 浩孝

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場・国際センター・福岡サンパレス   Country:Japan  

  214. 水流により遮蔽された空間での水蒸気マイクロ波プラズ生成

    仙波 輝, 小池 洋右 , 鈴木 陽香 , 豊田 浩孝

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場・国際センター・福岡サンパレス   Country:Japan  

  215. 大気圧マイクロ波ラインプラズマにおける電力吸収機構

    田村 宥人, 小池 洋右 , 鈴木 陽香 , 豊田 浩孝

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場・国際センター・福岡サンパレス   Country:Japan  

  216. マイクロ波インライン液体処理における反応領域のその場計測

    堤 和紀 ,藤村 昇平, 鈴木 陽香,豊田 浩孝

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:福岡国際会議場・国際センター・福岡サンパレス   Country:Japan  

  217. Meter -scale microwave plasma production with various discharge gases for large-area surface treatment under atmospheric pressure Invited International conference

    H. Suzuki, Y. Tamura, Y. Inomata, and H. Toyoda

    IUMRS-ICAM 2017(The 15th International Conference on Advanced Materials) 

     More details

    Event date: 2017.8

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Yoshida Campus, Kyoto University,   Country:Japan  

  218. Improvement of SiO2 film properties synthesized by plasma-enhanced chemical vapor deposition using slot-type microwave plasma with O 2/TEOS Invited International conference

    S. Ishikawa , M. Yamamoto, H. Suzuki, and H. Toyoda

    IUMRS-ICAM 2017(The 15th International Conference on Advanced Materials) 

     More details

    Event date: 2017.8

    Language:English   Presentation type:Poster presentation  

    Venue:Yoshida Campus, Kyoto University,   Country:Japan  

  219. Optical measurement of meter-scale microwave line plasma under atmospheric pressure International conference

    H. Suzuki, Y. Tamura, Y. Inomata, and H. Toyoda

    ICPIG 2017 

     More details

    Event date: 2017.7

    Language:English   Presentation type:Poster presentation  

    Venue:Estoril Congress Center, Estoril/Lisbon, Portugal   Country:Portugal  

  220. Quantitative Evaluation of High-Energy Oxygen Negative Ion Flux in DC Magnetron Sputtering of Indium-Tin-Oxide International conference

    H. Toyoda, H. Bae, T. Suyama, K. Setaka, H. Suzuki

    ICPIG 2017 

     More details

    Event date: 2017.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Estoril Congress Center, Estoril/Lisbon, Portugal   Country:Portugal  

  221. Microwave plasma production inside the micro-gap with metergap with meter -scale length Invited International conference

    H. Suzuki and H. Toyoda

    IWM 2017(The 9th International Workshop on Microplasmas) 

     More details

    Event date: 2017.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Riessersee Hotel, Garmisch-Partenkirchen, Germany   Country:Germany  

  222. Development of atmospheric pressure microwave plasma source for large-area surface treatment International conference

    H. Suzuki and H. Toyoda

    The 22th KJ workshop 

     More details

    Event date: 2017.4

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sungkyunkwan University, Suwon, Republic of Korea   Country:Korea, Republic of  

  223. マイクロ波励起メートル級大気圧プラズマの生成とその特性

    鈴木陽香 ,田村宥人 ,猪俣弥雄起 ,関根誠 ,堀勝 ,豊田浩孝

    第64回応用物理学会春季学術講演会 

     More details

    Event date: 2017.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:パシフィコ横浜 (神奈川県横浜市)   Country:Japan  

  224. マイクロ波インライン液体処理における反応領域の空間分布計測

    堤和紀,滝藤奨,藤村昇平, 鈴木陽香,豊田浩孝

    第64回応用物理学会春季学術講演会 

     More details

    Event date: 2017.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:パシフィコ横浜 (神奈川県横浜市)   Country:Japan  

  225. 水流により遮蔽された空間での大気圧プラズマジェット生成

    仙波 輝 , 小池 洋右 , 鈴木 陽香 , 豊田 浩孝

    第64回応用物理学会春季学術講演会 

     More details

    Event date: 2017.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:パシフィコ横浜 (神奈川県横浜市)   Country:Japan  

  226. マイクロ波励起長尺大気圧プラズマにおけるプラズマ維持機構の検討

    田村 宥人 ,猪俣 弥雄起 , 鈴木 陽香 , 豊田 浩孝

    第64回応用物理学会春季学術講演会 

     More details

    Event date: 2017.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:パシフィコ横浜 (神奈川県横浜市)   Country:Japan  

  227. Measurement of directional heat flux component in VHF-magnetron sputtering International conference

    Hansin Bae, Taku Suyama, Kenta Setaka, Haruka Suzuki and Hirotaka Toyoda

    9th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/10th International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2017.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:CHUBU UNIVERSITY, Aichi, Japan   Country:Japan  

  228. Film quality improvement in slot-type microwave plasma CVD of SiO2 International conference

    Masaki Yamamoto, Shota Ishikawa, Haruka Suzuki, and Hirotaka Toyoda

    9th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/10th International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2017.3

    Language:English   Presentation type:Poster presentation  

    Venue:CHUBU UNIVERSITY, Aichi, Japan   Country:Japan  

  229. Long-scale N2 line plasma production under atmospheric pressure International conference

    Haruka Suzuki, Yuto Tamura, Yaoki Inomata, Hitoshi Itoh, Makoto Sekine, Masaru Hori, and Hirotaka Toyoda

    9th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/10th International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2017.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:CHUBU UNIVERSITY, Aichi, Japan   Country:Japan  

  230. Shielding of plasma jets from ambient air by water flow certain International conference

    Hikaru Senba, Yosuke Koike, Haruka Suzuki and Hirotaka Toyoda

    9th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/10th International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2017.3

    Language:English   Presentation type:Poster presentation  

    Venue:CHUBU UNIVERSITY, Aichi, Japan   Country:Japan  

  231. Introduction to Plasma Production - from Breakdown to Plasma Sustainment - Invited International conference

    Hirotaka Toyoda

    9th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/10th International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2017.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:CHUBU UNIVERSITY, Aichi, Japan   Country:Japan  

  232. スパッタの基礎とプラズマ解析

    豊田 浩孝

    第29回専門講習会「スパッタ技術の現状と展望」 

     More details

    Event date: 2017.2

    Language:Japanese   Presentation type:Public lecture, seminar, tutorial, course, or other speech  

    Venue:名古屋大学   Country:Japan  

  233. ITOターゲットを用いたVHF重畳DCマグネトロンプラズマにおける熱フラックスの空間分布

    Bae Hansin, 巣山 拓, 鈴木 陽香, 豊田 浩孝

    第34回プラズマプロセシング研究会/第29回プラズマ材料科学シンポジウム(合同会議) 

     More details

    Event date: 2017.1

    Language:Japanese   Presentation type:Poster presentation  

    Venue:北海道大学   Country:Japan  

  234. 改良導波管および連続発振マイクロ波電力によるメートル級長尺大気圧ラインプラズマの効率的生成

    鈴木 陽香,田村 宥人,猪俣 弥雄起, 伊藤 仁,関根 誠,堀 勝,豊田 浩孝

    第34回プラズマプロセシング研究会/第29回プラズマ材料科学シンポジウム(合同会議) 

     More details

    Event date: 2017.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道大学   Country:Japan  

  235. 長尺ラインプラズマ生成のための改良型導波管の電磁界シミュレーション

    田村 宥人,鈴木 陽香,猪俣 弥雄起, 伊藤 仁,関根 誠,堀 勝,豊田 浩孝

    第34回プラズマプロセシング研究会/第29回プラズマ材料科学シンポジウム(合同会議) 

     More details

    Event date: 2017.1

    Language:Japanese   Presentation type:Poster presentation  

    Venue:北海道大学   Country:Japan  

  236. インラインマイクロ波プラズマ処理の高流速化による有機物分解効率の向上

    堤和紀,滝藤奨,藤村昇平, 伊藤美智子,高島成剛,鈴木陽香,豊田浩孝

    第34回プラズマプロセシング研究会/第29回プラズマ材料科学シンポジウム(合同会議) 

     More details

    Event date: 2017.1

    Language:Japanese   Presentation type:Poster presentation  

    Venue:北海道大学   Country:Japan  

  237. 酸化物ターゲットを用いたスパッタリングにおける酸素負イオン挙動 Invited

    豊田 浩孝

    負イオン研究会2016 

     More details

    Event date: 2016.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:核融合科学研究所(岐阜県土岐市)   Country:Japan  

  238. マグネトロンプラズマ中の高エネルギー負イオンフラックス評価

    豊田浩孝,巣山拓, Bae Hansin, 鈴木陽香

    プラズマ・核融合学第33回会年会 

     More details

    Event date: 2016.12

    Language:Japanese   Presentation type:Poster presentation  

    Venue:東北大学(宮城県仙台市)   Country:Japan  

  239. 大気圧高密度マイクロ波プラズマの生成とその応用 Invited

    豊田 浩孝

    2016年真空・表面科学合同講演会 

     More details

    Event date: 2016.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:名古屋国際会議場   Country:Japan  

  240. Plasma -enhanced chemical vapor deposition of SiO2 films by slot type microwave plasma with O 2/TEOS International conference

    Haruka Suzuki, Masaki Yamamoto, and Hirotaka Toyoda

    DPS2016 

     More details

    Event date: 2016.11

    Language:English   Presentation type:Poster presentation  

    Venue:Conference Hall, Hokkaido University, Sapporo, Hokkaido, in Japan   Country:Japan  

  241. Evaluation of O- Ion Flux in a VHFVHF -DC Magnetron Plasma under ITO Sputtering Process International conference

    Hirotaka Toyoda, Taku Suyama, Hansin Bae and Haruka Suzuki

    DPS2016 

     More details

    Event date: 2016.11

    Language:English   Presentation type:Poster presentation  

    Venue:Conference Hall, Hokkaido University, Sapporo, Hokkaido, in Japan   Country:Japan  

  242. 100% N2 atmospheric-pressure microwave-line-plasma production with a modi ed waveguide structure International conference

    HARUKA SUZUKI, YUTO TAMURA, HITOSHI ITOH, MAKOTO SEKINE, MASARU HORI, HI- ROTAKA TOYODA

    69th Annual Gaseous Electronics Conference 

     More details

    Event date: 2016.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ruhr-Universität Bochum, Germany   Country:Germany  

  243. High speed deposition of SiO2 lm by slot-type microwave CVD system International conference

    HIROTAKA TOYODA, MASAKI YAMAMOTO, HARUKA SUZUKI

    69th Annual Gaseous Electronics Conference 

     More details

    Event date: 2016.10

    Language:English   Presentation type:Poster presentation  

    Venue:Ruhr-Universität Bochum, Germany   Country:Germany  

  244. Development of microwave plasma sources and its applications Invited International conference

    Hirotaka Toyoda

    The 6th International Conference on Microelectronics and Plasma Technology (ICMAP2016) 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Dream Center, Gyeongju, in Korea   Country:Korea, Republic of  

  245. Improvement of Liquid Treatment Efficiency using Microwave In-Line Plasma System with Narrow Flow Path International conference

    Haruka Suzuki, Sho Takitou, Hirotaka Toyoda

    The 6th International Conference on Microelectronics and Plasma Technology (ICMAP2016) 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Poster presentation  

    Venue:Dream Center, Gyeongju, in Korea   Country:Korea, Republic of  

  246. ITO マグネトロンスパッタにおける酸素負イオンフラックス評価

    巣山 拓, Bae Hansin, 瀬高 健太, 笹井 建典, 鈴木 陽香, 豊田 浩孝

    第77回応用物理学会秋季学術講演会 

     More details

    Event date: 2016.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:朱鷺メッセ(新潟県新潟市)   Country:Japan  

  247. O2/TEOS スロット型マイクロ波プラズマCVD によるSiO2 膜形成

    山本 匡毅、鈴木 陽香、豊田 浩孝

    第77回応用物理学会秋季学術講演会 

     More details

    Event date: 2016.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:朱鷺メッセ(新潟県新潟市)   Country:Japan  

  248. インラインマイクロ波プラズマの流路狭小化による液体処理性能向上

    滝藤 奨、伊藤 美智子 、高島 成剛、野村 記生、北川 富則、豊田 浩孝

    第77回応用物理学会秋季学術講演会 

     More details

    Event date: 2016.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:朱鷺メッセ(新潟県新潟市)   Country:Japan  

  249. 改良型導波管構造によるマイクロ波励起純窒素大気圧ラインプラズマの生成

    鈴木陽香 ,田村宥人 ,伊藤仁 ,関根誠 ,堀勝 ,豊田浩孝

    第77回応用物理学会秋季学術講演会 

     More details

    Event date: 2016.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:朱鷺メッセ(新潟県新潟市)   Country:Japan  

  250. 窒素ガスを用いたマイクロ波大気圧ラインプラズマの生成

    田村 宥人,鈴木 陽香,伊藤 仁,関根 誠,堀 勝,豊田 浩孝

    平成28年度電気・電子・情報関係学会東海支部連合大会 

     More details

    Event date: 2016.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:豊田工業高等専門学校   Country:Japan  

  251. Evaluation of O- Ion Flux in VHF-DC Magnetron Sputtering

    Hansin Bae, Taku Suyama, Kenta Setaka, Kensuke Sasai, Haruka Suzuki, and Hirotaka Toyoda

     More details

    Event date: 2016.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  252. プラズマスパッタ ―スパッタの物理とマグネトロンプラズマ―

    豊田 浩孝

    第10回プラズマエレクトロニクスインキュベーションホール 

     More details

    Event date: 2016.8

    Language:Japanese   Presentation type:Public lecture, seminar, tutorial, course, or other speech  

    Venue:国立中央青少年交流の家   Country:Japan  

  253. High-speed -camera observation of plasma behavior in atmospheric-pressure microwave line plasma International conference

    H. Suzuki, H. Ito, M. Sekine, M. Hori, H. Toyoda

    7th International Workshop on Plasma Spectroscopy (IPS2016) 

     More details

    Event date: 2016.6

    Language:English   Presentation type:Poster presentation  

    Venue:Meitetsu Inuyama Hotel, Inuyama, Japan   Country:Japan  

  254. Two-Dimensional Atomic Absorption Spectroscopy of Plasma-Stimulated Zn Atom Desorption from ZnSO4 Solution International conference

    H. Toyoda, T. Takaba, H. Suzuki

    7th International Workshop on Plasma Spectroscopy (IPS2016) 

     More details

    Event date: 2016.6

    Language:English   Presentation type:Poster presentation  

    Venue:Meitetsu Inuyama Hotel, Inuyama, Japan   Country:Japan  

  255. VHF-DC重畳マグネトロンスパッタによる平坦性向上機構の検討

    巣山 拓,福井 崇史, 瀬高 健太, 笹井建典, 豊田 浩孝

    第63回応用物理学会春季学術講演会 

     More details

    Event date: 2016.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京工業大学 大岡山キャンパス (東京都目黒区)   Country:Japan  

  256. マイクロ波プラズマ液体処理におけるエネルギー効率向上

    滝藤 奨、伊藤 美智子 、高島 成剛、野村 記生、北川 富則、豊田 浩孝

    第63回応用物理学会春季学術講演会 

     More details

    Event date: 2016.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:東京工業大学 大岡山キャンパス (東京都目黒区)   Country:Japan  

  257. スロット内生成マイクロ波プラズマを用いた高速プラズマCVD

    山本 匡毅、鈴木 陽香、豊田 浩孝

    第63回応用物理学会春季学術講演会 

     More details

    Event date: 2016.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:東京工業大学 大岡山キャンパス (東京都目黒区)   Country:Japan  

  258. Low Pressure Sustainment of Surface-Wave Microwave Plasma with Modified Microwave Coupler (MMC-SWP) International conference

    KENSUKE SASAI, HARUKA SUZUKI AND HIROTAKA TOYODA

    8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/9th International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2016.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  259. マイクロ波プラズを用いた高圧無電極紫外ランプの試作とその長尺化の検討

    西川 拓、豊田 浩孝

    第3回光源物性とその応用研究会 

     More details

    Event date: 2015.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北とぴあ(東京都北区)   Country:Japan  

  260. 大気圧マイクロ波放電による長尺ラインプラズマの生成と基礎特性

    鈴木陽香, 中野優, 伊藤仁, 関根誠, 堀勝, 豊田浩孝

    第32回プラズマ・核融合学会 年会 

     More details

    Event date: 2015.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  261. Experimental Verification of the Importance of Slot Position in Microwave Plasma Production with Slot Antenna Array International conference

    S. Koga and H. Toyoda

    DPS2015 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Poster presentation  

    Venue:Awaji Yumebutai International Conference Center, Awaji Island, Japan   Country:Japan  

  262. Surface Roughness Control of DC Sputter Film Deposition by Superposition of VHF Power International conference

    Takashi Fukui, Taku Suyama, Yushi Fukuoka, Kensuke Sasai, Hirotaka Toyoda

    ICRP-9/GEC-68/SPP-33 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hawaii Convention Center, Honolulu, Hawaii   Country:United States  

  263. Development of High-Throughput Liquid Treatment System Using Slot Antenna Excited Microwave Plasma International conference

    SHO TAKITOU, MICHIKO ITO, SEIGOU TAKASHIMA, NORIO NOMURA, TOMINORI KITAGAWA, HIROTAKA TOYODA

    ICRP-9/GEC-68/SPP-33 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Poster presentation  

    Venue:Hawaii Convention Center, Honolulu, Hawaii   Country:United States  

  264. Application of Atmospheric-Pressure Microwave Line Plasma for Low Temperature Process International conference

    HARUKA SUZUKI, SUGURU NAKANO, HITOSHI ITOH, MAKOTO SEKINE, MASARU HORI, HIROTAKA TOYODA

    ICRP-9/GEC-68/SPP-33 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hawaii Convention Center, Honolulu, Hawaii   Country:United States  

  265. Origin of Substrate Heating During Oxide Film Deposition by DC Magnetron Discharge and Superposition of VHF Power International conference

    KENTA SETAKA, TAKASHI FUKUI, KENSUKE SASAI, HIROTAKA TOYODA

    ICRP-9/GEC-68/SPP-33 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Poster presentation  

    Venue:Hawaii Convention Center, Honolulu, Hawaii   Country:United States  

  266. Organic Decomposition Performance of In-line Liquid Treatment System Using Microwave Plasma International conference

    MICHIKO ITO, SEIGO TAKASHIMA, NORIO NOMURA, TOMINORI NOMURA, HIROTAKA TOYODA

    ICRP-9/GEC-68/SPP-33 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Poster presentation  

    Venue:Hawaii Convention Center, Honolulu, Hawaii   Country:United States  

  267. Ultraviolet Light Source Using Electrodeless Microwave Discharge International conference

    Taku Nishikawa, Hirotaka Toyoda

    ICRP-9/GEC-68/SPP-33 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Poster presentation  

    Venue:Hawaii Convention Center, Honolulu, Hawaii   Country:United States  

  268. Evaluation of Heat Flux to Film Surface in DC-VHF Superposed Magnetron Plasma International conference

    K. Setaka, T. Fukui, T. Suyama, K. Sasai, and H. Toyoda

    the 21th KJ workshop 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Osaek Greenyard hotel, Yangyang, Gangwon - do, Korea   Country:Korea, Republic of  

  269. ベンチュリ効果を用いたマイクロ波プラズマ液体処理装置の性能向上

    滝藤 奨,伊藤 美智子, 高島 成剛, 野村 記生, 北川 富則, 豊田 浩孝

    平成27年度電気・電子・情報関係学会東海支部連合大会 

     More details

    Event date: 2015.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋工業大学   Country:Japan  

  270. VHF-DC重畳マグネトロンスパッタにおける膜特性の評価

    巣山 拓,福井 崇史,福岡 侑士,笹井 建典,豊田 浩孝

    平成27年度電気・電子・情報関係学会東海支部連合大会 

     More details

    Event date: 2015.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋工業大学   Country:Japan  

  271. スロット内生成マイクロ波プラズマの基礎的検討

    山本 匡毅,鈴木 陽香,豊田 浩孝

    平成27年度電気・電子・情報関係学会東海支部連合大会 

     More details

    Event date: 2015.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋工業大学   Country:Japan  

  272. Desorption of Alkaline Metals from Electrolyte Liquid Contacting with a DC Pulsed-Plasma International conference

    Haruka Suzuki, Takafumi Takaba, Noriharu Takada and Hirotaka Toyoda

    AEPSE2015 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Poster presentation  

    Venue:Ramada Plaza Hotel, Jeju, Korea   Country:Korea, Republic of  

  273. Toward Real Uniform Sputtering – Spatial Uniformity of Thickness and Quality - Invited International conference

    Hirotaka Toyoda

    AEPSE2015 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Ramada Plaza Hotel, Jeju, Korea   Country:Korea, Republic of  

  274. Optimization of In-line Plasma Treatment Performance International conference

    Michiko Ito, Seigo Takashima, Norio Nomura, Tominori Kitagawa and Hirotaka Toyoda

    AEPSE2015 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Poster presentation  

    Venue:Ramada Plaza Hotel, Jeju, Korea   Country:Korea, Republic of  

  275. 吸収分光法によるVHF-DC 重畳マグネトロンプラズマのガス温度測定

    第76回応用物理学会秋季学術講演会 

     More details

    Event date: 2015.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋国際会議場   Country:Japan  

  276. Low Temperature Treatment using Atmospheric-Pressure Microwave Line Plasma

    Haruka Suzuki, Suguru Nakano, Hitoshi Itoh, Makoto Sekine, Masaru Hori and Hirotaka Toyoda

     More details

    Event date: 2015.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  277. VHF -DC 重畳 マグネトロンスパッタにおける膜表面粗さの制御

    第76回応用物理学会秋季学術講演会 

     More details

    Event date: 2015.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋国際会議場   Country:Japan  

  278. マイクロ波プラズマを用いた無電極紫外ランプの温度分布

    第76回応用物理学会秋季学術講演会 

     More details

    Event date: 2015.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋国際会議場   Country:Japan  

  279. Influence of Solution Temperature on Processing Performance by In-line Plasma Treatment Device International conference

    MICHIKO ITO, SEIGO TAKASHIMA, NORIO NOMURA, TOMINORI KITAGAWA AND

    7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/8th International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2015.3

    Language:English   Presentation type:Poster presentation  

    Venue: Nagoya University, Nagoya, Japan   Country:Japan  

  280. Gas Temperature Measurement in Atmospheric-Pressure Microwave Line Plasmas International conference

    HARUKA SUZUKI, SUGURU NAKANO, HITOSHI ITOH, MAKOTO SEKINE, MASARU HORI

    7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/8th International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2015.3

    Language:English   Presentation type:Poster presentation  

    Venue: Nagoya University, Nagoya, Japan   Country:Japan  

  281. 吸収分光法によるArパルスマイクロ波プラズマのガス温度時分解測定

    瀬高 健太、豊田 浩孝

    第62回応用物理学会春季学術講演会 

     More details

    Event date: 2015.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:東海大学 湘南キャンパス (神奈川県平塚市)   Country:Japan  

  282. マイクロ波プラズマを用いた無電極紫外ランプの開発

    西川 拓、豊田 浩孝

    第62回応用物理学会春季学術講演会 

     More details

    Event date: 2015.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:東海大学 湘南キャンパス (神奈川県平塚市)   Country:Japan  

  283. VHF-DC 重畳マグネトロンスパッタにおける成膜速度評価

    福井 崇史, 福岡 侑士, 高田 昇治, 笹井建典, 豊田 浩孝

    第62回応用物理学会春季学術講演会 

     More details

    Event date: 2015.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東海大学 湘南キャンパス (神奈川県平塚市)   Country:Japan  

  284. 大気圧マイクロ波ラインプラズマにおけるプラズマ挙動シミュレーション

    鈴木 陽香,中野 優,伊藤 仁,関根誠,堀 勝,豊田 浩孝

    第62回応用物理学会春季学術講演会 

     More details

    Event date: 2015.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:東海大学 湘南キャンパス (神奈川県平塚市)   Country:Japan  

  285. パルスマイクロ波プラズを用いた イン ラ溶液処理装置 における処理性能の Duty 比依存性

    伊藤美智子, 高島成剛, 野村記生, 北川富則, 豊田浩孝

    第62回応用物理学会春季学術講演会 

     More details

    Event date: 2015.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:東海大学 湘南キャンパス (神奈川県平塚市)   Country:Japan  

  286. 表面波プラズマ支援RFスパッタによるMgO薄膜の堆積 International conference

    萩原敏哉, 豊田浩孝

     More details

    Event date: 2014.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  287. 狭ギャップスロットアンテナを用いた大気圧ラインプラズマ生成 International conference

    中野優, 鈴木陽香, 伊藤仁, 関根誠, 堀勝, 豊田浩孝

     More details

    Event date: 2014.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  288. 電解質溶液を電極としたパルスDCプラズマにおける液相由来金属原子の吸収分光計測 International conference

    鷹羽貴史, 鈴木陽香, 高田昇治, 豊田浩孝

     More details

    Event date: 2014.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  289. インラインのプラズマ処理装置により生成される水溶液中のOHラジカル評価 International conference

    伊藤美智子,高島成剛,野村記生,北川富則,豊田浩孝

     More details

    Event date: 2014.11

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  290. Plasma Science for Future Nanotechnology Invited International conference

    Hirotaka Toyoda

    The GRDC Symposium 2014 

     More details

    Event date: 2014.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Konkuk University, Seoul, Korea   Country:Korea, Republic of  

  291. Pseudo-continuous meter-scale microwave plasma production under atmospheric pressure International conference

    Hirotaka Toyoda, Haruka Suzuki, Suguru Nakano, Hitoshi Itoh, Makoto Sekine and Masaru Hori

    67th Annual Gaseous Electronics Conference 

     More details

    Event date: 2014.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue: North Carolina Marriott City Center and Raleigh Convention Center   Country:United States  

  292. Discharge Characteristic of VHF-DC Superimposed Magnetron Sputtering System International conference

    Hirotaka Toyoda, Yushi Fukuoka, Takashi Fukui, Noriharu Takada, Kensuke Sasai

    67th Annual Gaseous Electronics Conference 

     More details

    Event date: 2014.11

    Language:English   Presentation type:Poster presentation  

    Venue: North Carolina Marriott City Center and Raleigh Convention Center   Country:United States  

  293. プラズマ技術とその産業応用 Invited

    豊田 浩孝

    プラズマ技術講演会 

     More details

    Event date: 2014.10

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:ポートメッセなごや 名古屋国際展示場『TECH Biz EXPO 2014』   Country:Japan  

  294. Plasma movement mechanism in pseudo-continuous meter-scale atmospheric-pressure line plasma

    Haruka Suzuki, Suguru Nakano, Hitoshi Itoh, Makoto Sekine, Masaru Hori and Hirotaka Toyoda

     More details

    Event date: 2014.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  295. VHF-DC 重畳マグネトロンスパッタ装置の特性評価

    福岡 侑士,福井 崇史, 高田 昇治, 笹井建典,豊田 浩孝

    第75回応用物理学会秋季学術講演会 

     More details

    Event date: 2014.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:北海道大学札幌キャンパス   Country:Japan  

  296. ポータブルUHF プラズマ装置の開発

    古賀 翔太,豊田浩孝

    平成26年度電気・電子・情報関係学会東海支部連合大会 

     More details

    Event date: 2014.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:中京大学 名古屋キャンパス   Country:Japan  

  297. 吸収分光法によるAr プラズマの中性粒子温度測定

    瀬高 健太,豊田 浩孝

    平成26年度電気・電子・情報関係学会東海支部連合大会 

     More details

    Event date: 2014.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:中京大学 名古屋キャンパス   Country:Japan  

  298. VHF-DC 重畳マグネトロンプラズマ装置の特性評価

    福井 崇史,福岡 侑士,高田 昇治, 笹井 建典, 豊田 浩孝

    平成26年度電気・電子・情報関係学会東海支部連合大会 

     More details

    Event date: 2014.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:中京大学 名古屋キャンパス   Country:Japan  

  299. Novel sputtering system for uniform dielectric film deposition International conference

    Hirotaka Toyoda, Toshiya Hagihara

    International conference on microelectronics and plasma technology 2014 

     More details

    Event date: 2014.7

    Language:English   Presentation type:Poster presentation  

    Venue:Gunsan Saemangeum Convention Center, Gunsan, Korea   Country:Korea, Republic of  

  300. Development of compact UHF plasma source Invited International conference

    Hirotaka Toyoda and Shota Koga

    The International Symposium on Plasma-Nano Materials and Processes 

     More details

    Event date: 2014.4

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:The Riverside Hotel, Seoul South Korea   Country:Korea, Republic of  

  301. パルスDC マグネトロンプラズマ中の酸素負イオン計測

    福岡 侑士,小川 勇人, 高田 昇治, 豊田 浩孝

    第61回応用物理学関係連合講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス   Country:Japan  

  302. 電解質溶液電極を用いたパルスDCプラズマにおける電解質由来金属原子の二次元吸収分光計測

    鷹羽 貴史,鈴木 陽香,高田 昇治,豊田 浩孝

    第61回応用物理学関係連合講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス   Country:Japan  

  303. 誘導結合型 誘導結合型 HBr プラズマにおける Br ラジカル表面損失確率の評価

    藤井 良隆 ,飯野 大輝 ,豊田 浩孝

    第61回応用物理学関係連合講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス   Country:Japan  

  304. 表面波プラズマ支援RF スパッタにおける絶縁性ターゲットの表面電位評価

    萩原 敏哉,野田 智紀,豊田 浩孝

    第61回応用物理学関係連合講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス   Country:Japan  

  305. 液流路式マイクロ波プラズマの溶液処理性能評価

    伊藤 美智子, 高橋 朋大, 高島 成剛, 野村 記生, 北川 富則, 豊田 浩孝

    第61回応用物理学関係連合講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス   Country:Japan  

  306. メートル級マイクロ波大気圧ラインプズマの均一性評価

    中野 優 ,鈴木 陽香 ,伊藤 仁 ,関根 誠 ,堀 勝 ,豊田 浩孝

    第61回応用物理学関係連合講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス   Country:Japan  

  307. パルスマイクロ波励起大気圧ラインプラズマの空間分解計測

    鈴木 陽香 、中野 優 、伊藤 仁 、関根誠 、堀 勝 、豊田 浩孝

    第61回応用物理学関係連合講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス   Country:Japan  

  308. Evaluation the reaction of Br radical at silicon surface in an Inductively Coupled HBr plasma International conference

    YOSHITAKA FUJII, DAIKI IINO, AND HIROTAKA TOYODA

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/7th International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Venue:Meijo University, Nagoya, Japan   Country:Japan  

  309. Magnet-Free Sputtering System Using Surface Wave Plasma International conference

    Toshiya Hagihara, Tomonori Noda and Hirotaka Toyoda

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/7th International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Venue:Meijo University, Nagoya, Japan   Country:Japan  

  310. O- Energy Distribution in a VHF-Superimposed DC Magnetron Plasma International conference

    YUSHI FUKUOKA, HAYATO OGAWA, KENSUKE SASAI, NORIHARU TAKADA AND HIROTAKA TOYODA

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/7th International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Venue:Meijo University, Nagoya, Japan   Country:Japan  

  311. Spatiotemporal Resolved Investigations of Atmospheric Pressure Line Plasma International conference

    SUGURU NAKANO, HARUKA SUZUKI, HITOSHI ITOH, MAKOTO SEKINE, MASARU HORI AND HIROTAKA TOYODA

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/7th International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Venue:Meijo University, Nagoya, Japan   Country:Japan  

  312. Spatio-temporal Behavior of Alkaline Metals in a DC Pulsed-Plasma with Liquid Electrode International conference

    TAKAFUMI TAKABA, HARUKA SUZUKI, NORIHARU TAKADA, AND HIROTAKA TOYODA

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/7th International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Venue:Meijo University, Nagoya, Japan   Country:Japan  

  313. Decomposition of Phenol by Pulsed Microwave Plasma Generated in the Vicinity of a Fluid Flow Path International conference

    MICHIKO ITO, TOMOHIRO TAKAHASHI, SEIGO TAKASHIMA, NORIO NOMURA, TOMINORI KITAGAWA AND HIROTAKA TOYODA

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/7th International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Venue:Meijo University, Nagoya, Japan   Country:Japan  

  314. Design of Meter-Scale Compact Microwave Antenna Structure by Electromagnetic Simulation International conference

    SHOGO KONDO, KENSUKE SASAI, NORIHARU TAKADA AND HIROTAKA TOYODA

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/7th International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Venue:Meijo University, Nagoya, Japan   Country:Japan  

  315. Influence of Discharge-off Duration on the Plasma Density in an Atmospheric-pressure Pulsed Microwave Discharge International conference

    KAZUKI EGASHIRA, HIROTAKA TOYODA

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/7th International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Venue:Meijo University, Nagoya, Japan   Country:Japan  

  316. Production of High-Uniform Microwave Line Plasma at Atmospheric Pressure International conference

    HARUKA SUZUKI, SUGURU NAKANO,HITOSHI ITOH, MAKOTO SEKINE, MASARU HORI AND HIROTAKA TOYODA

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/7th International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Venue:Meijo University, Nagoya, Japan   Country:Japan  

  317. Advanced microwave plasma technology for liquid treatment Invited International conference

    Hirotaka Toyoda, T. Takahashi, N. Takada

    46th Annual Winter Conference following a special symposium 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:BO Phoenix Park (Pyeongchang, Gangwon-do), Korea   Country:Korea, Republic of  

  318. Release Behavior of Metal Atom from Solution Surface Contacting to Plasma International conference

    Haruka Suzuki, Takafumi Takaba, Hirotaka Toyoda

    The 18th International Workshop of Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka, Japan   Country:Japan  

  319. Time- and space-resolved measurement of electron density in an atmospheric pressure microwave plasma Invited International conference

    Hirotaka Toyoda, Kazuki Egashira

    The 18th International Workshop of Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Fukuoka, Japan   Country:Japan  

  320. Meter -Scale Production of Atmospheric Pressure Microwave Plasma by Travelling Wave International conference

    Haruka Suzuki, Suguru Nakano, Hitoshi Itoh, Makoto Sekine, Masaru Hori, Hirotaka Toyoda

    ICRP-8/SPP-3 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Poster presentation  

    Venue:Fukuoka, Japan   Country:Japan  

  321. Production of high-density meter-length plasma with metal-covered slot antenna International conference

    N. Takada, S. Kondo, S. Nakano, K. Sasai and H. Toyoda

    ICRP-8/SPP-3 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Poster presentation  

    Venue:Fukuoka, Japan   Country:Japan  

  322. Dependence of Electron density on Pulse-OFF Time in an Atmospheric Pulse Microwave Discharge International conference

    Kazuki Egashira1, Hirotaka Toyoda

    ICRP-8/SPP-3 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Poster presentation  

    Venue:Fukuoka, Japan   Country:Japan  

  323. Development of Magnet-Free Uniform Sputtering System by RF and Microwave Power Superposition International conference

    Toshiya Hagihara, Tomonori Noda, Hirotaka Toyoda

    ICRP-8/SPP-3 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Poster presentation  

    Venue:Fukuoka, Japan   Country:Japan  

  324. Nano-scale film surface control by advanced sputtering system Invited International conference

    Hirotaka Toyoda

    THERMEC' 2013 

     More details

    Event date: 2013.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Las Vegas, USA   Country:United States  

  325. マイクロ波プラズマを用いた無磁場酸化物薄膜スパッタ装置の基礎的検討

    萩原敏哉,野田智紀,豊田浩孝

    電気学会プラズマ研究会 

     More details

    Event date: 2013.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名城大学名駅サテライト   Country:Japan  

  326. Effect of superposing ultrasonic wave on microwave plasma under water

    Tomohiro Takahashi, Noriharu Takada, and Hirotaka Toyoda

     More details

    Event date: 2013.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  327. Water Treatment by High-Density Microwave Plasma Invited International conference

    Hirotaka Toyoda, Tomohiro Takahashi, Takafumi Takaba, Haruka Suzuki, Noriharu Takada

    ICSE 2013(International Conference on Surface Engineering) 

     More details

    Event date: 2013.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Haeundae Grand Hotel, Busan, Korea   Country:Japan  

  328. 大気圧パルスプラズマのプラズマ密度時分解計測とプラズマ挙動解析 Invited

    豊田 浩孝

    第29回 九州・山口プラズマ研究会 

     More details

    Event date: 2013.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  329. Development of Magnet-Free Sputtering System for Dielectric Film Deposition with Surface-Wave Excited Plasma International conference

    T. Noda,T. Hagihara, H. Toyoda

    66th Annual Gaseous Electronics Conference 

     More details

    Event date: 2013.10

    Language:English   Presentation type:Poster presentation  

    Venue:Princeton, New Jersey   Country:United States  

  330. Meter-Scale Atmospheric-Pressure Microwave Plasma Using Sub-Millimeter-Gap Slot Invited International conference

    HIROTAKA TOYODA

    66th Annual Gaseous Electronics Conference 

     More details

    Event date: 2013.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Princeton, New Jersey   Country:United States  

  331. Electromagnetic Simulation of Long-Slotted Waveguide Antenna for Production of Meter-Scale Plasma under Atmospheric Pressure International conference

    H. Suzuki, S. Nakano, H. Itoh, M. Sekine, M. Hori, H. Toyoda

    66th Annual Gaseous Electronics Conference 

     More details

    Event date: 2013.10

    Language:English   Presentation type:Poster presentation  

    Venue:Princeton, New Jersey   Country:United States  

  332. 表面波プラズマを用いた無磁場スパッタ装置の検討

    萩原 敏哉,野田 智紀,豊田 浩孝

    平成25年度電気関係学会東海支部連合大会 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:静岡大学   Country:Japan  

  333. 電解質溶液電極を用いた大気圧パルスDCプラズマにおける放出金属原子の時分解吸収分光計測

    鷹羽貴史,鈴木陽香, 高田昇治, 豊田浩孝

    平成25年度電気関係学会東海支部連合大会 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:静岡大学   Country:Japan  

  334. VHF-DC重畳マグネトロンプラズマの生成及び特性評価

    福岡 侑士,小川 勇人,豊田 浩孝

    平成25年度電気関係学会東海支部連合大会 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:静岡大学   Country:Japan  

  335. 誘導結合型HBrプラズマにおけるBrラジカルの表面損失確率評価

    藤井 良隆,豊田 浩孝

    平成25年度電気関係学会東海支部連合大会 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:静岡大学   Country:Japan  

  336. 進行波重畳によるメートル級マイクロ大気圧ランプズの生成

    中野 優,鈴木 陽香 ,関根 誠,堀 勝,豊田 浩孝,伊藤 仁

    平成25年度電気関係学会東海支部連合大会 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:静岡大学   Country:Japan  

  337. 新規アンテナ構造を用いたメートル級マイクロ波大気圧ラインプラズマの生成

    鈴木 陽香 ,中野 優 ,伊藤 仁 ,関根誠 ,堀 勝 ,豊田 浩孝

    第74回応用物理学会学術講演会 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:同志社大学京田辺キャンパス   Country:Japan  

  338. マイクロ波励起プラズマによる流体連続処理

    高橋 朋大,高田 昇治,豊田 浩孝

    第74回応用物理学会学術講演会 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:同志社大学京田辺キャンパス   Country:Japan  

  339. 多目的マイクロ波アンテナの大面積化と高密度プラズマ生成

    近藤将吾,中野優、高田昇治,豊田浩孝

    第74回応用物理学会学術講演会 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:同志社大学京田辺キャンパス   Country:Japan  

  340. 大気圧マイクロ波放電 におけるパルス停止時間のプラズマへの影響

    江頭一輝 ,豊田浩孝

    第74回応用物理学会学術講演会 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:同志社大学京田辺キャンパス   Country:Japan  

  341. マイクロ波プラズマを用いた無磁場酸化物薄膜スパッタ装置の開発

    野田 智紀,萩原 敏哉,豊田 浩孝

    第74回応用物理学会学術講演会 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:同志社大学京田辺キャンパス   Country:Japan  

  342. VHF-DC重畳マグネトロンプラズマの生成とプラズマ計測

    小川勇人,福岡 侑士,豊田浩孝

    第74回応用物理学会学術講演会 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:同志社大学京田辺キャンパス   Country:Japan  

  343. Cross section measurement of the neutral dissociation of CH2F2 by electron impact International conference

    H. Ogawa and H. Toyoda

    DPS2013 (35th International Symposium on Dry Process) 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Poster presentation  

    Venue:Ramada Plaza Jeju Hotel in Korea   Country:Korea, Republic of  

  344. Development of Magnet-Free Uniform Sputtering System for Dielectric Film Deposition International conference

    Tomonori Noda, and Hirotaka Toyoda

    DPS2013 (35th International Symposium on Dry Process) 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Poster presentation  

    Venue:Ramada Plaza Jeju Hotel in Korea   Country:Korea, Republic of  

  345. Surface Treatment of Three-Dimensional Product by Flexible Twin Microwave Plasma Source International conference

    K. Sasai, K. Ishikawa, H. Toyoda and H. Sugai

    DPS2013 (35th International Symposium on Dry Process) 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Poster presentation  

    Venue:Ramada Plaza Jeju Hotel in Korea   Country:Korea, Republic of  

  346. Influence of gas addition and substrate bias voltage on Br radical behavior in HBr inductively coupled plasma International conference

    D. Iino, Y. Nojiri, K. Suzuki, T. Oike, Y. Fujii, and H. Toyoda

    DPS2013 (35th International Symposium on Dry Process) 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Poster presentation  

    Venue:Ramada Plaza Jeju Hotel in Korea   Country:Korea, Republic of  

  347. Superposition of Ultrasonic Power on Microwave Plasma and Its Effect on Solution Treatment Efficiency International conference

    T. Takahashi, N. Takada, and H. Toyoda

    DPS2013 (35th International Symposium on Dry Process) 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Poster presentation  

    Venue:Ramada Plaza Jeju Hotel in Korea   Country:Korea, Republic of  

  348. Plasma Characteristics of Multipurpose Microwave Antenna and Its Application to Silicon Thin Film Deposition International conference

    S. Kondo, S. Nakano and H. Toyoda

    DPS2013 (35th International Symposium on Dry Process) 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Poster presentation  

    Venue:Ramada Plaza Jeju Hotel in Korea   Country:Korea, Republic of  

  349. High density plasma production by a Multipurpose Microwave Antenna International conference

    Hirotaka Toyoda, Shogo Kondo, Suguru Nakano, Noriharu Takada

    The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE2013) 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Poster presentation  

    Venue:Jeju, Korea   Country:Korea, Republic of  

  350. Influence of Si Substrate Temperature and Bias Voltage on Surface reaction of Br Radical in HBr Inductively Coupled Plasma International conference

    D. Iino, Y. Fujii, T. Oike, H. Toyoda

    The 12th Asia Pacific Physics Conference 

     More details

    Event date: 2013.7

    Language:English   Presentation type:Poster presentation  

    Venue:Chiba, Japan   Country:Japan  

  351. Absorption Spectroscopy of Atomic Metal in Atmospheric-pressure Plasma with Electrolyte Solution Electrode International conference

    H. Suzuki, T. Takaba, N. Takada and H. Toyoda

    Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics & The Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     More details

    Event date: 2013.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sungkyunkwan University, Korea   Country:Korea, Republic of  

  352. Influence of Ultrasonic Power Superposition to Microwave Plasma Produced under Water Invited International conference

    Hirotaka Toyoda

    Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics & The Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     More details

    Event date: 2013.5

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Sungkyunkwan University, Korea   Country:Korea, Republic of  

  353. 超音波重畳マイクロ波液中プラズマによる液中有機物処理

    高橋 朋大,高田 昇治,豊田 浩孝

    第60回応用物理学関係連合講演会 

     More details

    Event date: 2013.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  354. 低圧環境下における無磁場マイクロ波プラズマ生成と応用

    野田 智紀,中坊 将人,豊田 浩孝

    第60回応用物理学関係連合講演会 

     More details

    Event date: 2013.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  355. 多目的マイクロ波アンテナを用いたプラズマ生成とSi薄膜形成への応用

    近藤将吾,中野優,豊田浩孝

    第60回応用物理学関係連合講演会 

     More details

    Event date: 2013.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:神奈川工科大学   Country:Japan  

  356. 電解質溶液電極を用いた大気圧パルスプラズマにおける金属原子吸収分光計測

    鈴木 陽香,鷹羽 貴史,高田 昇治,豊田 浩孝

    第60回応用物理学関係連合講演会 

     More details

    Event date: 2013.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  357. CH2F2への電子衝突によるラジカル生成断面積の評価

    小川勇人,豊田浩孝

    第60回応用物理学関係連合講演会 

     More details

    Event date: 2013.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  358. 誘導結合型HBr プラズマ中のBr ラジカル挙動

    飯野大輝,野尻康弘,鈴木啓之,大池 匠,藤井良隆,豊田浩孝

    第60回応用物理学関係連合講演会 

     More details

    Event date: 2013.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  359. 大気圧パルスマイクロ波プラズマにおける放電開始後のプラズマ挙動

    江頭一輝 ,村瀬卓也 ,豊田浩孝

    第60回応用物理学関係連合講演会 

     More details

    Event date: 2013.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:神奈川工科大学   Country:Japan  

  360. スパッタリングにおける高エネルギー粒子の発生とその制御 Invited

    豊田 浩孝

    プラズマ材料科学第153委員会第108回研究会 

     More details

    Event date: 2013.2

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:弘済会館   Country:Japan  

  361. Temporal and Spatial Variations of Atomic Metals in Atmospheric-Pressure Pulsed-Plasmas with Liquid Electrode International conference

    H.Suzuki, T. Takaba, N. Takada and H. Toyoda

    The 6th International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2013.2

    Language:English   Presentation type:Poster presentation  

    Venue:Gero Japan   Country:Japan  

  362. Microwave Plasma Production - From Micro- to Meter-scale - Invited International conference

    H. Toyoda

    The 6th International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2013.2

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Gero Japan   Country:Japan  

  363. Absolute Dissociation Cross Section of CH2F2 into CH2F for Electron-Impact International conference

    H.Ogawa and H.Toyoda

    The 6th International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2013.2

    Language:English   Presentation type:Poster presentation  

    Venue:Gero Japan   Country:Japan  

  364. Deposition of Microcrystalline Silicon Thin Film by Compact Antenna Structure International conference

    S.Kondo, S.Nakano and H. Toyoda

    The 6th International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2013.2

    Language:English   Presentation type:Poster presentation  

    Venue:Gero Japan   Country:Japan  

  365. Synergistic Effect of Ultrasonic Wave on Microwave Excited Plasma Production under Water International conference

    T. Takahashi, N. Takada and H. Toyoda

    The 6th International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2013.2

    Language:English   Presentation type:Poster presentation  

    Venue:Gero Japan   Country:Japan  

  366. Surface-Wave Excited Plasma Produced in Low Pressures International conference

    T. Noda, M. Nakabo and H. Toyoda

    The 6th International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2013.2

    Language:English   Presentation type:Poster presentation  

    Venue:Gero Japan   Country:Japan  

  367. Measurement of Br Atom Density in an Inductively-Coupled HBr Plasma by Appearance Mass Spectrometry International conference

    Y.Fujii, T. Oike, D. Iino, K. Suzuki and H. Toyoda

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2013.1

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya University, Aichi, Japan   Country:Japan  

  368. Development of Compact Microwave Plasma Source and Its Application to Si Film Deposition International conference

    S. Kondo, S. Nakano and H. Toyoda

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2013.1

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya University, Aichi, Japan   Country:Japan  

  369. Production of Surface-Wave Excited Plasma in Low Pressures International conference

    T.Noda, M. Nakabo and H. Toyoda

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2013.1

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya University, Aichi, Japan   Country:Japan  

  370. Effect of Superposition of Ultrasonic Power on Microwave Plasma under Water International conference

    T. Takahashi, N. Takada, H. Toyoda

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2013.1

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya University, Aichi, Japan   Country:Japan  

  371. Absolute Cross Section Measurement for Electron-Impact Dissociation of CH2F2 into CH2F International conference

    H. Ogawa and H. Toyoda

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2013.1

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya University, Aichi, Japan   Country:Japan  

  372. Temporal Variation of Alkaline Metals in an Atmospheric-Pressure Pulsed-Plasma with Liquid Electrode International conference

    H. Suzuki, T. Takaba, N. Takada, H. Toyoda

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2013.1

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya University, Aichi, Japan   Country:Japan  

  373. Time-Resolved Measurement of Electric Field in Ar and N2 Atmospheric-Pressure Microwave Plasmas International conference

    K. Egashira, T. Murase AND H. Toyoda

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2013.1

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya University, Aichi, Japan   Country:Japan  

  374. Measurement of Electron-Impact Cross Section for Dissociation of CH2F2 into CH2F International conference

    H. Ogawa and H. Toyoda

    The 16th International Workshop of Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2013.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  375. コンパクトマイクロ波アンテナを用いた微結晶シリコン薄膜の生成

    近藤将吾,中野優,豊田浩孝

    第30回プラズマプロセシング研究会 

     More details

    Event date: 2013.1

    Language:Japanese   Presentation type:Poster presentation  

    Venue:アクトシティー浜松   Country:Japan  

  376. 二電子ビーム法を用いたCH2F2への電子衝突によるCH2Fラジカル生成断面積測定

    小川勇人,豊田浩孝

    第30回プラズマプロセシング研究会 

     More details

    Event date: 2013.1

    Language:Japanese   Presentation type:Poster presentation  

    Venue:アクトシティー浜松   Country:Japan  

  377. シュタルク分光を用いたAr及びN2添加大気圧マイクロ波プラズマの時分解計測

    江頭 一輝,村瀬 卓也,豊田 浩孝

    第30回プラズマプロセシング研究会 

     More details

    Event date: 2013.1

    Language:Japanese   Presentation type:Poster presentation  

    Venue:アクトシティー浜松   Country:Japan  

  378. マイクロ波液中プラズマ生成における超音波波動の影響

    高橋朋大,高田昇治,豊田浩孝

    第30回プラズマプロセシング研究会 

     More details

    Event date: 2013.1

    Language:Japanese   Presentation type:Poster presentation  

    Venue:アクトシティー浜松   Country:Japan  

  379. Diagnostics and Control of High Energy Species in Magnetron Sputter Plasmas Invited International conference

    Hirotaka TOYODA

    The International Symposium on Visualization in Joining & Welding Science through Advanced Measurements and Simulation 

     More details

    Event date: 2012.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Hotel Hankyu Expo Park, Osaka, Japan   Country:Japan  

  380. 液体電極を用いた大気圧マイクロ波プラズマの光学的時分解計測 International conference

    鈴木陽香, 鷹羽貴史, 高田昇治, 豊田浩孝

    第5回プラズマ技術産業応用センター&プラズマが拓くものづくり研究会国際シンポジウム 

     More details

    Event date: 2012.10

    Language:Japanese   Presentation type:Poster presentation  

    Venue:サイエンス交流プラザ大会議室(守山)   Country:Japan  

  381. Plasma ignition dynamics in atmospheric-pressure pulsed-microwave plasma International conference

    H. Toyoda, T. Murase, K. Egashira

    GEC2012(65th Annual Gaseous Electronics Conference) 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Austin, Tevas, USA   Country:United States  

  382. A Development of Atmospheric Pressure Plasma Equipment and Its Applications for Treatment of Ag Films Formed from Nano-Particle Ink International conference

    Hitoshi Itoh, Yusuke Kubota, Yusaku Kashiwagi, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, Hirotaka Toyoda and Masaru Hori

    11th APCPST (Asia Pacific Conference on Plasma Science and Technology)and 25th SPSM (Symposium on Plasma Science for Materials) 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kyoto University, Kyoto, Japan   Country:Japan  

  383. Preparation of Direct Alcohol Fuel Cells by Plasma Technology International conference

    Y. D. Meng, C. X. Zhang, J. Hu, M. Nagatsu and H. Toyoda

    11th APCPST (Asia Pacific Conference on Plasma Science and Technology)and 25th SPSM (Symposium on Plasma Science for Materials) 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kyoto University, Kyoto, Japan   Country:Japan  

  384. Temporal Variation of Ar/H2 and N2/H2 Atmospheric Pressure Microwave Plasma International conference

    Takuya Murase, Kazuki Egashira, Hirotaka Toyoda

    11th APCPST (Asia Pacific Conference on Plasma Science and Technology)and 25th SPSM (Symposium on Plasma Science for Materials) 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Poster presentation  

    Venue:Kyoto University, Kyoto, Japan   Country:Japan  

  385. 希ガス及び分子ガスを用いた大気圧パルスマイクロ波放電におけるプラズマの時間発展

    江頭 一輝,村瀬 卓也,豊田 浩孝

    平成24年度電気関係学会東海支部連合大会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:豊橋技術科学大学   Country:Japan  

  386. CH2F2 への電子衝突によるCH2F ラジカル生成絶対断面積

    小川 勇人,豊田 浩孝

    平成24年度電気関係学会東海支部連合大会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:豊橋技術科学大学   Country:Japan  

  387. スロットアンテナ励起マイクロ波プラズマによる微結晶シリコン薄膜の生成

    近藤 将吾,豊田 浩孝

    平成24年度電気関係学会東海支部連合大会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:豊橋技術科学大学   Country:Japan  

  388. マイクロ波液中プラズマ生成における超音波重畳効果

    高橋 朋大,高田 昇治, 豊田 浩孝

    平成24年度電気関係学会東海支部連合大会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:豊橋技術科学大学   Country:Japan  

  389. 低圧環境下における高密度マイクロ波プラズマの生成

    野田 智紀,中坊 将人,豊田 浩孝

    平成24年度電気関係学会東海支部連合大会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:豊橋技術科学大学   Country:Japan  

  390. 液体を電極としたマイクロ波励起大気圧プラズマの発光分光計測

    鈴木 陽香,鷹羽 貴史,高田 昇治,豊田 浩孝

    第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:愛媛大学・松山大学   Country:Japan  

  391. RFマグネトロンプラズマにおける高エネルギーO-イオン挙動のシミュレーション

    中坊 将人,豊田 浩孝

    第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:愛媛大学・松山大学   Country:Japan  

  392. 誘導結合HBrプラズマ中Br原子の出現質量分析

    大池 匠,藤井 良隆,豊田 浩孝

    第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:愛媛大学・松山大学   Country:Japan  

  393. 大気圧パルスマイクロ波プラズマにおける放電開始後のプラズマの時間発展

    村瀬卓也,江頭一輝,豊田浩孝

    第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛媛大学・松山大学   Country:Japan  

  394. Microwave Plasma Production - From Low- to Atmospheric-pressure. From Micro- to Meter-scale - Invited International conference

    Hirotaka Toyoda

    The 15th International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2012.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Sungkyunkwan University, Korea   Country:Korea, Republic of  

  395. Time-resolved Evaluation of Ar/H2 Microwave Plasma in Atmospheric Pressure Using Optical Emission Spectroscopy International conference

    T. Murase, K. Egashira, and H. Toyoda

    The 15th International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2012.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sungkyunkwan University, Korea   Country:Korea, Republic of  

  396. 誘導結合HBrプラズマにおける高エネルギー電子の空間分布評価

    大池 匠,石島 達夫,豊田 浩孝

    第59回応用物理学関係連合講演会 

     More details

    Event date: 2012.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学   Country:Japan  

  397. シュタルク分光を用いた大気圧パルスマイクロ波プラズマの時空間分解計測

    村瀬卓也,石島達夫,豊田浩孝

    第59回応用物理学関係連合講演会 

     More details

    Event date: 2012.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学   Country:Japan  

  398. 液中気泡内における水蒸気とマイクロ波プラズマの相互作用

    鈴木 陽香,石島 達夫,豊田 浩孝

    第59回応用物理学関係連合講演会 

     More details

    Event date: 2012.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学   Country:Japan  

  399. Temporal-Spatial Measurement of Electric Field Using Stark Spectroscopy in Atmospheric-pressure Pulsed Slot-antenna Microwave Plasma International conference

    T. Murase, T. Ishijima and H. Toyoda

    The 5th International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Poster presentation  

    Venue:Inuyama, Japan   Country:Japan  

  400. Temporal Variation of Water Vapor Pressure inside a Bubble under Water Induced by Microwave Plasma International conference

    H. Suzuki, T. Ishijima and H. Toyoda

    The 5th International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Poster presentation  

    Venue:Inuyama, Japan   Country:Japan  

  401. Spatial Profile Measurement of High Energy Electrons in an Inductively Coupled Plasma with Electronegative Gas International conference

    Takumi Oike, Tatsuo Ishijima and Hirotaka Toyoda

    The 5th International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Poster presentation  

    Venue:Inuyama, Japan   Country:Japan  

  402. Simulation of Spatial- and Energy-Distributions of High-Energy O- Ions in a RF Magnetron Plasma International conference

    MASATO NAKABO, KAZUNAGA ONO, TADASHI MORITA, NORIKAZU OHSHIMA, TATSUO ISHIJIMA AND HIROTAKA TOYODA

    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  403. Evaluation of High Energy Electrons in an Inductively Coupled Plasma Using Optical Emission Spectroscopy International conference

    TAKUMI OIKE ,TATSUO ISHIJIMA AND HIROTAKA TOYODA

    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  404. Temporal Variation of Water Vapor Pressure inside a Bubble under Water Induced by Atmospheric Pressure Microwave Plasma International conference

    HARUKA SUZUKI, TATSUO ISHIJIMA AND HIROTAKA TOYODA

    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  405. Spatio-temporal Variation of Microwave Electric Field in an Atmospheric Pressure Slot-antenna Microwave Plasma International conference

    TAKUYA MURASE, TATSUO ISHIJIMA AND HIROTAKA TOYODA

    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  406. Bubble Plasma Production under Water using Microwave -Basic Study in Multiphase Structure and Application- Invited International conference

    T. Ishijima, H. Suzuki, K. Kanetake, H. Toyoda

    12th International Symposium on Biomimetic Materials Processing(BWWMP-12) 

     More details

    Event date: 2012.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  407. Evaluation of High Energy Electrons in an Inductively- Coupled Plasma with Electronegative Gas International conference

    T. Oike and H. Toyoda, T. Ishijima

    The 14th International Workshop of Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2012.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  408. Microwave Plasma Production under Water - Basic Research and Organic Decomposition - Invited International conference

    H. Toyoda, K. Kanetake, H. Suzuki and T. Ishijima

    The 14th International Workshop of Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2012.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  409. Evaluation of Deposited Film Suppression on the Dielectric Window Using Gas Flow

    K. Keyamura, T. Ishijima and H. Toyoda

     More details

    Event date: 2011.12

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  410. Improvement of Silicon Thin Film Quality by Modified Microwave Antenna under High Pressure SiH4/H2 Plasma

    Y. Ito, S.Kondo, T. Ishijima and H. Toyoda

     More details

    Event date: 2011.12

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  411. マイクロ波励起プラズマを用いた減圧流水中有機物の分解処理における効率向上 International conference

    金武浩司、石島達夫、豊田浩孝

    第4回プラズマ技術産業応用センター&プラズマが拓くものづくり研究会国際シンポジウム  

     More details

    Event date: 2011.12

    Language:Japanese   Presentation type:Poster presentation  

    Venue:なごやサイエンスパーク、サイエンス交流プラザ   Country:Japan  

  412. RFマグネトロンプラズマ中の酸素負イオン軌道解析 International conference

    中坊 将人, 小野 一修,森田 正,大嶋 則和,石島 達夫,豊田 浩孝

     More details

    Event date: 2011.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  413. 発光分光法を用いたICPアンテナ近傍の高エネルギー電子空間分布計測 International conference

    大池匠, 石島達夫,豊田浩孝

     More details

    Event date: 2011.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  414. Microwave Plasma CVD for High-Rate and Large-Area Si Deposition - Plasma Control and Plasma Chemistry- Invited International conference

    Hirotaka Toyoda

    Topical Workshop on Green Plasma-Nano Technology- Green Energy and Flexible New Materials - 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Sungkyunkwan University, Korea   Country:Japan  

  415. 時空間発光分光によるスロットアンテナ励起大気圧マイクロ波プラズマの診断 International conference

    村瀬卓也, 石島達夫,豊田浩孝

     More details

    Event date: 2011.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  416. マイクロ波励起液中気泡内プラズマの時分解発光分光計測 International conference

    鈴木陽香, 石島達夫,豊田浩孝

     More details

    Event date: 2011.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  417. Time-resolved OES measurement of microwave plasma produced inside controlled bubbles under water International conference

    T. Ishijima, H. Suzuki and H. Toyoda

    GEC2011(64th Annual Gaseous Electronics Conference) 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Poster presentation  

    Venue:Salt Lake City,Utah, USA   Country:United States  

  418. Suppression of film deposition in a plasma CVD system using gas flow International conference

    H. Toyoda, K. Keyamura and T. Ishijima

    GEC2011(64th Annual Gaseous Electronics Conference) 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Poster presentation  

    Venue:Salt Lake City,Utah, USA   Country:United States  

  419. Influence of microwave electric field on Hb broadening in atmospheric pressure microwave plasma International conference

    H. Toyoda, T. Murase and T. Ishijima

    GEC2011(64th Annual Gaseous Electronics Conference) 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Salt Lake City,Utah, USA   Country:United States  

  420. Spatial Profile of SiH4 and SiH3 Fluxes under High Speed Gas Flow Environ International conference

    M. Ikeda, T. Ishijima and H. Toyoda

    DPS2011(33rd International Symposium on Dry Process) 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Poster presentation  

    Venue:Kyoto Garden Palace Hotel,Kyoto, Japan   Country:Japan  

  421. Trajectory Simulation of High-Energy O- Ions in RF Magnetron Plasma International conference

    M. Nakabo, K. Ono, T. Morita, N. Ohshima, K. Kinoshita, T. Ishijima and H. Toyoda

    DPS2011(33rd International Symposium on Dry Process) 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Poster presentation  

    Venue:Kyoto Garden Palace Hotel,Kyoto, Japan   Country:Japan  

  422. 誘導結合型プラズマにおける高エネルギー電子空間分布評価

    大池 匠,石島 達夫,豊田 浩孝

    応用物理学会 プラズマエレクトロニクス分科会20周年(研究会創設25周年)記念 特別シンポジウム 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学   Country:Japan  

  423. パルスマイクロ波液中気泡内プラズマの時分解発光分光計測

    鈴木 陽香,石島 達夫,豊田 浩孝

    応用物理学会 プラズマエレクトロニクス分科会20周年(研究会創設25周年)記念 特別シンポジウム 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  424. 大気圧パルスマイクロ波プラズマの時空間発光分光計測

    村瀬 卓,石島 達夫,豊田 浩孝

    応用物理学会 プラズマエレクトロニクス分科会20周年(研究会創設25周年)記念 特別シンポジウム 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学   Country:Japan  

  425. 数値シミュレーションを用いたRFマグネトロンプラズマにおける酸素負イオンの軌道解析

    中坊 将人, 森田 正, 小野 一修,大嶋 則和, 石島 達夫, 豊田 浩孝

    応用物理学会 プラズマエレクトロニクス分科会20周年(研究会創設25周年)記念 特別シンポジウム 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学   Country:Japan  

  426. 時空間発光分光計測を用いた大気圧パルスマイクロ波プラズマ生成過程の観察

    村瀬 卓也*,石島 達夫,豊田 浩孝

    平成23年度電気関係学会東海支部連合大会 

     More details

    Event date: 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:三重大学   Country:Japan  

  427. パルスマイクロ波液中プラズマの時分解発光分光計測

    鈴木 陽香,石島 達夫,豊田 浩孝

    平成23年度電気関係学会東海支部連合大会 

     More details

    Event date: 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:三重大学   Country:Japan  

  428. 分光的手法を用いた誘導結合プラズマ中高エネルギー電子の空間分布評価

    大池 匠,石島 達夫,豊田 浩孝

    平成23年度電気関係学会東海支部連合大会 

     More details

    Event date: 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:三重大学   Country:Japan  

  429. 数値シミュレーションを用いたマグネトロンプラズマ中の高エネルギー負イオン挙動の解析

    中坊 将人,森田 正,小野 一修,大嶋 則和,木下 啓藏,石島 達夫,豊田 浩孝

    平成23年度電気関係学会東海支部連合大会 

     More details

    Event date: 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:三重大学   Country:Japan  

  430. 質量分析法を用いた大気圧マイクロ波プラズマの気相診断

    澤口 陽介,石島 達夫,豊田 浩孝

    平成23年度電気関係学会東海支部連合大会 

     More details

    Event date: 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:三重大学   Country:Japan  

  431. Silicon Film Deposition by Microwave-Excited SiH4/H2 Plasma Invited International conference

    H. Toyoda, Y. Ito, S. Kondo, T. Ishijima

    The 8th Asian-European International Conference on Plasma Surface Engineering(AEPSE2011) 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Poster presentation  

    Venue:Dalianm, China   Country:China  

  432. Particle Diagnostics of Reactive Species in Processing Plasmas Invited International conference

    Hirotaka Toyoda

    The 8th Asian-European International Conference on Plasma Surface Engineering(AEPSE2011) 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Dalianm, China   Country:China  

  433. ガス流を用いた誘電体窓への膜堆積抑制の検討

    毛家村 一樹,石島 達夫,豊田 浩孝

    第72回応用物理学会学術講演会 

     More details

    Event date: 2011.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:山型大学   Country:Japan  

  434. Behavior of High-Energy Oxygen Negative Ions in a RF Magnetron Plasma Invited International conference

    Hirotaka Toyoda

    The XXX International Conference on Phenomena in Ionized Gases (ICPIG) 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Belfast, Northern Ireland   Country:United Kingdom  

  435. Influence of Microwave Electric Field on Spatial and Time-Variation of Hb Spectra in Pulsed-Microwave Atmospheric Pressure Plasma International conference

    T. Murase, A. Kamata, T. Ishijima, H. Toyoda

    The XXX International Conference on Phenomena in Ionized Gases (ICPIG) 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Poster presentation  

    Venue:Belfast, Northern Ireland   Country:United Kingdom  

  436. 高圧力SiH4/H2マイクロ波プラズマによるシリコン製膜

    伊藤裕紀,近藤将吾,石島達夫,豊田浩孝

    第72回応用物理学会学術講演会 

     More details

    Event date: 2011.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:山型大学   Country:Japan  

  437. ガス流環境下におけるH2/SiH4プラズマの気相診断

    池田昌平,石島 達夫,豊田 浩孝

    第72回応用物理学会学術講演会 

     More details

    Event date: 2011.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山型大学   Country:Japan  

  438. マイクロ波励起プラズマを用いた有機物含有流体処理におけるプロセスの高効率化

    金武 浩司,石島 達夫,豊田 浩孝

    第72回応用物理学会学術講演会 

     More details

    Event date: 2011.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山型大学   Country:Japan  

  439. Flowing Liquid Treatment by Microwave Plasma International conference

    K. Kanetake, T. Ishijima, and H. Toyoda

    The 13th International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2011.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Deajeon, Korea   Country:Korea, Republic of  

  440. Spatiotemporal measurement of microwave electric field in an atmospheric pressure microwave plasma Invited International conference

    Hirotaka Toyoda, Takuya Murase, Tasuo Ishijima

    The 13th International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2011.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Deajeon, Korea   Country:Korea, Republic of  

  441. Behavior of High Energy Negative Ions in RF Magnetron Sputtering Plasma Invited

    H. Toyod, T. Ishijima, K. Ono, T. Morita, N. Ohshima, K. Kinoshita

     More details

    Event date: 2011.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  442. Flowing Liquid Treatment by Microwave Plasma under Reduced Pressures

    Koji Kanetake, Tatsuo Ishijima and Hirotaka Toyoda

     More details

    Event date: 2011.7

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  443. Time- and Space-Resolved Characteristics of Ozone Concentration in Atmospheric Pressure Plasma using Ultra Short Pulses International conference

    T. Murase, A. Kamata, T. Ishijima, Y. Kinoshita, H. Kawauchi, K. Yoshida, and H. Toyoda

    The 3rd International Conference on Microelectronics and Plasma Technology (ICMAP-2011) 

     More details

    Event date: 2011.7

    Language:English   Presentation type:Poster presentation  

    Venue:Dalian, China   Country:China  

  444. Enhancement of Polyimide/Copper Film Adhesion by Dense Carbon Interlayer using Microwave Plasma CVD International conference

    K. Usami, T. Ishijima, and H. Toyoda

    The 3rd International Conference on Microelectronics and Plasma Technology (ICMAP-2011) 

     More details

    Event date: 2011.7

    Language:English   Presentation type:Poster presentation  

    Venue:Dalian, China   Country:China  

  445. RFマグネトロン・スパッタリング法で作製したMgO障壁層MTJのMgO結晶配向性と高エネルギー負イオンとの関係 Invited

    小野一修, 大嶋則和, 後藤和也, 森田正, 木下啓藏, 石島達夫, 豊田浩孝

    日本HDD協会 ヘッド・ディスク部会 

     More details

    Event date: 2011.6

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:IDEMA JAPAN 会議室 東京都港区   Country:Japan  

  446. 有機物含有流体の減圧下における連続プラズマ処理

    金武 浩司,石島 達夫,豊田 浩孝

    第58回応用物理学関係連合講演会 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学(神奈川県厚木市)   Country:Japan  

  447. 熱流束抑制による微結晶シリコン薄膜特性の改善

    伊藤裕紀,坂井淳二,石島達夫,豊田浩孝

    第58回応用物理学関係連合講演会 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学(神奈川県厚木市)   Country:Japan  

  448. SiH4/H2マイクロ波プラズマ中のSiH3ラジカル空間分布計測

    池田昌平, 黒田 俊之, 石島 達夫, 豊田 浩孝

    第58回応用物理学関係連合講演会 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:神奈川工科大学(神奈川県厚木市)   Country:Japan  

  449. 質量分析法による大気圧プラズマの気相診断

    澤口 陽介,石島 達夫,豊田 浩孝

    第58回応用物理学関係連合講演会 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:神奈川工科大学(神奈川県厚木市)   Country:Japan  

  450. Space- and Time-Resolved Measurement of Electric Field International conference

    T. Murase, A. Kamata, T. Ishijima and H. Toyoda

    The 4th International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  451. Improvement of Silicon Thin Film Quality for Photovoltaic Cells by Modified Microwave Antenna Structure International conference

    Y. Ito, J. Sakai, T. Ishijima and H. Toyoda

    The 4th International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  452. Reactive Species Measurement of an Atmosphere-pressure Plasma by a Differentially-Pumped Mass-Spectrometer International conference

    Y. Sawaguchi, T. Ishijima and H. Toyoda

    The 4th International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  453. Investigation of C6H6 Dissociation Process in a Microwave Plasma International conference

    K .Keyamura, T. Ishijima and H. Toyoda

    The 4th International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  454. Treatment of Flowing Organic Solution by Microwave Excited Plasma under Reduced-Pressure Condition International conference

    K. Kanetake, T. Ishijima and H. Toyoda

    The 4th International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  455. Measurement of SiH3 Spatial Profile in H2/SiH4 Microwave Plasma International conference

    M. Ikeda, T. Kuroda, T. Ishijima and H. Toyoda

    The 4th International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  456. Dissociation Process of C6H6 Molecules in Surface Wave Plasma International conference

    KAZUKI KEYAMURA, TATSUO ISHIJIMA AND HIROTAKA TOYODA

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya, Japan   Country:Japan  

  457. Gas-Phase Diagnostics of Hydrogen Diluted Silane Plasma by Modified Appearance Mass Spectrometry International conference

    MASAHIRA IKEDA, TOSHIYUKI KURODA, TATSUO ISHIJIMA AND HIROTAKA TOYODA

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya, Japan   Country:Japan  

  458. Improvement of Silicon Thin Film Quality for Photovoltaic Cells by Newly-developed Microwave Antenna International conference

    YUKI ITO, JUNJI SAKAI, TASTUO ISHIJIMA AND HIROTAKA TOYODA

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya, Japan   Country:Japan  

  459. Flowing Liquid Treatment by Microwave Plasma under Reduced Pressure Condition International conference

    KOJI KANETAKE, TATSUO ISHIJIMA AND HIROTAKA TOYODA

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya, Japan   Country:Japan  

  460. Improvement of Adhesion Strength between Polyimide and Copper Foil by High Density Plasma Treatment Invited International conference

    T. Ishijima, K. Usami, H. Toyoda

    11th International Symposium on Biomimetic Materials Processing(BWWMP-11)  

     More details

    Event date: 2011.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  461. Evaluation of Absolute SiH3 Radical Density in H2/SiH4 Surface Wave Exited Plasma International conference

    M. Ikeda, T. Kuroda, T. Ishijima, and H. Toyoda

    The 12th International Workshop of Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2011.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kyushu University, Japan   Country:Japan  

  462. Time and Space Resolved Measurement of Microwave Electric Field in Atmospheric Pressure Plasma Invited International conference

    H. Toyoda, A. Kamata, T. Murase, T. Ishijima

    The 12th International Workshop of Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2011.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Kyushu University, Japan   Country:Japan  

  463. 表面波プラズマ処理によるCu膜とポリイミドフィルムの密着性向上

    宇佐見健二,石島達夫,豊田浩孝

    第20日本MRS学術シンポジウム 

     More details

    Event date: 2010.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:開港記念館(神奈川県横浜市)   Country:Japan  

  464. Organic Solute Decomposition in Water using Microwave Plasma

    T. Ishijima, K. Kanetake and H. Toyoda

     More details

    Event date: 2010.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  465. Diagnostics of atmospheric pressure plasma by space and time-resolved Stark broadening Invited International conference

    H. Toyoda, A. Kamata, T. Murase, and T. Ishijima

    The 2nd International Plasma Nanoscience Symposium 

     More details

    Event date: 2010.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:New South Wales, Australia   Country:Australia  

  466. Microwave H2/SiH4 PCVD by Modified Microwave Antenna for Improvement of Silicon Film Quality International conference

    J. Sakai, Y. Ito, T. Ishijima, H. Toyoda

    The 32nd International Symposium on Dry Process 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Poster presentation  

    Venue:Tokyo Institute of Technology , Tokyo, Japan   Country:Japan  

  467. RFマグネトロンプラズマにおける高エネルギー粒子の挙動 Invited

    豊田 浩孝 , 後藤 和也,石島 達夫

    第26回九州・山口プラズマ研究会 

     More details

    Event date: 2010.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:日田ひなの里「山陽館」(大分県日田市)   Country:Japan  

  468. マグネトロンプラズマ中の高エネルギー負イオン計測 Invited

    豊田 浩孝

    真空・表面科学合同講演会 

     More details

    Event date: 2010.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:大阪大学   Country:Japan  

  469. プラズマ計測:電気的計測

    豊田 浩孝

    第21回プラズマエレクトロニクス講習会 

     More details

    Event date: 2010.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:慶応義塾大学   Country:Japan  

  470. Influences of Liquid Temperature and Pressure on Microwave-Excited Bubble Plasma Production International conference

    T. Ishijima, R. Saito, K. Kanetake, H. Toyoda

    7th International Conference on Reactive Plasmas, 28th Symposium on Plasma Processing and 63rd Gaseous Electronics Conference 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Maison de la Chimie, Paris, France   Country:France  

  471. Formation of High Energy Oxygen Species in RF Magnetron Sputter Plasma International conference

    K. Goto, T. Ishijima, T. Morita, K. Ono, N. Ohshima, K. Kinoshita, H. Toyoda

    7th International Conference on Reactive Plasmas, 28th Symposium on Plasma Processing and 63rd Gaseous Electronics Conference 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Maison de la Chimie, Paris, France   Country:France  

  472. Study on modified surface layer of photoresist employing fluorocarbon ion beam and radicals International conference

    Takuya Takeuchi, Shinpei Amasaki, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Hirotaka Toyoda

    7th International Conference on Reactive Plasmas, 28th Symposium on Plasma Processing and 63rd Gaseous Electronics Conference 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Poster presentation  

    Venue:Maison de la Chimie, Paris, France   Country:France  

  473. Synthesis of High Quality SiO2 Film by Capacitively-Coupled Plasma CVD with Comb-Type Electrodes International conference

    Takahiro Hiramatsu, Tokiyoshi Matsuda, Toshiyuki Kawaharamura, Mamoru Furuta, Takashi Hirao, Koji Kanetake, Hirotaka Toyoda

    7th International Conference on Reactive Plasmas, 28th Symposium on Plasma Processing and 63rd Gaseous Electronics Conference 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Poster presentation  

    Venue:Maison de la Chimie, Paris, France   Country:France  

  474. Space- and Time-Resolved Measurement of Hb Emission Spectrum in Atmospheric-pressure Pulsed Microwave Plasma International conference

    Azumi Kamata, Takuya Murase, Tatsuo Ishijima and Hirotaka Toyoda

    7th International Conference on Reactive Plasmas, 28th Symposium on Plasma Processing and 63rd Gaseous Electronics Conference 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Poster presentation  

    Venue:Maison de la Chimie, Paris, France   Country:France  

  475. Absolute Density Measurement of SiHx Radicals in SiH4/H2 Microwave Plasma by Modified Appearance Mass Spectrometry International conference

    T. Kuroda, M. Ikeda, T. Ishijima and H. Toyoda

    7th International Conference on Reactive Plasmas, 28th Symposium on Plasma Processing and 63rd Gaseous Electronics Conference 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Poster presentation  

    Venue:Maison de la Chimie, Paris, France   Country:France  

  476. Capacitively Coupled Plasma Source with Comb-Type Electrodes for Uniform Plasma Processing International conference

    Hirotaka Toyoda, Koji Kanetake, Takahiro Hiramatsu, Tokiyoshi Matsuda, Toshiyuki Kawaharamura, Mamoru Furuta, Takashi Hirao

    7th International Conference on Reactive Plasmas, 28th Symposium on Plasma Processing and 63rd Gaseous Electronics Conference 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Poster presentation  

    Venue:Maison de la Chimie, Paris, France   Country:France  

  477. フルオロカーボンプラズマビームによるフォトレジスト表面改質層の解析

    竹内拓也、尼崎新平、竹田圭吾、石川健治、近藤博基、豊田浩孝、関根誠、康松潤、沢田郁夫、堀勝

    第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

  478. 表面波励起プラズマ処理によるポリイミドフィルムの密着性向上

    宇佐見健二,石島達夫,豊田浩孝,伊関清司,菅井秀郎

    第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

  479. 新規マイクロ波PCVDによるシリコン薄膜の高品質化

    坂井淳二,伊藤裕紀,石島達夫,豊田浩孝

    第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

  480. 酸化物ターゲットマグネトロンプラズマにおける高エネルギー粒子挙動シミュレーション

    後藤 和也,石島 達夫,森田 正,小野一修,大嶋 則和,木下 啓藏,豊田 浩孝

    第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

  481. 改良型出現質量分析法によるSiH4/H2マイクロ波プラズマ中のラジカル絶対密度計測

    黒田 俊之, 池田 昌平, 石島 達夫, 豊田 浩孝

    第71回応用物理学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

  482. マイクロ波SiH4/H2プラズマCVDによる大面積シリコン堆積 Invited

    豊田 浩孝

    プラズマ材料科学第153委員会, 第98回研究会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:弘済会館   Country:Japan  

  483. MgOターゲットRFマグネトロンプラズマにおける高エネルギー酸素負イオンの空間分布計測

    後藤 和也,石島 達夫,森田 正,小野一修,大嶋 則和,木下 啓藏,豊田 浩孝

    第34回日本磁気学会学術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:つくば国際会議場(エポカルつくば)   Country:Japan  

  484. 高密度表面波プラズマにおけるC6H6分子の解離過程

    毛家村 一樹,石島 達夫,豊田 浩孝

    平成22年度電気関係学会東海支部連合大会 

     More details

    Event date: 2010.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:中部大学   Country:Japan  

  485. 新規マイクロ波アンテナによるシリコン薄膜の高品質化

    伊藤 裕紀, 坂井 淳二,石島 達夫,豊田 浩孝

    平成22年度電気関係学会東海支部連合大会 

     More details

    Event date: 2010.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:中部大学   Country:Japan  

  486. 減圧環境下における液体流の連続プラズマ処理

    金武 浩司,石島 達夫,豊田 浩孝

    平成22年度電気関係学会東海支部連合大会 

     More details

    Event date: 2010.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:中部大学   Country:Japan  

  487. CH2F2への電子衝突によるCH2Fラジカル生成断面積測定

    齊藤 耕平,関根 誠,堀 勝,石島 達夫,豊田 浩孝,康 松潤,澤田 郁夫

    平成22年度電気関係学会東海支部連合大会 

     More details

    Event date: 2010.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:中部大学   Country:Japan  

  488. 質量分析法による大気圧プラズマの気相診断

    澤口 陽介,石島 達夫,豊田 浩孝

    平成22年度電気関係学会東海支部連合大会 

     More details

    Event date: 2010.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:中部大学   Country:Japan  

  489. 改良型出現質量分析法を用いたマイクロ波励起水素希釈シランプラズマ中の気相診断

    池田 昌平,黒田 俊之,石島 達夫,豊田 浩孝

    平成22年度電気関係学会東海支部連合大会 

     More details

    Event date: 2010.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:中部大学   Country:Japan  

  490. 大気圧パルスマイクロ波プラズマにおける時空間発光分光計測

    齊藤 耕平,関根 誠,堀 勝,石島 達夫,豊田 浩孝,康 松潤,澤田 郁夫

    平成22年度電気関係学会東海支部連合大会 

     More details

    Event date: 2010.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:中部大学   Country:Japan  

  491. Gas phase diagnostics of high-density SiH4/H2 microwave plasma Invited International conference

    Hirotaka Toyoda, Toshiyuki Kuroda, Masahira Ikeda, Junji Sakai, Yuki Ito, Tatsuo Ishijima

    39th The Korean Vacuum Society Summer 

     More details

    Event date: 2010.8

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:The Beachepalace, Boryong-city, Korea   Country:Korea, Republic of  

  492. Organic Solute Decomposition using Microwave excited Plasma Invited International conference

    T. Ishijima, H. Toyoda

    The 11th International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2010.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Ramada Jeju Hotel, Jeju, Korea   Country:Korea, Republic of  

  493. 酸化物RFスパッタにおける高エネルギー酸素負イオンの空間分布計測

    石島達夫、後藤和也、小野一修、森田 正、大嶋則和、木下啓藏、豊田浩孝

    酸化物RFスパッタにおける高エネルギー酸素負イオンの空間分布計測 

     More details

    Event date: 2010.7

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:化学会館(東京都千代田区)   Country:Japan  

  494. Influence of pressure and water temperature on the microwave plasma production under water International conference

    T. Ishijima, R. Saito, K. Kanetake, H. Toyoda

    10th APCPST (Asia Pacific Conference on Plasma Science and Technology)and 23th SPSM (Symposium on Plasma Science for Materials) 

     More details

    Event date: 2010.7

    Language:English   Presentation type:Poster presentation  

    Venue:LotteHotel Jeju, Jeju, Korea   Country:Korea, Republic of  

  495. Dissociation process of SiH4 parent gas in high density microwave plasma for Si film deposition International conference

    T. Ishijima, H. Endo, T. Kuroda, H. Toyoda

    10th APCPST (Asia Pacific Conference on Plasma Science and Technology)and 23th SPSM (Symposium on Plasma Science for Materials) 

     More details

    Event date: 2010.7

    Language:English   Presentation type:Poster presentation  

    Venue:LotteHotel Jeju, Jeju, Korea   Country:Korea, Republic of  

  496. Diagnostics of high-energy negative ion in RF magnetron plasma Invited International conference

    H. Toyoda

    The 3rd International Oce Science Leader Workshop on Plasma Nanoscience and Nanotechnology 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:CSIRO Materials Science and Engineering, Lindfield Nsw 2070 Australia   Country:Australia  

  497. Multibubble Plasma Production and Efficient Liquid Treatment by Slot-excited Microwave International conference

    T. Ishijima, R. Saito, K. Kanetake, H. Toyoda

    International Workshop on Plasmas with Liquids 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  498. 高密度マイクロ波プラズマを用いたポリィミドフィルムの高速密着性改善

    宇佐見 健二,石島 達夫,豊田 浩孝,伊関清司,菅井秀郎

    第57回応用物理学関係連合講演会 

     More details

    Event date: 2010.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東海大学   Country:Japan  

  499. 酸化物ターゲットのスパッタプロセスにおける酸素負イオンエネルギー分布中微細構

    後藤 和也,石島 達夫,森田 正,小野 一修,大嶋則和,木下 啓藏,豊田 浩孝

    第57回応用物理学関係連合講演会 

     More details

    Event date: 2010.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東海大学   Country:Japan  

  500. 高密度SiH4/H2マイクロ波PCVDによる基板表面温度制御下での微結晶シリコン薄膜堆積

    坂井 淳二,池田 昌平,伊藤 裕紀,石島 達夫,豊田 浩孝

    第57回応用物理学関係連合講演会 

     More details

    Event date: 2010.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東海大学   Country:Japan  

  501. 水素原子偏光スペクトル計測を用いた大気圧パルスプラズマの外部印加電界強度の検討

    鎌田 安住,楊 海鵬,石島 達夫,豊田 浩孝

    第57回応用物理学関係連合講演会 

     More details

    Event date: 2010.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東海大学   Country:Japan  

  502. SiH4/H2マイクロ波プラズマの気相診断

    黒田 俊之,石島 達夫,豊田 浩孝

    第57回応用物理学関係連合講演会 

     More details

    Event date: 2010.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東海大学   Country:Japan  

  503. TiCl4への電子衝突によるTiCl2ラジカル生成断面積測定

    齊藤 耕平,関根 誠,堀 勝,豊田 浩孝,康 松潤,沢田 郁夫

    第57回応用物理学関係連合講演会 

     More details

    Event date: 2010.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東海大学   Country:Japan  

  504. Microcrystalline Silicon Film Deposition by 915MHz High-Density Microwave Plasma International conference

    J. Sakai, T. Ishijima, H. Toyoda

    The 3rd International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  505. Effect of Post-Baking on Copper Adhesion to Polyimide Films Treated by High Density Microwave Plasma International conference

    K. Usami, T. Ishijima, H. Toyoda, K. Iseki, H. Sugai

    The 3rd International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  506. Time Resolved Measurement of Hb Emission from Atmospheric-Pressure Pulsed Microwave Plasma International conference

    A. Kamata, H. Yang, T. Ishijima, H. Toyoda

    The 3rd International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  507. Mass Spectrometric Measurement of Neutral Radicals in SiH4/H2 High Density Microwave Plasma International conference

    T. Kuroda, T. Ishijima, H. Toyoda

    The 3rd International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  508. Gas-Phase Diagnostics of SiH4/H2 Surface Wave Excited Plasma International conference

    T. Kuroda, T. Ishijima, H. Toyoda

    2nd International Symposium on Advansed Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  509. The Effect of Temperature and Pressure for Microwave-Excited Bubble Plasma Production International conference

    R. Saito, K. Kanetake, T. Ishijima, H. Toyoda

    The 3rd International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  510. Substrate Temperature Control in Microwave Plasma CVD International conference

    J. Sakai, T. Ishijima, H. Toyoda

    2nd International Symposium on Advansed Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  511. Periodic Peak Formation in O- Energy Distribution in RF Magnetron Plasma International conference

    K. Goto, T. Ishijima, T. Morita, N. Ohshima, K. Kiinoshita, H. Toyoda

    2nd International Symposium on Advansed Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  512. 表面波励起高密度プラズマによる高分子フィルムの高速密着性改善

    宇佐見 健二,石島 達夫,豊田 浩孝

    第27回プラズマプロセシング研究会 

     More details

    Event date: 2010.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:横浜市開港記念会館   Country:Japan  

  513. 大気圧パルスマイクロ波プラズマの時分解発光分光計測

    鎌田 安住,楊 海鵬,石島 達夫,豊田 浩孝

    第27回プラズマプロセシング研究会 

     More details

    Event date: 2010.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:横浜市開港記念会館   Country:Japan  

  514. マイクロ波励起SiH4/H2高密度プラズマの気相診断

    黒田 俊之,石島 達夫,豊田 浩孝

    第27回プラズマプロセシング研究会 

     More details

    Event date: 2010.2

    Language:Japanese   Presentation type:Poster presentation  

    Venue:横浜市開港記念会館   Country:Japan  

  515. Influence of Temperature and Pressure on Multibubble Plasma Production by Microwave Invited International conference

    T. Ishijima, R. Saito, K. Kanetake, H. Toyoda

    10th International Symposium on Biomimetic Materials Processing(BWWMP-10) and 1st International Symposium on Water Science and Technology(WaST-1) 

     More details

    Event date: 2010.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  516. Plasma innovation towards next generation green technology Invited International conference

    M. Hori, M. Aekine, H. Toyoda

    The 10th International Worksop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2010.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  517. Behavior of High-Energy Oxygen Negative Ions in Magnetron Plasma with Oxide Targets International conference

    K. Goto, T. Ishijima, T. Morita, N. Ohshima, K. Kiinoshita, H. Toyoda

    The 10th International Worksop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2010.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  518. Deposition of Microcrystalline Silicon Thin Film by 915 MHz Microwave Plasma CVD

    J. Sakai, T. Ishijima, H. Toyoda

     More details

    Event date: 2009.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  519. Periodic Peal Structure on O- Energy Distribution in RF Magnetron Plasma

    K. Goto, T. Ishijima, T. Morita, N. Ohshima, K. Kinoshita, H. Toyoda

     More details

    Event date: 2009.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  520. Analysis of the surface reactions of ArF photoresist during fluorocarbon plasma etching by XPS International conference

    Takuya Takeuchi, Makoto Sekine, Hirotaka Toyoda, Keigo Takeda, Masaru Hori, Song-Yun Kang, Ikuo Sawada

    62nd Annual GEC 

     More details

    Event date: 2009.10

    Language:English   Presentation type:Poster presentation  

    Venue:Saratoga Springs, NY, USA   Country:United States  

  521. Spatial variation of O− Energy distribution in an RF magnetron plasma International conference

    H. Toyoda, K. Goto, T. Ishijima, N. Ohshima, K. Kinoshita

    62nd Annual GEC 

     More details

    Event date: 2009.10

    Language:English   Presentation type:Poster presentation  

    Venue:Saratoga Springs, NY, USA   Country:United States  

  522. Temporal variation of plasma density in atmospheric pressure International conference

    H. Toyoda, H. Yang, T. Ishijima

    62nd Annual GEC 

     More details

    Event date: 2009.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Saratoga Springs, NY, USA   Country:United States  

  523. 2次元大面積プラズマ生成用表面波の並列励起

    平成18年度電気関係学会東海支部連合大会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  524. TIME-DEPENDENT PLASMA-DENSITY MEASUREMENT OF ATMOSPHERIC-PRESSURE PULSED-MICROWAVE PLASMA BY STARK BROADENING International conference

    H. Toyoda, H. Yang, T. Ishijima

    7th International Workshop on "Microwave Discharges: Fundamentals and Applications" 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hamamatsu   Country:Japan  

  525. SIH4 GAS DISSOCIATION PROCESS IN HIGH-DENSITY MICROWAVE PLASMA FOR SILICON FILM DEPOSITION International conference

    T. Ishijima, H. Asano, J. Sakai, T. Kuroda, M. Ikeda, H. Toyoda

    7th International Workshop on "Microwave Discharges: Fundamentals and Applications" 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Poster presentation  

    Venue:Hamamatsu   Country:Japan  

  526. Time-dependent measurement of plasma density in atmospheric-pressure microwave plasma using Stark broadening International conference

    H. Toyoda, H. Yang, T. Ishijima

    International Conference on Plasma Surface Engineering (AEPSE2009) 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Poster presentation  

    Venue:Busan, Korea   Country:Korea, Republic of  

  527. Monosilane Dissociation Process in Microwave-Excited High-Density H2/SiH4 Plasma International conference

    H. Asano, H. Endo, T. Ishijima and H. Toyoda

    The 31th International Symposium on Dry Process 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Poster presentation  

    Venue:Busan, Korea   Country:Japan  

  528. TOC Evaluation of Organic Solute Treated by Microwave-Excited Bubble Plasma International conference

    R. Saito, H. Sugiura, T. Ishijima and H. Toyoda

    The 31th International Symposium on Dry Process 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Poster presentation  

    Venue:Busan, Korea   Country:Japan  

  529. Temporal Variation of Hydrogen Atom Spectrum Emitted from Pulsed Microwave Plasma under Atmospheric Pressure International conference

    H. Yang, T. Ishijima, and H. Toyoda

    The 31th International Symposium on Dry Process 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Poster presentation  

    Venue:Busan, Korea   Country:Japan  

  530. Investigation of photoresist surface modeified layer by fluorocarbon ion ombardment International conference

    T. Takeuchi, M. Sekine, H. Toyoda, K. Takeda, M. Hori, S-Y. Kang, I. Sawada

    The 31th International Symposium on Dry Process 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Poster presentation  

    Venue:Busan, Korea   Country:Japan  

  531. Energy distribution of oxygen negative ion in an RF magnetron plasma International conference

    H. Toyoda, K. Goto, T. Ishijima, N. Ohshima, K. Kinoshita

    2nd International Conference on Microelectronics and Plasma Technology(ICMAP 2009) 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Poster presentation  

    Venue:Busan, Korea   Country:Korea, Republic of  

  532. Rapid Improvement of Polymer Film Adhesion by High Density Plasma International conference

    Y. Gunjo, K. Goto, T. Ishijima, H. Toyoda, K. Iseki, and H. Sugai

    International Conference on Plasma Surface Engineering (AEPSE2009) 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Poster presentation  

    Venue:Busan, Korea   Country:Korea, Republic of  

  533. High-density microwave plasma for fabrication of silicon thin film solar cells Invited International conference

    Hirotaka Toyoda

    International Conference on Plasma Surface Engineering (AEPSE2009) 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Busan, Korea   Country:Korea, Republic of  

  534. マイクロ波プラズマCVD における基板への熱流束評価

    坂井 淳二,石島 達夫,豊田 浩孝

    平成21年度電気関係学会東海支部連合大会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛知工業大学   Country:Japan  

  535. 微結晶シリコン薄膜堆積用SiH4/H2 マイクロ波プラズマの気相診断

    黒田 俊之,石島 達夫,豊田 浩孝

    平成21年度電気関係学会東海支部連合大会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛知工業大学   Country:Japan  

  536. 酸化物ターゲットRF マグネトロンプラズマにおける高エネルギー酸素負イオンの空間分布計測

    後藤 和也,石島 達夫,大嶋 則和,木下 啓藏,豊田 浩孝

    平成21年度電気関係学会東海支部連合大会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛知工業大学   Country:Japan  

  537. 915MHz表面波プラズマCVDにおける高付着性ラジカルの抑制

    浅野弘嗣、池田昌平、石島達夫、豊田浩孝

    第70回応用物理学会学術講演会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:富山大学   Country:Japan  

  538. 大気圧パルスマイクロ波プラズマにおける印加外部電界に起因するスペクトル拡がり

    鎌田 安住,楊 海鵬,石島 達夫,豊田 浩孝

    平成21年度電気関係学会東海支部連合大会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛知工業大学   Country:Japan  

  539. CF系イオン照射によるフォトレジスト表面改質層の解析(2)

    竹内拓也、関根誠、豊田浩孝、竹田圭吾、堀勝、康松潤、沢田郁夫

    第70回応用物理学会学術講演会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:富山大学   Country:Japan  

  540. シュタルク拡がりを用いたプラズマ密度計測における外部電界の影響

    楊 海鵬、石島達夫、豊田浩孝

    第70回応用物理学会学術講演会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:富山大学   Country:Japan  

  541. マイクロ波バブルプラズマにおける水温・圧力の影響

    齋藤良太、杉浦宏康、石島達夫、豊田浩孝

    第70回応用物理学会学術講演会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:富山大学   Country:Japan  

  542. マイクロ波プラズマ生成による難分解性有機物分解処理

    石島達夫,齋藤良太,豊田浩孝

    電気学会プラズマ研究会 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:大阪府立大学,中之島サテライト   Country:Japan  

  543. Detection of High Energy Negative Ions in an RF Magnetron Sputter Plasma International conference

    H. Toyoda, K. Goto, T. Ishijima, N. Ohshima, K. Kinoshita

    The 19th International Symposium on Plasma Chemistry 

     More details

    Event date: 2009.7

    Language:English   Presentation type:Poster presentation  

    Venue: Bochum, Germany   Country:Germany  

  544. Decomposition of Organic Solute with Microwave Bubble Plasmas International conference

    T. Ishijima, R. Saito, H. Sugiura, H. Toyoda

    The 19th International Symposium on Plasma Chemistry 

     More details

    Event date: 2009.7

    Language:English   Presentation type:Poster presentation  

    Venue:Bochum, Germany   Country:Japan  

  545. High-Density Microwave Plasma Production For Thin Film Si Solar Cell and Monitoring of SiH4 Gas Dissociation Process Invited International conference

    T. Ishijima, H. Endo, H. Asano, H. Toyoda

    The 9th Korea-Japan Workshop on Thin Film and Plasma Process for Green Plasma Technology Advanced Plasma Diagnostics for Plasma-Nano Processing 

     More details

    Event date: 2009.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Seoul, Korea   Country:Korea, Republic of  

  546. 915MHz表面波プラズマを用いた微結晶シリコン薄膜の膜特性評価

    浅野弘嗣、遠藤浩孝、石島達夫、豊田浩孝

    第56回応用物理学関係連合講演会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:筑波大学   Country:Japan  

  547. スロットアンテナ励起マイクロ波液中プラズマによる有機物含有溶液処理

    齋藤良太、杉浦宏康、石島達夫、豊田浩孝

    第56回応用物理学関係連合講演会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:筑波大学   Country:Japan  

  548. シュタルク拡がりを用いた大気圧パルスマイクロ波プラズマの電子密度計測

    楊 海鵬、石島達夫、豊田浩孝

    第56回応用物理学関係連合講演会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:筑波大学   Country:Japan  

  549. 高周波マグネトロンプラズマ中の高エネルギー負イオンエネルギーの空間分布計測

    後藤和也、石島達夫、大嶋則和、木下啓蔵、豊田浩孝

    第56回応用物理学関係連合講演会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:筑波大学   Country:Japan  

  550. 微結晶Si薄膜超高速堆積用表面波プラズマ Invited

    豊田浩孝

    太陽電池製造用新規プラズマ源に関する研究会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:九州大学   Country:Japan  

  551. Basic experiment on microcrystalline silicon film deposition by 915 MHz microwave PlasmaCVD International conference

    H. Asano, T. Ishijima, H. Toyoda

    First International Symposium on Advanced Plasma Science and its Applications 

     More details

    Event date: 2009.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya University, Nagoya JAPAN   Country:Japan  

  552. Low Temperature Microcrystalline Silicon Film Deposition by Microwave High-density Plasma Invited International conference

    Hirotaka Toyoda

    First International Symposium on Advanced Plasma Science and its Applications 

     More details

    Event date: 2009.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Nagoya University, Nagoya JAPAN   Country:Japan  

  553. CF系プラズマにより改質されたフォトレジスト表面層の観察

    竹内拓也、関根誠、豊田浩孝、竹田圭吾、堀勝、康松潤、沢田郁夫

    プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会 プロシーディングス 

     More details

    Event date: 2009.2

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学   Country:Japan  

  554. マイクロ波励起による液中プラズマ生成と化学反応性

    石島達夫、齋藤良太、豊田浩孝

    プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会 プロシーディングス 

     More details

    Event date: 2009.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  555. スロットアンテナ励起バブルプラズマによる高速溶質処理

    齋藤良太、杉浦宏康、石島達夫、豊田浩孝

    プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会 プロシーディングス 

     More details

    Event date: 2009.2

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学   Country:Japan  

  556. 915MHzマイクロ波励起 プラズマによる微結晶シリコン薄膜堆積

    浅野弘嗣、遠藤広考、石島達夫、豊田浩孝

    プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会 プロシーディングス 

     More details

    Event date: 2009.2

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学   Country:Japan  

  557. 大気圧パルスマイクロ波プラズマにおける水素原子スペクトルの時分解計測

    楊 海鵬、石島達夫、豊田浩孝

    プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会 プロシーディングス 

     More details

    Event date: 2009.2

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学   Country:Japan  

  558. RFマグネトロンプラズマ中の高エネルギー負イオン計測

    後藤和也、石島達夫、大嶋則和、木下啓蔵、豊田浩孝

    プラズマ科学シンポジウム2009/第26回プラズマプロセシング研究会 プロシーディングス 

     More details

    Event date: 2009.2

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学   Country:Japan  

  559. SiH4 Dissociation Process in Microwave-Excited SiH4/H2 Plasma International conference

    H. Endo, H. Asano, T. Ishijima and H. Toyoda

    The 2nd International Conference on Plasma-Nanotechnology & Science 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya University, Nagoya JAPAN   Country:Japan  

  560. Detection of Energetic Negative Ions in an RF Magnetron Plasma International conference

    K. Goto, T. Ishijima, N. Ohshima, K. Kinoshita and H. Toyoda

    The 2nd International Conference on Plasma-Nanotechnology & Science 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya University, Nagoya JAPAN   Country:Japan  

  561. Treatment of Organic Solution with Microwave Excited Plasmas International conference

    R. Saito, H. Sugiura, T. Ishijima and H. Toyoda

    The 2nd International Conference on Plasma-Nanotechnology & Science 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya University, Nagoya JAPAN   Country:Japan  

  562. Organic Solute Decomposition with Microwave Bubble Plasma International conference

    T. Ishijima, R. Saito, H. Sugiura, H. Toyoda

    9th International Symposium on Biomimetic Materials Processing (BMMP-9) 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University, Nagoya JAPAN   Country:Japan  

  563. SOLUTE DECOMPOSITION WITH MICROWAVE-EXCITED BUBBLE PLASMA International conference

    R. Saito, H. Sugiura, T. Ishijima and H. Toyoda

    The 8th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop with Plasma Application Monodzukuri(PLAM) 

     More details

    Event date: 2009.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Techno Plaza, Gifu, Japan   Country:Japan  

  564. Investigation of Solute Decomposition Process in Water by Multibubble Plasma at Reduced and Atmospheric Pressures International conference

    T. Ishijima, H. Sugiura, R. Saito and H. Toyoda

    The IUMRS International Conference in Asia 2008 

     More details

    Event date: 2008.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya, Japan   Country:Japan  

  565. Rapid Surface Modification of PET Film by High Density Microwave Plasma International conference

    Y. Gunjo, K. Goto, T. Ishijima, H. Toyoda, K. Iseki, and H. Sugai

    The IUMRS International Conference in Asia 2008 

     More details

    Event date: 2008.12

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya, Japan   Country:Japan  

  566. Evaluation of Absolute H2O2 Concentration in Water Treated by Microwave Bubble Plasma International conference

    R. Saito, H. Sugiura, T. Ishijima, H. Toyoda

    The IUMRS International Conference in Asia 2008 

     More details

    Event date: 2008.12

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya, Japan   Country:Japan  

  567. Film Quality of Microcrystalline Silicon Films Deposited by SiH4/H2 Surface Wave Plasma CVD International conference

    H. Endo, J. Sakai, H. Asano, T. Ishijima, H. Toyoda

    The IUMRS International Conference in Asia 2008 

     More details

    Event date: 2008.12

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya, Japan   Country:Japan  

  568. Production of Large-Area Plasma by Three Parallel Waveguide Systems and Its Application to Si Film Deposition International conference

    H. Endo, T. Hayashi. Y. Takanishi, T. Ishijima, H. Toyoda, H. Sugai, A. Masuda and M. Kondo

    30th International Symposium on Dry Process(DPS2008) 

     More details

    Event date: 2008.11

    Language:English   Presentation type:Poster presentation  

    Venue:KOKUYO HALL, Minato-ku, Tokyo JAPAN   Country:Japan  

  569. Diagnostics of Microwave Excited Plasma in Liquid Using Spectroscopic Measurements International conference

    H. Sugiura, R. Saito, T. Ishijima, and H. Toyoda

    30th International Symposium on Dry Process(DPS2008) 

     More details

    Event date: 2008.11

    Language:English   Presentation type:Poster presentation  

    Venue:KOKUYO HALL, Minato-ku, Tokyo JAPAN   Country:Japan  

  570. Effect of H2O Addition on Rapid Surface Treatment of Polymer Film by High Density Microwave Plasma International conference

    Y. Gunjo, K. Goto, T. Ishijima, H. Toyoda, K. Iseki, and H. Sugai

    30th International Symposium on Dry Process(DPS2008) 

     More details

    Event date: 2008.11

    Language:English   Presentation type:Poster presentation  

    Venue:KOKUYO HALL, Minato-ku, Tokyo JAPAN   Country:Japan  

  571. Mater-Scale Microwave Plasma Production and its Application to Silicon Thin Film Deposition International conference

    H. Toyoda, Y. Takanishi, H. Endo, T. Ishijima

    61th Gaseous Electronics Conference 

     More details

    Event date: 2008.10

    Language:English   Presentation type:Poster presentation  

    Venue:Dallas, Texas USA   Country:United States  

  572. Diagnostics of Microwave Bubble Plasma in Liquid International conference

    H. Toyoda, H. Sugiura, R. Saito, T. Ishijima

    61th Gaseous Electronics Conference 

     More details

    Event date: 2008.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Dallas, Texas USA   Country:United States  

  573. Meter-Scale Plasma Production and Thin Film Deposition Process with Parallel Waveguide at 915 MHz Microwave Excitation International conference

    T. Ishijima, Y. Takanishi, H. Endo and H. Toyoda

    9th Asia-Pacific Conference on Plasma Science and Technology and 21st Symposium on Plasma Science for Materials 

     More details

    Event date: 2008.10

    Language:English   Presentation type:Poster presentation  

    Venue:Huangshan, China   Country:Japan  

  574. Solute Decomposition in Water by Slot-Excited Microwave Plasmas at Atmospheric Pressures International conference

    T. Ishijima, H. Sugiura, R. Saito and H. Toyoda

    9th Asia-Pacific Conference on Plasma Science and Technology and 21st Symposium on Plasma Science for Materials 

     More details

    Event date: 2008.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Huangshan, China   Country:Japan  

  575. 915MHz表面波プラズマによる微結晶シリコン薄膜堆積

    浅野弘嗣、坂井淳二、遠藤広考、石島達夫、豊田浩孝

    平成20年度電気関係学会東海支部連合大会 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛知県立大学   Country:Japan  

  576. 大気圧マイクロ波プラズマによる溶質処理

    齋藤良太、杉浦宏康、石島達夫、豊田浩孝、菅井秀郎

    平成20年度電気関係学会東海支部連合大会 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛知県立大学   Country:Japan  

  577. 表面波プラズマCVDによる微結晶シリコン薄膜堆積と電気伝導特性評価

    遠藤広考、坂井淳二、浅野弘嗣、石島達夫、豊田浩孝

    平成20年度電気関係学会東海支部連合大会 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛知県立大学   Country:Japan  

  578. 水蒸気添加高密度マイクロ波アルゴンプラズマによるPETフィルムの高速表面改質

    郡上祐一、後藤和也、石島達夫、豊田浩孝、伊関清司、菅井秀郎

    平成20年度電気関係学会東海支部連合大会 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛知県立大学   Country:Japan  

  579. 蛍光プローブを用いたマイクロ波励起バブルプラズマの反応生成物診断

    杉浦宏康、齋藤良太、石島達夫、豊田浩孝

    平成20年度電気関係学会東海支部連合大会 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:愛知県立大学   Country:Japan  

  580. Simulation of Microwave Rediation for Microwave Discharge in Liquid International conference

    T. Ishijima, K. Nagira, H. Sugiura and H. Toyoda

    International Congress on Plasma Physics 2008 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Poster presentation  

    Venue:Fukuoka, Japan   Country:Japan  

  581. Production of One-meter Square High Density Microwave Plasma for Thin Film Deposition International conference

    Y. Takanishi, H. Endo, T. Ishijima, and H. Toyoda

    International Congress on Plasma Physics 2008 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Poster presentation  

    Venue:Fukuoka, Japan   Country:Japan  

  582. Efficient Decomposition of Organic Materials by Slot-Excited Microwave Plasmas in Water International conference

    H. Sugiura, T. Ishijima, and H. Toyoda, H. Sugai

    International Congress on Plasma Physics 2008 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Poster presentation  

    Venue:Fukuoka, Japan   Country:Japan  

  583. Efficiency Improvement of Solute Decomposition in Water by Multibubble Plasma Excited by Microwave Discharge International conference

    T. Ishijima, H. Sugiura, R. Saito, H. Toyoda and H. Sugai

    International Interdisciplinary-Symposium on Gaseous and Liquid Plasmas 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sendai, Japan   Country:Japan  

  584. 表面波プラズマCVDにより堆積した微結晶シリコン薄膜の電気伝導特性評価

    遠藤広考、坂井淳二、浅野弘嗣、石島達夫、豊田浩孝

    第69回応用物理学会学術講演会 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:中部大学   Country:Japan  

  585. シュタルク広がりを用いたマイクロ波液中プラズマの電子密度測定

    豊田浩孝、杉浦宏康、齋藤良太、石島達夫

    第69回応用物理学会学術講演会 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:中部大学   Country:Japan  

  586. 高密度マイクロ波プラズマを用いたPETフィルム表面改質における水蒸気添加の効果

    郡上祐一、豊田浩孝、伊関清司、菅井秀郎

    第69回応用物理学会学術講演会 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:中部大学   Country:Japan  

  587. 表面波プラズマによる円筒状樹脂の均一表面改質

    石川喬介,石島達夫,豊田浩孝,笹井建典,菅井秀郎

    第69回応用物理学会学術講演会 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:中部大学   Country:Japan  

  588. 大気圧マイクロ波バブルプラズマによる溶質処理

    齋藤良太、杉浦宏康、石島達夫、豊田浩孝、菅井秀郎

    第69回応用物理学会学術講演会 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:中部大学   Country:Japan  

  589. 蛍光プローブを用いたマイクロ波バブルプラズマの反応生成物診断

    杉浦宏康、齋藤良太、石島達夫、豊田浩孝

    第69回応用物理学会学術講演会 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:中部大学   Country:Japan  

  590. マイクロ波励起高密度プラズマを用いたPTFEフィルムの表面改質

    石川喬介,石島達夫,笹井建典,豊田浩孝,菅井秀郎

    プラズマ研究会 

     More details

    Event date: 2008.5

    Language:Japanese  

    Venue:名古屋工業大学   Country:Japan  

  591. Measurement and Control of Energetic Rare Gas Atoms in Magnetron Plasma Invited International conference

    Hirotaka Toyoda

    6th EU-Japan Joint Symposium on Plasma Processing 

     More details

    Event date: 2008.4

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Okinawa, Japan   Country:Japan  

  592. スロット励起大気圧マイクロ波プラズマの生成

    郡上祐一、石島達夫、豊田浩孝

    第55回応用物理学関係連合講演会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:日大船橋キャンパス   Country:Japan  

  593. マイクロ波バブルプラズマを用いた溶質の高速処理

    杉浦宏康、石島達夫、豊田浩孝、佐藤正典、菅井秀郎

    第55回応用物理学関係連合講演会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:日大船橋キャンパス   Country:Japan  

  594. 915MHzマイクロ波による大面積プラズマの生成と微結晶シリコン薄膜堆積

    遠藤広孝、林 孝信、高西雄大、石島達夫、豊田浩孝、菅井秀郎、増田  淳、近藤道雄

    第55回応用物理学関係連合講演会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:日大船橋キャンパス   Country:Japan  

  595. 高密度表面波励起プラズマによるPETフィルムの表面改質

    石川喬介、石島達夫、豊田浩孝、笹井建典、菅井秀郎

    第55回応用物理学関係連合講演会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:日大船橋キャンパス   Country:Japan  

  596. 超伝導溶融バルク体を磁場発生源とする強磁場スパッタ装置のプラズマ診断

    岡村建志、太田勲、柳陽介、伊藤佳孝、豊田浩孝、生田博志

    第55回応用物理学関係連合講演会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:日大船橋キャンパス   Country:Japan  

  597. One-Meter-Square Surface Wave Plasma for Si Film Deposition International conference

    Y. Takanishi, H. Endo, T. Ishijima, H. Toyoda and H. Sugai

    The 1st International Conference on PLAsma-NanoTechnology & Science 

     More details

    Event date: 2008.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  598. High Rate Deposition of Silicon Films at Low Temperature Employing Surface Wave Excited Plasma Invited International conference

    H. Toyoda

    The 6th International Workshop on Advanced Plasma Processing and Diagnostics & The 3rd Plasma Application Monodzukuri (PLAM) 

     More details

    Event date: 2008.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  599. Efficient Solute Decomposition in Liquid with Bubble Plasma Production by Microwaves International conference

    H. Sugiura, T. Ishijima, H. Toyoda

    The 6th International Workshop on Advanced Plasma Processing and Diagnostics & The 3rd Plasma Application Monodzukuri (PLAM) 

     More details

    Event date: 2008.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  600. Surface Modification of PTFE Film by High Demsity Microwave Plasma International conference

    K. Ishikawa, T. Ishijima, H. Toyoda

    The 6th International Workshop on Advanced Plasma Processing and Diagnostics & The 3rd Plasma Application Monodzukuri (PLAM) 

     More details

    Event date: 2008.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  601. マイクロ波バブルプラズマの安定生成による溶質分解効果の向上

    杉浦宏康,石島達夫,豊田浩孝,佐藤正典,菅井秀郎

    第25回プラズマプロセシング研究会プロシーディングス 

     More details

    Event date: 2008.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山口教育会館   Country:Japan  

  602. 高密度マイクロ波プラズマによるPETフィルムの高速表面改質

    郡上祐一,豊田浩孝,伊関清司,菅井秀郎

    第25回プラズマプロセシング研究会プロシーディングス 

     More details

    Event date: 2008.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山口教育会館   Country:Japan  

  603. Production of Surface Wave Excited Plasma by a Parallel-Waveguide System and its Application to Si Film Deposition

    H. Endo, Y. Takanishi, T. Hayashi, H. Toyoda, H. Sugai

     More details

    Event date: 2007.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  604. Surface Modification of Fluorocarbon Polymer Film by High Density Surface Wave Plasma

    K. Ishikawa, T. Ishijima, H. Toyoda, K. Sasai, H. Sugai

     More details

    Event date: 2007.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  605. LARGE-AREA HIGH-SPEED DEPOSITION OF mc-Si THIN FILMS BY 915 MHz SURFACE WAVE PLASMA International conference

    Hideo Sugai, Tatsuo Ishijima, Hirotaka Toyoda, Atsushi Masuda, Michio Kondo

    17th International Photovoltaic Science and Engineering Conference 

     More details

    Event date: 2007.12

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka   Country:Japan  

  606. Production of High Density Plasma for Silicon Film Deposition at Low Substrate Temperatures Invited International conference

    Hirotaka Toyota

    2007 Trans-Pacific Workshop on Flexible Electronics 

     More details

    Event date: 2007.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:the University of Texas at Dallas   Country:United States  

  607. Development and Characteristics of Neutral Beam Source International conference

    Y. Hara, S. Takashima, S. Den, K. Yamakawa, H. Kanou, H. Sugai, H. Toyoda, M. Hori

    The 3nd International Student Workshop on Plasma Science and Technology 

     More details

    Event date: 2007.11

    Language:English  

    Venue:Nagoya University, Aichi, Japan   Country:Japan  

  608. Production of Large-Area and Uniform Surface Wave Plasma along Linear Parallel Waveguides International conference

    Y. Takanishi, H. Endo, T. Ishijima, H. Toyoda and H. Sugai

    29th International Symposium on Dry Process(DPS2007) 

     More details

    Event date: 2007.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  609. Plasma Activation of Oxide Ceramics Surface for Hydrogen Production by Water Vapor Decomposition International conference

    Y. Yamaguchi, T. Ishijima, H. Toyoda and H. Sugai

    29th International Symposium on Dry Process(DPS2007) 

     More details

    Event date: 2007.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  610. Microcrystalline Thin Film Deposition by Surface Wave Excited Plasma at 2.45 GHz International conference

    T. Hayashi, Y. Takanishi, H. Toyoda and H. Sugai

    29th International Symposium on Dry Process(DPS2007) 

     More details

    Event date: 2007.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  611. Development and Characteristics of Nitorogen Radical Beam International conference

    Y. Hara, S. Takashima, K. Yamakawa, S. Den, H. Kano, H. Toyoda, M. Sekine and M. Hori

    29th International Symposium on Dry Process(DPS2007) 

     More details

    Event date: 2007.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  612. Reaction of Fluorocarbon Species with Si and SiO2 Surfaces1 Invited International conference

    HIROTAKA TOYODA

    60th Annual Gaseous Electronics Conference 

     More details

    Event date: 2007.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:United States  

  613. Suppression of High-Energy Backscattered Species in Magnetron Sputter Plasma with Cylindrical Cathode International conference

    HIROTAKA TOYODA, YUSUKE TAKAGI, HIDEO SUGAI

    60th Annual Gaseous Electronics Conference 

     More details

    Event date: 2007.10

    Language:English   Presentation type:Poster presentation  

    Country:United States  

  614. 2.45GHz並列励起表面波プラズマの生成とシリコン薄膜堆積

    遠藤広考、高西雄大、林孝信、豊田浩孝、菅井秀郎

    平成19年度電気関係学会東海支部連合大会 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:信州大学   Country:Japan  

  615. 気泡制御によるマイクロ波バブルプラズマの安定化と溶質分解効果の向上

    杉浦宏康、石島達夫、豊田浩孝、佐藤正典、菅井秀郎

    平成19年度電気関係学会東海支部連合大会 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:信州大学   Country:Japan  

  616. プラズマCVDの基礎 Invited

    豊田浩孝

    第34回アモルファスセミナー 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:宮城県刈田郡ホテルさんさ亭   Country:Japan  

  617. プラズマ照射によるフッ素樹脂の表面改質

    石川喬介、石島達夫、豊田浩孝、笹井建典、菅井秀郎

    平成19年度電気関係学会東海支部連合大会 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:信州大学   Country:Japan  

  618. 高密度マイクロ波プラズマ処理によるPETフィルムの親水性向上

    郡上祐一、豊田浩孝、伊関清司、菅井秀郎

    平成19年度電気関係学会東海支部連合大会 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:信州大学   Country:Japan  

  619. Production of One-Meter-Square Large-Area Surface Wave Plasma with Parallel Linear Antennas International conference

    Y. Takanishi, H. Endo, T. Ishijima, H. Toyoda and H. Sugai

    6th Asian-European International Conference on Plasma Surface Engineering 

     More details

    Event date: 2007.9

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  620. Effect of Hydrogen Radical on Silicon Chemical Sputtering with Argon-Hydrogen Mixture Gas International conference

    K. Fukaya, K. Sasaki, A. tabata, H. Toyoda and H. Sugai

    6th Asian-European International Conference on Plasma Surface Engineering 

     More details

    Event date: 2007.9

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  621. Characteristics of the vertically-stacked Josephson junctions fabricated with surface wave plasma International conference

    Taishi Kimura, Mitsuhiro Watanabe, Takanobu Hayashi, Kenmei Kajino, Toyoda Hirotaka, Hideo Sugai, Masumi Inoue, Akira Fujimaki

    8th European Conference on Applied Superconductivity 

     More details

    Event date: 2007.9

    Language:English  

    Country:Belgium  

  622. 磁性多層膜における構造と磁気特性のスパッタガス依存性

    前田幸春、鈴木勇佑、坂下洋平、加藤剛志、岩田聡、綱島滋、豊田浩孝、菅井秀郎

    第31回日本応用磁気学会学術講演会 

     More details

    Event date: 2007.9

    Language:Japanese  

    Venue:学習院大学   Country:Japan  

  623. 915MHz表面波による大面積・高密度プラズマ生成と微結晶シリコン薄膜堆積

    高西雄大,遠藤広考,林孝信,中尾禎子,石島達夫,豊田浩孝,菅井秀郎,増田 淳,近藤道雄

    第68回応用物理学会学術講演会 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道工業大学   Country:Japan  

  624. マイクロ波プラズマCVDによるCNT-FETへのダメージフリー保護膜形成

    高田昇治、豊田浩孝、菅井秀郎、中島康宏、大野雄高、水谷孝

    第68回応用物理学会学術講演会 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道工業大学   Country:Japan  

  625. 水素生成酸化物セラミクスのプラズマ処理における酸素空格子生成量の評価

    山口雄矢、石島達夫、豊田浩孝、菅井秀郎、森田健治、片平康治

    第68回応用物理学会学術講演会 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道工業大学   Country:Japan  

  626. 表面波励起プラズマによる積層ジョセフソン接合の表面改質

    林孝信(M)、渡邉光弘、木村大至、井上真澄、藤巻朗、豊田浩孝、菅井秀郎

    第68回応用物理学会学術講演会 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道工業大学   Country:Japan  

  627. 表面波プラズマを用いた積層ジョセフソン接合作製における表面改質条件の検討

    渡邉光弘、木村大至、林孝信、 豊田浩孝、菅井秀郎、井上真澄、藤巻朗

    第68回応用物理学会学術講演会 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道工業大学   Country:Japan  

  628. Development and Characteristics of Fast Radical Source International conference

    Y. Hara, S. Takashima, K. Yamakawa, S. Den, H. Kano, H. Toyoda, H. Sugai and M. Hori

    18th International Symposium on Plasma Chemistry 

     More details

    Event date: 2007.8

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  629. Rapid Improvement of Polymer Film Hydrophilicity by High Density Microwave Plasma International conference

    Y. Takagi. Y. Gunjyo. ○H. Toyoda, H. Sugai

    18th International Symposium on Plasma Chemistry 

     More details

    Event date: 2007.8

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  630. Uniform Deposition of Microcrystalline Silicon Films by High Density Microwave Plasma with Paralleled Waveguides

    T. Hayashi, Y. Takanishi, H. Toyoda and H. Sugai

     More details

    Event date: 2007.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  631. High-Density Treatment of Polyimide Film for Enhancement of Copper Layer Adhesion International conference

    Y. Takagi, Y. Gunjyo. H. Toyoda and H. Sugai

    9th International Symposium on Sputtering and Plasma 

     More details

    Event date: 2007.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  632. Meter-Scale Deposition of Silicon Thin Films by Slot-Excited Large Microwave Plasma International conference

    H. Sugai, Y. Nojiri, Y. Hotta, T. Ishijima, H. Toyoda, A. Masuda, M. Kondo

    Symposium on Amorphous and Polycrystalline Thin-Film Silicon Science and Technology in the MRS Spring Meeting 

     More details

    Event date: 2007.4

    Language:English  

    Venue:San Francisco, USA   Country:United States  

  633. 915MHz表面波による1m角高密度プラズマ生成

    高西雄大、遠藤広孝、岡安隆文、石島達夫、豊田浩孝、菅井秀郎

    第54回応用物理学関係連合講演会 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  634. 高温超伝導体ジョセフソン接合の表面改質時のECRプラズマ内イオンエネルギーの評価

    渡邉光弘、木村大至、林孝信、豊田浩孝、菅井秀郎、井上真澄、藤巻朗

    第54回応用物理学関係連合講演会 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  635. 並列導波管励起表面波プラズマによる微結晶シリコン薄膜堆積

    林孝信、高西雄大、岡安隆文、豊田浩孝、菅井秀郎

    第54回応用物理学関係連合講演会 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  636. 表面波プラズマを用いた表面改質型積層ジョセフソン接合の作製

    木村大至、渡邉光弘、林孝信、豊田浩孝、菅井秀郎、井上真澄、藤巻朗

    第54回応用物理学関係連合講演会 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  637. プラズマイオン注入における過渡シース効果

    ホルゼルニコラ、豊田浩孝、菅井秀郎、斎藤隆雄

    第54回応用物理学関係連合講演会 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  638. Large-Area High-Density Plasma Sources Using Microwave Invited International conference

    H. Sugai, T. Ishijima, H. Toyoda, A. Masuda, M. Kondo

    International Workshop upon Thin Film Silicon Solar Cells (IWTFSSC) 

     More details

    Event date: 2007.2 - 2007.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Nara   Country:Japan  

  639. 高密度マイクロ波プラズマを用いた微結晶および多結晶シリコン膜形成 Invited

    豊田浩孝、菅井秀郎

    応用物理学会東海支部学術講演会 

     More details

    Event date: 2007.2

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  640. GaN成長条件下における窒素プラズマ源からの活性種の絶対フラックス評価

    金井英和、石島達夫、豊田浩孝、菅井秀郎、大阪次郎

    第24回プラズマプロセシング研究会プロシーディングス 

     More details

    Event date: 2007.1

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  641. 広幅フラット高密度プラズマによる微結晶シリコン膜堆積

    林孝信、高西雄大、岡安隆文、豊田浩孝、菅井秀郎

    第24回プラズマプロセシング研究会プロシーディングス 

     More details

    Event date: 2007.1

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  642. マグネトロンスパッタリングによるYBaCuO超伝導薄膜の堆積および成膜条件における銅原子密度測定

    高軍思、深谷康太、佐々木浩一、豊田浩孝、岩田聡、井上真澄、藤巻朗、菅井秀郎

    第24回プラズマプロセシング研究会プロシーディングス 

     More details

    Event date: 2007.1

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  643. カーボンナノチューブFET用SiN2保護膜形成における荷電粒子束の抑制

    高田昇治、豊田浩孝、菅井秀郎

    第24回プラズマプロセシング研究会プロシーディングス 

     More details

    Event date: 2007.1

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  644. 化学反応支援マグネトロンスパッタリングによる微結晶シリコン成膜とそのプラズマ診断

    深谷康太、佐々木浩一、高軍思、田畑彰守、豊田浩孝、岩田聡、菅井秀郎

    第24回プラズマプロセシング研究会プロシーディングス 

     More details

    Event date: 2007.1

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  645. 915MHz表面波によるメートル角・高密度プラズマ生成

    高西雄大、遠藤広孝、岡安隆文、石島達夫、豊田浩孝、菅井秀郎

    第24回プラズマプロセシング研究会プロシーディングス 

     More details

    Event date: 2007.1

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  646. スパッタプラズマ中の高エネルギー粒子計測と制御 Invited

    豊田浩孝

    日本真空協会プラズマ技術部会講演会 

     More details

    Event date: 2007

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  647. 高密度マイクロ波プラズマによる微結晶および多結晶Si薄膜形成

    豊田浩孝、菅井秀郎

    名古屋大学21世紀COEプログラム「先端プラズマ科学が拓くナノ情報デバイス」最終成果報告シンポジウム 

     More details

    Event date: 2006.12

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学   Country:Japan  

  648. スパッタプロセス用プラズマの診断と新規スパッタ源の開発

    豊田浩孝

    名古屋大学21世紀COEプログラム「先端プラズマ科学が拓くナノ情報デバイス」最終成果報告シンポジウム 

     More details

    Event date: 2006.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  649. Measurement and Control of Energetic Neutral Species in Magnetron Plasma Invited International conference

    H. Toyoda, Y. Takagi,Y. Sakasita, H. Sugai

    The 4th International Workshop on Advanced Plasma Processing and Diagnostics & Thin Film Technology for Electronic Materials 

     More details

    Event date: 2006.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Hazu-gun, Japan   Country:Japan  

  650. Energy Control of Backscattered Rare Gas Atoms by VHF-DC Superimposed Magnetron Discharge Invited

    H. Toyoda and H. Sugai

     More details

    Event date: 2006.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  651. 製膜用窒素プラズマからの活性種診断

    金井英和、石島達夫、豊田浩孝、大阪次郎、菅井秀郎

    第17回日本MRS学術シンポジウム 

     More details

    Event date: 2006.12

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  652. 表面波プラズマによる微結晶シリコン薄膜の低基板温度堆積

    岡安隆文、林孝信、高西雄大、豊田浩孝、菅井秀郎

    第17回日本MRS学術シンポジウム 

     More details

    Event date: 2006.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  653. Influence of Cu Density Variation on Superconductor Film Deposition in Magnetron Sputtering Plasmas Invited

    Junsi Gao, Kouta Fukaya, Koichi Sasaki, Hirotaka Toyoda, Satoshi Iwata, Masumi Inoue, Akira Fujimaki and Hideo Sugai

     More details

    Event date: 2006.12

    Language:Japanese  

    Country:Japan  

  654. μc-Si Film Deposition by Surface Wave Plasma under Precise Control of Substrate Temperature International conference

    T. Okayasu, Y. Takanishi, H. Toyoda and H. Sugai

    Proceedings of 6th International Symposium on Dry Process(DPS2006) 

     More details

    Event date: 2006.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya, Japan   Country:Japan  

  655. Microwave Plasma CVD of SiN, Film for Passivation of Carbon Nanotube FET International conference

    N. Takada, H. Toyoda, H. Sugai, H. Shimauchi, Y. Ohno and T, Mizutani

    Proceedings of 6th International Symposium on Dry Process(DPS2006) 

     More details

    Event date: 2006.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya, Japan   Country:Japan  

  656. Deposition of Polycrystalline SiGe Film by Surface Wave Excited Plasma International conference

    Y. Takanishi, T. Okayasu, H. Toyoda and H. Sugai

    Proceedings of 6th International Symposium on Dry Process(DPS2006) 

     More details

    Event date: 2006.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya, Japan   Country:Japan  

  657. Rapid Treatment of Polymer Film Surface by High-Density Microwave Plasma International conference

    Y Takagi, Y. Gunjo, H. Toyoda and H. Sugai

    Proceedings of 6th International Symposium on Dry Process(DPS2006) 

     More details

    Event date: 2006.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya, Japan   Country:Japan  

  658. Measurements of Active Species Flux from N2 Plasma in GaN Growth Condition International conference

    H. Kanai, T. Ishijima, H. Toyoda, H. Sugai and J. Osaka

    Proceedings of 6th International Symposium on Dry Process(DPS2006) 

     More details

    Event date: 2006.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya, Japan   Country:Japan  

  659. Measurements of Cu densities at the ground and metastable states in a magnetron sputtering plasma source with a Cu target International conference

    K. Sasaki, J.-S. Gao, N. Nafarizal, H. Toyoda, S. Iwata, T. Kato, S. Tsunashima, and H. Sugai

    AVS (American Vacuum Society) 53rd International Symposium and Exhibition 

     More details

    Event date: 2006.11

    Language:English  

    Venue:San Francisco, USA   Country:Japan  

  660. プラズマCVDの基礎 Invited

    豊田浩孝

    第33回アモルファスセミナー スタートアップセッション 

     More details

    Event date: 2006.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:大分、福岡   Country:Japan  

  661. Development and Characteristics of Neutral Beam Source International conference

    Y. Hara, S. Takashima, S. Den, K. Yamakawa, H. Kanou, H. Sugai, H. Toyoda, M. Hori

    The 3nd International Student Workshop on Plasma Science and Technology 

     More details

    Event date: 2006.11

    Language:English  

    Country:Japan  

  662. GaN成膜高密度窒素プラズマからの活性種診断

    金井英和、石島達夫、豊田浩孝、菅井秀郎

    平成18年度電気関係学会東海支部連合大会 

     More details

    Event date: 2006.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  663. 表面波励起SiH4/GeH4/H2プラズマによる高結晶性SiGe膜形成

    高西雄大、岡安隆文、豊田浩孝。菅井秀郎

    平成18年度電気関係学会東海支部連合大会 

     More details

    Event date: 2006.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  664. 2次元大面積プラズマ生成用表面波の並列励起

    林孝信、高西雄大、岡安隆文、豊田浩孝、菅井秀郎

    平成18年度電気関係学会東海支部連合大会 

     More details

    Event date: 2006.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  665. 表面波励起H2/SiH4プラズマにおける基板温度制御とmc-Si膜特性の向上

    岡安隆文、高西雄大、豊田浩孝、菅井秀郎

    平成18年度電気関係学会東海支部連合大会 

     More details

    Event date: 2006.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  666. マグネトロンスパッタリングにおける基板入射粒子のエネルギー制御と磁性多層膜への応用

    高木佑輔、豊田浩孝、鈴木勇佑、加藤剛志、岩田聡、綱島滋、菅井秀郎

    平成18年度電気関係学会東海支部連合大会 

     More details

    Event date: 2006.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  667. Slot-Excited Surface Wave Plasma for Giant Materials Processing Invited International conference

    H. Sugai, Y. Nojiri, Y. Hotta, T. Ishijima, H. Toyoda, A. Masuda, M. Kondo

    6th International Workshop on Microwave Discharges: Fundamentals and Applications 

     More details

    Event date: 2006.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Zvenigorod, Russia   Country:Russian Federation  

  668. GaN成長用小型ラジカル源からの窒素ラジカルフラックスの出現質量分析測定

    金井英和、石島達夫、豊田浩孝、菅井秀郎、大坂次郎

    第67回応用物理学会学術講演会 

     More details

    Event date: 2006.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  669. VHF-DCマグネトロンスパッタリングによる膜平坦性の制御と磁性多層膜への応用

    高木佑輔、豊田浩孝、鈴木勇佑、加藤剛志、岩田 聡、綱島 滋、菅井秀郎

    第67回応用物理学会学術講演会 

     More details

    Event date: 2006.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  670. 表面波励起SiH4/GeH4/H2プラズマを用いたSiGe膜の形成

    高西雄大、岡安隆文、豊田浩孝、菅井秀郎

    第67回応用物理学会学術講演会 

     More details

    Event date: 2006.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  671. 表面波プラズマCVDにおけるmc-Si膜特性の基板表面温度依存性

    岡安隆文、高西雄大、豊田浩孝、菅井秀郎

    第67回応用物理学会学術講演会 

     More details

    Event date: 2006.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  672. High-speed Deposition of Microcrystalline Silicon by a Surface Wave Excited H2/SiH4 Plasma International conference

    Hirotaka Toyoda, Yoshihiko Hota, Takafumi Okayasu, Hideo Sugai

    2006 IEEE World Conference on Photovoltaic Energy Conversion 

     More details

    Event date: 2006.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hawaii, USA   Country:United States  

  673. Measurements of Absolute Densities and Emission Intensities of Species Ejected from a YBaCuO Target in MSP International conference

    J. S. Gao, K. Sasaki, H. Toyoda, A. Fujimaki, S. Iwata, and H. Sugai

    Abstracts of 2nd International COE Forum on Plasma Science and Technology 

     More details

    Event date: 2006.5

    Language:English   Presentation type:Poster presentation  

    Venue:Potsdam, Germany   Country:Germany  

  674. Measurements of Nitrogen Radical Flux for GaN Layer Formation International conference

    T. Ishijima, H. Kanai, H. Toyoda, J. Osaka and H. Sugai

    Abstracts of 2nd International COE Forum on Plasma Science and Technology 

     More details

    Event date: 2006.5

    Language:English   Presentation type:Poster presentation  

    Venue:Potsdam, Germany   Country:Germany  

  675. Sheath-Lens Effect in Plasma Immersion Ion Implantation International conference

    N. Holtzer, E. Stamate, H. Toyoda and H. Sugai

    Abstracts of 2nd International COE Forum on Plasma Science and Technology 

     More details

    Event date: 2006.5

    Language:English   Presentation type:Poster presentation  

    Venue:Potsdam, Germany   Country:Germany  

  676. Distribution of densities in rf magnetron sputtering plasmas with a Si target and Ar-H2 Mixture gas International conference

    K. Fukaya, K. Sasaki, J. Gao, A. Tabata, H. Toyoda, S. Iwata, and H. Sugai

    Abstracts of 2nd International COE Forum on Plasma Science and Technology 

     More details

    Event date: 2006.5

    Language:English   Presentation type:Poster presentation  

    Venue:Potsdam, Germany   Country:Germany  

  677. High Quality mc-Si Film Deposition Wave Plasma with a Modified Gas Feed System International conference

    H. Toyoda, T. Okayasu, Y. Takanishi, and H. Sugai

    Abstracts of 2nd International COE Forum on Plasma Science and Technology 

     More details

    Event date: 2006.5

    Language:English   Presentation type:Poster presentation  

    Venue:Potsdam, Germany   Country:Germany  

  678. Development of New Neutral Beam Source and its Characteristic of Change Exchange International conference

    Y. Hara, S. Takashima, S. Den, K. Yamakawa, H. Kanou, H. Sugai, H. Toyoda, M. Hori

    Japanese-German Student Workshop on Plasma Science and Technology 

     More details

    Event date: 2006.5

    Language:English  

    Country:Japan  

  679. Development of New Neutral Beam Source and its Characteristic of Change Exchange International conference

    Y. Hara, S. Takashima, S. Den, K. Yamakawa, H. Kanou, H. Sugai, H. Toyoda, M. Hori

    COE 2006 2nd International COE Forum on Plasma Science and Technology 

     More details

    Event date: 2006.5

    Language:English  

    Country:Japan  

  680. メートル級大面積プラズマプロセスの現状と展望 Invited

    豊田浩孝

    第53回応用物理学関係連合講演会 

     More details

    Event date: 2006.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  681. 円筒マグネトロンプラズマによる高エネルギー粒子抑制とスパッタ膜平坦化

    高木佑輔、坂下洋平、豊田浩孝、菅井秀郎

    第53回応用物理学関係連合講演会 

     More details

    Event date: 2006.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  682. GaN-MBE成長における窒素ラジカル源からの活性粒子束の測定

    金井英和、石島達夫、豊田浩孝、菅井秀郎、大坂次郎

    第53回応用物理学関係連合講演会 

     More details

    Event date: 2006.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  683. 915MHz長尺高密度プラズマによる微結晶シリコン薄膜堆積

    岡安隆文、堀田芳彦、野尻康弘、高西雄大、石島達夫、豊田浩孝、菅井秀郎、増田淳、近藤道雄

    第53回応用物理学関係連合講演会 

     More details

    Event date: 2006.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  684. 異なるバイアス電極形状と放電ガス下のプラズマイオン注入の振舞い

    ホルゼル ニコラ、スタマテ エウジェン、豊田浩孝、菅井秀郎

    第53回応用物理学関係連合講演会 

     More details

    Event date: 2006.3

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  685. 表面波プラズマ源のガス導入系および窓材質の最適化による微結晶シリコンの膜質向上

    堀田芳彦、岡安隆文、豊田浩孝、菅井秀郎

    第53回応用物理学関係連合講演会 

     More details

    Event date: 2006.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  686. Energetic Particle Reduction on Substrate in Cylindrical Magnetron Sputter System International conference

    Y. Takagi, Y. Sakashita, H. Toyoda and H. Sugai

    ICRP-6/SPP-23(6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing) 

     More details

    Event date: 2006

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  687. プロセシングプラズマの生成・制御とプラズマ計測技術 Invited

    豊田浩孝

    技術情報協会セミナー 

     More details

    Event date: 2006

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  688. Dependence of GMR in Co/Cu Multolayers on Sputtering Conditions International conference

    C. C. Chen, Y. Sakashita, Y. Suzuki, T. Kato, S. Iwata, S. Tsunashima, H. Toyoda, K. Sasaki, H. Sugai

    ICRP-6/SPP-23(6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing) 

     More details

    Event date: 2006

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  689. Slot-Excited Surface Wave Plasma for Giant Scale Processing Invited International conference

    Hideo Sugai, Yasuhiro Nojiri, Tatsuo Ishijima, Hirotaka Toyoda

    ICRP-6/SPP-23(6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing) 

     More details

    Event date: 2006

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  690. Gas and Window Material Control for High Quality mc-Si Film Deposition by Surface Wave Plasma International conference

    Y. Takanishi, Y. Hotta, H. Toyoda and H. Sugai

    ICRP-6/SPP-23(6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing) 

     More details

    Event date: 2006

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  691. Surface Wave Plasma Expansion by Parallel Antenna Excitation for Large-Area Silicon Film Deposition International conference

    T. Okayasu, Y. Hotta, H. Toyoda and H. Sugai

    ICRP-6/SPP-23(6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing) 

     More details

    Event date: 2006

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  692. Surface Investigation of Ion Dose Non-Uniformity Due to Modal and Discrete Focusing Effects During PIII of Silicon International conference

    N. Holtzer, E Stamate, H. Toyoda and H. Sugai

    ICRP-6/SPP-23(6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing) 

     More details

    Event date: 2006

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  693. Numerical simulation of energetic species in a VHF-DC magnetron plasma and comparison with experiments International conference

    H. Toyoda, Y. Sakashita, Y. Takagi and H. Sugai

    ICRP-6/SPP-23(6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing) 

     More details

    Event date: 2006

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  694. Deposition of mc-Si Film by Surface Wave Plasma with a New Gas Shower System

    Y. Hotta, T. Okayasu, Y. Takanishi, H. Toyoda and H. Sugai

     More details

    Event date: 2005.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  695. Independent Control of Backscattering energy and Sputter Rate in a VHS-DC Superimposed Magnetron Source International conference

    H. Toyoda, Y. Sakashita, Y. Takagi, K. Sasaki, J. Gao. T. Kato, S. Iwata, S. Tsunashima, H. Sugai

    AVS52nd International Symposium & Exhibition 

     More details

    Event date: 2005.10 - 2005.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Boston, MA,USA   Country:United States  

  696. 表面波励起SiH4/H2プラズマによる微結晶シリコン薄膜の高速堆積

    堀田芳彦、岡安隆文、豊田浩孝、菅井秀郎

    第66回応用物理学会学術講演会 

     More details

    Event date: 2005.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  697. プラズマイオン注入におけるシースレンズ誘起イオンドーズ不均一性

    ホルゼル ニコラ、スタマテ エウジェン、豊田浩孝、菅井秀郎

    第66回応用物理学会学術講演会 

     More details

    Event date: 2005.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  698. VHF-DC重量マグネトロンの高エネルギー粒子計測

    坂下洋平、高木佑輔、豊田浩孝、高軍思、佐々木浩一、加藤剛志、岩田聡、綱島滋、菅井秀郎

    第66回応用物理学会学術講演会 

     More details

    Event date: 2005.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  699. プラズマイオン注入におけるシースレンズ効果によるイオンドーズ不均一性の評価

    ホルゼル ニコラ、スタマテ エウジェン、豊田浩孝、菅井秀郎

    平成17年度電気関係学会東海支部連合大会 

     More details

    Event date: 2005.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  700. YBaCuOターゲットマグネトロンスパッタリングプラズマ中の銅原子とイットリウム原子の発光強度

    高軍思、ナファリザル ナヤン、佐々木浩一、豊田浩孝、菅井秀郎

    平成17年度電気関係学会東海支部連合大会 

     More details

    Event date: 2005.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  701. Co/Cu多層膜における構造と磁気抵抗効果のスパッタ条件依存性

    鈴木勇佑、陳長川、加藤剛志、岩田聡、綱島滋、柴垣寛治、高軍思、豊田浩孝、佐々木浩一、菅井秀郎

    平成17年度電気関係学会東海支部連合大会 

     More details

    Event date: 2005.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  702. DC-マグネトロンスパッタ放電におけるイオン・原子のエネルギー分布シミュレーション

    高木佑輔、坂下洋平、豊田浩孝、高 軍思、佐々木浩一、加藤剛志、岩田 聡、綱島 滋、菅井秀郎

    平成17年度電気関係学会東海支部連合大会 

     More details

    Event date: 2005.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  703. 大面積薄膜プロセス用表面波プラズマ源の開発

    岡安隆文、堀田芳彦、豊田浩孝、菅井秀郎

    平成17年度電気関係学会東海支部連合大会 

     More details

    Event date: 2005.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  704. VHF-DC重畳マグネトロンプラズマにおける高エネルギー粒子測定

    坂下洋平、高木佑輔、豊田浩孝、高 軍思、佐々木浩一、加藤剛志、岩田 聡、綱島 滋、菅井秀郎

    平成17年度電気関係学会東海支部連合大会 

     More details

    Event date: 2005.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  705. 表面波励起プラズマによる微結晶シリコン薄膜の高速堆積

    堀田芳彦、岡安隆文、豊田浩孝、菅井秀郎

    平成17年度電気関係学会東海支部連合大会 

     More details

    Event date: 2005.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  706. マグネトロンスパッタリングにおける高エネルギー粒子の発生過程と計測

    坂下洋平(M)、豊田浩孝、菅井秀郎

    プラズマ研究会 

     More details

    Event date: 2005.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  707. Diagnostics of Surface Wave Plasma for Giant Materials Processing Invited International conference

    H. Sugai, Y. Nojiri, T. Ishijima, E. Stamate and H. Toyoda

    ISPC17(17th International Symposium on Plasma Chemistry) 

     More details

    Event date: 2005

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  708. Measurement and Control of Energetic Species in Magnetron Discharge Plasma Invited International conference

    H. Toyoda and H. Sugai

    ISSP2005 Committee(The Eighth International Symposium on Sputtering and Plasma Process) 

     More details

    Event date: 2005

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  709. Suppression of Energetic Species Flux to Substrate By Combination of VHF and DC Power in Magnetron Plasma International conference

    Y. Sakashita, Y Takagi, H. Toyoda and H. Sugai

    Proceedings of 5th International Symposium on Dry Process(DPS2005) 

     More details

    Event date: 2005

    Language:English   Presentation type:Poster presentation  

    Venue:Jeju, Korea   Country:Korea, Republic of  

  710. Investigation of the ion dose non-uniformity caused by sheath lens focusing effect on silicon wafers International conference

    N. Holtzer, E Stamate, H. Toyoda and H. Sugai

    Proceedings of 5th International Symposium on Dry Process(DPS2005) 

     More details

    Event date: 2005

    Language:English   Presentation type:Poster presentation  

    Venue:Jeju, Korea   Country:Korea, Republic of  

  711. Gas Feed Position Control for High-Quality mc-Si Film Deposition at High Speed in Surface Wave Plasma International conference

    Y. Hotta, T. Okayasu, Y. Takanishi, H. Toyoda and H. Sugai

    Proceedings of 5th International Symposium on Dry Process(DPS2005) 

     More details

    Event date: 2005

    Language:English   Presentation type:Poster presentation  

    Venue:Jeju, Korea   Country:Korea, Republic of  

  712. Energetic Particle Flux Suppression on Substrate Using Cylindrical Magnetron for High Quality Films Deposition International conference

    Y. Takagi, Y. Sakashita, H. Toyoda and H. Sugai

    Proceedings of 5th International Symposium on Dry Process(DPS2005) 

     More details

    Event date: 2005

    Language:English   Presentation type:Poster presentation  

    Venue:Jeju, Korea   Country:Korea, Republic of  

  713. Time-Dependence of Cu Atom Density in Magnetron Sputtering Plasma Using a YBaCuO Target International conference

    J. Gao, N. Nafarizal, K. Sasaki, H. Toyoda, M. Inoue, A. Fujimaki, S. Iwata and H. Sugai

    Abstracts of International COE Workshop Nano Processes and Devices, and Their Applications 

     More details

    Event date: 2005

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya, Japan   Country:Japan  

  714. Dependence of GMR in Co/Cu Multolayers on Sputtering Conditions International conference

    C. C. Chen, Y. Sakashita, Y. Suzuki, T. Kato, S. Iwata, S. Tsunashima, H. Toyoda, K. Sasaki, H. Sugai

    Abstracts of International COE Workshop Nano Processes and Devices, and Their Applications 

     More details

    Event date: 2005

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya, Japan   Country:Japan  

  715. Influence of Dielectric Window Material and Pumping Speed on Silicon Film Crystallinity Deposited by Surface Wave Plasma International conference

    Y. Hotta, H. Toyoda and H. Sugai

    Proceedings of 4th International Symposium on Dry Process 

     More details

    Event date: 2004.11 - 2004.12

    Language:English   Presentation type:Poster presentation  

    Venue:Tokyo   Country:Japan  

  716. Observation of Direct SiO2 Etching by Co-Incidence of Fluorocarbon Molecules with Argon Ion Bombardment International conference

    N. Takada, H. Toyoda and H. Sugai

    Proceedings of 4th International Symposium on Dry Process 

     More details

    Event date: 2004.11 - 2004.12

    Language:English   Presentation type:Poster presentation  

    Venue:Tokyo   Country:Japan  

  717. Observation of High Energy Particles Incident on Substrate in Magnetron Sputter Deposition International conference

    Y. Sakashita, H. Toyoda, J. S. Gao, K. Sasaki, S. Iwata, T. Kato, S. Tsunashima and H. Sugai

    Proceedings of 4th International Symposium on Dry Process 

     More details

    Event date: 2004.11 - 2004.12

    Language:English   Presentation type:Poster presentation  

    Venue:Tokyo   Country:Japan  

  718. Beam Study on Mechanism of Carbon-Monoxide Assisted Nickel Etching International conference

    Y. Kinoshita, H. Toyoda and H. Sugai

    Proceedings of 4th International Symposium on Dry Process 

     More details

    Event date: 2004.11 - 2004.12

    Language:English   Presentation type:Poster presentation  

    Venue:Tokyo   Country:Japan  

  719. リチウムコンディショニングによるグラファイトの水素リテンション抑制効果の基礎実験

    坂下洋平、豊田浩孝、菅井秀郎

    プラズマ・核融合学会第21回年会 

     More details

    Event date: 2004.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  720. Measurement of High-Energy Neutral Atoms in Rare Gas Magnetron Discharge International conference

    H. Toyoda, Y. Sakashita, J. Gao, K. Sasaki, S, Iwata, T. Kato, S. Tsunashima, H. Sugai

    Bulletin of the american physical society(Abstracts of the 57th Annual Gaseous Electronics Conference, Bunratty 

     More details

    Event date: 2004.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ireland   Country:Ireland  

  721. Visualized measurements of densities and velocities of Fe and Cu atoms in magnetron sputtering plasmas International conference

    J. Gao, N. Nafarizal, K. Shibagaki, K. Sasaki, H. Toyoda, S. Iwata, T. Kato, S. Tsunashima, H. Sugai

    Bulletin of the american physical society(Abstracts of the 57th Annual Gaseous Electronics Conference, Bunratty 

     More details

    Event date: 2004.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ireland   Country:Ireland  

  722. 表面波プラズマにより堆積したシリコン膜の高品質化

    豊田浩孝、堀田芳彦、菅井秀郎

    第65回応用物理学会学術講演会 

     More details

    Event date: 2004.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  723. グラファイトへのリチウムコーティングによる水素リテンション抑制

    坂下洋平、豊田浩孝、菅井秀郎

    第5回核融合エネルギー連合講演会 

     More details

    Event date: 2004.6

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  724. マグネトロンスパッタリングによる磁性薄膜作成プロセスの診断(Diagnostics of Magnetron Sputering Process for Magnetic Thin Film Deposition) Invited

    豊田浩孝、柴垣寛治、佐々木浩一、菅井秀郎

    第51回応用物理学関係連合講演会 

     More details

    Event date: 2004.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  725. Impurity Release and Suppression in Deposition of Nano- Micro- and Polycrystalline Silicon Films by a Surface Wave Excited Plasma International conference

    H. Toyoda, S. Somiya, Y. Hotta and H. Sugai

    International Workshop on Plasma Nano-Technology and Its Future Vision 

     More details

    Event date: 2004.2

    Language:English   Presentation type:Poster presentation  

    Venue:Takayama,   Country:Japan  

  726. Visualization of Density and Velocity Distributions of Atoms in DC Magnetron Sputtering Plasmas by Laser-Induced Fluorescence Imaging International conference

    K. Shibagaki, N. Nafarizal, K. Sasaki, H. Toyoda, T. Kato, S. Iwata, S. Tsunashima and H. Sugai

    Int. COE Forum on Plasma Science and Technology 

     More details

    Event date: 2004

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  727. Chemical Reactivity of Fluorocarbon Molecules on SiO2/Si Surface under Co-incidence with Energetic Argon Ions International conference

    N. Takada, H. Toyoda and H. Sugai

    Abstracts of 7th APCPST(Asia Pacific Conference on Plasma Science and Technology) and 17th SPSM(Symposium on Plasma Science for Materials) 

     More details

    Event date: 2004

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  728. Diagnostics of High-Energy Argon Atoms in a Magnetron Discharge Plasma International conference

    H. Toyoda, Y. Sakashita, K. Sasaki and H. Sugai

    Abstracts of 7th APCPST(Asia Pacific Conference on Plasma Science and Technology) and 17th SPSM(Symposium on Plasma Science for Materials) 

     More details

    Event date: 2004

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  729. Visualization of Spatial Velocity Distribution of Atoms in Magnetron Sputtering Plasmas by Laser-Induced Fluorescence Imaging Spectroscopy International conference

    K. Shibagaki, N. Nafarizal, K. Sasaki, H. Toyoda, S. Iwata, T. Kato, S. Tsunashima and H. Sugai

    Abstracts of 7th APCPST(Asia Pacific Conference on Plasma Science and Technology) and 17th SPSM(Symposium on Plasma Science for Materials) 

     More details

    Event date: 2004

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  730. Beam Experiment on Metal Etching Processes under Co-Incidence of Carbon-Monoxide and Energetic Argon Ions International conference

    Y. Kinoshita, H. Toyoda and H. Sugai

    Int. COE Forum on Plasma Science and Technology 

     More details

    Event date: 2004

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  731. Observation of SiO2/Si Surface Under Co-Incidence of Fluorocarbon Molecules and Energetic Argon Ions International conference

    N. Takada, H. Toyoda and H. Sugai

    Int. COE Forum on Plasma Science and Technology 

     More details

    Event date: 2004

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  732. 2D-Imaging Measurements of Sputtered Atom Velocities in dc Magnetoron Discharges by Doppler-Shifted LIF International conference

    K. Sasaki, K. Shibagaki, N. Nafarizal, H. Toyoda, T. Kato, S. Iwata, S. Tsunashima, H. Sugai

    Abstracts of the 51th International Symposium on America Vacuum Society 

     More details

    Event date: 2004

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Anaheim, USA   Country:United States  

  733. Oxygen Impurity Release and Its Suppression in a Surface-Wave Excited Plasma for Silicon Film Deposition International conference

    Y. Hotta, S. Somiya, H. Toyoda and H. Sugai

    Int. COE Forum on Plasma Science and Technology 

     More details

    Event date: 2004

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  734. Suppression of Hydrogen Retention in Graphite by Lithium Deposition International conference

    Y. Sakashita, H. Toyoda and H. Sugai

    Int. COE Forum on Plasma Science and Technology 

     More details

    Event date: 2004

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  735. Mass Spectrometric Measurement of Energetic Ions and Neutrals in Magnetron Plasma for Magnetic Film Deposition International conference

    H. Toyoda, H. Matsui, K. Shibagaki, K. Sasaki, T. Kato, S. Iwata, S. Tsunashima and H. Sugai

    Int. COE Forum on Plasma Science and Technology 

     More details

    Event date: 2004

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  736. Evidence of Radical-free Etching of SiO2 by Fluorocarbon Molecule under Ion Bombardment International conference

    N. Takada, H. Toyoda and H. Sugai

    Abstracts of 15th Symposium of Materials Research Society of Japan  

     More details

    Event date: 2004

    Language:English  

    Country:Japan  

  737. High Speed Deposition of mc-Si and Large Grain Poly-Si Films by Surface-Wave Excited H2/SiH4 Plasma International conference

    T. Toyoda, Y. Hotta, H. Sugai

    Abstracts of 15th Symposium of Materials Research Society of Japan  

     More details

    Event date: 2004

    Language:English  

    Country:Japan  

  738. Observation of SiO2 Surface Irradiated by Fluorocarbon Neutrals and Energetic Ion Beam International conference

    Hirotaka Toyoda, Noriharu Takada and Hideo Sugai

    Proceedings of 3rd International Symposium on Dry Process 

     More details

    Event date: 2003.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tokyo   Country:Japan  

  739. Distribution of Fe atom density in a dc magnetron sputtering plasma source measured by laser-insuced fluorescence imaging spectroscopy International conference

    K.Shibagaki, N.Nafarizal, K.Sasaki, H.Toyoda, S.Iwata, T.Kato, S.Tsunashima, H.Sugai

    Bulletin of the american physical society(Abstracts of the 56th Annual Gaseous Electronics Conference 

     More details

    Event date: 2003.10

    Language:English   Presentation type:Poster presentation  

    Venue:San Francisco, U.S.A.   Country:United States  

  740. Deposition of High Quality Poly-and Microcrystalline Silicon Films by a Surface-Wave Excited Plasma International conference

    H.Toyoda, S.Somiya, H. Sugai

    Bulletin of the american physical society(Abstracts of the 56th Annual Gaseous Electronics Conference 

     More details

    Event date: 2003.10

    Language:English   Presentation type:Poster presentation  

    Venue:San Francisco, U.S.A.   Country:United States  

  741. Energy Distribution Measurement of Atoms and Ions Sputtered by a Magnetron Plasma International conference

    H.Matsui, H. Toyoda, K.Shibagaki, T.Kato, S.Iwata, S.Tsunashima, H.Sugai

    Bulletin of the american physical society(Abstracts of the 56th Annual Gaseous Electronics Conference 

     More details

    Event date: 2003.10

    Language:English   Presentation type:Poster presentation  

    Venue:San Francisco, U.S.A.   Country:United States  

  742. 高圧力H2/SiO4表面波プラズマにおけるシリコン薄膜堆積の高速化

    宗宮暁、山内木綿子、豊田浩孝、菅井秀郎

    第20回プラズマプロセシング研究会 

     More details

    Event date: 2003.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  743. 解離に起因する運動エネルギー電離断面積への影響

    松井洋樹、木下欣紀(B)、豊田浩孝、菅井秀郎

    第20回プラズマプロセシング研究会 

     More details

    Event date: 2003.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  744. フロロカーボン分子とイオンの同時照射によるSiおよびSiO2エッチング表面反応の観察

    村上勇夫、豊田浩孝、菅井秀郎

    第20回プラズマプロセシング研究会 

     More details

    Event date: 2003.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  745. Observation of Etching Reactions on SiO2/Si Surface under Co-Incidence of Fluorocarbon Molecule and Ion Beam Invited International conference

    H. Toyoda, I. Murakami and H. Sugai

    Proceedings of Int. Conf. Phenomena in Ionized Gases 

     More details

    Event date: 2003.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Greifswald, Germany   Country:Germany  

  746. SiおよびSiO2への高エネルギーフロロカーボンラジカル照射による表面反応のビーム実験

    豊田浩孝、菅井秀郎

    プラズマ・ナノテクノロジー研究会 

     More details

    Event date: 2003

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  747. Beam Study of Si and SiO2 Etching Reactions by Energetic Fluorocarbon Radicals Invited International conference

    Hirotaka Toyoda

    Bulletin of the american physical society(Abstracts of the 55th Annual Gaseous Electronics Conference, Minneapolis 

     More details

    Event date: 2002.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:U.S.A   Country:United States  

  748. Interaction of Ionic and Neutral Fluorocarbon Radical Beam with Si and SiO2 Surface International conference

    Hirotaka Toyoda, Isao Murakami and Hideo Sugai

    Proc. International Symposium on Dry Process 

     More details

    Event date: 2002.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tokyo, Japan   Country:Japan  

  749. High rate deposition of silicon films by surface-wave excited silane plasma International conference

    S. Somiya , H. Toyoda, H. Sugai

    Proc.Joint Conference on ESCAMPIG 16(Sixteenth European Conference on Atomic & Molecular Physics of Ionized Gases) and ICRP 5(Fifth International Conference on Reactive Plasmas) 

     More details

    Event date: 2002.7

    Language:English   Presentation type:Poster presentation  

    Venue:Grenoble, France   Country:France  

  750. Modelling of effect of nano-sized particles on electron temperature in reactive plasmas International conference

    Y. Hori, K. Ostrikov, H. Toyoda, H. Sugai

    Proc.Joint Conference on ESCAMPIG 16(Sixteenth European Conference on Atomic & Molecular Physics of Ionized Gases) and ICRP 5(Fifth International Conference on Reactive Plasmas) 

     More details

    Event date: 2002.7

    Language:English   Presentation type:Poster presentation  

    Venue:Grenoble, France   Country:France  

  751. Beam experiment on methane formation by hydrogen plasma-graphite interaction under lithium effect International conference

    H. Yagi , H. Toyoda, H. Sugai

    Proc.Joint Conference on ESCAMPIG 16(Sixteenth European Conference on Atomic & Molecular Physics of Ionized Gases) and ICRP 5(Fifth International Conference on Reactive Plasmas 

     More details

    Event date: 2002.7

    Language:English   Presentation type:Poster presentation  

    Venue:Grenoble, France   Country:France  

  752. Surface reaction of fluorocarbon beam on silicon and silicon dioxide International conference

    H. Toyoda, I. Murakami, H. Sugai

    Proc.Joint Conference on ESCAMPIG 16(Sixteenth European Conference on Atomic & Molecular Physics of Ionized Gases) and ICRP 5(Fifth International Conference on Reactive Plasmas 

     More details

    Event date: 2002.7

    Language:English   Presentation type:Poster presentation  

    Venue:Grenoble, France   Country:France  

  753. Cross section for electron impact ionization and dissociation of C5F8 International conference

    H. Matsui , H. Toyoda, H. Sugai

    Proc.Joint Conference on ESCAMPIG 16(Sixteenth European Conference on Atomic & Molecular Physics of Ionized Gases) and ICRP 5(Fifth International Conference on Reactive Plasmas 

     More details

    Event date: 2002.7

    Language:English   Presentation type:Poster presentation  

    Venue:Grenoble, France   Country:France  

  754. リチウムコンディショニングによるグラファイト損耗抑制の温度依存性

    八木博之、豊田浩孝、菅井秀郎

    第4回核融合エネルギー連合講演会 

     More details

    Event date: 2002.6

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  755. 表面波励起H2/SiH4プラズマを用いたシリコン薄膜の高速堆積

    豊田浩孝、大石晃宏、宗宮暁、菅井秀郎

    電気学会プラズマ研究会・電気学会研究会 

     More details

    Event date: 2002.4

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  756. Dramatic Reduction of Chemical Sputtering of Graphite under Intercalation of Lithium International conference

    H. Yagi, H. Toyoda and H. Sugai

    15th Int. Conf. on Plasma-Surface Interactions in Controlled Fusion Devices  

     More details

    Event date: 2002

    Language:English  

    Venue:Gifu, Japan   Country:Japan  

  757. High Rate Deposition of Micro-and Poly-Crystalline Silicon Films by a Surface Wave Plasma International conference

    A. Ohishi, H. Toyoda and H. Sugai

    Proceedings of 25th International Conference on Phenomena in Ionized Gases 

     More details

    Event date: 2001.7

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya, Japan   Country:Japan  

  758. Effect of Fine Particles on Electron Temperature in Hydrogen Plasmas International conference

    Y. Hori, K. N. Ostrikov, H. Toyoda and H. Sugai

    Proceedings of 25th International Conference on Phenomena in Ionized Gases 

     More details

    Event date: 2001.7

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya, Japan   Country:Japan  

  759. Cross Section for Electron Impact Dissociation from SF6 to SF3 and from SiH4 to SiH3 International conference

    H. Kanda, H. Toyoda and H. Sugai

    Proceedings of 25th International Conference on Phenomena in Ionized Gases 

     More details

    Event date: 2001.7

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya, Japan   Country:Japan  

  760. Beam Experiment on Fluorocarbon-based Etching of Si and SiO2 Surfaces International conference

    H. Morishima, Y. Hori, H. Toyoda and H. Sugai

    Proceedings of 25th International Conference on Phenomena in Ionized Gases 

     More details

    Event date: 2001.7

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya, Japan   Country:Japan  

  761. Observation of Fluorocarbon Beam Intertraction with Si and SiO2 Surface International conference

    H. Toyoda, Y. Hori, H. Morishima and H. Sugai

    Proceedings of International Symposium on Dry Process 

     More details

    Event date: 2001

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  762. High Rate Deposition of Silicon Thin Films by a Surface-wave Excited Silane Plasma International conference

    A. Ohishi, H. Toyoda and H. Sugai

    Abstracts of Joint Conference of The 12th Intrnational Toki Conference on Plasma Physics and Controlled Nuclear Fusion and The 3rd General Scientific Assembly of Asia Plasma Fusion Association 

     More details

    Event date: 2001

    Language:English   Presentation type:Poster presentation  

    Venue:Toki, Japan   Country:Japan  

  763. Surface-Wave Plasma Deposition of a-C:H Films for Field Emission International conference

    T. Sano, M. Nagatsu, N. Takada, N. Toyoda, H. Sugai, W. X. Guang, T. Hirao, H. Toyoda

    Bulletin of 53rd Annual Gaseous Electronics Conf 

     More details

    Event date: 2000.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Houston, USA   Country:United States  

  764. Field Emission Characteristics of Amorphous Carbon Films Deposited by Surface Wave Plasma International conference

    M. Nagatsu, T. Sano, N. Takada, N. Toyoda, W. X. Guang, T. Hirao, H. Toyoda, H. Sugai

    Abstracts of IV International Workshop on Microwave Discharges:Fundamentals and Applications 

     More details

    Event date: 2000.9

    Language:English  

    Venue:Russia, Zvenigorod   Country:Russian Federation  

  765. Electron Energy Distributions of Planar Surface Wave Discharge International conference

    H. Sugai, I. Ghanashev, L. Tsendin, M. Hosokawa, H. Toyoda

    Abstracts of IV International Workshop on Microwave Discharges:Fundamentals and Applications 

     More details

    Event date: 2000.9

    Language:English  

    Venue:Russia, Zvenigorod   Country:Russian Federation  

  766. Reaction Processes on Silicon Surface Irradiated by Fluorocarbon Ion Beams International conference

    H. Toyoda, H. Morishima Y. Hori and H. Sugai

    Dry Process Sympo. '00  

     More details

    Event date: 2000

    Language:English  

    Country:Japan  

  767. Beam Study of the Interaction of CF3+ Ion with Silicon Surface Invited International conference

    H.Sugai, H.Toyoda

    Abstracts of International Workshop on Basic Aspects of Nonequilibrium Plasmas Interacting with Surfaces 

     More details

    Event date: 2000

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  768. Interaction of CF3+ Ion Beam with Silicon Surfaces Invited International conference

    H. Toyoda, H. Sugai

    Abstracts of 3rd Int. Workshop on Fluorocarbon Plasmas 

     More details

    Event date: 2000

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  769. Wall Temperature Effect on H Atom Density in Silane ICP for poly-Si Deposition International conference

    H. Toyoda, T. Kojima,A. Ohishi, M. Goto, M. Nishitani and H. Sugai

    Abstracts of 15th ESCAMPIG 

     More details

    Event date: 2000

    Language:English   Presentation type:Poster presentation  

    Venue:Lillafured, Hungary   Country:Hungary  

  770. Comparison of Electron Energy Distribution Functions of Planar Surface Wave Plasma with Inductive RF Plasma International conference

    H. Sugai, M. Hosokawa, H. Toyoda, I. Ghanashev, L. Tsendin

    Microwave Discharges:Fundamentals and Applications 

     More details

    Event date: 2000

    Language:English   Presentation type:Poster presentation  

    Venue:Moscow, Russia   Country:Russian Federation  

  771. Hydrogen Retention Effects on Lithium-Induced Suppression of Graphite Sputtering International conference

    N. Ito, H. Toyoda, K. Morita, H. Sugai

    14th International Conference on Plasma-Surface Interaction in Controlled Fusion Devices 

     More details

    Event date: 2000

    Language:English   Presentation type:Poster presentation  

    Venue:Rosenhite,Germany   Country:Germany  

  772. Wave Modes and EEDF Diagnostics of SWP for Oxide Etching International conference

    H. Kokura, K. Yamauchi, M. Hosokawa, K. Nakamura, H. Toyoda, T. Hayami, H. Sugai

    Dry Process Sympo. '99  

     More details

    Event date: 1999

    Language:English  

    Country:Japan  

  773. Measurements of Electron-Impact-Dissociation Cross Section for Neutral Products International conference

    Hideo Sugai and Hirotaka Toyoda

    21th Int. Conf.on the Physics of Electronic and Atomic Collisions  

     More details

    Event date: 1999

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  774. Cross Section Measurement of Electron Impact Dissociation into Neutral Radicals from SF6 and C3HF7O International conference

    H. Toyoda, H. Kanda, H. Sugai

    Int. Seminar on Atomic Processes in Plasmas  

     More details

    Event date: 1999

    Language:English  

    Country:Japan  

  775. Laboratory Studies on Li Conditioning International conference

    H. Toyoda, H. Sugai

    Japan/US Workshop on High Heat Flux Compo-nents and Plasma Surface Interactions for Next Fusion Devices  

     More details

    Event date: 1998

    Language:English  

    Country:Japan  

  776. Profile Control and its Effects on Plasma Confinement in Heriotron E International conference

    T.OBIKI, T.MIZUUCHI, H.SUGAI, H.TOYODA,et al.

    Proc. IAEA-CN-69/EX2/5 

     More details

    Event date: 1998

    Language:English  

    Venue:Yokohama   Country:Japan  

  777. Biased Optical Probe Measurement of Electron Energy Distribution Function in Processing Plasma International conference

    H. Toyoda, M. Hosokawa, R. Fukute, S. Noda, Y. Hikosaka, K. Kinoshita, M. Sekine, H. Sugai

    4th Int. Conf. on Reactive Plasmas 

     More details

    Event date: 1998

    Language:English  

    Country:Japan  

  778. High-Quality a-Si:H Film Deposition on Low-Temperature Substrate in Inductively Coupled Silane Plasma International conference

    M. Goto, H. Toyoda, M. Kitagawa, T. Hirao, H. Sugai

    3rd Int. Conf. on Reactive Plasmas 

     More details

    Event date: 1997

    Language:English  

    Country:Japan  

  779. Behaviour of Oxygen Atoms and High Energy Negative Ions in a Magnetron Plasma International conference

    H. Toyoda, K. Goshima, K. Ichihara, H. Sugai

    3rd Int. Conf. on Reactive Plasmas 

     More details

    Event date: 1997

    Language:English  

    Country:Japan  

  780. Advanced Diagnostics and Control of High-Density Plasma Sources International conference

    H. Sugai, T. H. Ahn, I. P. Ghanashev, M. Goto, M. Nagatsu, K. Nakamura, H. Toyoda and G. Xu

    Proc.191st Electrochemical Society Meeting  

     More details

    Event date: 1997

    Language:English  

    Country:Japan  

  781. Observation of Surface Dissociation of Low-energy Polyatomic Ions Relevant to Plasma Processing International conference

    H. Sugai, Y. Mitsuoka, H. Toyoda

    Int. Workshop on Basic Aspects of Nonequilibrium Plasmas Interacting with Surfaces 

     More details

    Event date: 1997

    Language:English  

    Country:Japan  

  782. Low-Temperature Deposition of Poly-Si Films by Inductively-Coupled Silane Plasma International conference

    K. Goshima, H. Toyoda, M. Kitagawa, M. Nishitani, H. Yamazoe, H. Sugai

    1st Asia-Pacific Int. Sympo. on the Basic and Application of Plasma Technologies 

     More details

    Event date: 1997

    Language:English  

    Country:Japan  

  783. Lower-Temperature Deposition of Poly-Crystalline Silicon Films by a High-Density Inductive Plasma International conference

    H. Toyoda, K. Goshima, M. Goto, M. Kitagawa, H. Sugai

    Dry Process Sympo. '97  

     More details

    Event date: 1997

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  784. Effects of ECH on NBI Plasma in Heliotron E International conference

    T. Obiki, F. Sano, H. Zushi, H. Sugai, H. Toyoda et al.

    16th Int. Conf. on Fusion Energy  

     More details

    Event date: 1996

    Language:English  

    Country:Japan  

  785. Laboratory Studies on Lithium Conditioning Effects International conference

    H.Sugai, M.Watanabe and H.Toyoda

    Abstracts of Workshop on Lithium Effects in Plasmas 

     More details

    Event date: 1996

    Language:English  

    Country:Japan  

  786. Deposition of High Photo-Conductivity a-Si:H Film Using ICPs without Substrate Heating International conference

    M. Goto, H. Toyoda, M. Kitagawa, T. Hirao, H. Sugai

    23rd IEEE Int. Conf. on Plasma Science 

     More details

    Event date: 1996

    Language:English  

    Country:Japan  

  787. Production and Loss Processes of High-Energy Oxygen Negative Ions in a DC Magnetron Plasma International conference

    H. Toyoda, S. Takahashi, K. Ichihara, M. Ohkubo, H. Sugai

    23rd IEEE Int. Conf. on Plasma Science 

     More details

    Event date: 1996

    Language:English  

    Country:Japan  

  788. Basic Exepriments on in-situ Magnetized Boronization by Electron Cyclotron Resonance Discharges International conference

    H. Kokura, K. Sasaki, H. Toyoda, M. Mizuuchi, K. Kondo, F. Sano, T. Ohbiki, H. Sugai

    12th Int. Conf. on Plasma-Surface Interactions in Controlled Fusion Devices 

     More details

    Event date: 1996

    Language:English  

    Country:Japan  

  789. Laboratory Experiments on Hydrogen and Impurity Behaviours in Lithium-Deposited Environment International conference

    H. Toyoda, M. Watanabe, H. Sugai

    12th Int. Conf. on Plasma-Surface Interactions in Controlled Fusion Devices  

     More details

    Event date: 1996

    Language:English  

    Country:Japan  

  790. Negative Ion Kinetics in a Magnetron Oxygen Plasma International conference

    H. Toyoda, S. Takahashi, K. Goshima, K. Ichihara, H. Sugai

    Int. Conf. on Plasma Physics  

     More details

    Event date: 1996

    Language:English  

    Country:Japan  

  791. Lower Temeprature Formation of High-quality Hydrogenated Amorphous Silicon Film by Usinga an Inductively Coupled Plasma International conference

    M. Goto, H. Toyoda, M. Kitagawa, T. Hirao, H. Sugai

    Int. Conf. on Plasma Physics  

     More details

    Event date: 1996

    Language:English  

    Country:Japan  

  792. Diagnostics for Advanced Plasma Control of Materials Processing International conference

    H. Sugai, T.H. Ahn, M. Goto, M. Nagatsu, K. Nakamura, K. Suzuki, H. Toyoda

    Int. Conf. on Plasma Physics  

     More details

    Event date: 1996

    Language:English  

    Country:Japan  

  793. Lithium Wall Conditioning for Fuel and Impurity Control International conference

    H. Sugai, M. Ohori, H. Toyoda

    13th Int. Vacuum Congress 

     More details

    Event date: 1995

    Language:English  

    Country:Japan  

  794. Properties of Lithium Deposited Walls as Plasma Facing Materials International conference

    H.Sugai,M.Oohori and H.Toyoda

    Japan-US Workshop ,"High Heat Flux Components and Plasma Surface Interactions for Next Devices " 

     More details

    Event date: 1995

    Language:English  

    Country:Japan  

  795. In situ Deposition of Boron Layer by Electron Cyclotron Resonance Discharges for Wall Conditioning International conference

    H. Sugai, T. Kokura, K. Sasaki, H. Toyoda, T. Mizuuchi, K. Kondo, F. Sano, T. Obiki

    2nd Int. Conf. on Phenomena in Ionized Gases 

     More details

    Event date: 1995

    Language:English  

    Country:Japan  

  796. Dissociative Scattering of Fluorocarbon Ions Incident on Surfaces International conference

    H. Toyoda, Y. Mitsuoka, S. Mukainakano, T. Hattori, H. Sugai

    IUVSTA Int. Workshop on Plasma Sources and Surface Interactions in Materials Processing 

     More details

    Event date: 1995

    Language:English  

    Country:Japan  

  797. Space-Resolved Measurements of Negative Ion Energy Distributions in an O2 Magnetron Plasma International conference

    S. Takahashi, H. Toyoda, M. Ohkubo, K. Ichihara, H. Sugai

    IUVSTA Int. Workshop on Plasma Sources and Surface Interactions in Materials Processing 

     More details

    Event date: 1995

    Language:English  

    Country:Japan  

  798. Molecular Ion-Surface Interactions:Dependence on Ion Species and Surface Materials International conference

    Y. Mitsuoka, H. Toyoda, S. Mukainakano, T. Hattori and H. Sugai

    IUVSTA Int. Workshop on Plasma Sources and Surface Interactions in Materials Processing 

     More details

    Event date: 1995

    Language:English  

    Country:Japan  

  799. Silyl Radical Detection in a Silane Plasma Using Ultra-Violet Transmission Spectroscopy International conference

    M. Goto, H. Toyoda, M. Kitagawa, T. Hirao, H. Sugai

    IUVSTA Int. Workshop on Plasma Sources and Surface Interactions in Materials Processing 

     More details

    Event date: 1995

    Language:English  

    Country:Japan  

  800. Silyl Radical Detection in a Silane Plasma Using Ultra-Violet Transmission Spectroscopy International conference

    H. Toyoda, M. Goto, M. Kitagawa, T. Hirao, H. Sugai

    42nd National Sympo. of the American Vacuum Society 

     More details

    Event date: 1995

    Language:English  

    Country:Japan  

  801. Generation of Ionic Radicals by a Fragmentation Process on Surface International conference

    Y. Mitsuoka, S. Takahashi, H. Toyoda, S. Mukainakano, T. Hattori, H. Sugai

    41st Sympo. of the American Vacuum Society 

     More details

    Event date: 1994

    Language:English  

    Country:Japan  

  802. Wall Conditioning with Lithium Evaporation International conference

    H. Sugai, H. Toyoda, K. Nakamura, K. Furuta, M. Ohori, K. Toi, S. Hirokura, K. Sato

    11th Int. Conf. on Plasma-Surface Interactions in Controlled Fusion Devices  

     More details

    Event date: 1994

    Language:English  

    Country:Japan  

  803. Dependence of Surface Dissociation of CHx+ Ion on Bombarding Energy International conference

    Y. Mitsuoka, S. Takahashi, H. Toyoda, S. Mukainakano, T. Hattori, H. Sugai

    47th Gaseous Electronics Conf.  

     More details

    Event date: 1994

    Language:English  

    Country:Japan  

  804. Boronization Study for Application to Large Helical Devices International conference

    N. Noda, A. Sagara, H. Yamada, Y. Kubota, N. Inoue, K. Akaishi, O. Motojima, K. Iwamoto, M. Yamage, H. Toyoda, H. Sugai et al.

    11th Int. Conf. on Plasma-Surface Interactions in Controlled Fusion Devices  

     More details

    Event date: 1994

    Language:English  

    Country:Japan  

  805. Meaurement of Hydrocarbon Radical Densitiies in a Plasma CVD Diamond Growth System International conference

    H. Toyoda, K.L. Menningen, M.A. Childs and L.W. Anderson, J.E. Lawler

    2nd Int. Conf. on Reactive Plasmas  

     More details

    Event date: 1994

    Language:English  

    Country:Japan  

  806. High Sensitivity Absorption Spectroscopy in Glow Discharge Plasmas International conference

    J.E. Lawler, H. Toyoda, K.L. Menningen, M.A. Childs, L.W. Anderson

    2nd Int. Conf. on Reactive Plasmas  

     More details

    Event date: 1994

    Language:English  

    Country:Japan  

  807. Cross Section Measurement for Electron-Impact CHF3 Dissociation International conference

    M. Goto, K. Nakamura, H. Toyoda, H. Sugai

    2nd Int. Conf. on Reactive Plasmas  

     More details

    Event date: 1994

    Language:English  

    Country:Japan  

  808. Hydrogen Isotope Exchange in Boronization International conference

    M. Yamage, T. Saito, H. Toyoda, M. Saidoh, N. Ogiwara, H. Sugai

    2nd Int. Workshop on Tritium Effects in Plasma Facing Components 

     More details

    Event date: 1994

    Language:English  

    Country:Japan  

  809. Hydrogen Content and Isotope Exchange in Boronization International conference

    M. Yamage, H. Sugai, T. Saito, H. Toyoda, M. Saidoh, N. Ogiwara, T. Mizuuchi, F. Sano, K. Kondo, T. Obiki

    11th Int. Conf. on Plasma-Surface Interactions in Controlled Fusion Devices  

     More details

    Event date: 1994

    Language:English  

    Country:Japan  

  810. Electron Impact Neutral Dissociation Measurements by Appearance Mass Spectrometry International conference

    H. Sugai, T. Nakano, M. Goto, K. Nakamura, H. Toyoda

    21st Int. Conf. on Phenomena in Ionized Gases 

     More details

    Event date: 1993

    Language:English  

    Country:Japan  

  811. Plasma Assisted Surface Modification and Radical Diagnostics International conference

    H. Sugai, M. Yamage, Y. Hikosaka, T. Nakano, H. Toyoda

    12th Int. Vacuum Congress and 8th Int. Conf. on Solid Surfaces 

     More details

    Event date: 1992

    Language:English  

    Country:Japan  

  812. In-situ Boron Nitride Coating and the Comparison with Existing Boronization International conference

    M. Yamage, T. Ejima, H. Toyoda, H. Sugai

    10th Int. Conf. on Plasma Surface Interactions in Controlled Fusion Devices 

     More details

    Event date: 1992

    Language:English  

    Country:Japan  

  813. Measurement of Electron Energy Distribution by Biased Optical Probe International conference

    H. Toyoda, K. Nakano, H. Sugai

    45th Gaseous Electronics Conf. 

     More details

    Event date: 1992

    Language:English  

    Country:Japan  

  814. Appearance Mass Spectrometry of Neutral Radicals in Radio Frequency Plasmas International conference

    H. Sugai, H. Toyoda

    38th Sympo. of American Vacuum Society 

     More details

    Event date: 1991

    Language:English  

    Country:Japan  

  815. Large Area Coating of Boron Nitride Films by a DC Glow Discharge International conference

    H. Toyoda, M. Yamage, H. Sugai

    10th Int. Sympo. on Plasma Chemistry 

     More details

    Event date: 1991

    Language:English  

    Country:Japan  

  816. Threshold Ionization Mass Spectrometry and its Application to Neutral Radical Diagnostics International conference

    H. Sugai, H. Toyoda

    Int. Seminor on Reactive Plasmas 

     More details

    Event date: 1991

    Language:English  

    Country:Japan  

  817. Measurement of CF3 and CF2 Radicals in a RF Fluorocarbon Plasma International conference

    H. Toyoda, Y. Hikosaka, H. Sugai

    Int. Seminor on Reactive Plasmas 

     More details

    Event date: 1991

    Language:English  

    Country:Japan  

  818. Cross Section of Electron-Impact Dissociation of Methane into Neutral Radicals International conference

    T. Nakano, H. Toyoda, H. Sugai

    Int. Seminor on Reactive Plasmas 

     More details

    Event date: 1991

    Language:English  

    Country:Japan  

  819. Diagnostics and Modeling of Radical Density Profiles in a Methane RF Discharge International conference

    H. Sugai, H. Toyoda, Y. Hikosaka

    43rd Gaseous Electronics Conf.  

     More details

    Event date: 1990

    Language:English  

    Country:Japan  

  820. Measurements of Electron-Impact Cross Sections for Dissociation from CH4 into CH3 and CH2 International conference

    H. Sugai, T. Nakano, H. Toyoda

    43rd Gaseous Electronics Conf.  

     More details

    Event date: 1990

    Language:English  

    Country:Japan  

  821. Mass Spectroscopic Analysis of Neutral Radicals in a RF Methane Plasma International conference

    H. Sugai, H. Toyoda, H. Kojima

    9th Sympo. on Plasma Chemistry 

     More details

    Event date: 1989

    Language:English  

    Country:Japan  

  822. Hydrogen Trapping and Detrapping in Amorphous Carbon Films Exposed to a Hydrogen Plasma International conference

    H. Toyoda, S. Yoshida, H. Sugai

    9th Sympo. on Plasma Chemistry  

     More details

    Event date: 1989

    Language:English  

    Country:Japan  

  823. Absolute Density and Spatial Distribution of the Free Radical CH3 and CH2 in a Methane RF Plasma International conference

    H. Sugai, H. Toyoda, H. Kojima

    42nd Gaseous Electronics Conf.  

     More details

    Event date: 1989

    Language:English  

    Country:Japan  

  824. In-situ Boron Coating and Its Removal by Glow Discharge Processes International conference

    H. Toyoda, T. Isozumi, H. Sugai, T. Okuda

    8th Int. Conf. on Plasma Surface Interactions in Controlled Fusion Devices 

     More details

    Event date: 1988

    Language:English  

    Country:Japan  

  825. Recent Experiments on Heliotron-E International conference

    T. Ohbiki, T. Mizuuchi, H. Sugai, H. Toyoda, et al.

    12th Int. Conf. on Plasma Physics and Controlled Nuclear Fusion Research 

     More details

    Event date: 1988

    Language:English  

    Country:Japan  

  826. Hydrogen Recycling Control by Helium Ion Bombardment onto Carbonized Surfaces International conference

    H. Sugai, H. Toyoda, S. Ohsha, S. Yoshida, A. Sagara

    8th Int. Conf. on Plasma Surface Interactions in Controlled Fusion Devices 

     More details

    Event date: 1988

    Language:English  

    Country:Japan  

  827. Carbonization Experiment by ECR Discharge in JIPPT-IIU International conference

    Y. Sakamoto, H. Amemiya, H. Toyoda, H. Sugai et al.

    8th Int. Conf. on Plasma Surface Interactions in Controlled Fusion Devices 

     More details

    Event date: 1988

    Language:English  

    Country:Japan  

  828. Effects of Carbon Wall on the Behaviour of Heliotron-E Plasmas International conference

    N. Noda, T. Mizuuchi, H. Toyoda, S. Yoshida, H. Sugai et al.

    8th Int. Conf. on Plasma Surface Interactions in Controlled Fusion Devices 

     More details

    Event date: 1988

    Language:English  

    Country:Japan  

  829. Coating of Pure-Boron and Boron-Carbon Alloys by a Toroidal Glow Discharge International conference

    H. Toyoda, H. Sugai, T. Izozumi, T. Okuda

    8th Int. Sympo. on Plasma Chemistry 

     More details

    Event date: 1987

    Language:English  

    Country:Japan  

  830. Activation Energy of Hydrogen Plasma Etching of Amorphous Boron Films International conference

    H. Toyoda, H. Sugai, T. Okuda

    40th Gaseous Electronics Conf. 

     More details

    Event date: 1987

    Language:English  

    Country:Japan  

  831. Formation of Pure-boron and Boron-Carbon Alloys by a Toroidal Glow Discharge International conference

    H. Toyoda, H. Sugai, T. Isozumi, T. Okuda

    Borides and Related Compounds  

     More details

    Event date: 1987

    Language:English  

    Country:Japan  

  832. Flux Controlled Deposition of Hydrogenated Amorphous Silicon Films International conference

    H. Sugai, H. Toyoda, T. Okuda

    Gordon Research Conf. on Plasma Chemistry  

     More details

    Event date: 1986

    Language:English  

    Country:Japan  

  833. Key Active Species in Hydrogenated Amorphous Silicon Deposition Processes in a Toroidal DC Discharge International conference

    H. Toyoda, H. Sugai, A. Yoshida, T. Okuda

    8th Int. Conf. on Gas Discharges and Thier Applications 

     More details

    Event date: 1985

    Language:English  

    Country:Japan  

  834. A Mass Spectrometer for Boundary Plasma Diagnostics International conference

    H. Kojima H. Sugai, T. Mori, H. Toyoda, T. Okuda

    6th Int. Conf. on Plasma Surface Interactions in Controlled Fusion Devices 

     More details

    Event date: 1984

    Language:English  

    Country:Japan  

  835. 高周波容量結合型プラズマにおける高アスペクト比キャピラリーホール底部のチャージアップ現象 Invited

    豊田浩孝

    第234回シリコンテクノロジー分科会研究会  2022.3.15 

     More details

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:オンライン   Country:Japan  

▼display all

Research Project for Joint Research, Competitive Funding, etc. 12

  1. 導電性機能材料の合成に向けた高機能プラズマ装置の開発

    Grant number:2719JC059c  2021.4 - 2022.3

    研究成果最適展開支援プログラム(A-STEP)  委託研究開発費

    豊田浩孝

      More details

    Grant type:Competitive

    Grant amount:\10205000 ( Direct Cost: \7850000 、 Indirect Cost:\2355000 )

  2. 導電性機能材料の合成に向けた高機能プラズマ装置の開発

    Grant number:2719JC059c  2020.4 - 2021.3

    研究成果最適展開支援プログラム(A-STEP)  委託研究開発費

    豊田浩孝

      More details

    Grant type:Competitive

    Grant amount:\5265000 ( Direct Cost: \4050000 、 Indirect Cost:\1215000 )

  3. 流動液体処理に対応した高機能プラズマ装置の開発とその応用

    2018.10 - 2019.9

    研究成果最適展開支援プログラム シーズ育成タイプFS 

    笹井建典

      More details

    Grant type:Competitive

  4. ハイバリア透明導電フィルム用平坦成膜装置の開発

    2012.10 - 2015.3

    研究成果展開事業 研究成果最適展開支援プログラム 

    豊田 浩孝

      More details

    Grant type:Competitive

  5. VHF・マイクロ波による新しいプラズマ生成機構の開発

    2010.2 - 2011.1

    (独)科学技術振興機構 

      More details

    Grant type:Competitive

  6. 高密度プラズマ処理によるプラスチック界面密着技術の実用化研究

    2007.4 - 2010.3

    (独)科学技術振興機構 

      More details

    Grant type:Competitive

  7. 薄膜シリコン太陽電池の高効率化と高速堆積技術の研究開発

    2006.10 - 2008.3

    (独)新エネルギー・産業技術総合開発機構 

      More details

    Grant type:Competitive

  8. 高知県地域結集型共同研究事業「次世代情報デバイス用薄膜ナノ技術の開発」に係るTFTの分析評価及びSiGe・TFT技術の開発

    2006.4 - 2007.12

    国内共同研究 

  9. 粒子エネルギー制御型新規マグネトロンプラズマ源の開発

    2006 - 2007.3

  10. 「次世代情報デバイス用薄膜ナノ技術の開発」に係るSiGe・TFT技術の開発

    2005.8 - 2006.3

    国内共同研究 

  11. 太陽光発電技術研究開発革新的次世代太陽光発電システム技術研究開発広帯域スペクトル利用型薄膜シリコン太陽電池(長尺マイクロ波プラズマ生成技術)

    2004.11 - 2006.3

    (独)新エネルギー・産業技術総合開発機構 

      More details

    Grant type:Competitive

  12. 高知県地域結集型共同研究事業「次世代情報デバイス用薄膜ナノ技術の開発」にかかる新材料によるTFT技術の開発

    2004.9 - 2005.3

    国内共同研究 

▼display all

KAKENHI (Grants-in-Aid for Scientific Research) 21

  1. 気体流および液体流を用いた弱減圧マイクロ波プラズマ生成と応用

    Grant number:22H01210  2022.4 - 2025.3

    日本学術振興会  科学研究費助成事業  基盤研究(B)

    豊田 浩孝, 鈴木 陽香, 鈴木 陽香

      More details

    Authorship:Principal investigator 

    Grant amount:\17420000 ( Direct Cost: \13400000 、 Indirect Cost:\4020000 )

    従来の真空容器や排気システムを必要とする減圧プラズマは,処理対象物が真空内に持ち込まれるため大気環境でしか用いることのできない処理対象物に対応できない,応用範囲が限られる,といった課題があった.これに対し大気圧プラズマはこれらの制限から解放される点で大きな利点がある一方で,プラズマ生成そのものが困難であるという問題を抱えている.本研究は,高速流体を用いた弱減圧形成によりプラズマ生成を易化するとともに,大気圧環境下に置かれた処理対象物を高効率で処理できる手法を開発することを目指し,弱減圧プラズマの利点を明らかにし,処理の高効率化の指針を得ることを目的とする.
    本年度は気体実験を可能とするプラズマ装置を立ち上げた.高速ガス流を形成するため,圧縮空気供給系を用いたガス導入系を用意し,ガス流路に狭隘部(断面積0.5mm2程度)を用意した。そのうえで、計測の手始めとして,これまでガス流体系での計測が行われていない圧力計測を行ったところ.流量の増加とともに放電ギャップ部の圧力が減少し、0.5気圧程度までの減圧化を実現できることが確認された。次にこの結果の確認を受けて,パルスマイクロ波を印加して放電をおこなったところ、プラズマの生成に成功した。さらにガス流量に対して放電開始電力を測定したところ、流量増加にともなって放電開始のマイクロ波電力がより低くできることも明らかとなり、弱減圧がプラズマ生成に対する効果を持っていることが確認された。
    また、放電開始電力の圧力依存性について、電磁界シミュレーションを援用しつつ理論的検討を行った。大気圧領域から0.5気圧程度の減圧領域においては、マイクロ波絶縁電界は圧力にほぼ比例することが理論的に知られており、電磁界シミュレーションにより投入マイクロ波電力における放電ギャップ内電界を評価したところ、およそ理論値に近い値となることが確認され、また放電開始電力の圧力依存性についても若干のくいちがいも見られるもののおよそ理論に近い依存性が確認された。
    さらに、予備実験であるが、プラズマ領域を通過したガス流を用いて樹脂(PET)の表面親水化特性も評価し、親水化処理が可能であることを実証できた。
    ガス流による減圧効果の発現有無については、ガス流が圧縮清流体であり研究代表者がこれまでに進めてきた液体(非圧縮清流体)とは異なるため、減圧化が容易であるかどうかは不明であった。しかしながら、初期実験において減圧化が確認でき、さらにはこれに応じてプラズマ生成に成功した。さらには、ガス流を増加させることにより圧力を下げることができ、これに伴い放電開始マイクロ波電力を低く抑えることができることも実証できた。これらのことは、当初の本研究の大きな目的のひとつを実現できたという点で大きな成果である。
    さらに、本効果を理論的に検討する試みをおこない、当初の研究計画をさらに進める形で放電開始電力の流量依存性の実験結果を電磁界シミュレーションおよび放電開始マイクロ波電界の理論値を用いて比較検討することができた。結果としておよそ妥当な結果が得られることが確認され、本手法の妥当性を理論的にも確認できたことは重要な成果である。
    また、プラズマ処理したガス流による樹脂表面親水化も実験的に示すことができたことは、本装置の応用分野展開を考えるうえでの成果と考えている。
    本年度の研究により、ガス流を用いた減圧化と放電の易化を実証することができたが、その一方でガス流を増加した際の圧力減少がある流量から理論を外れ、思ったほどの減圧が得られないことも確認された。現在は0.5気圧より低い圧力を実現するのが困難な状況である。この原因のひとつはガス流速が音速を超えて高速化した場合、音速を超えたガス流が下流領域において改めて減速し音速を下回る際に衝撃波を発生しガス流れを阻害することにあると考えている。これは液体流による減圧化とは大きく異なる圧縮性流体における現象であり、より低い圧力を実現するためには、この問題を解決することが必要であると考えている。
    今後は流体シミュレーションを用いたガス流れの解析を進めるとともに、衝撃波発生を抑制できるノズル構造の最適化を検討する。特にノズル構造の最適化に関してはシミュレーションのみでは解決が困難で実験が必須であると考えているが、その一方では多数個の異なるノズル構造を試作加工し実験に供するには時間及びコストに問題があると考えている。そこで3Dプリンタを用いて樹脂を用いたノズル構造のモックアップを製作し、これを用いた実験的なノズル構造最適化を進めていく。そのうえで、最適化された構造で金属加工されたノズルを製作し、これを用いて放電実験に着手する計画である。これにより、より広い圧力範囲において実験が可能になると考えており、分光計測、放電開始電力計測、プラズマ密度計測などにおいて系統的な実験結果が得られるものと考えている。

  2. ターゲットを均一に利用する無磁場マイクロ波スパッタ製膜

    2012.4 - 2014.3

    科学研究費補助金 

    豊田 浩孝

      More details

    Authorship:Principal investigator 

  3. 大気圧プラズマ密度計測の高精度化

    2009.4 - 2012.3

    科学研究費補助金  基盤研究(C)

    豊田 浩孝

      More details

    Authorship:Principal investigator 

    大気圧プラズマは、真空システムを用いないなど、従来のプラズマ源にない利点を有しており、表面処理などさまざまな分野への応用が進められている。また、それにともない本プラズマのプラズマ密度等の基礎的なプラズマ特性評価について高精度な計測手法が求められている。大気圧プラズマの電子密度測定法としては一般にシュタルク拡がりから算出する手法が用いられているが、本研究代表者らは、その時分解測定において放電時に印加される外部電界がシュタルクスペクトルの拡がりに影響を及ぼす可能性を指摘してきた。本研究はこの点に着目し、外部電界のスペクトル拡がりおよび密度測定に及ぼす影響を実験的に明らかにするとともに、より高精度なプラズマ密度測定法の指針を得ることを目的とする。

    本年度は、スペクトルに対する電界の影響を評価する方法として、電界に対して平行および垂直方向のH_βスペクトル偏光面それぞれに対するスペクトル分裂の違いを利用した電界計測を試みた。まず、放電印加電界方向に対して、平行および垂直方向に偏光面を持つHβ線のスペクトル分裂の違いを計算し、電界強度が10^6V/m台以上になると、電界に対する垂直及び平行な偏光面を持つスペクトルの間に大きな違いが現れることを確認した。この結果を基に、大気圧マイクロ波プラズマにおいて両者のスペクトル拡がりの違いを測定したところ、放電開始初期1μs以下においてスペクトル線幅の違いを確認することができた。さらに、本手法により電界強度の時間変化を求めたところ、放電開始直後に強い電界強度がプラズマ生成の時間発展とともに急激に減少していくことを示すことができた。これらの結果は、本研究の当初目的のひとつであるスペクトル線幅に対する電界の影響を実証できたことを意味しており、本年度は本研究の大きな成果の一つを得ることができた。

  4. Development of New Magnetron Plasma Source with Particle Energy Control

    2006.4 - 2008.3

    Grant-in-Aid for Scientific Research  Grant-in-Aid for Scientific Research(C)

      More details

    Authorship:Principal investigator 

  5. Development of Giant Plasma Processing Based on Large-Area Microwave Discharge

    2003.4 - 2006.3

    Grant-in-Aid for Scientific Research  Grant-in-Aid for Scientific Research(A)

      More details

    Authorship:Coinvestigator(s) 

  6. Surface Reaction Processes of Fluorocarbon Molecule and Fundamental Research on New Etching Process

    2003.4 - 2005.3

    Grant-in-Aid for Scientific Research 

      More details

    Authorship:Principal investigator 

  7. Development of Large-area High-quality LCD Processing by Surface Wave Plasma

    2002.4 - 2003.3

    Grant-in-Aid for Scientific Research  Grant-in-Aid for Scientific Research(A)

      More details

    Authorship:Coinvestigator(s) 

  8. Study on Surface Reaction Processes Using High Performance Beam Device

    1998.4 - 2002.3

    Grant-in-Aid for Scientific Research  Grant-in-Aid for Scientific Research(A)

      More details

    Authorship:Coinvestigator(s) 

  9. Development of new wall control method and plasma-wall interaction in fluorocarbon plasmas

    1998.4 - 2001.3

    Grant-in-Aid for Scientific Research  Grant-in-Aid for Scientific Research(C)

      More details

    Authorship:Coinvestigator(s) 

  10. Lower-Temperature Deposition of Poly-crystalline Silicon Film by High-Density Plasma

    1997.4 - 2000.3

    Grant-in-Aid for Scientific Research  Grant-in-Aid for Scientific Research(B)

      More details

    Authorship:Principal investigator 

  11. Development of Selective Hydrogen Pumping Method Using Lithium Films

    1995.4 - 1998.3

    Grant-in-Aid for Scientific Research  Grant-in-Aid for Scientific Research(A)

      More details

    Authorship:Coinvestigator(s) 

  12. Diagnostic and Control of Silane Plasmas by Newlv Developed Radical Measurement Technique

    1995.4 - 1997.3

    Grant-in-Aid for Scientific Research  Grant-in-Aid for Scientific Research(C)

      More details

    Authorship:Principal investigator 

  13. Novel First-Wall Conditioning in Stationary Reactor Studies

    1994.4 - 1996.3

    Grant-in-Aid for Scientific Research 

      More details

    Authorship:Coinvestigator(s) 

  14. Basic study on lithium coating and plasma-surface processes

    1993.4 - 1995.3

    Grant-in-Aid for Scientific Research 

      More details

    Authorship:Principal investigator 

  15. Development of large-diameter RF plasmas under surface magnetic field

    1992.4 - 1995.3

    Grant-in-Aid for Scientific Research 

      More details

    Authorship:Coinvestigator(s) 

  16. Optimization of Particle Control by Boronization

    1992.4 - 1994.3

    Grant-in-Aid for Scientific Research 

      More details

    Authorship:Coinvestigator(s) 

  17. 新しい質量分析法によるプラズマ内の中性粒子の測定

    1991.4 - 1992.3

    科学研究費補助金  奨励研究(A)

    豊田 浩孝

      More details

    Authorship:Principal investigator 

  18. ボロンの新しいコーティング法と高速リムーバル

    1990.4 - 1991.3

    科学研究費補助金  奨励研究(A)

    豊田 浩孝

      More details

    Authorship:Principal investigator 

  19. Development of Low-Energy Particle-Beam Sources for Super-fine Plasma Processing

    1989.4 - 1991.3

    Grant-in-Aid for Scientific Research 

      More details

    Authorship:Coinvestigator(s) 

  20. ボロンコーティング膜のリムーバルの高速化

    1989.4 - 1990.3

    科学研究費補助金  奨励研究(A)

    豊田 浩孝

      More details

    Authorship:Principal investigator 

  21. ダブルプラズマ法による機能性薄膜の作成

    1987.4 - 1989.3

    科学研究費補助金  試験研究

    菅井 秀郎

      More details

    Authorship:Coinvestigator(s) 

▼display all

Industrial property rights 19

  1. プラズマ生成装置、プラズマ処理装置及びプラズマ処理方法

    豊田浩孝、堀 勝、関根 誠、竹田圭吾、三好秀典、伊藤仁、久保田雄介

     More details

    Applicant:東京エレクトロン株式会社、国立大学法人名古屋大学

    Application no:特願2012-28187  Date applied:2012.2

    Announcement no:特開2012-199226  Date announced:2012.10

    Patent/Registration no:特許第5921241号  Date registered:2016.4 

    Country of applicant:Domestic  

  2. プラズマの装置、プラズマ処理ユニット及びプラズマ処理方法

    菅井秀郎、豊田浩孝、伊関清司、辰巳敏実

     More details

    Applicant:学校法人中部大学、国立大学法人名古屋大学、東洋紡績株式会社、株式会社システック

    Application no:特願2008-069890  Date applied:2008.3

    Announcement no:特開2009-224269  Date announced:2009.10

    Patent/Registration no:特許番号第5317162  Date registered:2013.7 

    Country of applicant:Domestic  

  3. マイクロ波プラズマ生成装置

    豊田 浩孝,笹井 建典

     More details

    Application no:2015-130939  Date applied:2015.6

    Announcement no:2017-016828  Date announced:2017.1

    Country of applicant:Domestic  

  4. プラズマ発生装置およびその利用

    豊田 浩孝, 高橋 朋大, 高島 成剛, 伊藤 美智子, 北川 富則, 野村 記生

     More details

    Applicant:国立大学法人名古屋大学, 公益財団法人名古屋産業振興公社, 株式会社三進製作所

    Application no:特願2013-180391  Date applied:2013.8

    Announcement no:特開2015-50010  Date announced:2015.3

    Country of applicant:Domestic  

  5. マイクロ波導波装置、プラズマ処理装置及びプラズマ処理方法

    伊藤 仁, 久保田 雄介, 豊田 浩孝, 堀 勝

     More details

    Applicant:東京エレクトロン株式会社, 国立大学法人名古屋大学

    Application no:特願2013-43404  Date applied:2013.3

    Announcement no:特開2014-175051  Date announced:2014.9

    Patent/Registration no:特許第5725574  Date registered:2015.4 

    Country of applicant:Domestic  

  6. スパッタ成膜装置

    笹井 建典, 豊田 浩孝

     More details

    Applicant:東海ゴム工業株式会社, 国立大学法人名古屋大学

    Application no:特願2013-15453  Date applied:2013.1

    Announcement no:特開2014-145118  Date announced:2014.8

    Country of applicant:Domestic  

  7. マイクロ波プラズマ生成装置

    笹井 建典, 豊田 浩孝

     More details

    Applicant:東海ゴム工業株式会社, 国立大学法人名古屋大学

    Application no:特願2012-281416  Date applied:2012.12

    Announcement no:特開2014-127267  Date announced:2014.7

    Country of applicant:Domestic  

  8. 導電性膜の形成方法

    堀 勝、豊田浩孝、関根 誠、竹田圭吾、近藤博基、石川健治、久保田雄介、伊藤仁、三好秀典、

     More details

    Applicant:東京エレクトロン株式会社、国立大学法人名古屋大学

    Application no:2012-41556  Date applied:2012.2

    Announcement no:2013-178917  Date announced:2013.9

    Country of applicant:Domestic  

  9. マイクロ波プラズマ処理装置

    藤井理香、笹井建典、豊田浩孝

     More details

    Applicant:東海ゴム工業株式会社、国立大学法人名古屋大学

    Application no:特願2011-252325  Date applied:2011.11

    Announcement no:特開2013-109875  Date announced:2013.6

    Patent/Registration no:特許第5868137号  Date registered:2016.1 

    Country of applicant:Domestic  

  10. ECRプラズマ生成装置、およびそれを用いたマグネトロンスパッタ成膜装置

    笹井建典、豊田浩孝

     More details

    Applicant:東海ゴム工業株式会社、国立大学法人名古屋大学

    Application no:特願2011-252383  Date applied:2011.11

    Announcement no:特開2013-108115  Date announced:2013.6

    Patent/Registration no:特許第5883274号  Date registered:2016.2 

    Country of applicant:Domestic  

  11. マイクロ波プラズマ生成装置、およびそれを用いたマグネトロンスパッタ成膜装置

    笹井建典、豊田浩孝

     More details

    Applicant:東海ゴム工業株式会社、国立大学法人名古屋大学

    Application no:特願2011-100736  Date applied:2011.4

    Announcement no:特開2012-234643  Date announced:2012.11

    Patent/Registration no:特許第5829045号  Date registered:2016.10 

    Country of applicant:Domestic  

  12. 樹脂ホースおよびその製造方法

    笹井建典、豊田浩孝

     More details

    Applicant:東海ゴム工業株式会社、国立大学法人名古屋大学

    Application no:特願2011-88945  Date applied:2011.4

    Announcement no:特開2012-219968  Date announced:2012.11

    Country of applicant:Domestic  

  13. プラズマ処理装置

    菅井 秀郎、石島 達夫、豊田 浩孝、笹井 建典、藤井 理香

     More details

    Applicant:学校法人中部大学、国立大学法人名古屋大学、東海ゴム工業株式会社

    Application no:特願2010-291142  Date applied:2010.12

    Announcement no:特開2012-136754  Date announced:2012.7

    Country of applicant:Domestic  

  14. プラズマ生成装置、プラズマ処理装置及びプラズマ処理方法

    堀 勝、豊田浩孝、関根 誠、伊藤仁、三好秀典

     More details

    Applicant:東京エレクトロン株式会社、国立大学法人名古屋大学

    Application no:特願2010-207774  Date applied:2010.9

    Announcement no:特開2012-64444  Date announced:2012.3

    Patent/Registration no:特許第5762708号  Date registered:2015.6 

    Country of applicant:Domestic  

  15. 液体処理装置および液体処理方法

    豊田浩孝、石島達夫

     More details

    Applicant:国立大学法人名古屋大学

    Application no:特願2010-150423  Date applied:2010.6

    Announcement no:特開2012-11313  Date announced:2012.1

    Country of applicant:Domestic  

  16. 積層プラスティックフィルム及びその製造方法

    菅井秀郎、豊田浩孝、伊関清司

     More details

    Applicant:学校法人中部大学、国立大学法人名古屋大学、東洋紡績株式会社

    Application no:特願2009-189122  Date applied:2009.8

    Announcement no:特開2011-37232  Date announced:2011.2

    Patent/Registration no:特許第5424317号  Date registered:2013.12 

    Country of applicant:Domestic  

  17. マイクロ波プラズマ処理装置

    笹井建典、豊田浩孝、石島達夫

     More details

    Applicant:東海ゴム工業株式会社、国立大学法人名古屋大学

    Application no:特願2008-301841  Date applied:2008.11

    Announcement no:特開2010-129327  Date announced:2010.6

    Patent/Registration no:特許第5349923号  Date registered:2013.8 

    Country of applicant:Domestic  

  18. プラズマ生成装置

    菅井秀郎、石島達夫、豊田浩孝、笹井建典

     More details

    Applicant:学校法人中部大学、国立大学法人名古屋大学、東海ゴム工業株式会社

    Application no:特願2008-223816  Date applied:2008.9

    Announcement no:特開2010-61860  Date announced:2010.3

    Country of applicant:Domestic  

  19. 薄膜堆積装置および薄膜堆積方法

    後藤真志、西谷幹彦、菅井秀郎、豊田浩孝

     More details

    Applicant:松下電器産業株式会社

    Application no:特願2000-016772  Date applied:2000.1

    Announcement no:特開2001-210594 

    Country of applicant:Domestic  

▼display all

 

Teaching Experience (On-campus) 17

  1. Plasma Physics and Engineering

    2022

  2. Plasma Physics and Engineering

    2022

  3. Seminar on Plasma Electronics 2E

    2022

  4. Seminar on Plasma Electronics 2C

    2022

  5. Seminar on Plasma Electronics 2A

    2022

  6. Seminar on Plasma Electronics 1C

    2022

  7. Seminar on Plasma Electronics 1A

    2022

  8. Electromagnetic Theory with Exercises

    2022

  9. Seminar on Plasma Electronics 2B

    2022

  10. Seminar on Plasma Electronics 2D

    2022

  11. Seminar on Plasma Electronics 1D

    2022

  12. Seminar on Plasma Electronics 1B

    2022

  13. Electromagnetic Theory with Exercises

    2021

  14. 基礎科目

    2020

  15. プラズマ工学

    2010

  16. プロセスプラズマ工学特論

    2004

  17. 線形回路論および演習

    2002

▼display all

Teaching Experience (Off-campus) 3

  1. プラズマ工学

    2023.12 Kyushu University)

     More details

    Level:Undergraduate (specialized)  Country:Japan

  2. プラズマ工学

    2021.11 Kyushu University)

     More details

    Level:Undergraduate (specialized) 

  3. 大学院特別講義

    2009.4 - 2010.3 三重大学)