2024/03/26 更新

写真a

サカシタ ミツオ
坂下 満男
SAKASHITA, Mitsuo
所属
大学院工学研究科 物質科学専攻 物質デバイス機能創成学 助教
大学院担当
大学院工学研究科
学部担当
工学部 物理工学科
職名
助教
連絡先
メールアドレス

学位 1

  1. 学士(工学) ( 名城大学 ) 

研究キーワード 7

  1. 半導体工学

  2. Si

  3. Ge

  4. Sn

  5. SiC

  6. MOS

  7. 絶縁膜

研究分野 2

  1. その他 / その他  / 電子デバイス・電子機器

  2. その他 / その他  / 薄膜・表面界面物性

現在の研究課題とSDGs 2

  1. IV族混晶半導体に関する研究

  2. ゲート絶縁膜に関する研究

学歴 1

  1. 名城大学   理工学部   電気工学科

    - 1986年

      詳細を見る

    国名: 日本国

所属学協会 2

  1. 電子情報通信学会

  2. 応用物理学会

受賞 1

  1. MNC2004 Award for Outstanding Paper

    2005年10月   MNC Organizing Committee  

     詳細を見る

    受賞国:日本国

 

論文 142

  1. Emergence of ferroelectricity in ZrO2 thin films on TiN/Si featuring high temperature sputtering method

    Nagano Jotaro, Ikeguchi Shota, Doi Takuma, Sakashita Mitsuo, Nakatsuka Osamu, Shibayama Shigehisa

    MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING   163 巻   2023年8月

  2. Self-organized Ge1-x Sn (x) quantum dots formed on insulators and their room temperature photoluminescence

    Hashimoto Kaoru, Shibayama Shigehisa, Asaka Koji, Sakashita Mitsuo, Kurosawa Masashi, Nakatsuka Osamu

    JAPANESE JOURNAL OF APPLIED PHYSICS   62 巻 ( 7 )   2023年7月

  3. Lattice-matched growth of high-Sn-content (x similar to 0.1) Si1-x Sn x layers on Si1-y Ge y buffers using molecular beam epitaxy

    Fujimoto Kazuaki, Kurosawa Masashi, Shibayama Shigehisa, Sakashita Mitsuo, Nakatsuka Osamu

    APPLIED PHYSICS EXPRESS   16 巻 ( 4 )   2023年4月

  4. Heteroepitaxial growth of CaGe2 films on high-resistivity Si(111) substrates and its application for germanane synthesizing 査読有り

    Kazuya Okada, Shigehisa Shibayama, Mitsuo Sakashita, Osamu Nakatsuka, and Masashi Kurosawa

    Mater. Sci. Semicond. Proc.   161 巻   頁: 107462   2023年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.mssp.2023.107462

  5. Ge1−xSnx layers with x~0.25 on InP(001) substrate grown by low-temperature molecular beam epitaxy reaching 70 °C and in-situ Sb doping 査読有り

    Shigehisa Shibayama, Komei Takagi, Mitsuo Sakashita, Masashi Kurosawa, and Osamu Nakatsuka

    Mater. Sci. Semicond. Proc.   176 巻   頁: 108302   2023年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  6. Enhancement of channel mobility in 4H-SiC trench MOSFET by inducing stress at SiO2/SiC gate interface 査読有り

    Kagoshima E., Takeuchi W., Kutsuki K., Sakashita M., Fujiwara H., Nakatsuka O.

    JAPANESE JOURNAL OF APPLIED PHYSICS   61 巻 ( SC )   2022年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/ac528d

    Web of Science

  7. Visualization of local strain in 4H-SiC trench metal-oxide-semiconductor field-effect transistor using synchrotron nanobeam X-ray 査読有り

    W. Takeuchi, E. Kagoshima, K. Sumitani, Y. Imai, S. Shibayama, M. Sakashita, S. Kimura, H. Tomita, T. Nishiwaki, H. Fujiwara, and O. Nakatsuka

    Japanese Journal of Applied Physics   61 巻   頁: SC1072   2022年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/ac4c6d

  8. Impact of oxide/4H-SiC interface state density on field-effect mobility of counter-doped n-channel 4H-SiC MOSFETs 招待有り 査読有り

    T. Doi, S. Shibayama, M. Sakashita, N. Taoka, M. Shimizu, and O. Nakatsuka

    JAPANESE JOURNAL OF APPLIED PHYSICS   61 巻 ( 2 ) 頁: 021007   2022年2月

     詳細を見る

    記述言語:英語  

    DOI: 10.35848/1347-4065/ac4555

  9. Low-temperature formation of Mg/n-type 4H-SiC ohmic contacts with atomically flat interface by lowering of Schottky barrier height

    Doi Takuma, Shibayama Shigehisa, Sakashita Mitsuo, Kojima Kazutoshi, Shimizu Mitsuaki, Nakatsuka Osamu

    APPLIED PHYSICS EXPRESS   15 巻 ( 1 )   2022年1月

     詳細を見る

    記述言語:日本語  

    DOI: 10.35848/1882-0786/ac407f

    Web of Science

  10. Photoluminescence properties of heavily Sb doped Ge1-x Sn (x) and heterostructure design favorable for n(+)-Ge1-x Sn (x) active layer

    Zhang Shiyu, Fukuda Masahiro, Jeon Jihee, Sakashita Mitsuo, Shibayama Shigehisa, Nakatsuka Osamu

    JAPANESE JOURNAL OF APPLIED PHYSICS   61 巻 ( SA )   2022年1月

     詳細を見る

    記述言語:日本語  

    DOI: 10.35848/1347-4065/ac25da

    Web of Science

  11. Interface structures and electrical properties of micro-fabricated epitaxial Hf-digermanide/n-Ge(001) contacts 招待有り 査読有り

    K. Kasahara, K. Senga, M. Sakashita, S. Shibayama, and O. Nakatsuka

    IEEE Journal of the Electron Devices Society     2021年12月

     詳細を見る

    記述言語:英語  

    DOI: 10.1109/JEDS.2021.3139728

  12. Lowering of the Schottky barrier height of metal/n-type 4H-SiC contacts using low-work-function metals with thin insulator insertion

    Doi Takuma, Shibayama Shigehisa, Sakashita Mitsuo, Shimizu Mitsuaki, Nakatsuka Osamu

    JAPANESE JOURNAL OF APPLIED PHYSICS   60 巻 ( 7 )   2021年7月

     詳細を見る

    記述言語:日本語  

    DOI: 10.35848/1347-4065/ac0ab2

    Web of Science

  13. Impact of Wet Annealing on Ferroelectric Phase Formation and Phase Transition of HfO2-ZrO2 System

    Shibayama Shigehisa, Nagano Jotaro, Asaka Koji, Sakashita Mitsuo, Nakatsuka Osamu

    ACS APPLIED ELECTRONIC MATERIALS   3 巻 ( 5 ) 頁: 2203 - 2211   2021年5月

     詳細を見る

    記述言語:日本語  

    DOI: 10.1021/acsaelm.1c00171

    Web of Science

  14. Formation and Characterization of Ge1–x–ySixSny/Ge Heterojunction Structures for Photovoltaic Cell Application 招待有り 査読有り

    O. Nakatsuka, S. Asaba, M. Kurosawa, M. Sakashita, N. Taoka, and S. Zaima

    ECS Trans.   102 巻 ( 4 ) 頁: 3 - 9   2021年4月

     詳細を見る

    記述言語:英語  

    DOI: 10.1149/10204.0003ecst

  15. Improved interface uniformity of epitaxial HfGe2/Ge(001) contact by microfabrication and its electron conduction property

    Kasahara Kentaro, Senga Kazuki, Sakashita Mitsuo, Shibayama Shigehisa, Nakatsuka Osamu

    TWENTIETH INTERNATIONAL WORKSHOP ON JUNCTION TECHNOLOGY (IWJT 2021)     頁: 58 - 60   2021年

     詳細を見る

    記述言語:日本語  

    Web of Science

  16. Formation of ultra-thin Ge1-xSnx/Ge1-x-ySixSny quantum heterostructures and their electrical properties for realizing resonant tunneling diode 査読有り

    Suwito Galih Ramadana, Fukuda Masahiro, Suprayoga Edi, Ohtsuka Masahiro, Hasdeo Eddwi Hesky, Nugraha Ahmad Ridwan Tresna, Sakashita Mitsuo, Shibayama Shigehisa, Nakatsuka Osamu

    APPLIED PHYSICS LETTERS   117 巻 ( 23 )   2020年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/5.0024905

    Web of Science

  17. Heteroepitaxy and Strain Engineering of Germanium-Silicon-Tin Ternary Alloy Semiconductor Thin Films for Energy Band Design 招待有り 査読有り

    O. Nakatsuka, S. Shibayama, M. Kurosawa, and M. Sakashita

    ECS Trans.   98 巻 ( 5 ) 頁: 149 - 156   2020年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.1149/09805.0149ecst

  18. Impact of byproducts formed on a 4H-SiC surface on interface state density of Al2O3/4H-SiC(0001) gate stacks 査読有り

    Doi Takuma, Shibayama Shigehisa, Takeuchi Wakana, Sakashita Mitsuo, Taoka Noriyuki, Shimizu Mitsuaki, Nakatsuka Osamu

    APPLIED PHYSICS LETTERS   116 巻 ( 22 )   2020年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.5143574

    Web of Science

  19. Ferroelectric phase formation for undoped ZrO2 thin films by wet O2 annealing 査読有り

    S. Shibayama, J. Nagano, M. Sakashita, and O. Nakatsuka

    Jpn. J. Appl. Phys.   59 巻   頁: SMMA04-1   2020年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/ab80de

  20. Mobility enhancement by mechanical uniaxial stress on 4H-SiC (0001) lateral metal-oxide-semiconductor field-effect-transistor 査読有り

    Takeuchi Wakana, Kutsuki Katsuhiro, Kagoshima Eiji, Onishi Toru, Iwasaki Shinya, Sakashita Mitsuo, Fujiwara Hirokazu, Nakatsuka Osamu

    JAPANESE JOURNAL OF APPLIED PHYSICS   59 巻   2020年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/ab6d85

    Web of Science

  21. Development of Germanium-Tin-Related Semiconductor Heterostructures for Energy Band Design in Electronic and Optoelectronic Applications 招待有り 査読有り

    O. Nakatsuka, M. Fukuda, M. Sakashita, M. Kurosawa, S. Shibayama, and S. Zaima

    ECS Trans. 2019   92 巻   頁: 41   2019年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/09204.0041ecst

  22. Formation and optoelectronic property of strain-relaxed Ge1-x-ySixSny/Ge1-xSnx/Ge1-x-ySixSny double heterostructures on a boron-ion-implanted Ge(001) substrate 査読有り

    Fukuda Masahiro, Rainko Denis, Sakashita Mitsuo, Kurosawa Masashi, Buca Dan, Nakatsuka Osamu, Zaima Shigeaki

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻   2019年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/ab1b62

    Web of Science

  23. Operation of thin-film thermoelectric generator of Ge-rich poly-Ge1-xSnx on SiO2 fabricated by a low thermal budget process 査読有り

    K. Takahashi, H. Ikenoue, M. Sakashita, O. Nakatsuka, S. Zaima, and M. Kurosawa

    Applied Physics Express   12 巻   頁: 051016   2019年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1882-0786/ab1969

  24. Effect of carbon in Si oxide interlayers of the Al2O3/4H-SiC structure on interfacial reaction by oxygen radical treatment (vol 58, SBBD05, 2019) 査読有り

    Doi Takuma, Takeuchi Wakana, Shibayama Shigehisa, Sakashita Mitsuo, Taoka Noriyuki, Nakatsuka Osamu, Zaima Shigeaki

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻   2019年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/ab0f24

    Web of Science

  25. Effect of carbon in Si oxide interlayers of the Al2O3/4H-SiC structure on interfacial reaction by oxygen radical treatment 査読有り

    T. Doi, W. Takeuchi, S. Shibayama, M. Sakashita, N. Taoka, O. Nakatsuka, and S. Zaima

    Jpn. J. Appl. Phys.   58 巻   頁: SBBD05   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/aafb54

  26. Further reduction of Schottky barrier height of Hf-germanide/n-Ge(001) contacts by forming epitaxial HfGe2

    Senga Kazuki, Shibayama Shigehisa, Sakashita Mitsuo, Zaima Shigeaki, Nakatsuka Osamu

    2019 NINETEENTH INTERNATIONAL WORKSHOP ON JUNCTION TECHNOLOGY (IWJT)     頁: .   2019年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  27. Crystalline and Electrical Properties of Ge1-xSnx/Ge1-x-ySixSny Quantum Well Structures

    Suwito Galih Ramadana, Fukuda Masahiro, Shibayama Shigehisa, Sakashita Mitsuo, Nakatsuka Osamu, Zaima Shigeaki

    2019 COMPOUND SEMICONDUCTOR WEEK (CSW)     頁: .   2019年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  28. Synthesis of heavily Ga-doped Si1-xSnx/Si heterostructures and their valence-band-offset determination 査読有り

    M. Kurosawa, Y. Inaishi, R. Tange, M. Sakashita, O. Nakatsuka, and S. Zaima

    Jpn. J. Appl. Phys.   58 巻   頁: SAAS02   2018年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/aaeb36

  29. Optoelectronic properties of high-Si-content-Ge1-x-ySixSny/Ge1-xSnx/Ge1-x-ySixSny double heterostructure 査読有り

    M. Fukuda, D. Rainko, M. Sakashita, M. Kurosawa, D. Buca, O. Nakatsuka, and S. Zaima

    Semicond. Sci. Tech.   33 巻 ( 12 ) 頁: 124018   2018年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6641/aaebb5

  30. A New Application of Ge1-xSnx: Thermoelectric Materials 招待有り 査読有り

    M. Kurosawa, Y. Imai, T. Iwahashi, K. Takahashi, M. Sakashita, O. Nakatsuka, and S. Zaima

    ECS Trans. 2018   86 巻 ( 7 ) 頁: 321-328   2018年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/08607.0321ecst

  31. Alleviation of Fermi level pinning at metal/n-Ge interface with lattice-matched SixGe1-x-ySny ternary alloy interlayer on Ge 査読有り

    Suzuki Akihiro, Nakatsuka Osamu, Sakashita Mitsuo, Zaima Shigeaki

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 6 )   2018年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.060304

    Web of Science

  32. Dopant behavior in heavily doped polycrystalline Ge1-xSnx layers prepared with pulsed laser annealing in water 査読有り

    Takahashi Kouta, Kurosawa Masashi, Ikenoue Hiroshi, Sakashita Mitsuo, Nakatsuka Osamu, Zaima Shigeaki

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 4 )   2018年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.04FJ02

    Web of Science

  33. High n-type Sb dopant activation in Ge-rich poly-Ge1-xSnx layers on SiO2 using pulsed laser annealing in flowing water 査読有り

    Takahashi Kouta, Kurosawa Masashi, Ikenoue Hiroshi, Sakashita Mitsuo, Nakatsuka Osamu, Zaima Shigeaki

    APPLIED PHYSICS LETTERS   112 巻 ( 6 )   2018年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4997369

    Web of Science

  34. Effect of N bonding structure in AlON deposited by plasma-assisted atomic layer deposition on electrical properties of 4H-SiC MOS capacitor 査読有り

    Takeuchi Wakana, Yamamoto Kensaku, Sakashita Mitsuo, Nakatsuka Osamu, Zaima Sigeaki

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 1 )   2018年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.01AE06

    Web of Science

  35. Low thermal budget fabrication of poly-Ge1-xSnx thin film thermoelectric generator

    Takahashi Kouta, Ikenoue Hiroshi, Sakashita Mitsuo, Nakatsuka Osamu, Zaima Shigeaki, Kurosawa Masashi

    2018 IEEE 2ND ELECTRON DEVICES TECHNOLOGY AND MANUFACTURING CONFERENCE (EDTM 2018)     頁: 313 - 315   2018年

     詳細を見る

  36. Modulation of Fermi level pining position at metal/n-Ge interface by semimetal Ge1-xSnx and Sn interlayers 査読有り

    Suzuki Akihiro, Nakatsuka Osamu, Sakashita Mitsuo, Zaima Shigeaki

    MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING   70 巻   頁: 162-166   2017年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.mssp.2016.12.028

    Web of Science

  37. Low-temperature crystallization of Ge-rich GeSn layers on Si3N4 substrate 査読有り

    Yoshikawa Isao, Kurosawa Masashi, Takeuchi Wakana, Sakashita Mitsuo, Nakatsuka Osamu, Zaima Shigeaki

    MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING   70 巻   頁: 151-155   2017年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.mssp.2016.12.038

    Web of Science

  38. Control of Ge1-x-ySixSny layer lattice constant for energy band alignment in Ge1-xSnx/Ge1-x-ySixSny heterostructures 査読有り

    Fukuda Masahiro, Watanabe Kazuhiro, Sakashita Mitsuo, Kurosawa Masashi, Nakatsuka Osamu, Zaima Shigeaki

    SEMICONDUCTOR SCIENCE AND TECHNOLOGY   32 巻 ( 10 )   2017年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6641/aa80ce

    Web of Science

  39. Hydrogen-surfactant-mediated epitaxy of Ge1-xSnx layer and its effects on crystalline quality and photoluminescence property

    Nakatsuka Osamu, Fujinami Shunsuke, Asano Takanori, Koyama Takeshi, Kurosawa Masashi, Sakashita Mitsuo, Kishida Hideo, Zaima Shigeaki

    JAPANESE JOURNAL OF APPLIED PHYSICS   56 巻 ( 1 )   2017年1月

     詳細を見る

    記述言語:日本語  

    DOI: 10.7567/JJAP.56.01AB05

    Web of Science

  40. Solid-phase crystallization of Si1-x-ySnxCy ternary alloy layers and characterization of their crystalline and optical properties

    Yano Shota, Yamaha Takashi, Shimura Yosuke, Takeuchi Wakana, Sakashita Mitsuo, Kurosawa Masashi, Nakatsuka Osamu, Zaima Shigeaki

    JAPANESE JOURNAL OF APPLIED PHYSICS   56 巻 ( 1 )   2017年1月

     詳細を見る

    記述言語:日本語  

    DOI: 10.7567/JJAP.56.01AB02

    Web of Science

  41. Hydrogen-surfactant-mediated epitaxy of Ge1-xSnx layer and its effects on crystalline quality and photoluminescence property 査読有り

    O. Nakatsuka, S. Fujinami, T. Asano, T. Koyama, M. Kurosawa, M. Sakashita, H. Kishida and S. Zaima

    Jpn. J. Appl. Phys.   56 巻   頁: 01AB05   2016年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  42. Solid-phase crystallization of Si1-x-ySnxCy ternary alloy layers and characterization of their crystalline and optical properties 査読有り

    S. Yano, T. Yamaha, Y. Shimura, W. Takeuchi, M. Sakashita, M. Kurosawa, O. Nakatsuka and S. Zaima

    Jpn. J. Appl. Phys.   56 巻   頁: 01AB02   2016年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  43. Density functional study for crystalline structures and electronic properties of Si1-xSnx binary alloys 査読有り

    Y. Nagae, M. Kurosawa, S. Shibayama, M. Araidai, M. Sakashita, O. Nakatsuka, K. Shiraishi and S. Zaima

    Jpn. J. Appl. Phys.   55 巻   頁: 08PE04   2016年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  44. Effect of GeO2 deposition temperature in atomic layer deposition on electrical properties of Ge gate stack

    M. Kanematsu, S. Shibayama, M. Sakashita, W. Takeuchi, O. Nakatsuka, and S. Zaima

    Jpn. J. Appl. Phys.   55 巻   頁: 08PC05   2016年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  45. Ge基板上エピタキシャルGeSn膜の電気的活性な欠陥の評価

    金田裕一, 兼松正行, 坂下満男, 竹内和歌奈, 中塚理, 財満鎭明

    信学技報 IEICE Technical Report     頁: 37   2016年6月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  46. Sn系IV族半導体混晶薄膜の成長と物性評価

    志村洋介, 竹内和歌奈, 坂下満男, 黒澤昌志, 中塚理, 財満鎭明

    信学技報 IEICE Technical Report   116 巻   頁: 23   2016年4月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  47. Effects of nitridation for SiO2/SiC interface on defect properties near the conduction band edge

    W. Takeuchi, K. Yamamoto, N. Taoka, M. Sakashita, T. Kanemura, O. Nakatsuka, and S. Zaima

    Jpn. J. Appl. Phys.   55 巻   頁: 04ER13   2016年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  48. Effect of in situ Sb doping on crystalline and electrical characteristics of n-type Ge1-xSnx epitaxial layer

    J. Jeon, T. Asano, Y. Shimura, W. Takeuchi, M. Kurosawa, M. Sakashita, O. Nakatsuka, and S. Zaima

    Jpn. J. Appl. Phys.   55 巻   頁: 04ER13   2016年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  49. Growth of ultrahigh-Sn-content Ge1-xSnx epitaxial layer and its impact on controlling Schottky barrier height of metal/Ge contact

    A. Suzuki, O. Nakatsuka, S. Shibayama, M. Sakashita, W. Takeuchi, M. Kurosawa, and S. Zaima

    Jpn. J. Appl. Phys.   55 巻   頁: 04EB12   2016年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  50. Experimental observation of type-I energy band alignment in lattice-matched Ge1-x-ySixSny/Ge heterostructures

    T. Yamaha, S. Shibayama, T. Asano, K. Kato, M. Sakashita, W. Takeuchi, O. Nakatsuka and S. Zaima

    Appl. Phys. Lett.   108 巻   頁: 061909   2016年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  51. Low thermal budget n-type doping into Ge(001) surface using ultraviolet laser irradiation in phosphoric acid solution

    K. Takahashi, M. Kurosawa, H. Ikenoue, M. Sakashita, W. Takeuchi, O. Nakatsuka and S. Zaima

    Appl. Phys. Lett.   108 巻   頁: 052104   2016年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  52. 原子層堆積法を用いたGeO2/Ge界面形成および欠陥の堆積温度依存性

    兼松正行, 柴山茂久, 坂下満男, 竹内和歌奈, 中塚理, 財満鎭明

    薄膜・表面物理分科会・シリコンテクノロジー分科会共催特別研究会研究報告     頁: 5   2016年1月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  53. 界面エネルギー制御による絶縁膜上GeSn薄膜の低温結晶成長

    吉川勲, 黒澤昌志, 竹内和歌奈, 坂下満男, 中塚理, 財満鎭明

    薄膜・表面物理分科会・シリコンテクノロジー分科会共催特別研究会研究報告     頁: 21   2016年1月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  54. Characterization of Shallow-and Deep-Level Defects in Undoped Ge1-xSnx Epitaxial Layers by Electrical Measurements

    W. Takeuchi, T. Asano, Y. Inuzuka, M. Sakashita, O. Nakatsuka and S. Zaima

    ECS Journal of Solid State Science and Technology   5 巻   頁: 3082   2016年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  55. Mobility Behavior of Polycrystalline Si1-x-yGexSny Grown on Insulators

    T. Ohmura, T. Yamaha, M. Kurosawa, W. Takeuchi, M. Sakashita, N. Taoka, O. Nakatsuka, and S. Zaima

    Transactions of the Materials Research Society of Japan   40 巻   頁: 351   2015年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  56. Reduction of Schottky barrier height at metal/n-Ge interface by introducing an ultra-high Sn content Ge1-xSnx interlayer

    A. Suzuki, O. Nakatsuka, S. Shibayama, M. Sakashita, W. Takeuchi, M. Kurosawa, and S. Zaima

    Appl. Phys. Lett.   107 巻   頁: 212103   2015年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  57. Challenges of Energy Band Engineering with New Sn-Related Group IV Semiconductor Materials for Future Integrated Circuits

    S. Zaima, O. Nakatsuka, T. Yamaha, T. Asano, S. Ike, A. Suzuki, M. Kurosawa, W. Takeuchi, and M. Sakashita

    ECS Trans. 2015   69 巻   頁: 89   2015年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  58. Oxygen and germanium migration at low temperature influenced by the thermodynamic nature of the materials used in germanium metal-insulator-semiconductor structures

    K. Kato, N. Taoka, M. Sakashita, O. Nakatsuka, and S. Zaima

    Appl. Phys. Lett.   107 巻   頁: 102102   2015年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  59. Epitaxial formation of Ni germanide on Ge(0 0 1) substrate by reactive deposition

    Y. Deng, O. Nakatsuka, A. Suzuki, M. Sakashita, S, Zaima

    Solid-State Electronics   110 巻   頁: 44   2015年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  60. Growth and applications of GeSn-related group-IV semiconductor materials 査読有り

    S. Zaima, O. Nakatsuka, N. Taoka, M. Kurosawa, W. Takeuchi and M. Sakashita

    Science and Technology of Advanced Materials   16 巻   頁: 043502   2015年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  61. High hole mobility tin-doped polycrystalline germanium layers formed on insulating substrates by low-temperature solid-phase crystallization 査読有り

    W. Takeuchi, N. Taoka, M. Kurosawa, M. Sakashita, O. Nakatsuka, and S. Zaima

    Appl. Phys. Lett.   107 巻   頁: 022103   2015年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4926507

  62. SiO2/SiC MOS界面の欠陥特性に酸窒化処理が与える影響

    竹内和歌奈, 山本建策, 坂下満男, 金村髙司, 中塚理, 財満鎭明

    信学技報 IEICE Technical Report   115 巻   頁: 27   2015年6月

     詳細を見る

    記述言語:日本語  

  63. Ge1-xSnxエピタキシャル層中の欠陥へ及ぼす熱処理の効果

    浅野孝典, 柴山茂久, 竹内和歌奈, 坂下満男, 中塚理, 財満鎭明

    信学技報 IEICE Technical Report   115 巻   頁: 63   2015年6月

     詳細を見る

    記述言語:日本語  

  64. 金属/Ge界面への超高Sn組成SnxGe1-x層導入による界面電気伝導特性の制御

    鈴木陽洋, 柴山茂久, 坂下満男, 竹内和歌奈, 中塚理, 財満鎭明

    信学技報 IEICE Technical Report   115 巻   頁: 57   2015年6月

     詳細を見る

    記述言語:日本語  

  65. 高Sn組成SiSnの形成とバンド構造 -直接遷移構造化を目指して-

    黒澤昌志, 竹内和歌奈, 坂下満男, 中塚理, 財満鎭明

    信学技報 IEICE Technical Report   115 巻   頁: 35   2015年4月

     詳細を見る

    記述言語:日本語  

  66. Influence of interface structure on electrical properties of NiGe/Ge contacts 査読有り

    Y. Deng, O. Nakatsuka, M. Sakashita and S. Zaima

    Jpn. J. Appl. Phys.   54 巻   頁: 05EA01   2015年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.54.05EA01

  67. Impact of hydrogen surfactant on crystallinity of Ge1-xSnx epitaxial layers 査読有り

    T. Asano, N. Taoka, K. Hozaki, W. Takeuchi, M. Sakashita, O. Nakatsuka and S. Zaima

    Jpn. J. Appl. Phys.   54 巻   頁: 04DH15   2015年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.54.04DH15

  68. Formation of chemically stable GeO2 on the Ge surface with pulsed metal-organic chemical vapor deposition 査読有り

    S. Shibayama, T. Yoshida, K. Kato, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    Appl. Phys. Lett.   106 巻   頁: 062107   2015年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4908066

  69. Sn/Geコンタクトにおけるフェルミレベルピニングの軽減およびショットキー障壁高さの低減

    鈴木陽洋, 柴山茂久, 黒澤昌志, 坂下満男, 竹内和歌奈, 中塚理, 財満鎭明

    応用物理学会 薄膜・表面物理分科会、シリコンテクノロジー分科会 共催 特別研究会「ゲートスタック研究会 ─材料・プロセス・評価の物理─」第20回記念研究会研究報告     頁: 59   2015年1月

     詳細を見る

    記述言語:日本語  

  70. GeO2薄膜の正方晶形成による化学的安定性の向上

    柴山茂久, 吉田鉄兵, 加藤公彦, 坂下満男, 竹内和歌奈, 田岡紀之, 中塚理, 財満鎭明

    応用物理学会 薄膜・表面物理分科会、シリコンテクノロジー分科会 共催 特別研究会「ゲートスタック研究会 ─材料・プロセス・評価の物理─」第20回記念研究会研究報告     頁: 185   2015年1月

     詳細を見る

    記述言語:日本語  

  71. Challenges and Developments in GeSn Process Technology for Si Nanoelectronics 招待有り 査読有り

    S. Zaima, O. Nakatsuka, N. Taoka, K. Kato, W. Takeuchi, and M. Sakashita

    ECS Trans. 2014   64 巻   頁: 147   2014年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/06406.0147ecst

  72. Formation of high-quality oxide/Ge1-xSnx interface with high surface Sn content by controlling Sn migration 査読有り

    K. Kato, N. Taoka, T. Asano, T. Yoshida, M. Sakashita, O. Nakatsuka, and S. Zaima

    Appl. Phys. Lett.   105 巻   頁: 122103   2014年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4896146

  73. Robustness of Sn precipitation during thermal oxidation of Ge1-xSnx on Ge(001) 査読有り

    K. Kato, T. Asano, N. Taoka, M. Sakashita, W. Takeuchi, O. Nakatsuka, S. Zaima

    Jpn. J. Appl. Phys.   53 巻   頁: 08LD04   2014年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  74. Importance of Ge surface oxidation with high oxidation rate in obtaining low interface state density at oxide/Ge interfaces 査読有り

    S. Shibayama, K. Kato, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    Jpn. J. Appl. Phys.   53 巻   頁: 08LD02   2014年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  75. Interface properties of Al2O3/Ge structures with thin Ge oxide interfacial layer formed by pulsed metal organic chemical vapor deposition 査読有り

    T. Yoshida, K. Kato, S. Shibayama, M. Sakashita, N. Taoka, W. Takeuchi, O. Nakatsuka, and S. Zaima

    Jpn. J. Appl. Phys.   53 巻   頁: 08LD03   2014年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  76. Interaction of Sn atoms with defects introduced by ion implantation in Ge substrate 査読有り

    N. Taoka, M. Fukudome, W. Takeuchi, T. Arahira, M. Sakashita, O. Nakatsuka, and S. Zaima

    J. Appl. Phys.   115 巻   頁: 173102   2014年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4874800

  77. Importance of control of oxidant partial pressure on structural and electrical properties of Pr-oxide films 査読有り

    K. Kato, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, S. Zaima

    Thin Solid Films   557 巻   頁: 276   2014年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  78. Stabilized formation of tetragonal ZrO2 thin film with high permittivity 査読有り

    K. Kato, T. Saito, S. Shibayama, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, S. Zaima

    Thin Solid Films   557 巻   頁: 192   2014年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  79. Impacts of AlGeO formation by post thermal oxidation of Al2O3/Ge structure on interfacial properties 査読有り

    S. Shibayama, K. Kato, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, S. Zaima

    Thin Solid Films   557 巻   頁: 282   2014年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  80. Reduction of Schottky Barrier Height for n-type Ge Contact by using Sn Electrode 査読有り

    A. Suzuki, S. Asaba, J. Yokoi, K. Kato, M. Kurosawa, M. Sakashita, N. Taoka, O. Nakatsuka, S. Zaima

    Jpn. J. Appl. Phys.   53 巻   頁: 04EA06   2014年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  81. Defects Induced by Reactive Ion Etching in Ge Substrate 査読有り

    Kusumandari, N.taoka, W. takeuchi, M. Sakashita, O. Nakatsuka, and S. Zaima

    Advanced Materials Research   896 巻   頁: 241   2014年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  82. 低界面準位密度を有するGe MOS構造を実現するGe表面の酸化条件 査読有り

    柴山茂久, 加藤公彦, 坂下満男, 竹内和歌奈, 田岡紀之, 中塚理, 財満鎭明

    応用物理学会 薄膜・表面物理分科会、シリコンテクノロジー分科会 共催 特別研究会「ゲートスタック研究会     頁: 16   2014年1月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  83. Al2O3/SiC MOS構造における伝導帯端近傍の電気特性 査読有り

    田岡紀之, 坂下満男, 中塚理, 財満鎭明

    応用物理学会 薄膜・表面物理分科会、シリコンテクノロジー分科会 共催 特別研究会「ゲートスタック研究会     頁: 205   2014年1月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  84. MOCVD法により形成した極薄GeO2を用いたAl2O3/GeOx/Ge構造の電気的特性および構造評価 査読有り

    吉田鉄兵, 加藤公彦, 柴山茂久, 坂下満男, 田岡紀之, 竹内和歌奈, 中塚理, 財満鎭明

    応用物理学会 薄膜・表面物理分科会、シリコンテクノロジー分科会 共催 特別研究会「ゲートスタック研究会     頁: 131   2014年1月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  85. 固溶限を超えるSn組成を有するGe1-xSnx層中におけるSn原子の熱安定性 査読有り

    加藤公彦, 浅野孝典, 田岡紀之, 坂下満男, 竹内和歌奈, 中塚理, 財満鎭明

    応用物理学会 薄膜・表面物理分科会、シリコンテクノロジー分科会 共催 特別研究会「ゲートスタック研究会     頁: 37   2014年1月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  86. n型Ge単結晶中におけるSnと空孔関連欠陥との相互作用 査読有り

    竹内和歌奈, 田岡紀之, 坂下満男, 中塚理, 財満鎭明

    信学技報(電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス)   114 巻   頁: 113   2014年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  87. 絶縁膜上におけるIV族半導体多結晶薄膜の低温形成 ~ 低融点Snの活用 ~ 査読有り

    黒澤昌志, 田岡紀之, 池上浩, 竹内和歌奈, 坂下満男, 中塚理, 財満鎭明

    信学技報(電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス)   114 巻   頁: 91   2014年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  88. Sn/Geコンタクトにおけるフェルミレベルピニング現象の軽減 査読有り

    鈴木陽洋, 朝羽俊介, 横井淳, 中塚理, 黒澤昌志, 加藤公彦, 坂下満男, 田岡紀之, 財満鎭明

    信学技報(電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス)   114 巻   頁: 11   2014年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  89. Heteroepitaxial Growth of Sn-Related Group-IV Materials On Si Platform for Microelectronic and Optoelectronic 招待有り 査読有り

    O. Nakatsuka, N. Taoka, T. Asano, T. Yamaha, M. Kurosawa, M. Sakashita and S. Zaima

    ECS Trans. 2013   58 巻   頁: 149   2013年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  90. Reduction of Interface States Density due to Post Oxidation with Formation of AlGeO Layer at Al2O3/Ge Interface 査読有り

    S. Shibayama, K. Kato, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka and S. Zaima

    ECS Trans. 2013   58 巻   頁: 301   2013年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  91. Liquid-Sn-driven lateral growth of poly-GeSn on insulator assisted by surface oxide layer 査読有り

    M. Kurosawa, N. Taoka, M. Sakashita, O. Nakatsuka, M. Miyao and S. Zaima

    M. Kurosawa, N. Taoka, M. Sakashita, O. Nakatsuka, M. Miyao and S. Zaima   103 巻   頁: 101904   2013年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  92. Understanding of interface structures and reaction mechanisms induced by Ge or GeO diffusion in Al2O3/Ge 査読有り

    S. Shibayama, K. Kato, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka and S. Zaima

    Appl. Phys. Lett.   103 巻   頁: 082114   2013年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  93. Broad defect depth distribution in germanium substrates induced by CF4 plasma 査読有り

    Kusumandari, N. Taoka, W. Takeuchi, M. Fukudome, M. Sakashita, O. Nakatsuka and S. Zaima

    Appl. Phys. Lett.   103 巻   頁: 033511   2013年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  94. Pr 酸化膜/Ge構造におけるゲート金属が界面反応に与える影響 査読有り

    加藤公彦, 坂下満男, 竹内和歌奈, 田岡紀之, 中塚理, 財満鎭明

    ゲートスタック研究会 ─材料・プロセス・評価の物理─     頁: 155-158   2013年1月

     詳細を見る

    記述言語:日本語  

  95. Al2O3/Ge構造に対する熱酸化機構の解明 査読有り

    柴山茂久, 加藤公彦, 坂下満男, 竹内和歌奈, 田岡紀之, 中塚理, 財満鎭明

    ゲートスタック研究会 ─材料・プロセス・評価の物理─     頁: 39-42   2013年1月

     詳細を見る

    記述言語:日本語  

  96. テトラエトキシゲルマニウムを用いた極薄Ge酸化膜の形成 査読有り

    吉田鉄兵, 加藤公彦, 柴山茂久, 坂下満男, 竹内和歌奈, 田岡紀之, 中塚理, 財満鎭明

    ゲートスタック研究会 ─材料・プロセス・評価の物理─     頁: 151-154   2013年1月

     詳細を見る

    記述言語:日本語  

  97. Effects of Light Exposure during Plasma Processing on Electrical Properties of GeO2/Ge Structures 査読有り

    Kusumandari, W. Takeuchi, K. Kato, S. Shibayama, M. Sakashita, N. Taoka, O. Nakatsuka, and S. Zaima

    Jpn. J. Appl. Phys.   52 巻   頁: 01AC04   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  98. Effect of Interfacial Reactions in Radical Process on Electrical Properties of Al2O3/Ge Gate Stack Structure 査読有り

    K. Kato, M. Sakashita, W. Takeuchi, O. Nakatsuka, and S. Zaima

    J. Phys.: Conf. Ser.   417 巻   頁: 012001   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  99. Effect of gate metal on chemical bonding state in metal/Pr-oxide/Ge gate stack structure 査読有り

    K. Kato, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    Solid-State Electron   83 巻   頁: 56   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  100. Interfacial Reaction Mechanism in Al2O3/Ge Structure by Oxygen Radical 査読有り

    K. Kato, S. Shibayama, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    Jpn. J. Appl. Phys.   52 巻   頁: 04CA08   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  101. ラジカルプロセスによるAl2O3/Ge界面特性の改善

    加藤公彦, 坂下満男, 竹内和歌奈, 中塚理, 財満鎭明

    特別研究会研究報告“ゲートスタック研究会     頁: 125-128   2012年1月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  102. Al2O3/Geに対する酸素熱処理が電気的特性および化学結合状態に与える効果

    柴山茂久, 加藤公彦, 坂下満男, 竹内和歌奈, 中塚理, 財満鎭明

    特別研究会研究報告“ゲートスタック研究会     頁: 129-132   2012年1月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  103. Characterization of Damage of Al2O3/Ge Gate Stack Structure Induced with Light Radiation during Plasma Nitridation 査読有り

    Kusumandari, W. Takeuchi, K. Kato, S. Shibayama, M. Sakashita, O. Nakatsuka, and S. Zaima

    Jpn. J. Appl. Phys.   51 巻   頁: 01AJ01   2012年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  104. Improvement of Al2O3/Ge interfacial properties by O2-annealing 査読有り

    S. Shibayama, K. Kato, M. Sakashita, W. Takeuchi, O. Nakatsuka, and S. Zaima

    Thin Solid Films   520 巻   頁: 3397   2012年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  105. 電流検出型原子間力顕微鏡を用いた欠陥に起因するPr酸化膜のリーク電流機構の解明 査読有り

    足立正樹, 加藤雄三, 坂下満男, 竹内和歌奈, 近藤博基, 中塚理, 財満鎭明

    ゲートスタック研究会 -材料・プロセス・評価の物理-     頁: 123-126   2011年1月

     詳細を見る

    記述言語:日本語  

  106. Pr酸化膜/Si構造へのAl導入による界面反応抑制効果 査読有り

    古田和也, 竹内和歌奈, 加藤公彦, 坂下満男, 近藤博基, 中塚理, 財満鎭明

    ゲートスタック研究会 -材料・プロセス・評価の物理-     頁: 51-54   2011年1月

     詳細を見る

    記述言語:日本語  

  107. Al2O3界面層およびラジカル窒化法によるHigh-k/Ge界面構造および電気的特性の制御 査読有り

    加藤公彦, 京極真也, 坂下満男, 竹内和歌奈, 近藤博基, 中塚理, 財満鎭明

    ゲートスタック研究会 -材料・プロセス・評価の物理-     頁: 55-58   2011年1月

     詳細を見る

    記述言語:日本語  

  108. Pr酸化膜/PrON/Ge構造におけるPrの化学結合状態が電気的特性に及ぼす影響 査読有り

    加藤公彦, 坂下満男, 竹内和歌奈, 近藤博基, 中塚理, 財満鎭明

    ゲートスタック研究会 -材料・プロセス・評価の物理-     頁: 99-102   2011年1月

     詳細を見る

    記述言語:日本語  

  109. Analysis of Local Leakage Current of Pr Oxide Thin Films with Conductive Atomic Force Microscopy 査読有り

    M. Adachi, M. Sakashita, H. Kondo, W. Takeuchi, O. Nakatsuka, and S. Zaima

    Jpn. J. Appl. Phys.   50 巻   頁: 04DA08   2011年

     詳細を見る

    記述言語:英語  

  110. Effect of Pr Valence State on Interfacial Structure and Electrical Properties of Pr-oxide/PrON/Ge Gate Stack Structure 査読有り

    K. Kato, M. Sakashita, W. Takeuchi, H. Kondo, O. Nakatsuka, and S. Zaima

    Jpn. J. Appl. Phys.   50 巻   頁: 04DA17   2011年

     詳細を見る

    記述言語:英語  

  111. 原子層堆積法により形成したPrAlOの結晶構造および電気的特性

    古田和也、竹内和歌奈、坂下満男、近藤博基、中塚理、財満鎭明

    ゲートスタック技術の進展 -新構造・新材料を中心に-     頁: 39-42   2010年6月

     詳細を見る

    記述言語:日本語  

  112. 1.2 nm-SiONゲート絶縁膜における局所劣化現象の電流検出型原子間力顕微鏡を用いたナノスケール観察

    加藤雄三, 平安座朝誠, 坂下満男, 近藤博基, 財満鎭明

    ゲートスタック研究会 -材料・プロセス・評価の物理-     頁: 105-108   2010年1月

     詳細を見る

    記述言語:日本語  

  113. Pr(EtCp)3を用いたPr酸化膜の原子層堆積とその結晶構造及び電気的特性

    古田和也, 松井裕高, 近藤博基, 坂下満男, 財満鎭明

    ゲートスタック研究会 -材料・プロセス・評価の物理-     頁: 125-128   2010年1月

     詳細を見る

    記述言語:日本語  

  114. ALD-Pr酸化膜/Ge3N4/Ge構造における界面構造と電気的特性

    加藤公彦, 近藤博基, 坂下満男, 財満鎭明

    ゲートスタック研究会 -材料・プロセス・評価の物理-     頁: 121-124   2010年1月

     詳細を見る

    記述言語:日本語  

  115. *Metal-organic chemical vapor deposition of high-dielectric-constant praseodymium oxide films using a cyclopentadienyl precursor 査読有り

    H. Kondo, S. Sakurai, M. Sakashita, A. Sakai, M. Ogawa, and S. Zaima

    Appl. Phys. Lett.   95 巻   頁: 012105   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  116. *Formation of Pr Oxide Films by Atomic Layer Deposition Using Pr(EtCp)3 precursor 査読有り

    H. Kondo, H. Matsui, K. Furuta, M. Sakashita, and S. Zaima

    Jpn. J. Appl. Phys.   49 巻   頁: 04DA14   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  117. *Formation Processes of Ge3N4 Films by Radical Nitridation and Their Electrical Properties 査読有り

    K. Kato, H. Kondo, , M. Sakashita, and S. Zaima

    Thin Solid Films   518 巻   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  118. アモルファスTi-Si-N MOSゲート電極の熱的安定性およびスケーラビリティ

    宮本和明,古米孝平,近藤博基,坂下満男,財満鎭明

    ゲートスタック研究会 -材料・プロセス・評価の物理-     頁: 89-92   2009年1月

     詳細を見る

    記述言語:日本語  

  119. アモルファスTi-Si-NおよびHf-Si-N MOSゲート電極の結晶構造と抵抗率の窒素濃度依存性

    近藤博基,宮本和明,古米孝平,坂下満男,財満鎭明

    ゲートスタック研究会 -材料・プロセス・評価の物理-     頁: 191-194   2009年1月

     詳細を見る

    記述言語:日本語  

  120. Al2O3界面層挿入によるLaAlO3/Ge 界面制御効果

    加藤亮祐,京極真也,坂下満男,近藤博基,財満鎭明

    ゲートスタック研究会 -材料・プロセス・評価の物理-     頁: 133-136   2009年1月

     詳細を見る

    記述言語:日本語  

  121. Pr(EtCp)3を用いたMOCVD法によるPr酸化膜の作製およびその電気的特性の評価

    松井裕高,櫻井晋也,近藤博基,坂下満男,財満鎭明

    ゲートスタック研究会 -材料・プロセス・評価の物理-     頁: 125-128   2009年1月

     詳細を見る

    記述言語:日本語  

  122. Thermal Stability and Scalability of Mictamict Ti–Si–N Metal–Oxide–Semiconductor Gate Electrodes 査読有り

    H. Kondo, K. Furumai, M. Sakashita, A. Sakai, and S. Zaima

    Jpn. J. Appl. Phys.   48 巻   頁: 04C012-1-5   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  123. Pt(EtCp)3を用いた原子層堆積法によるPr酸化膜の形成

    近藤博基, 古田和也, 松井裕高, 坂下満男, 財満鎭明

    信学技報   109 巻   頁: 81-85   2009年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  124. LaAlO/Ge構造へのALD-Al2O3界面制御層挿入の効果

    坂下満男, 加藤亮祐, 京極真也, 近藤博基, 財満鎭明

    信学技報   109 巻   頁: 61-66   2009年

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  125. ラジカル窒化法によるHigh-k/Ge界面構造制御

    加藤公彦, 近藤博基, 坂下満男, 財満鎭明

    信学技報   109 巻   頁: 39-44   2009年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  126. Effects of Atomic Layer Deposition-Al2O3 Interface Layers on Interfacial Properties of Ge Metal–Oxide–Semiconductor Capacitors 査読有り

    R. Kato, S. Kyogoku, M. Sakashita, H. Kondo, and S. Zaima

    Jpn. J. Appl. Phys.   48 巻   頁: 05DA04-1-4   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  127. Crystalline and electrical properties of mictamict TiSiN gate MOS capacitors 査読有り

    K. Furumai, H. Kondo, M. Sakashita, A. Sakai, M. Ogawa and S. Zaima

    Jpn. J. Appl. Phys   47 巻 ( 4 ) 頁: 2420-2424   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  128. Silicide and germanide technology for contacts and gates in MOSFET applications 査読有り

    S. Zaima, O. Nakatsuka, H. Kondo, M. Sakashita, A. Sakai, and M. Ogawa

      517 巻   頁: 80-83   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  129. Behavior of Local Charge Trapping Sites in La2O3-Al2O3 Composite Films under Constant Voltage Stress 査読有り

    T. Sago, A. Seko, M. Sakashita, A. Sakai, M. Ogawa, and S. Zaima

    Jpn. J. Appl. Phys   46 巻 ( 4B ) 頁: 1879-1884   2007年

     詳細を見る

    記述言語:英語  

  130. Ge基板上へのPr酸化膜の作製と評価

    坂下満男、鬼頭伸幸、酒井 朗、小川正毅、財満鎭明

    信学技報   107 巻 ( 85 ) 頁: 107-111   2007年

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  131. Composition Dependence of Work Function in Metal (Ni,Pt) Germanide Gate Electrodes 査読有り

    D. Ikeno, Y. Kaneko, H. Kondo, M. Sakashita, A. Sakai, M. Ogawa, and S. Zaima

    Jpn. J. Appl. Phys.   46 巻 ( 4B ) 頁: 1865-1869   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  132. Film Structures and Electrical Properties of Pr Silicate Formed by Pulsed Laser Deposition 査読有り

    K. Ariyoshi, M. Sakashita, A. Sakai, M. Ogawa, and S. Zaima

    Jpn. J. Appl. Phys.   45 巻   頁: 2903-2907   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Pr silicate films without interfacial layers were formed by pulsed laser deposition and high-temperature postdeposition annealing (PDA) and were evaluated in terms of structures and electrical properties. In the fabrication processes, film thickness and ambient gases during deposition were controlled. In some films, crystallization of Pr silicate was observed by transmission electron microscopy and it was found that tetragonal Pr2Si2O7 was epitaxially grown on Si(001) substrates. By deposition in Ar, Pr silicate with a small capacitance equivalent oxide thickness and a low leakage current density was obtained.

  133. 電流検出型原子間力顕微鏡を用いた極薄ゲート絶縁膜の信頼性評価

    世古明義, 坂下満男, 酒井朗, 財満鎭明

    日本信頼性学会誌「信頼性」   28 巻 ( 3 ) 頁: 163-174   2006年

     詳細を見る

    記述言語:日本語  

  134. La2O3-Al2O3複合膜における定電圧ストレス印加時の局所的な電荷捕獲とその放出過程 査読有り

    佐合寿文, 世古明義, 坂下満男, 酒井朗, 小川正毅, 財満鎭明

    信学技報   106 巻   頁: 19   2006年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  135. Characterization of Local Current Leakage in La2O3-Al2O3 Composite Films by Conductive Atomic Force Microscopy 査読有り

    A. Seko, T. Sago, M. Sakashita, A. Sakai, M. Ogawa, and S. Zaima

    Jpn. J. Appl. Phys.   45 巻   頁: 2954-2960   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  136. Thermal Stability and Electrical Properties of (La2O3)1-x(Al2O3)x Composite Films 査読有り

    R. Fujitsuka, M. Sakashita, A. Sakai, M. Ogawa, S. Zaima, and Y. Yasuda

    Jpn. J. Appl. Phys.   44 巻   頁: 2428-2432   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  137. 電流検出型原子間力顕微鏡を用いたLa2O3-Al2O3複合膜の局所リーク電流評価 査読有り

    世古明義, 佐合寿文, 藤塚良太, 坂下満男, 酒井朗, 小川正毅, 財満鎭明

    信学技報   104 巻   頁: 35   2005年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  138. Fabrication and Evaluation of Floating Gate Memories with Surface-Nitrided Si Nanocrystals 査読有り

    S. Naito, T. Ueyama, H. Kondo, M. Sakashita, A. Sakai, M. Ogawa, and S. Zaima

    Jpn. J. Appl. Phys.   44 巻   頁: 5687-5691   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  139. Pulsed Laser Deposition and Analysis for Structural and Electrical Properties of HfO2-TiO2 Composite Films 査読有り

    K. Honda, A. Sakai, M. Sakashita, H. Ikeda, S. Zaima, and Y. Yasuda

    Jpn. J. Appl. Phys.   43 巻   頁: 1571-1576   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  140. Praseodymium silicate formed by postdeposition high-temperature annealing 査読有り

    A. Sakai, S. Sakashita, M. Sakashita, Y. Yasuda, S. Zaima, and S. Miyazaki

    Appl. Phys. Lett.   85 巻   頁: 5322-5324   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Pr silicate has been synthesized by molecular-beam deposition of Pr2O3 layers on Si(111) substrates and subsequent high-temperature postdeposition annealing at 1000 °C. This thermal treatment drastically changes the film texture from the crystalline Pr2O3 epitaxially grown on Si, into Pr silicate with completely amorphized structures, resulting from intermixing of Si from the substrate. A typical dielectric constant and a leakage current density of Pr silicate grown under an optimal condition were, respectively, 19.7 and 3×10–9 A cm–2 at +1 V relative to the flatband voltage for an equivalent oxide thickness of 1.9 nm. Using x-ray photoelectron spectroscopy, a valence-band offset at the Pr-silicate/Si(111) interface of 2.75 eV and a band gap of 6.50 eV were obtained. The large band gap and the highly symmetric band alignment account for the observed low leakage current density.

  141. HfO2 Film Formation Combined with Radical Nitridation and Its Electrical Characteristic 査読有り

    R. Takahashi, M. Sakashita, A. Sakai, S. Zaima, and Y. Yasuda

    Jpn. J. Appl. Phys.   43 巻   頁: 7821-7825   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  142. Growth of silicon nanocrystal dots with high number density by ultra-high vacuum chemical vapor deposition 査読有り

    S. Naito, M. Satake, H. Kondo, M. Sakashita, A. Sakai, S. Zaima, and Y. Yasuda

    Jpn. J. Appl. Phys.   43 巻   頁: 3779-3783   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

▼全件表示

講演・口頭発表等 177

  1. A new challenge in group-IV materials: energy harvesting application & 2D crystal synthesizing 招待有り 国際会議

    Masashi Kurosawa, Akio Ohta, Masaaki Araidai, Shigehisa Shibayama, Mitsuo Sakashita, and Osamu Nakatsuka

    14th International Workshop on New Group IV Semiconductor Nanoelectronics  2023年12月14日 

     詳細を見る

    開催年月日: 2023年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Sendai  

  2. Thermoelectric properties of Sb-doped Ge1-x-ySixSny ternary alloy layers lattice matched to GaAs substrates 国際会議

    Itsuki Sugimura, Masaya Nakata, Shigehisa Shibayama, Mitsuo Sakashita, Osamu Nakatsuka, Takayoshi Katase, and Masashi Kurosawa

    14th International Workshop on New Group IV Semiconductor Nanoelectronics  2023年12月14日 

     詳細を見る

    開催年月日: 2023年12月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Sendai  

  3. Electrical activation of implanted phosphorus in GeSn epitaxial layers grown on Si(111) substrate 国際会議

    Yoshiki Kato, Masahiro Fukuda, Shigehisa Shibayama, Mitsuo Sakashita, Masashi Kurosawa, and Osamu Nakatsuka

    14th International Workshop on New Group IV Semiconductor Nanoelectronics  2023年12月15日 

     詳細を見る

    開催年月日: 2023年12月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Sendai  

  4. Crystal Growth Technology of GeSn-related Group-IV Heteroepitaxial Layers 招待有り 国際会議

    Osamu Nakatsuka, Shigehisa Shibayama, Masashi Kurosawa, and Mitsuo Sakashita

    3rd Nucleation and Growth Research Conference (NGRC)  2023年11月10日 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Kyoto  

  5. Sputtering Heteroepitaxy of Ge0.75Sn0.25 Layer on InP(001) Substrate 国際会議

    Taichi Kabeya, Shigehisa Shibayama, Komei Takagi, Mitsuo Sakashita, Masashi Kurosawa, and Osamu Nakatsuka

    2023 International Workshop on DIELECTRIC THIN FILMS FOR FUTURE ELECTRON DEVICES - SCIENCE AND TECHNOLOGY  2023年10月25日 

     詳細を見る

    開催年月日: 2023年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  6. Epitaxial Growth Technique for Si1-xSnx Binary Alloy Thin Films 招待有り 国際会議

    Masashi Kurosawa, Shigehisa Shibayama, Mitsuo Sakashita, and Osamu Nakatsuka

    244th ECS Meeting  2023年10月10日 

     詳細を見る

    開催年月日: 2023年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  7. Seed-layer driven solid phase epitaxy of amorphous Ge1-xSnx layers on Si(001) substrates toward in-plane strain control 国際会議

    Tatsuma Hiraide, Masashi Kurosawa, Shigehisa Shibayama, Mitsuo Sakashita, and Osamu Nakatsuka

    2023 International Conference on Solid State Devices and Materials  2023年9月7日 

     詳細を見る

    開催年月日: 2023年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya  

  8. Optoelectronic properties of Ge1−xSnx/high-Si-content SiyGe1−y− zSnz double quantum wells formed by low-temperature MBE growth and post deposition annealing 国際会議

    Shigehisa Shibayama, Shiyu Zhang, Mitsuo Sakashita, Masashi Kurosawa, and Osamu Nakatsuka

    2023 International Conference on Solid State Devices and Materials  2023年9月7日 

     詳細を見る

    開催年月日: 2023年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya  

  9. Low-temperature thermoelectric power-factor enhancement of n-type Ge-rich Ge1-x-ySixSny layers 国際会議

    Itsuki Sugimura, Masaya Nakata, Shigehisa Shibayama, Mitsuo Sakashita, Osamu Nakatsuka, Takayoshi Katase, and Masashi Kurosawa

    2023 International Conference on Solid State Devices and Materials  2023年9月7日 

     詳細を見る

    開催年月日: 2023年9月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya  

  10. Giant thermoelectric power of n-type Si1-xSnx layers grown on FZ-Si(001) substrates 国際会議

    Tatsuki Oiwa, Shigehisa Shibayama, Mitsuo Sakashita, Osamu Nakatsuka, Takayoshi Katase, and Masashi Kurosawa

    2023 International Conference on Solid State Devices and Materials  2023年9月7日 

     詳細を見る

    開催年月日: 2023年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya  

  11. Experimental observation of negative differential resistance in GeSn/GeSiSn double barrier structure toward resonant tunneling diode applications 国際会議

    Shuto Ishimoto, Mitsuo Sakashita, Masashi Kurosawa, Osamu Nakatsuka, and Shigehisa Shibayama

    2023 International Conference on Solid State Devices and Materials  2023年9月7日 

     詳細を見る

    開催年月日: 2023年9月

    記述言語:英語   会議種別:口頭発表(一般)  

  12. Heteroepitaxial Growth of High Substitutional Sn-content Ge1−xSnx Layer Lattice-matched on InP Substrate 国際会議

    Osamu Nakatsuka, Komei Takagi, Shigehisa Shibayama, Masashi Kurosawa, and Mitsuo Sakashita

    The International Conference on Silicon Epitaxy and Heterostructures and the International SiGe Technology and Device Meetings  2023年5月22日 

     詳細を見る

    開催年月日: 2023年5月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Como  

  13. Low-temperature Thermoelectric Properties of GeSn Alloys Films

    M. Kurosawa, T. Katase, Y. Imai, M. Nakata, M. Kimura, T. Kamiya, S. Shibayama, M. Sakashita, and O. Nakatsuka

    The International Conference on Silicon Epitaxy and Heterostructures and the International SiGe Technology and Device Meetings  2023年5月22日 

     詳細を見る

    開催年月日: 2023年5月

    記述言語:英語   会議種別:ポスター発表  

  14. Epitaxy and heterostructure of germanium tin-related group-IV alloy semiconductors for future electronic and optoelectronic applications 招待有り 国際会議

    Osamu Nakatsuka, Masashi Kurosawa, Shigehisa Shibayama, and Mitsuo Sakashita

    2023 International Conference on Semiconductor Technology for Ultra Large Scale Integrated Circuits and Thin Film Transistors  2023年5月15日 

     詳細を見る

    開催年月日: 2023年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Otaru  

  15. Potential of Silicon-Germanium-Tin Thin Films for Future Thermoelectric Device Applications 招待有り 国際会議

    M. Kurosawa, S. Shibayama, M. Sakashita, and O. Nakatsuka

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides Nanomaterials / 16th International Conference on Plasma-Nano Technology and Science (ISPlasma 2023 / IC-PLANTS 2023)  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Gifu   国名:日本国  

  16. Challenge and new opportunity of Ge1-x-ySixSny/Ge1-xSnx heterostructures for optoelectronic and electronic device applications 招待有り 国際会議

    S. Shibayama, S. Zhang, M. Sakashita, M. Kurosawa, and O. Nakatsuka

    13th International WorkShop on New Group IV Semiconductor Nanoelectronics  2023年1月24日 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Sendai   国名:日本国  

  17. Arising ferroelectric properties in ZrO2 thin film down to 4 nm 国際会議

    S. Ikeguchi, J. Nagano, M. Sakashita, M. Kurosawa, O. Nakatsuka, and S. Shibayama

    13th International WorkShop on New Group IV Semiconductor Nanoelectronics  2023年1月23日 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Sendai   国名:日本国  

  18. Development of accurate characterization technique of electrical properties in Ge1-xSnx-based group-IV epitaxial layers 国際会議

    T. Mori, S. Shibayama, K. Nishizawa, M. Sakashita, M. Kurosawa, and O. Nakatsuka

    13th International WorkShop on New Group IV Semiconductor Nanoelectronics  2023年1月23日 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Sendai   国名:日本国  

  19. Crystalline and electrical properties of Ge1-x-ySixSny epitaxial layers - Effect of Si incorporation and H2 irradiation 国際会議

    K. Nishizawa, S. Shibayama, T. Mori, M. Sakashita, M. Kurosawa, and O. Nakatsuka

    13th International WorkShop on New Group IV Semiconductor Nanoelectronics  2023年1月24日 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Sendai   国名:日本国  

  20. Heteroepitaxy of Ge1-xSnx with a high Sn content over 25% on InP(001) toward group-IV infrared detector 国際会議

    K. Takagi, S. Shibayama, M. Sakashita, M. Kurosawa, and O. Nakatsuka

    13th International WorkShop on New Group IV Semiconductor Nanoelectronics  2023年1月23日 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Sendai   国名:日本国  

  21. Epitaxial Germanide/Germanium Contact: Its Impact on Schottky Barrier Height 招待有り 国際会議

    O. Nakatsuka, S. Shibayama, M. Sakashita, and M. Kurosawa

    13th International WorkShop on New Group IV Semiconductor Nanoelectronics  2023年1月23日 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Sendai   国名:日本国  

  22. Research and Development of GeSn-related Group-IV Semiconductor Heterostructures for Optoelectronic Applications 招待有り 国際会議

    O. Nakatsuka, S. Shibayama, M. Kurosawa, and M. Sakashita

    Symposium on Light Emission and Photonics of Group IV Semiconductor Nanostructures (LPGN)  2022年12月14日 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Nagoya   国名:日本国  

  23. Synthesis of multilayer two-dimensional group-IV flakes and nanosheets 国際会議

    M. Kurosawa, M. Itoh, Y. Ito, K. Okada, A. Ohta, M. Araidai, Kosuke O. Hara, Y. Ando, S. Yamada, S. Shibayama, M. Sakashita, and O. Nakatsuka

    the 33rd International Photovoltaic Science and Engineering Conference (PVSEC-33)  2022年11月15日 

     詳細を見る

    開催年月日: 2022年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya   国名:日本国  

  24. Impact of Strain Structure in Epitaxial HfGe2/n-Ge(001) Contact on Morphology and Schottky Barrier Height 国際会議

    O. Nakatsuka, Kentaro Kasahara, S. Shibayama, M. Sakashita, and M. Kurosawa

    Advanced Metallization Conference 2022 31st Asian Session (ADMETA Plus 2022)  2022年10月 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:The University of Tokyo   国名:日本国  

  25. Study on doping by ion implantation to Si1-xSnx epitaxial layers 国際会議

    T. Oiwa, S. Shibayama, M. Sakashita, M. Kurosawa, and O. Nakatsuka

    2022 International Conference on Solid State Devices and Materials (SSDM 2022)  2022年9月 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Makuhari messe   国名:日本国  

  26. Molecular beam epitaxy of Si1-xSnx layers with 10%-Sn content on Si1-yGey buffers 国際会議

    K. Fujimoto, S. Shibayama, M. Sakashita, M. Kurosawa, and O. Nakatsuka

    2022 International Conference on Solid State Devices and Materials (SSDM 2022)  2022年9月 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Makuhari messe   国名:日本国  

  27. Formation of ferroelectric ZrO2 film in ultra-thin region by sputtering method 国際会議

    S. Shibayama, J. Nagano, M. Sakashita, and O. Nakatsuka

    2022 International Conference on Solid State Devices and Materials (SSDM 2022)  2022年9月 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Makuhari messe   国名:日本国  

  28. Substrate engineering for strain-controlled high-Sn-content Ge1-xSnx epitaxy 国際会議

    O. Nakatsuka, S. Shibayama, M. Kurosawa, and M. Sakashita

    The 6th Asia-Pacific Conference on Semiconducting Silicides and Related Materials, 2022 (APAC-Silicide 2022)  2022年7月 

     詳細を見る

    開催年月日: 2022年7月 - 2022年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  29. Enhancement of the Field-Effect Mobility of 4H-SiC Buried Channel n-MOSFETs by Using Al2O3 as a Gate Insulator 国際会議

    T. Doi, S. Shibayama, M. Sakashita, N. Taoka, M. Shimizu, and O. Nakatsuka

    2021 International Workshop on DIELECTRIC THIN FILMS FOR FUTURE ELECTRON DEVICES: SCIENCE AND TECHNOLOGY (2021 IWDTF) 

     詳細を見る

    開催年月日: 2021年11月

    記述言語:英語  

  30. Optoelectronic properties of pseudo-direct transition n+-Ge1-xSnx and heterostructures composed of n+-Ge1-xSnx and n-SiyGe1-y 国際会議

    S. Zhang, M. Sakashita, S. Shibayama, and O. Nakatsuka

    International Conference on Materials and Systems for Sustainability 2021 (ICMaSS 2021) 

     詳細を見る

    開催年月日: 2021年11月

    記述言語:英語  

  31. Schottky barrier height lowering for metal/n-type 4H-SiC contacts using low work function metals 国際会議

    T. Doi, S. Shibayama, M. Sakashita, M. Shimizu, and O. Nakatsuka

    International Conference on Materials and Systems for Sustainability 2021 (ICMaSS 2021) 

     詳細を見る

    開催年月日: 2021年11月

  32. Enhancement of Channel Mobility in 4H-SiC Trench MOSFET by Inducing Stress at SiO2/SiC Gate Interface 国際会議

    E. Kagoshima, W. Takeuchi, K. Kutsuki, M. Sakashita, H. Fujiwara, and O. Nakatsuka

    2021 International Conference on Solid State Devices and Materials (SSDM 2021) 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:英語  

  33. Improved interface uniformity of epitaxial HfGe2/Ge(001) contact by microfabrication and its electron conduction property 招待有り 国際会議

    K. Kasahara, K. Senga, M. Sakashita, S. Shibayama, and O. Nakatsuka

    20th International Workshop on Junction Technology 2021 (IWJT2021) 

     詳細を見る

    開催年月日: 2021年6月

    記述言語:英語  

  34. Formation and Characterization of Ge1–x–ySixSny/Ge Heterojunction Structures for Photovoltaic Cell Application 国際会議

    O. Nakatsuka, S. Asaba, M. Kurosawa, M. Sakashita, N. Taoka, and S. Zaima

    ECS Meeting 

     詳細を見る

    開催年月日: 2021年5月 - 2021年6月

    記述言語:英語  

  35. Heteroepitaxy and Strain Engineering of Germanium-Silicon-Tin Ternary Alloy Semiconductor Thin Films for Energy Band Design 招待有り 国際会議

    O. Nakatsuka, S. Shibayama, M. Kurosawa, and M. Sakashita

    ECS Meeting 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  36. Understanding wet annealing effect on phase transition and ferroelectric phase formation for Hf1-xZrxO2 film 国際会議

    S. Shibayama, J. Nagano, M. Sakashita, and O. Nakatsuka

    SSDM2020  2020年9月28日 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  37. In-situ Sb Doping into Ge1−xSnx Epitaxial Layer toward Enhancement of Photoluminescence Intensity 国際会議

    M. Fukuda, J. Jeon, M. Sakashita, S. Shibayama, M. Kurosawa, and O. Nakatsuka

    ISCSI-VIII 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  38. Crystal Growth and Characterization of Group-IV Alloy Semiconductor Heterostructures for Future Electronic Devices 招待有り 国際会議

    O. Nakatsuka, M. Kurosawa, S. Shibayama, M. Sakashita and S. Zaima

    ISCSI-VIII 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  39. Ferroelectric Phase Evolution of Undoped ZrO2 Thin Film by Wet O2 Annealing Process 国際会議

    S. Shibayama, J. Nagano, M. Sakashita, O. Nakatsuka

    IWDTF 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  40. Development of in-situ cyclic metal layer oxidation to form abrupt Al2O3/4H-SiC interface 国際会議

    T. Doi, S. Shibayama, W. Takeuchi, M. Sakashita, N. Taoka, M. Shimizu and O. Nakatsuka

    ICMaSS 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  41. Optoelectronic Property of GeSn and GeSiSn Heterostructure 国際会議

    M. Fukuda, M. Sakashita, S. Shibayama, M. Kurosawa, S. Zaima, and O. Nakatsuka

    ICMaSS 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  42. Impact of Mechanical Uniaxial Stress on Mobility Enhancement of 4H-SiC (0001) MOSFET 国際会議

    W. Takeuchi, K. Kutsuki, E. Kagoshima, T. Onishi, S. Iwasaki, M. Sakashita, H. Fujiwara, and O. Nakatsuka

    SSDM 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  43. In-situ Cyclic Metal Layer Oxidation for Further Improving Interface Properties of Al2O3/4H-SiC(0001) Gate Stacks 国際会議

    T. Doi, S. Shibayama, W. Takeuchi, M. Sakashita, N. Taoka, M. Shimizu, and O. Nakatsuka

    SSDM 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  44. GeSn and GeSiSn Heterostructures for Optoelectronic Applications 招待有り 国際会議

    O. Nakatsuka, M. Fukuda, M. Kurosawa, S. Shibayama, M. Sakashita, and S. Zaima

    2019 IEEE Photonics Society Summer Topicals Meeting Series 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Florida   国名:アメリカ合衆国  

  45. Further reduction of Schottky barrier height of Hf-germanide/n-Ge(001) contacts by forming epitaxial HfGe2 国際会議

    K. Senga, S. Shibayama, M. Sakashita, S. Zaima, and O. Nakatsuka

    19th International Workshop on Junction Technology 2019 (IWJT2019) 

     詳細を見る

    開催年月日: 2019年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Kyoto   国名:日本国  

  46. Development and challenges of group-IV alloy semiconductors for nanoelectronic applications 招待有り 国際会議

    S. Zaima, O. Nakatsuka, M. Kurosawa, M. Sakashita, and S. Shibayama

    The Eleventh International Conference on High-Performance Ceramics (CICC-11) 

     詳細を見る

    開催年月日: 2019年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Kunming   国名:中華人民共和国  

  47. Crystalline and Electrical Properties of Ge1-xSnx/Ge1-x-ySixSny QuantumWell Structures 国際会議

    G. R. Suwito, M. Fukuda, S. Shibayama, M. Sakashita, O. Nakatsuka, and S. Zaima

    Compoud Semiconductor Week 2019 (CSW 2019) 

     詳細を見る

    開催年月日: 2019年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nara   国名:日本国  

  48. GeSn-related group-IV semiconductor heterostructures for electronic and optoelectronic applications 招待有り 国際会議

    O. Nakatsuka, M. Fukuda, M. Kurosawa, M. Sakashita, and S. Zaima

    12th International WorkShop on New Group IV Semiconductor Nanoelectronics 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Sendai   国名:日本国  

  49. Formation and Optoelectronic Characterization of Strain-relaxed Ge1-x-ySixSny/Ge1-xSnx/Ge1-x-ySixSny Double-heterostructure 国際会議

    M. Fukuda, M. Sakashita, M. Kurosawa, O. Nakatsuka, and S. Zaima

    ACSIN-ICSPM 

     詳細を見る

    開催年月日: 2018年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Sendai   国名:日本国  

  50. Impact of Crystalline Property of SixGe1-x-ySny Ternary Alloy Interlayer on Schottky Barrier Height Engineering of Metal/Ge Contact 国際会議

    O. Nakatsuka, A. Suzuki, M. Sakashita, and S. Zaima

    ADMETA Plus 

     詳細を見る

    開催年月日: 2018年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Beijing   国名:中華人民共和国  

  51. Composition and Strain Engineering of New Group-IV Thermoelectric Materials 招待有り 国際会議

    M. Kurosawa, Y. Imai, T. Iwahashi, K. Takahashi, M. Sakashita, O. Nakatsuka, and S. Zaima

    ECS Meeting 

     詳細を見る

    開催年月日: 2018年9月 - 2018年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Cancun   国名:メキシコ合衆国  

  52. Growth and electronic properties of GeSn-related group-IV alloy semicondcutor thin films 招待有り 国際会議

    O. Nakatsuka, M. Kurosawa, W. Takeuchi, M. Sakashita, and S. Zaima

    2018 International Conference on Solid State Devices and Materials (SSDM 2018) 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Univ. of Tokyo   国名:日本国  

  53. Impact of Oxygen Radical Treatment on Improvement of Al2O3/SiC Interface 国際会議

    T. Doi, W. Takeuchi, M. Sakashita, N. Taoka, O. Nakatsuka, and S. Zaima

    2018 International Conference on Solid State Devices and Materials (SSDM 2018) 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Univ. of Tokyo   国名:日本国  

  54. GeSn-based thin film thermoelectric generators 招待有り 国際会議

    M. Kurosawa, M. Sakashita, O. Nakatsuka, and S. Zaima

    International Conference on Processing & Manufacturing of Advanced Materials Processing, Fabrication, Properties, Applications  

     詳細を見る

    開催年月日: 2018年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:フランス共和国  

  55. Engineering optoelectronic properties of high-Sn-content GeSn, GeSiSn, and SiSn thin films 招待有り 国際会議

    O. Nakatsuka, M. Kurosawa, M. Fukuda, M. Sakashita, W. Takeuchi, and S. Zaima

    IEEE Photonics Society Summer Topical Meeting Series 2018 

     詳細を見る

    開催年月日: 2018年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  56. Engineering electronic properties of GeSn-related group-IV thin films for nanoelectronic applications 招待有り 国際会議

    O. Nakatsuka, M. Kurosawa, W. Takeuchi, M. Sakashita, and S. Zaima

    European Materials Research Society (2018 E-MRS Spring Meeting) 

     詳細を見る

    開催年月日: 2018年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:フランス共和国  

  57. Optoelectronic Characterization of Ge1-x-ySixSny/Ge1-xSnx/Ge1-x-ySixSny Double-Heterostructure with High-Si-Content Ge1-x-ySixSny Layer 国際会議

    M. Fukuda, D. Rainko, M. Sakashita, M. Kurosawa, D. Buca, O. Nakatsuka, and S. Zaima

    1st Joint Conference ICSI / ISTDM 2018 

     詳細を見る

    開催年月日: 2018年5月

    記述言語:英語   会議種別:ポスター発表  

    国名:ドイツ連邦共和国  

  58. Low thermal budget fabrication of poly-Ge1-xSnx thin film thermoelectric generator 国際会議

    K. Takahashi, H. Ikenoue, M. Sakashita, O. Nakatsuka, S. Zaima, and M. Kurosawa

    The 2nd Electron Devices Technology and Manufacturing (EDTM 2018) 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  59. Heterostructure Engineering of GeSn and SiGeSn Group-IV Alloy Semiconductor Layers 招待有り 国際会議

    O. Nakatsuka, M. Kurosawa, W. Takeuchi, M. Sakashita, and S. Zaima

    11th International WorkShop on New Group IV Semiconductor Nanoelectronics 

     詳細を見る

    開催年月日: 2018年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  60. Crystal growth of GeSn-based materials and its application for thin-film thermoelectric generators 招待有り 国際会議

    M. Kurosawa, M. Sakashita, O. Nakatsuka, and S. Zaima

    The 2017 Global Research Efforts on Energy and Nanomaterials (GREEN 2017) 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:台湾  

  61. Characterization of Defects in Ge1-xSnx Gate Stack Structure 国際会議

    Y. Kaneda, S. Ike, M. Kanematsu, M. Sakashita, W. Takeuchi, O. Nakatsuka, and S. Zaima

    2017 International Workshop on DIELECTRIC THIN FILMS FOR FUTURE ELECTRON DEVICES: SCIENCE AND TECHNOLOGY (2017 IWDTF) 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  62. Development of GeSn-Related Group-IV Semiconductor Thin Films for Future Si Nanoelectronic Applications 招待有り 国際会議

    S. Zaima, O. Nakatsuka, M. Kurosawa, W. Takeuchi, and M. Sakashita

    the 4th International Symposium on Hybrid Materials and Processing (HyMaP 2017) 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:大韓民国  

  63. GeSn and related group-IV alloy thin films for future Si nanoelectronics 招待有り 国際会議

    O. Nakatsuka, M. Kurosawa, W. Takeuchi, M. Sakashita and S. Zaima

    O. Nakatsuka, M. Kurosawa, W. Takeuchi, M. Sakashita and S. Zaima 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:中華人民共和国  

  64. Heavy n- and p-type doping for polycrystalline Ge1-xSnx layers using pulsed laser annealing in water 国際会議

    K. Takahashi, M. Kurosawa, H. Ikenoue, M. Sakashita, O. Nakatsuka, and S. Zaima

    The 2nd International Symposium on Creation of Life Innovation Materials for Interdisciplinary and International Researcher  

     詳細を見る

    開催年月日: 2017年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  65. Characterization of Crystallinity and Energy Band Alignment of Ge1-xSnx/Ge1-x-ySixSny Heterostructure 国際会議

    M. Fukuda, M. Sakashita, M. Kurosawa, O. Nakatsuka, and S. Zaima

    International Conference on Materials and Systems for Sustainability 2017 (ICMaSS 2017) 

     詳細を見る

    開催年月日: 2017年9月 - 2017年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  66. Control of Electrical Property at Metal/Ge Interface with Group-IV Alloy Interlayer 国際会議

    A. Suzuki, O. Nakatsuka, M. Sakashita, and S. Zaima

    International Conference on Materials and Systems for Sustainability 2017 (ICMaSS 2017) 

     詳細を見る

    開催年月日: 2017年9月 - 2017年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  67. Dopants behavior in polycrystallization of heavily doped Ge1-xSnx layer using pulsed laser annealing in water 国際会議

    K. Takahashi, M. Kurosawa, H. Ikenoue, M. Sakashita, O. Nakatsuka, and S. Zaima

    2017 International Conference on Solid State Devices and Materials (SSDM 2017) 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  68. Research and development of GeSn-related thin-film semiconductors for nanoelectronic and optoelectronic 招待有り 国際会議

    O. Nakatsuka, M. Kurosawa, W. Takeuchi, M. Sakashita, S. Zaima

    Frontiers in Materials Processing Applications, Research and Technology (FiMPART 2017) 

     詳細を見る

    開催年月日: 2017年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:フランス共和国  

  69. Development of GeSn and related semiconductor thin films for next generation optoelectronic applications 招待有り 国際会議

    O. Nakatsuka, M. Kurosawa, W. Takeuchi, M. Sakashita, and S. Zaima

    2017 Global Conference on Polymer and Composite Materials (PCM 2017) 

     詳細を見る

    開催年月日: 2017年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:中華人民共和国  

  70. Alleviation of Fermi level pinning at metal/Ge interface using lattice-matching group-IV ternary alloy interlayer 国際会議

    A. Suzuki, O. Nakatsuka, S. Toda, M. Sakashita, and S. Zaima

    The 10th International Conference on Silicon Epitaxy and Heterostructures (ICSI-10) 

     詳細を見る

    開催年月日: 2017年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:グレートブリテン・北アイルランド連合王国(英国)  

  71. Control of lattice constant of Ge1-x-ySixSny layer for energy band engineering in Ge1-xSnx/Ge1-x-ySixSny heterostructure 国際会議

    M. Fukuda, K. Watanabe, M. Sakashita, M. Kurosawa, O. Nakatsuka, and S. Zaima

    The 10th International Conference on Silicon Epitaxy and Heterostructures (ICSI-10) 

     詳細を見る

    開催年月日: 2017年5月

    記述言語:英語   会議種別:ポスター発表  

    国名:グレートブリテン・北アイルランド連合王国(英国)  

  72. Formation of heavily Sb and Ga doped poly-Ge1-xSnx layers on insulator using pulsed laser annealing in water 国際会議

    K. Takahashi, M. Kurosawa, H. Ikenoue, M. Sakashita, O. Nakatsuka, and S. Zaima

    The 10th International Conference on Silicon Epitaxy and Heterostructures (ICSI-10) 

     詳細を見る

    開催年月日: 2017年5月

    記述言語:英語   会議種別:ポスター発表  

    国名:グレートブリテン・北アイルランド連合王国(英国)  

  73. Heavily p-type Doping to Si1-xSnx Layers Grown on SOI Substrates 国際会議

    Y. Inaishi, M. Kurosawa, M. Sakashita, O. Nakatsuka, and S. Zaima

    10th International Symposium on Advanced Plasma Science and Its Applications for Nitrides Nanomaterials / 11th International Conference on Plasma-Nano Technology and Science (ISPlasma 2018 / IC-PLANTS 2018) 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  74. Electrical Properties of AlON/4H-SiC MOS Capacitor Prepared by Plasma-Assisted Atomic Layer Deposition 国際会議

    W. Takeuchi, K. Yamamoto, T. Mimura, M. Sakashita, O. Nakatsuka, and , S. Zaima

    9th International Symposium on Advanced Plasma Science and Its Applications for Nitrides Nanomaterials / 10th International Conference on Plasma-Nano Technology and Science (ISPlasma 2016 / IC-PLANTS 2017) 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  75. Effect of Oxynitridation Annealing for SiO2/SiC Interface on Defects Properties 招待有り 国際会議

    W. Takeuchi, K. Yamamoto, M. Sakashita, O. Nakatsuka and S. Zaima

    10th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2017年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  76. Influence of atomic layer deposition temperature of GeO2 layer on electrical properties of Ge and Ge1-xSnx gate stack 国際会議

    Y. Kaneda, M. Kanematsu, M. Sakashita, W. Takeuchi, O. Nakatsuka and S. Zaima

    10th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2017年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  77. Solid phase crystallization of Ge0.98Sn0.02 layers on various insulating substrates 国際会議

    I. Yoshikawa, M. Kurosawa, W. Takeuchi, M. Sakashita, O. Nakatsuka and S. Zaima

    10th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2017年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  78. Control of Schottky barrier height of metal/Ge contact using group-IV alloy interlayers 国際会議

    A. Suzuki, O. Nakatsuka, S. Toda, M. Sakashita, and S. Zaima

    JSPS Meeting 2016 : Workshop on "Atomically Controlled Processing for Ultra-large Scale Integration" 

     詳細を見る

    開催年月日: 2016年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:ドイツ連邦共和国  

  79. Formation of heavily Sb doped poly-Ge1-xSnx layer using pulsed laser annealing in water 国際会議

    K. Takahashi, M. Kurosawa, H. Ikenoue, M. Sakashita, O. Nakatsuka, and S. Zaima

    JSPS Meeting 2016 : Workshop on "Atomically Controlled Processing for Ultra-large Scale Integration" 

     詳細を見る

    開催年月日: 2016年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:ドイツ連邦共和国  

  80. Characterization of Deep-Level Defects in Ge1-xSnx Epitaxial Layers using Deep Level Transient Spectroscopy 国際会議

    W. Takeuchi, Y. Inuzuka, N. Taoka, M. Sakashita, O. Nakatsuka, and S. Zaima

    The 7th International Symposium on Advanced Science and Technology of Silicon Materials (7th JSPS Silicon Symposium) 

     詳細を見る

    開催年月日: 2016年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  81. Impact of SixGe1-x-ySny interlayer on reduction in Schottky barrier height of metal/n-Ge contact 国際会議

    A. Suzuki, S. Toda, O. Nakatsuka, M. Sakashita, and S. Zaima

    2016 International Conference on Solid State Devices and Materials (SSDM 2016) 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  82. Effect of N bonding structure in AlON on leakage current of 4H-SiC MOS capacitor 国際会議

    W. Takeuchi, K. Yamamoto, T. Mimura, M. Sakashita, T. Kanemura, O. Nakatsuka, and S. Zaima

    2016 International Conference on Solid State Devices and Materials (SSDM 2016) 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  83. Heavy Sb-doping for poly-GeSn on insulator using pulsed laser annealing in water 国際会議

    K. Takahashi, M. Kurosawa, H. Ikenoue, M. Sakashita, O. Nakatsuka, and S. Zaima

    2016 International Conference on Solid State Devices and Materials (SSDM 2016) 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  84. Challenges in Engineering Materials Properties for GeSn Nanoelectronics 招待有り 国際会議

    S. Zaima, O. Nakatsuka, M. Kurosawa, W. Takeuchi, M. Sakashita

    The 2016 European Materials Research Society (E-MRS) Fall Meeting 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:ポーランド共和国  

  85. Growth and applications of GeSn-related group-IV semiconductor materials 国際会議

    S. Zaima, O. Nakatsuka, T. Asano, T. Yamaha, S. Ike, A. Suzuki, K. Takahashi, Y. Nagae, M. Kurosawa, W. Takeuchi, Y. Shimura, and M. Sakashita

    IEEE 2016 Summer Topicals Meeting Series 

     詳細を見る

    開催年月日: 2016年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  86. Interfacial Energy Control for Low-Temperature Crystallization of Ge-rich GeSn Layers on Insulating Substrate 国際会議

    I. Yoshikawa, M. Kurosawa, W. Takeuchi, M. Sakashita, O. Nakatsuka, S. Zaima

    ISCSI-VII/ISTDM 2016 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  87. Control of the Fermi level pinning position at metal/Ge interface by using Ge1-xSnx interlayer 国際会議

    A. Suzuki, O. Nakatsuka, M. Sakashita, S. Zaima

    ISCSI-VII/ISTDM 2016 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  88. Development of GeSn thin film technology for electronic and optoelectronic applications 国際会議

    O. Nakatsuka, M. Kurosawa, W. Takeuchi, Y. Shimura, M. Sakashita, and S. Zaima

    2016 EMN Summer Meeting & Photodetectors Meeting 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:メキシコ合衆国  

  89. Impact of Atomic Hydrogen Irradiation on Epitaxial Growth of Ge1-xSnx and its Crystalline Property 国際会議

    S. Fujinami, T. Asano, T. Koyama, M. Kurosawa, M. Sakashita, O. Nakatsuka, H. Kishida, S. Zaima

    ISPlasma 2016 / IC-PLANTS 2016 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  90. Solid phase crystallization of Si1-x-ySnxCy ternary alloy layers and characterization of its crystalline and optical properties 国際会議

    S. Yano, T. Yamaha, Y. Shimura, W. Takeuchi, M. Sakashita, M. Kurosawa, O. Nakatsuka and S. Zaima

    ISPlasma 2016 / IC-PLANTS 2016 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  91. Control of Schottky barrier height at metal/Ge interface by insertion of Ge1-xSnx layer 国際会議

    A. Suzuki, O. Nakatsuka, S. Shibayama, M. Sakashita, W. Takeuchi, M. Kurosawa and S. Zaima

    9th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2016年1月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  92. Phosphorus doping into Ge with low electrical damage by liquid immersion laser doping 国際会議

    K. Takahashi, M. Kurosawa, H. Ikenoue, M. Sakashita, W. Takeuchi, O. Nakatsuka and S. Zaima

    9th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2016年1月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  93. Crystalline and Electrical Properties of in-situ Sb-Doped Ge1-xSnx Epitaxial Layers 国際会議

    J. Jeon, T. Asano, Y. Shimura, W. Takeuchi, M. Kurosawa, M. Sakashita, O. Nakatsuka and S. Zaima

    9th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2016年1月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  94. Formation of poly-Si1-x-ySnxCy ternary alloy layer and characterization of its crystalline and optical properties 国際会議

    S. Yano, T. Yamaha, Y. Shimura, W. Takeuchi, M. Sakashita, M. Kurosawa, O. Nakatsuka and S. Zaima

    9th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2016年1月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  95. Schottky Barrier Engineering by Epitaxial Metal Germanide/Germanium Contacts 国際会議

    O. Nakatsuka, Y. Deng, A. Suzuki, M. Sakashita, and S. Zaima

    ISETS '15 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  96. Characterization of electrically active defects in epitaxial GeSn/n-Ge junctions 国際会議

    W. Takeuchi, Y. Inuzuka, T. Asano, M. Sakashita, O. Nakatsuka, and S. Zaima

    ISETS '15 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  97. Control of Schottky Barrier Height at Metal/Ge Interface by SnxGe1-x Interlayer 国際会議

    A. Suzuki, O. Nakatsuka, S. Shibayama, M. Sakashita, W. Takeuchi, M. Kurosawa, and S. Zaima

    ISETS '15 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  98. Electrical Characteristics of Ge pn-junction Diodes Prepared by Using Liquid Immersion Laser Doping 国際会議

    K. Takahashi, M. Kurosawa, H. Ikenoue, M. Sakashita, W. Takeuchi, O. Nakatsuka, and S. Zaima

    ISETS '15 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  99. Crystal growth and energy band engineering of group-IV semiconductor thin films for nanoelectronic applications 国際会議

    O. Nakatsuka, M. Kurosawa, W. Takeuchi, Y. Shimura, M. Sakashita, and S. Zaima

    IWAN 2015 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  100. Influence of Atomic Layer Deposition Temperature of GeO2 Layer on Electrical Properties of Ge Gate Stack 国際会議

    M. Kanematsu, S. Shibayama, M. Sakashita, W. Takeuchi, O. Nakatsuka, S. Zaima

    2015 IWDTF 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  101. Evaluation of Energy Band Structure of Si1-xSnx by Density Functional Theory Calculation and Photoelectron Spectroscopy 国際会議

    Y. Nagae, S. Shibayama, M. Kurosawa, M. Araidai, M. Sakashita, O. Nakatsuka, K. Shiraishi, S. Zaima

    2015 IWDTF 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  102. Challenges of Energy Band Engineering with New Sn-Related Group IV Semiconductor Materials for Future Integrated Circuits 国際会議

    S. Zaima, O. Nakatsuka, T. Yamaha, T. Asano, S. Ike, A. Suzuki, M. Kurosawa, W. Takeuchi, and M. Sakashita

    The 228th Electrochemical Society Meeting 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  103. Crystal Growth of GeSn-related Group-IV Thin Films for Integrating on Si Nanoelectronics Platform 国際会議

    S. Zaima, O. Nakatsuka, T. Asano, T. Yamaha, S. Ike, A. Suzuki, M. Kurosawa, W. Takeuchi and M. Sakashita

    SSDM 2015 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  104. Impact of Ultra-high Sn Content SnxGe1-x Interlayer on Reducing Schottky Barrier Height at Metal/n-Ge Interface 国際会議

    A. Suzuki, O. Nakatsuka, S. Shibayama, M. Sakashita, W. Takeuchi, M. Kurosawa and S. Zaima

    SSDM 2015 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  105. Effect of Nitridation for SiO2/SiC Interface on Defects Properties near Conduction Band Edge 国際会議

    W. Takeuchi, K. Yamamoto, M. Sakashita, T. Kanemura, O. Nakatsuka and S. Zaima

    SSDM 2015 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  106. Characterization of Deep-level Defects in Epitaxial Ge1-xSnx/Ge structure 国際会議

    W. Takeuchi, T. Asano, Y. Inuzuka, M. Sakashita, O. Nakatsuka and S. Zaima

    JSPS International Core-to-Core Program Workshop Atomically Controlled Processing for Ultra-large Scale Integration 

     詳細を見る

    開催年月日: 2015年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:フランス共和国  

  107. Reduction of Schottky barrier height with Sn/Ge contact 国際会議

    A. Suzuki, O. Nakatsuka, S. Shibayama, M. Sakashita, W. Takeuchi, M. Kurosawa, S. Zaima

    JSPS International Core-to-Core Program Workshop Atomically Controlled Processing for Ultra-large Scale Integration 

     詳細を見る

    開催年月日: 2015年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:フランス共和国  

  108. Development of polycrystalline Sn-related group-IV semiconductor thin films - Aiming for 3D-IC 国際会議

    M. Kurosawa, W. Takeuchi, M. Sakashita, O. Nakatsuka, and S. Zaima

    2015 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2015) 

     詳細を見る

    開催年月日: 2015年6月 - 2015年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  109. Formation of Ge pn-junction diode by phosphorus doping with liquid immersion laser irradiation 国際会議

    K. Takahashi, M. Kurosawa, H. Ikenoue, M. Sakashita, W. Takeuchi, O. Nakatsuka, S. Zaima

    15th International Workshop on Junction Technology 2015 (IWJT 2015) 

     詳細を見る

    開催年月日: 2015年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  110. Formation of type-I energy band alignment of Ge1-x-ySixSny/Ge hetero structure 国際会議

    T. Yamaha, K. Kato, S. Shibayama, T. Asano, M. Sakashita, O. Nakatsuka, and S. Zaima

    T. Yamaha, K. Kato, S. Shibayama, T. Asano, M. Sakashita, O. Nakatsuka, and S. Zaima 

     詳細を見る

    開催年月日: 2015年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:カナダ  

  111. Thermophysical characterizations of Ge1-xSnx epitaxial layers aiming for thermoelectric devices 国際会議

    T. Yamaha, K. Kato, S. Shibayama, T. Asano, M. Sakashita, O. Nakatsuka, and S. Zaima

    M. Kurosawa, M. Fukuda, K. Takahashi, M. Sakashita, O. Nakatsuka, and S. Zaima 

     詳細を見る

    開催年月日: 2015年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:カナダ  

  112. Control of Electrically Active Defects in Ge1-xSnx Epitaxial Layers 国際会議

    T. Asano, S. Shibayama, W. Takeuchi, M. Sakashita, O. Nakatsuka, S. Zaima

    T. Yamaha, K. Kato, S. Shibayama, T. Asano, M. Sakashita, O. Nakatsuka, and S. Zaima 

     詳細を見る

    開催年月日: 2015年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:カナダ  

  113. Electrically-Active Defects in Ge1-xSnx Epitaxtial Layer 国際会議

    W. Takeuchi, T. Asano, M. Sakashita, O. Nakatsuka, S. Zaima

    7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides Nanomaterials / 8th International Conference  

     詳細を見る

    開催年月日: 2015年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  114. Solid phase epitaxy of Ge1-x-ySnxCy ternary alloy layers 国際会議

    H. Oda, T. Yamaha, M. Kurosawa, W. Takeuchi, M. Sakashita, O. Nakatsuka, S. Zaima

    7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides Nanomaterials / 8th International Conference  

     詳細を見る

    開催年月日: 2015年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  115. Crystalline and Optical Properties of Ge1-x-ySixSny Ternary Alloy Layers for Solar Cell Application 国際会議

    T. Yamaha, S. Asaba, T. Terashima, T. Asano, W. Takeuchi, M. Sakashita, N. Taoka, O. Nakatsuka, and S. Zaima

    8th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  116. Behaviors of tin related defects in Sb doped n-type germanium 国際会議

    W. Takeuchi, N. Taoka, M. Sakashita, O. Nakatsuka and S. Zaima

    8th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  117. Development of metal/Ge contacts for engineering Schottky barriers 国際会議

    O. Nakatsuka, Y. Deng, A. Suzuki, S. Shibayama, M. Kurosawa, W. Takeuchi, M. Sakashita, N. Taoka, and S. Zaima

    JSPS Core-to-Core Program Workshop "Atomically Controlled Processing for Ultralarge Scale Integration" 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ベルギー王国  

  118. Formation of Epitaxial NiGe Layer on Ge(001) Substrate and Influence of Interface Structure on Schottky Barrier Height 国際会議

    O. Nakatsuka, Y. Deng, M. Sakashita, and S. Zaima

    Advanced Metallization Conference 2014: 24th Asian Session (ADMETA Plus 2014) in conjunction with the 3rd  

     詳細を見る

    開催年月日: 2014年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  119. Low Schottky barrier height contacts with Sn electrode for various orientation n-Ge substrates 国際会議

    A. Suzuki, D. Yunsheng, S. Shibayama, M. Kurosawa, M. Sakashita, O. Nakatsuka, and S. Zaima

    Advanced Metallization Conference 2014: 24th Asian Session (ADMETA Plus 2014) in conjunction with the 3rd  

     詳細を見る

    開催年月日: 2014年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  120. Challenges and Developments in GeSn Process Technology for Si Nanoelectronics 国際会議

    S. Zaima, O. Nakatsuka, N. Taoka, K. Kato, W. Takeuchi, and M. Sakashita

    226th Meeting of The Electrochemical Society (ECS) and SMEQ (Sociedad Mexicana de Electroquímica) Joint  

     詳細を見る

    開催年月日: 2014年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:メキシコ合衆国  

  121. Impact of Hydrogen Surfactant Epitaxy and Annealing on Crystallinity of Epitaxial Ge1-xSnx Layers 国際会議

    2014 International Conference on Solid State Devices and Materials (SSDM 2014) 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  122. Mobility behavior of Si1-x-yGexSny polycrystals grown on insulators 国際会議

    International Union of Materials Research Societies - International Conference in Asia 2014 (IUMRS-ICA 2014) 

     詳細を見る

    開催年月日: 2014年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  123. Transformation of Defects Structure in Germanium by Sn Ion Implantation 国際会議

    International Union of Materials Research Societies - International Conference in Asia 2014 (IUMRS-ICA 2014) 

     詳細を見る

    開催年月日: 2014年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  124. Crystalline Growth and Characterization of Group-IV Ternary Alloy Thin Films for Solar Cell 国際会議

    T. Yamaha, K. Terasawa, T. Terashima, W. Takeuchi, M. Sakashita, N. Taoka, O. Nakatsuka, and S. Zaima

    T. Yamaha, K. Terasawa, T. Terashima, W. Takeuchi, M. Sakashita, N. Taoka, O. Nakatsuka, and S. Zaima 

     詳細を見る

    開催年月日: 2014年7月 - 2014年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  125. Formation and Electrical Properties of Metal/Ge1-xSnx Contacts 国際会議

    O. Nakatsuka, T. Nishimura, A. Suzuki, K. Kato, Y. Deng, M. Kurosawa, W. Takeuchi, M. Sakashita, N. Taoka, and S. Zaima

    14th International Workshop on Junction Technology (IWJT 2014) 

     詳細を見る

    開催年月日: 2014年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:中華人民共和国  

  126. Sn-related Group-IV semiconductor materials for electronic and optoelectronic applications 国際会議

    O. Nakatsuka, N. Taoka, T. Asano, T. Yamaha, M. Kurosawa, W. Takeuchi, M. Sakashita, and S. Zaima

    3rd international Conference on Nanotek and Expo (Nanotek-2013) 

     詳細を見る

    開催年月日: 2013年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  127. Development of Ge1-xSn and Ge1-x-ySixSny thin film materials for future electronic applications 国際会議

    O. Nakatsuka, N. Taoka, T. Asano, T. Yamaha, M. Kurosawa, K. Kato, W. Takeuchi, M. Sakashita, and S. Zaima

    3rd international Conference on Nanotek and Expo (Nanotek-2013) 

     詳細を見る

    開催年月日: 2013年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  128. Quantitative Guideline for Formation of Ge MOS Interface with Low Interface State Density 国際会議

    S. Shibayama, K. Kato, N. Taoka, M. Sakashita, O. Nakatsuka, S. Zaima

    IWDTF2013 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  129. Robustness of Sn Precipitation During Thermal Process of Ge1-xSnx 国際会議

    K. Kato, T. Asano, N. Taoka, M. Sakashita, O. Nakatsuka, S. Zaima

    IWDTF2013 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  130. Interface Properties of Al2O3/Ge MOS Structures with Thin Ge Oxide Interfacial Layer Formed by Pulsed MOCVD 国際会議

    T. Yoshida, K. Kato, S. Shibayama, M. Sakashita, N. Taoka, W. Takeuchi, O. Nakatsuka, S. Zaima

    IWDTF2013 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  131. Heteroepitaxial Growth of Sn-Related Group-IV Materials On Si Platform for Microelectronic and Optoelectronic Applications:Challenges and Opportunities 国際会議

    O. Nakatsuka, N. Taoka, T. Asano, T. Yamaha, M. Kurosawa, M. Sakashita and S. Zaima

    The 224th Electrochemical Society Meeting 

     詳細を見る

    開催年月日: 2013年10月 - 2013年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  132. Reduction of Interface States Density due to Post Oxidation with Formation of AlGeO Layer at Al2O3/Ge Interface 国際会議

    S. Shibayama, K. Kato, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka and S. Zaima

    The 224th Electrochemical Society Meeting 

     詳細を見る

    開催年月日: 2013年10月 - 2013年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  133. Interaction between Sn atoms and Defects Introduced by Ion Implantation in Ge Substrate 国際会議

    N. Taoka, M. Fukudome, T. Arahira, W. Takeuchi, M. Sakashita, O. Nakatsuka, and S. Zaima

    JSPS Core-to-Core Program Workshop - Atomically Controlled Processing for Ultralarge Scale Integration - 

     詳細を見る

    開催年月日: 2013年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  134. Stabilization for Higher-k Films with Meta-Stable Crystalline Structure 国際会議

    K. Kato, T. Saito, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    JSPS Core-to-Core Program Workshop - Atomically Controlled Processing for Ultralarge Scale Integration - 

     詳細を見る

    開催年月日: 2013年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  135. Reduction of Schottky Barrier Height for n-type Ge Contact by using Sn Electrode 国際会議

    A. Suzuki, S. Asaba, J. Yokoi, O. Nakatsuka, M. Kurosawa, K. Kato, M. Sakashita, N. Taoka and S. Zaima

    2013 International Conference on Solid State Devices and Materials (SSDM 2013) 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  136. Engineering of Energy Band Structure with Epitaxial Ge1-x-ySixSny/n-Ge Hetero Junctions for Solar Cell Applications 国際会議

    S. Asaba, T. Yamaha, M. Kurosawa, M. Sakashita, N. Taoka, O. Nakatsuka and S. Zaima

    2013 International Conference on Solid State Devices and Materials (SSDM 2013) 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  137. Interaction of Sn atoms with Defects Introduced by Ion Implantation in Ge Substrate 国際会議

    T. Arahira, M. Fukudome, N. Taoka, W. Takeuchi, M. Sakashita, O. Nakatsuka and S. Zaima

    2013 International Conference on Solid State Devices and Materials (SSDM 2013) 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  138. Function of Additional Element Incorporation for Tetragonal ZrO2 Formation 国際会議

    K. Kato, T. Saito, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, S. Zaima

    NIMS Conference 2013 -Structure Control of Atomic/Molecular Thin Films and Their Applications- 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  139. Formation of Tetragonal ZrO2 Thin Film by ALD Method 国際会議

    K. Kato, T. Saito, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, S. Zaima

    The 8th International Conference on Silicon Epitaxy and Heterostructures (ICSI-8) and the 6th International Symposium on Control of Semiconductor Interfaces (ISCSI-VI) 

     詳細を見る

    開催年月日: 2013年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  140. Control of Interfacial Reactions in Al2O3/Ge Structures 国際会議

    S. Shibayama, K. Kato, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    The 8th International Conference on Silicon Epitaxy and Heterostructures (ICSI-8) and the 6th International Symposium on Control of Semiconductor Interfaces (ISCSI-VI) 

     詳細を見る

    開催年月日: 2013年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  141. Lateral Growth Enhancement of Poly-Ge1-xSnx on SiO2 using a Eutectic Reaction 国際会議

    M. Kurosawa, N. Taoka, M. Sakashita, O. Nakatsuka, M. Miyao, and S. Zaima

    The 8th International Conference on Silicon Epitaxy and Heterostructures (ICSI-8) and the 6th International Symposium on Control of Semiconductor Interfaces (ISCSI-VI) 

     詳細を見る

    開催年月日: 2013年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  142. Crystalline Phase Control of Pr-Oxide Films by Regulating Oxidant Partial Pressure and Si Diffusion 国際会議

    K. Kato, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    The 8th International Conference on Silicon Epitaxy and Heterostructures (ICSI-8) and the 6th International Symposium on Control of Semiconductor Interfaces (ISCSI-VI) 

     詳細を見る

    開催年月日: 2013年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  143. Impacts of AlGeO Formation by Post Thermal Oxidation of Al2O3/Ge Structure on Interface Properties 国際会議

    S. Shibayama, K. Kato, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    6th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar 

     詳細を見る

    開催年月日: 2013年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  144. Feasibility of Ge Device Fabrication by Low Temperature Processes on ULSI Circuits 国際会議

    N. Taoka, M. Kurosawa, K. Kato, S. Shibayama, M. Sakashita, O. Nakatsuka, and S. Zaima

    6th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar 

     詳細を見る

    開催年月日: 2013年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  145. Low temperature crystallization of group-IV semiconductors induced by eutectic metals (Al, Sn) 国際会議

    M. Kurosawa, N. Taoka, M. Sakashita, O. Nakatsuka, M. Miyao, and S. Zaima

    6th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar 

     詳細を見る

    開催年月日: 2013年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  146. Control of Al2O3/Ge interfacial structures by post oxidation technique using oxygen radical 国際会議

    K. Kato, S. Shibayama, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  147. Defects introduced in germanium substrate by reactive ion etching 国際会議

    Kusumandari, W. Takeuchi, M. Sakashita, N. Taoka, O. Nakatsuka, S. Zaima

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013) 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  148. Interfacial Reaction Mechanism in Al2O3/Ge Structure by Oxygen Radical 国際会議

    K. Kato, S. Shibayama, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  149. High Mobility Poly-GeSn Layer Formed by Low Temperature Solid Phase Crystallization 国際会議

    W. Takeuchi, N. Taoka, M. Kurosawa, M. Fukutome, M. Sakashita, O. Nakatsuka, and S. Zaima

    International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  150. Importance of Si Bandbending at Zero Bias Condition for Schottky Barrier Height Control at Metal/Si Interfaces with Ultra-thin Al2O3 Layer 国際会議

    H. Matsushita, W. Takeuchi, M. Sakashita, N. Taoka, O. Nakatsuka and S. Zaima

    IUMRS International Conference on Electronic Materials 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  151. Thermal Oxidation Mechanism of Ge through Al2O3 Layer Formed on Ge Substrate 国際会議

    S. Shibayama, K. Kato, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    IUMRS International Conference on Electronic Materials 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  152. Epitaxial Growth and Characterizations of Ge1-xSnx and Ge1-x-ySixSny Thin Layers for Nanoelectronic and Optoelectronic Applications 国際会議

    O. Nakatsuka, N. Taoka, M. Sakashita, W. Takeuchi, S. Zaima

    University of Vigo and JSPS Core-to-Core Program Joint Seminar 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:スペイン  

  153. Effects of Light and Air Exposures on Electrical Properties of GeO2/Ge and Al2O3/Ge Gate Stack Structures 国際会議

    Kusumandari, W. Takeuchi, K. Kato, S. Shibayama, M. Sakashita, N. Taoka, O. Nakatsuka, and S. Zaima

    AWAD2012 

     詳細を見る

    開催年月日: 2012年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  154. Potential of GeSn Alloys for Application to Si Nanoelectronics 国際会議

    S. Zaima, Y. Shimura, M. Nakamura, W. Takeuchi, M. Sakashita, O. Nakatsuka

    2012 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 

     詳細を見る

    開催年月日: 2012年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  155. Control of Interfacial and Electrical Properties of Metal/Pr-oxide/Ge Gate Stack Structures 国際会議

    K. Kato, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, S. Zaima

    CNSE and JSPS Core-to-Core Program Joint Seminar 

     詳細を見る

    開催年月日: 2012年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  156. Effect of Gate Metal Electrode on Chemical Bonding State in Metal/Pr-oxide/Ge Gate Stack Structure 国際会議

    K. Kato, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    ISTDM2012 

     詳細を見る

    開催年月日: 2012年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  157. Effect of N Radical Process on Interfacial and Electrical Properties of Al2O3/Ge Structure 国際会議

    K.Kato, M.Sakashita, W.Takeuchi, O. Nakatsuka, and S.Zaima

    IC-PLANTS 2012 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  158. The effect of light exposure on the electrical properties of GeO2/Ge gate stack 国際会議

    Kusumandari, W.Takeuchi, M.Sakashita, O.Nakatsuka, and S.Zaima

    ISPlasma2012 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  159. Control of Interfacial Properties of Al2O3/Ge Gate Stack Structure using Radical Nitridation Technique 国際会議

    K. Kato, M. Sakashita, W. Takeuchi, O. Nakatsuka, and S. Zaima

    ICTF-15 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  160. Suppressive Effect of Interface Reaction and Water Absorption by Al Incorporation into Pr-Oxide Film 国際会議

    W. Takeuchi, K. Furuta, K. Kato, M. Sakashita, H. Kondo, O. Nakatsuka, and S. Zaima

     詳細を見る

    開催年月日: 2011年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  161. Improvement of Al2O3 Interfacial Properties by O2 Annealing 国際会議

    S. Shibayama, K. Kato, M. Sakashita, W. Takeuchi, O. Nakatsuka, and S. Zaima

    ICSI-7 

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  162. Effect of N Radical Process on Interfacial and Electrical Properties of Al2O3/Ge Structure 国際会議

    K. Kato, H. Kondo, M. Sakashita, W. Takeuchi, O. Nakatsuka, and S. Zaima

    IC-PLANTS2011 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  163. Characterization of Damages of Al2O3/Ge Gate Stacks Structure Induced with Light Radiation during Plasma Nitridation 国際会議

    Kusumandari, W. Takeuchi, K. Kato, M. Sakashita, O. Nakatsuka, S. Zaima

    ISPLasma2011 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  164. Influence of Light Radiation on Electrical Properties of Al2O3/Ge and GeO2/Ge Gate Stacks in Nitrogen Plasma 国際会議

    Kusumandari, W. Takeuchi, K. Kato, M. Sakashita, O. Nakatsuka, S. Zaima

    IWDTF 

     詳細を見る

    開催年月日: 2011年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  165. Control of Interfacial Properties of Al2O3/Ge Gate Stack Structure using Radical Nitridation Technique 国際会議

    K. Kato, S. Kyogoku, M. Sakashita, W. Takeuchi, H. Kondo, O. Nakatsuka, S. Zaima

    IWDTF 

     詳細を見る

    開催年月日: 2011年1月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  166. Study of Ge Surface Passivation using Radical Nitridation Technique for Ge Channel MOS Transistors 国際会議

    K. Kato, H. Kondo, M. Sakashita, W. Takeuchi, O. Nakatsuka, S. Zaima

    The 1st Korea-Japan Symposium on Surface Technology 

     詳細を見る

    開催年月日: 2010年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  167. Analysis of Local Leakage Current of Pr Oxide Thin Films with Conductive Atomic Force Microscopy 国際会議

    2010 International Conference on Solid State Devices and Materials (SSDM 2010) 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  168. Effects of Al Incorporation into Pr-oxides Formed by Atomic Layer Deposition 国際会議

    2010 International Conference on Solid State Devices and Materials (SSDM 2010) 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  169. Nitrogen content dependence of crystalline and electrical properties of ternary transition metal gate electrodes 国際会議

    International Symposium on Technology Evolution for Silicon Nano Electronics (ISTESNE) 

     詳細を見る

    開催年月日: 2010年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  170. Crystalline and electrical properties of PrAlO gate insulator films formed by atomic layer deposition 国際会議

    International Symposium on Technology Evolution for Silicon Nano Electronics (ISTESNE) 

     詳細を見る

    開催年月日: 2010年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  171. Control of Interfacial Properties of Pr-oxide/Ge Gate Stack Structure by Introduction of Nitrogen 国際会議

    5th International SiGe Technology Device Meeting 2010 (ISTDM2010) 

     詳細を見る

    開催年月日: 2010年5月

    記述言語:英語   会議種別:ポスター発表  

  172. Formation of Pr Oxide Films by Atomic Layer Deposition using Pr(EtCp)3 Precursor 国際会議

    2009 International Conference on Solid State Devices and Materials (SSDM) 

     詳細を見る

    開催年月日: 2009年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  173. LaAlO/Ge構造へのALD-Al2O3界面制御層挿入の効果

    坂下満男, 加藤亮祐, 京極真也, 近藤博基, 財満鎭明

    応用物理学会 シリコンテクノロジー分科会研究集会 

     詳細を見る

    開催年月日: 2009年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  174. Pr-Oxide-Based Dielectric Films on Ge Substrates 国際会議

    2007 International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

    We investigated film structures and electrical properties of Pr oxide films prepared in various ambient on Ge (001) wafers by pulsed laser deposition. Angle-resolved X-ray photoelectron spectroscopy revealed that GeOx incorporation into the Pr oxide film is obvious, leading to reduction of permittivity, when depositing in Ar ambient, whereas it is suppressed in O2 and the vacuum deposition.

  175. Ge基板上に堆積したPr酸化物ゲート絶縁膜の角度分解XPSによる評価

    坂下満男、鬼頭伸幸、酒井 朗、小川正毅、財満鎭明

    第68回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2007年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  176. Ge基板上へのPr酸化膜の作製と評価

    坂下満男、鬼頭伸幸、酒井 朗、小川正毅、財満鎭明

    応用物理学会 シリコンテクノロジー分科会研究集会 

     詳細を見る

    開催年月日: 2007年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  177. Prシリケイト膜の結晶構造と電気的特性の熱処理依存性

    坂下満男、山矢 隼、酒井 朗、小川正毅、財満鎭明

    第52回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2005年4月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

▼全件表示

科研費 3

  1. 省電力/超高速ナノCMOSのための電子物性設計と高移動度チャネル技術の創生

    2010年5月 - 2014年3月

    科学研究費補助金  22000011

    財満鎭明

      詳細を見る

    担当区分:研究分担者 

  2. ナノ領域における極微少電流特性のキャリアセパレーション評価技術の開発

    2007年

    科学研究費補助金  基盤研究(C)(一般),課題番号:19560022

    坂下 満男

      詳細を見る

    担当区分:研究代表者 

  3. ナノシステム機能化High-kゲート/歪制御ゲルマニウムチャネル構造の創成

    2006年7月 - 2010年3月

    科学研究費補助金  18063012

    財満鎭明

      詳細を見る

    担当区分:研究分担者 

産業財産権 5

  1. 半導体素子用基材及びその製造方法

    近藤 博基、財満 鎭明、小川 正毅、酒井 朗、坂下 満男、内藤 慎哉、上山 知紀、安田 幸夫

     詳細を見る

    出願人:国立大学法人名古屋大学

    出願番号:特許出願2005-56482  出願日:2005年3月

    公開番号:特許公開2006-245133 

    登録日:2006年9月 

    出願国:国内  

    ガス照射を利用しないドライプロセスによる簡素な方法により、ドット状のシリコンナノクラスタにおける粒径バラツキを抑えるとともに、さらなる粒径の縮小化及び高数密度化を図る。

  2. 絶縁膜を有した半導体装置の製造方法及び半導体装置

    酒井 朗、財満 鎭明、安田 幸夫、坂下 満男、高橋 亮也

     詳細を見る

    出願人:国立大学法人名古屋大学

    出願番号:特許出願2004-214954  出願日:2004年7月

    公開番号:特許公開2006-40977 

    登録日:2006年2月 

    出願国:国内  

    MOS型FETにおける絶縁膜のCET(等価SiO2換算膜厚)を低下させ、リーク電流を低減させること。

  3. シリコンナノ結晶の作製方法、シリコンナノ結晶、フローティングゲート型メモリキャパシタ構造の作製方法、及びフローティングゲート型メモリキャパシタ構造

    近藤 博基、安田 幸夫、財満 鎭明、酒井 朗、坂下 満男、内藤 慎哉、佐竹 正城

     詳細を見る

    出願人:国立大学法人名古屋大学

    出願番号:特許出願2003-363411  出願日:2003年10月

    公開番号:特許公開2005-129708 

    登録日:2005年5月 

    出願国:国内  

    高密度かつ微細化されたナノ結晶を作製する技術を確立し、これを利用して実用的な半導体ドットメモリを提供する。

  4. 高誘電率金属酸化物膜の作製方法、高誘電率金属酸化物膜、ゲート絶縁膜、及び半導体素子

    酒井 朗、安田 幸夫、財満 鎭明、坂下 満男、近藤 博基、坂下 真介

     詳細を見る

    出願人:国立大学法人名古屋大学

    出願番号:特許出願2003-172182  出願日:2003年6月

    公開番号:特許公開2005-11887 

    特許番号/登録番号:特許第3831764号  登録日:2005年1月 

    出願国:国内  

    半導体素子のゲート絶縁膜などとして好適に用いることのできる、高誘電率かつ結晶粒界などの欠陥が少なく、リーク電流などを十分に抑制することができる、新規な高誘電率金属酸化物膜を提供する。

  5. MOSデバイス及びその製造方法

    安田 幸夫、財満 鎭明、酒井 明、坂下 満男

     詳細を見る

    出願人:名古屋大学長

    出願番号:特許出願2000-159471  出願日:2000年5月

    公開番号:特許公開2001-339061 

    登録日:2001年12月 

    出願国:国内  

    MOSトランジスタの相互コンダクタンス及び電流駆動能力の低下を防止する。

 

担当経験のある科目 (本学) 17

  1. 物理工学実験第3

    2022

  2. 物理工学実験第2

    2022

  3. 物理工学実験第1

    2022

  4. 結晶デバイスセミナー2C

    2020

  5. 結晶デバイスセミナー2B

    2020

  6. 結晶デバイスセミナー2A

    2020

  7. 結晶デバイスセミナー1D

    2020

  8. 結晶デバイスセミナー1C

    2020

  9. 結晶デバイスセミナー1B

    2020

  10. 結晶デバイスセミナー1A

    2020

  11. 物理工学実験第3

    2020

  12. 物理工学実験第2

    2020

  13. 物理工学実験第1

    2020

  14. 結晶デバイスセミナー2D

    2020

  15. 結晶デバイス工学特別実験及び演習B

    2020

  16. 結晶デバイス工学特別実験及び演習A

    2020

  17. 結晶デバイスセミナー2E

    2020

▼全件表示