Updated on 2023/09/29

写真a

 
TANAKA Atsushi
 
Organization
Institute of Materials and Systems for Sustainability Center for Integrated Research of Future Electronics Innovative Devices Section Designated associate professor
Title
Designated associate professor

Degree 1

  1. 博士(工学) ( 2008.3   東京工業大学 ) 

Research Interests 1

  1. パワーデバイス、デバイス設計、デバイスプロセス、結晶欠陥、転位、レーザ加工、GaN、SiC、半導体デバイス物理

Research Areas 1

  1. Manufacturing Technology (Mechanical Engineering, Electrical and Electronic Engineering, Chemical Engineering) / Electron device and electronic equipment

Current Research Project and SDGs 1

  1. GaNパワーデバイス

Research History 6

  1. Nagoya University   Designated associate professor

    2017.3

  2. 物質・材料研究機構   特別研究員

    2017.3 - 2022.2

  3. Nagoya University   Researcher

    2015.7 - 2017.2

  4. 産業技術総合研究所   研究員

    2010.4 - 2015.6

  5. 富士電機株式会社   社員

    2008.6 - 2015.6

  6. Tokyo Institute of Technology   Researcher

    2005.4 - 2008.5

▼display all

Education 3

  1. Tokyo Institute of Technology

    2005.4 - 2008.3

  2. Tokyo Institute of Technology

    2003.4 - 2005.3

  3. Tokyo Institute of Technology

    1999.4 - 2003.3

 

Papers 69

  1. Junction Diameter Dependence of Oscillation Frequency of GaN IMPATT Diode Up to 21 GHz

    Kawasaki S., Kumabe T., Ando Y., Deki M., Watanabe H., Tanaka A., Honda Y., Arai M., Amano H.

    IEEE Electron Device Letters   Vol. 44 ( 8 ) page: 1328 - 1331   2023.8

     More details

    Publisher:IEEE Electron Device Letters  

    An experimental study on the effects of junction capacitance and current density on the oscillation characteristics of GaN single-drift-region (SDR) impact ionization avalanche transit-time (IMPATT) diodes were carried out using GaN p+-n abrupt junction diodes of various diameters, 200, 150, and 100 μ m , with a depletion layer width of 2 μ m. The fabricated diodes showed a clear avalanche breakdown at 315 V and a pulsed microwave oscillation with a peak output power exceeding 30 dBm. The oscillation frequency depended on junction diameter and current density. It was widely modulated from 8.56 to 21.1 GHz with decreasing junction diameter and increasing current density. The highest oscillation frequency was obtained with a current density of 13.8 kA/cm2 and a junction diameter of 100 μ m. A numerical calculation based on Read-type small-signal theory was carried out and found to well explain the experimental results.

    DOI: 10.1109/LED.2023.3285938

    Scopus

  2. Reverse Leakage Mechanism of Dislocation-Free GaN Vertical p-n Diodes

    Kwon W., Kawasaki S., Watanabe H., Tanaka A., Honda Y., Ikeda H., Iso K., Amano H.

    IEEE Electron Device Letters   Vol. 44 ( 7 ) page: 1172 - 1175   2023.7

     More details

    Publisher:IEEE Electron Device Letters  

    The reverse leakage mechanism of threading dislocation (TD)-free gallium nitride (GaN) vertical p-n diode was investigated in various temperature range, and it was compared with that of the p-n diode having a threading dislocation density (TDD) of around 10^6 cm -2. The reverse leakage current was increased markedly by increasing the temperature from 400 K, the dominant mechanism was explained by thermionic and Poole-Frenkel emissions for TD-free and high-TDD p-n diodes, respectively. At high temperatures and electric fields, the leakage current of the high TDD p-n diode showed 2 times higher than the TD-free p-n diode. These results indicate that the performance of vertical GaN devices, especially when employed at high temperatures and electric fields, can be enhanced by removing TDs.

    DOI: 10.1109/LED.2023.3274306

    Scopus

  3. Impact of Sidewall Conditions on Internal Quantum Efficiency and Light Extraction Efficiency of Micro-LEDs

    Park J.H., Pristovsek M., Cai W., Cheong H., Tanaka A., Furusawa Y., Han D.P., Seong T.Y., Amano H.

    Advanced Optical Materials   Vol. 11 ( 10 )   2023.5

     More details

    Publisher:Advanced Optical Materials  

    The sidewall condition is a key factor determining the performance of micro-light emitting diodes (µLEDs). In this study, equilateral triangular III-nitride blue µLEDs are prepared with exclusively m-plane sidewall surfaces to confirm the impact of sidewall conditions. It is found that inductively coupled plasma-reactive ion etching (ICP-RIE) causes surface damages to the sidewall and results in rough surface morphology. As confirmed by time-resolved photoluminescence (TRPL) and X-ray photoemission spectroscopy (XPS), tetramethylammonium hydroxide (TMAH) eliminates the etching damage and flattens the sidewall surface. After ICP-RIE, 100 µm2-µLEDs yield higher external quantum efficiency (EQE) than 400 µm2-µLEDs. However, after TMAH treatment, the peak EQE of 400 µm2-µLEDs increases by ≈10% in the low current regime, whereas that of 100 µm2-µLEDs slightly decreases by ≈3%. The EQE of the 100 µm2-µLEDs decreases after TMAH treatment although the internal quantum efficiency (IQE) increases. Further, the IQE of the 100 µm2-µLEDs before and after TMAH treatment is insignificant at temperatures below 150 K, above which it becomes considerable. Based on PL, XPS, scanning transmission electron microscopy, and scanning electron microscopy results, mechanisms for the size dependence of the EQE of µLEDs are explained in terms of non-radiative recombination rate and light extraction.

    DOI: 10.1002/adom.202203128

    Scopus

  4. Investigation of Electrical Properties of N‐Polar AlGaN/AlN Heterostructure Field Effect Transistors

    Daisuke Inahara, Shunsuke Matsuda, Wataru Matsumura, Ryo Okuno, Koki Hanasaku, Taketo Kowaki, Minagi Miyamoto, Yongzhao Yao, Yukari Ishikawa, Atsushi Tanaka, Yoshio Honda, Shugo Nitta, Hiroshi Amano, Satoshi Kurai, Narihito Okada, Yoichi Yamada

    physica status solidi (a)   Vol. 220 ( 16 )   2023.5

     More details

    Publishing type:Research paper (scientific journal)   Publisher:Wiley  

    DOI: 10.1002/pssa.202200871

    Scopus

  5. Author Correction: Laser slice thinning of GaN-on-GaN high electron mobility transistors (Scientific Reports, (2022), 12, 1, (7363), 10.1038/s41598-022-10610-4)

    Tanaka A., Sugiura R., Kawaguchi D., Wani Y., Watanabe H., Sena H., Ando Y., Honda Y., Igasaki Y., Wakejima A., Ando Y., Amano H.

    Scientific Reports   Vol. 12 ( 1 )   2022.12

     More details

    Publisher:Scientific Reports  

    The original version of this Article contained a repeated error where the symbol for micrometres “μm” was incorrectly given as millimetres “mm” in the Introduction, Experiments, Results and discussion, Figure 2 legend and the Conclusion. This error has been corrected throughout the text. The original Article has been corrected.

    DOI: 10.1038/s41598-022-12628-0

    Scopus

  6. Laser slice thinning of GaN-on-GaN high electron mobility transistors

    Tanaka A., Sugiura R., Kawaguchi D., Wani Y., Watanabe H., Sena H., Ando Y., Honda Y., Igasaki Y., Wakejima A., Ando Y., Amano H.

    Scientific Reports   Vol. 12 ( 1 )   2022.12

     More details

    Publisher:Scientific Reports  

    As a newly developed technique to slice GaN substrates, which are currently very expensive, with less loss, we previously reported a laser slicing technique in this journal. In the previous report, from the perspective of GaN substrate processing, we could only show that the GaN substrate could be sliced by a laser and that the sliced GaN substrate could be reused. In this study, we newly investigated the applicability of this method as a device fabrication process. We demonstrated the thinning of GaN-on-GaN high-electron-mobility transistors (HEMTs) using a laser slicing technique. Even when the HEMTs were thinned by laser slicing to a thickness of 50 mm after completing the fabrication process, no significant fracture was observed in these devices, and no adverse effects of laser-induced damage were observed on electrical characteristics. This means that the laser slicing process can be applied even after device fabrication. It can also be used as a completely new semiconductor process for fabricating thin devices with thicknesses on the order of 10 mm, while significantly reducing the consumption of GaN substrates.

    DOI: 10.1038/s41598-022-10610-4

    Scopus

  7. Substitutional diffusion of Mg into GaN from GaN/Mg mixture

    Itoh Y., Lu S., Watanabe H., Deki M., Nitta S., Honda Y., Tanaka A., Amano H.

    Applied Physics Express   Vol. 15 ( 11 )   2022.11

     More details

    Publisher:Applied Physics Express  

    We evaluated Mg-diffusion into GaN from GaN/Mg mixture. The diffusion depth of Mg increased with diffusion temperature from 1100 °C to 1300 °C, whereas the Mg concentration remained constant at 2-3 × 1018 cm−3 independent of temperature. The estimated activation energy for Mg diffusion was 2.8 eV, from which the substitutional diffusion mechanism was predicted. Mg-diffused GaN samples showed p-type conductivity with a maximum hole mobility of 27.7 cm2 V−1 s−1, suggesting that substitutional diffusion contributes to Mg activation. This diffusion technique can be used to easily form p-type GaN and has potential as a p-type selective doping technique.

    DOI: 10.35848/1882-0786/ac9c83

    Scopus

  8. Evaluation of electroluminescence of AlGaN/GaN HEMT on free-standing GaN substrate

    Ma Q., Ando Y., Tanaka A., Wakejima A.

    Applied Physics Express   Vol. 15 ( 9 )   2022.9

     More details

    Publisher:Applied Physics Express  

    This paper investigated electroluminescence (EL) in AlGaN/GaN high electric mobility transistors fabricated on a free-standing GaN substrate (GaN-on-GaN) with ones on a SiC substrate (GaN-on-SiC) as a reference. When a drain voltage (V ds) of the GaN-on-GaN was increased, the EL peak was kept beside the gate, indicating that the highest electric field region stayed in the vicinity of the gate. On the other hand, EL of the GaN-on-SiC shifted from the gate to the drain electrode under an increased V ds. Our results indicate that the high-electric-field tolerance of GaN-on-GaN is higher than that of GaN-on-SiC, indicating that GaN-on-GaN is more suitable for high-voltage operation.

    DOI: 10.35848/1882-0786/ac8782

    Scopus

  9. "regrowth-free" fabrication of high-current-gain AlGaN/GaN heterojunction bipolar transistor with N-p-n configuration

    Kumabe T., Watanabe H., Ando Y., Tanaka A., Nitta S., Honda Y., Amano H.

    Applied Physics Express   Vol. 15 ( 4 )   2022.4

     More details

    Publisher:Applied Physics Express  

    An AlGaN/GaN heterojunction bipolar transistor (HBT) with N-p-n configuration was fabricated by the "regrowth-free"method, resulting in a contamination-free emitter-base AlGaN/GaN heterojunction. The low-bias-power-based low-damage inductively coupled plasma-reactive ion etching was employed in this study for emitter mesa definition instead of the conventional selective-area-regrowth technique. The method successfully minimized the etching-induced damage in the p-GaN base layer and the contamination at the emitter-base AlGaN/GaN heterojunction. Consequently, the fabricated device exhibited a high current gain of 25, the highest current density of 15.0 kA cm-2, and the lowest on-state voltage offset of 0.75 V ever reported for AlGaN/GaN HBTs.

    DOI: 10.35848/1882-0786/ac6197

    Scopus

  10. Improved device performance of vertical GaN-on-GaN nanorod Schottky barrier diodes with wet-etching process

    Liao Y., Chen T., Wang J., Cai W., Ando Y., Yang X., Watanabe H., Tanaka A., Nitta S., Honda Y., Chen K.J., Amano H.

    Applied Physics Letters   Vol. 120 ( 12 )   2022.3

     More details

    Publisher:Applied Physics Letters  

    In this work, a deliberate etching-based top-down approach is proposed to fabricate the GaN nanorod (NR) Schottky barrier diode (SBD). As a key step during the fabrication, the impact of the wet-etching process on device performance is systematically studied. By virtue of the reduced surface states at the sidewall, the performance of NR SBD with the wet-etching process is substantially improved, delivering a forward turn-on voltage of 0.65 V, a current density of ∼10 kA/cm2 at 3 V, an ideality factor of 1.03, an ON/OFF current ratio of ∼1010, and no severe current collapse, along with a reverse breakdown voltage of 772 V.

    DOI: 10.1063/5.0083194

    Scopus

  11. Effect of beam current on defect formation by high-temperature implantation of Mg ions into GaN

    Yuta Itoh, Hirotaka Watanabe, Yuto Ando, Emi Kano, Manato Deki, Shugo Nitta, Yoshio Honda, Atsushi Tanaka, Nobuyuki Ikarashi, Hiroshi Amano

    Applied Physics Express   Vol. 15 ( 2 )   2022.2

     More details

    Publishing type:Research paper (scientific journal)  

    We evaluated the beam current dependence of defect formation during Mg ion implantation into GaN at a high temperature of 1100 °C with two beam currents. Photoluminescence spectra suggest that low-beam-current implantation reduced the vacancy concentration and activated Mg to a greater extent. Moreover, scanning transmission electron microscopy analysis showed that low-beam-current implantation reduced the density of Mg segregation defects with inactive Mg and increased the density of intrinsic dislocation loops, suggesting decreases in the densities of Ga and N vacancies. The formation of these defects depended on beam current, which is an important parameter for defect suppression.

    DOI: 10.35848/1882-0786/ac481b

    Scopus

  12. Bias-Dependence of Electroluminescence in AlGaN/GaN High-Electron-Mobility Transistors on SiC Substrate

    Ma Q., Urano S., Tanaka A., Ando Y., Wakejima A.

    IEEE Journal of the Electron Devices Society   Vol. 10   page: 297 - 300   2022

     More details

    Publisher:IEEE Journal of the Electron Devices Society  

    This paper investigates bias-dependence of electroluminescence (EL) in an AlGaN/GaN HEMT fabricated on a SiC substrate. The HEMT exhibited a low-intensity reddish EL at the gate electrode at a drain voltage (Vds) of 30 V, which was confirmed with combination of a top-side view using a CMOS sensor camera and a back-side view using a silicon-intensified CCD. As Vds was increased to 48 V, color change from low-intensity red to high-intensity white was accompanied with shift of the location from the gate to the drain edge. The changes in the EL are attributed to a shift of the high electric field from the gate to the drain electrode and a concentration of electric field near the drain edge.

    DOI: 10.1109/JEDS.2022.3163379

    Scopus

  13. Smart-cut-like laser slicing of GaN substrate using its own nitrogen

    Atsushi Tanaka, Ryuji Sugiura, Daisuke Kawaguchi, Toshiki Yui, Yotaro Wani, Tomomi Aratani, Hirotaka Watanabe, Hadi Sena, Yoshio Honda, Yasunori Igasaki, Hiroshi Amano

    Scientific Reports   Vol. 11 ( 1 )   2021.12

     More details

    Publishing type:Research paper (scientific journal)  

    We have investigated the possibility of applying lasers to slice GaN substrates. Using a sub-nanosecond laser with a wavelength of 532 nm, we succeeded in slicing GaN substrates. In the laser slicing method used in this study, there was almost no kerf loss, and the thickness of the layer damaged by laser slicing was about 40 µm. We demonstrated that a standard high quality homoepitaxial layer can be grown on the sliced surface after removing the damaged layer by polishing.

    DOI: 10.1038/s41598-021-97159-w

    Scopus

    PubMed

  14. Gallium nitride wafer slicing by a sub-nanosecond laser: effect of pulse energy and laser shot spacing

    Hadi Sena, Atsushi Tanaka, Yotaro Wani, Tomomi Aratani, Toshiki Yui, Daisuke Kawaguchi, Ryuji Sugiura, Yoshio Honda, Yasunori Igasaki, Hiroshi Amano

    Applied Physics A: Materials Science and Processing   Vol. 127 ( 9 )   2021.9

     More details

    Publishing type:Research paper (scientific journal)  

    Gallium nitride (GaN)-based devices surpass the traditional silicon-based power devices in terms of higher breakdown voltage, faster-switching speed, higher thermal conductivity, and lower on-resistance. However, heteroepitaxial GaN growths like GaN on sapphire are not suitable for power devices due to the threading dislocation densities as high as 108/cm2. Recently, homoepitaxial GaN growth has become possible thanks to the native GaN substrates with dislocation densities in the order of 104/cm2 but the extremely high cost of the GaN substrates makes the homoepitaxy method unacceptable for industrial applications, and the slicing of wafers for reusing them is an effective solution for cost reduction. In this study, we will investigate a route for slicing the GaN single crystal substrate by controlling the laser pulse energy and changing the distance between each laser shot. The 2D and 3D crack propagations are observed by a multiphoton confocal microscope, and the cross section of samples is observed by a scanning electron microscope (SEM). The results showed that two types of radial and lateral cracking occurred depending on the pulse energy and shot pitch, and controlling them was of importance for attaining a smooth GaN substrate slicing. Cross-sectional SEM images showed that at suitable pulse energy and distance, crack propagation could be controlled with respect to the irradiation plane.

    DOI: 10.1007/s00339-021-04808-y

    Scopus

  15. Demonstration of high thermal performance GaN-on-graphite composite bonded substrate for application in III-V nitride electronics

    Lei Li, Tomohiro Obata, Aozora Fukui, Kai Takeuchi, Tadatomo Suga, Atsushi Tanaka, Akio Wakejima

    Applied Physics Express   Vol. 14 ( 9 )   2021.9

     More details

    Publishing type:Research paper (scientific journal)  

    Superior thermal performance of GaN/graphite composite (GC) bonded substrates having an ultralow thermal resistance (Rth) has been demonstrated. Thermal transition in GaN-on-GC features fast relaxation process in GaN and slow case in GC, respectively. The temperature plateau at the GaN/GC interface indicates the Rth across this interface is quite small and hence can be ignored. High-quality bonding interface and homogenous layer properties were obtained for the GaN-on-GC system. This favors the acoustic phonon transport and is bound to contribute to the outstanding thermal performance. The GaN/GC bonded substrate provides a promising candidate for thermal management applications in GaN-based electronics.

    DOI: 10.35848/1882-0786/ac15c0

    Scopus

  16. Impact of gate electrode formation process on Al<inf>2</inf>O<inf>3</inf>/GaN interface properties and channel mobility

    Yuto Ando, Manato Deki, Hirotaka Watanabe, Noriyuki Taoka, Atsushi Tanaka, Shugo Nitta, Yoshio Honda, Hisashi Yamada, Mitsuaki Shimizu, Tohru Nakamura, Hiroshi Amano

    Applied Physics Express   Vol. 14 ( 8 )   2021.8

     More details

    Publishing type:Research paper (scientific journal)  

    The interface properties of GaN metal-insulator-semiconductor (MIS) structures with a gate electrode metal deposited by electron beam (EB) or resistive heating evaporation were investigated. Also, the impact of the interface properties on the channel mobility in GaN MIS field-effect transistors was investigated. It was confirmed that interface charges including both interface states and positive fixed charges were introduced to an Al2O3/GaN interface by the formation of a gate electrode by EB evaporation. Consequently, the introduced interface charges degraded the electron mobility in the MIS channel.

    DOI: 10.35848/1882-0786/ac0ffa

    Scopus

  17. Vertical GaN-on-GaN nanowire Schottky barrier diodes by top-down fabrication approach

    Yaqiang Liao, Tao Chen, Jia Wang, Yuto Ando, Wentao Cai, Xu Yang, Hirotaka Watanabe, Jun Hirotani, Atsushi Tanaka, Shugo Nitta, Yoshio Honda, Kevin J. Chen, Hiroshi Amano

    Japanese Journal of Applied Physics   Vol. 60 ( 7 )   2021.7

     More details

    Publishing type:Research paper (scientific journal)  

    An optimized top-down approach was utilized to fabricate vertical GaN-on-GaN nanowire Schottky barrier diodes (NWSBDs) in this letter. The suppressed reverse leakage current and enhanced breakdown voltage (BV) of the vertical GaN NWSBDs are attributed to the reduced electric field at the interface of the Schottky junction achieved through the dielectric reduced surface field technique. As-fabricated NWSBD delivers a low turn-on voltage of 0.80 V, a near-unity ideality factor of 1.04, along with a soft BV of 480 V. The measured soft BV is comparable with the avalanche BV of the p-n diode with a similar net doping concentration in the drift region.

    DOI: 10.35848/1347-4065/ac06b5

    Scopus

  18. Low temperature bonding of GaN and carbon composite via Au capping layer activated by Ar fast atom bombardment

    Kai Takeuchi, Suga Tadatomo, Atsushi Tanaka, Akio Wakejima

    2021 International Conference on Electronics Packaging, ICEP 2021     page: 43 - 44   2021.5

     More details

    Publishing type:Research paper (international conference proceedings)  

    Bonding of GaN to highly thermal conductive materials such as carbon composite (CC) are expected to address the thermal management challenges in the high-power devices. The bonding interface is required to have low heat resistance. In order to avoid adapting the damage to the GaN device by high temperature bonding process, we propose the bonding of GaN to CC via thin Au layers based on the surface activation bonding technique. The Au layers deposited on GaN and CC are successfully bonded at low temperature of 150°C in air after the surface treatment using Ar ion beam irradiation.

    DOI: 10.23919/ICEP51988.2021.9451955

    Scopus

  19. Etching-induced damage in heavily Mg-doped p-type GaN and its suppression by low-bias-power inductively coupled plasma-reactive ion etching

    Takeru Kumabe, Yuto Ando, Hirotaka Watanabe, Manato Deki, Atsushi Tanaka, Shugo Nitta, Yoshio Honda, Hiroshi Amano

    Japanese Journal of Applied Physics   Vol. 60 ( SB )   2021.5

     More details

    Publishing type:Research paper (scientific journal)  

    Inductively coupled plasma–reactive ion etching (ICP–RIE)-induced damage in heavily Mg-doped p-type GaN ([Mg] = 2 × 1019 cm−3) was investigated by low-temperature photoluminescence (PL) and depth-resolved cathodoluminescence (CL) spectroscopy. From PL measurements, we found broad yellow luminescence (YL) with a maximum at around 2.2–2.3 eV, whose origin was considered to be isolated nitrogen vacancies (VN), only in etched samples. The depth-resolved CL spectroscopy revealed that the etching-induced YL was distributed up to the electron-beam penetration depth of around 200 nm at a high ICP–RIE bias power (Pbias). Low-bias-power (low-Pbias) ICP–RIE suppressed the YL and its depth distribution to levels similar to those of an unetched sample, and a current–voltage characteristic comparable to that of an unetched sample was obtained for a sample etched with Pbias of 2.5 W.

    DOI: 10.35848/1347-4065/abd538

    Scopus

  20. Experimental demonstration of GaN IMPATT diode at X-band

    Seiya Kawasaki, Yuto Ando, Manato Deki, Hirotaka Watanabe, Atsushi Tanaka, Shugo Nitta, Yoshio Honda, Manabu Arai, Hiroshi Amano

    Applied Physics Express   Vol. 14 ( 4 )   2021.3

     More details

    Publishing type:Research paper (scientific journal)  

    We report the first experimental demonstration of microwave oscillation in GaN impact ionization avalanche time transit (IMPATT) diodes at the X-band. The device used in this study is a single drift diode with a p+–n simple abrupt junction and vertical mesa termination. The reverse I–V characteristic of the diode shows low leakage current, clear avalanche breakdown, and high avalanche capability, as required for IMPATT operation. Microwave testing is performed in an X-band waveguide circuit with a reduced-height waveguide resonant cavity. Oscillations are observed at 9.52 GHz at a power of ∼56 mW.

    DOI: 10.35848/1882-0786/abe3dc

    Scopus

  21. Fabrication of GaN cantilever on GaN substrate by photo-electrochemical etching

    Takehiro Yamada, Yuto Ando, Hirotaka Watanabe, Yuta Furusawa, Atsushi Tanaka, Manato Deki, Shugo Nitta, Yoshio Honda, Jun Suda, Hiroshi Amano

    Applied Physics Express   Vol. 14 ( 3 )   2021.3

     More details

    Publishing type:Research paper (scientific journal)  

    Photo-electrochemical (PEC) etching is a promising technique for fabricating GaN microelectromechanical systems devices. In this study, we demonstrate the fabrication of GaN cantilevers by the bandgap-selective PEC etching of an InGaN superlattice sacrificial layer. By using an InGaN superlattice as a sacrifice layer, we found the PEC etching rate became higher than using a normal InGaN layer. As a result, the InGaN superlattice was completely etched and we fabricated GaN-based cantilevers whose resonance characteristics were measured. The Young’s modulus of GaN was determined from the resonance characteristics of GaN cantilevers to be the same as the highest value reported previously.

    DOI: 10.35848/1882-0786/abe657

    Scopus

  22. Suppression of the regrowth interface leakage current in AlGaN/GaN HEMTs by unactivated Mg doped GaN layer

    T. Liu, H. Watanabe, S. Nitta, J. Wang, G. Yu, Y. Ando, Y. Honda, H. Amano, A. Tanaka, Y. Koide

    Applied Physics Letters   Vol. 118 ( 7 )   2021.2

     More details

    Publishing type:Research paper (scientific journal)  

    The leakage current caused by the Si pileup at the regrowth interface of AlGaN/GaN high electron mobility transistors (HEMTs) is significantly suppressed by the semi-insulating Mg-doped GaN layer. Mg is unintentionally doped and can be originated from the graphite susceptor of metal organic vapor phase epitaxy. Before regrowth of the AlGaN/GaN heterostructure, the GaN template is treated with hydrochloric acid (HCl) and hydrogen peroxide/potassium hydroxide (H2O2/KOH) solution to promote the adsorption of Mg on the GaN surface. The Mg-doped GaN channel layer is highly resistive due to the passivation of hydrogen. The p-n junction formed by the weakly p-type Mg-doped GaN layer and the n-type Si pileup layer depletes the excess electrons at the regrowth interface. As a result, the off-state drain leakage current of the HEMT device can be decreased by two orders of magnitude at 40 V.

    DOI: 10.1063/5.0034584

    Scopus

  23. Electrical properties of GaN metal-insulator-semiconductor field-effect transistors with Al2O3/GaN interfaces formed on vicinal Ga-polar and nonpolar surfaces Reviewed

    Yuto Ando, Kentaro Nagamatsu, Manato Deki, Noriyuki Taoka, Atsushi Tanaka, Shugo Nitta, Yoshio Honda, Tohru Nakamura, Hiroshi Amano

    Applied Physics Letters   Vol. 117 ( 24 ) page: 242104 - 242104   2020.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:AIP Publishing  

    GaN metal-insulator-semiconductor field-effect transistors (MISFETs) with Ni/Al2O3/GaN gate stack structures formed on a vicinal Ga-polar (c-plane) or nonpolar (m-plane) surface were fabricated. Current-voltage characteristics and carrier transport properties of the channels in the MISFETs with and without post-metallization annealing (PMA) were systematically investigated. GaN layers grown on freestanding substrates with vicinal surfaces led to good transistor behaviors for the m-plane as well as the c-plane. It was found that PMA improves the electron mobility in the MIS channel (mu(EFF)) for both the c- and m-planes, and that mu(EFF) for the m-plane is slightly higher than that for the c-plane both with and without PMA. Temperature dependences of mu(EFF) clarified that mu(EFF) for the m-plane is dominantly limited by phonon scattering, while both Coulomb and phonon scatterings are dominant for the c-plane. Consequently, we achieved high performance for the GaN MISFETs fabricated on the m-plane because of the reduced numbers of Coulomb scattering centers at the Al2O3/GaN interface. Published under license by AIP Publishing.

    DOI: 10.1063/5.0028516

    Web of Science

  24. Low interface state densities at Al<inf>2</inf>O<inf>3</inf>/GaN interfaces formed on vicinal polar and non-polar surfaces

    Yuto Ando, Kentaro Nagamatsu, Manato Deki, Noriyuki Taoka, Atsushi Tanaka, Shugo Nitta, Yoshio Honda, Tohru Nakamura, Hiroshi Amano

    Applied Physics Letters   Vol. 117 ( 10 )   2020.9

     More details

    Publishing type:Research paper (scientific journal)  

    Ni/Al2O3/GaN structures with vicinal GaN surfaces from the c- or m-plane were formed. Then, electrical interface properties of the structures were systematically investigated. It was found that interface state density (Dit) at the Al2O3/GaN interface for the c-plane is higher than that for the m-plane, and post-metallization annealing is quite effective to reduce Dit for both c- and m-planes. As a result, the low Dit value of ∼3 × 1010 eV-1 cm-2 was demonstrated for both planes.

    DOI: 10.1063/5.0010774

    Scopus

  25. Dielectric Ruduced Surface Field Effect on Vertical GaN-on-GaN Nanowire Schottky Barrier Diodes

    Yaqiang Liao, Tao Chen, Jia Wang, Yuto Ando, Xu Yang, Hirotaka Watanabe, Jun Hirotani, Maki Kushimoto, Manato Deki, Atsushi Tanaka, Shugo Nitta, Yoshio Honda, Kevin J. Chen, Hiroshi Amano

    Proceedings of the International Symposium on Power Semiconductor Devices and ICs   Vol. 2020-September   page: 349 - 352   2020.9

     More details

    Publishing type:Research paper (international conference proceedings)  

    In this work, gallium nitride (GaN) nanowire (NW) Schottky barrier diodes was fabricated using well-optimized top-down approach. As-fabricated $100 \times 800$-nm-diameter NWs SBD with high current density over 1kA/cm2 at a forward bias of 2.2V, a low differential specific ON-resistance of $0.15\mathrm{m} \Omega \cdot cm^{2}$ are demonstrated. By the virtue of dielectric Reduced Surface Field (RESURF) effect, the device also delivers a breakdown voltage of 515V, leading to a competitive Baliga's Figure of merit of 1.76 GW/cm2.

    DOI: 10.1109/ISPSD46842.2020.9170101

    Scopus

  26. Screw dislocation that converts p-type GaN to n-type: Microscopic study on Mg condensation and leakage current in p-n diodes

    T. Nakano, Y. Harashima, K. Chokawa, K. Shiraishi, A. Oshiyama, Y. Kangawa, S. Usami, N. Mayama, K. Toda, A. Tanaka, Y. Honda, H. Amano

    Applied Physics Letters   Vol. 117 ( 1 )   2020.7

     More details

    Publishing type:Research paper (scientific journal)  

    Recent experiments suggest that Mg condensation at threading dislocations induces current leakage, leading to degradation of GaN-based power devices. To investigate this, we perform first-principles total-energy electronic-structure calculations for various Mg and dislocation complexes. We find that threading screw dislocations (TSDs) indeed attract Mg impurities, and that the electronic levels in the energy gap induced by the dislocations are elevated toward the conduction band as the Mg impurity approaches the dislocation line, indicating that the Mg-TSD complex is a donor. The formation of the Mg-TSD complex is unequivocally evidenced by atom probe tomography in which Mg condensation around the [0001] screw dislocation is observed in a p-n diode. These findings provide a picture in which the Mg, being a p-type impurity in GaN, diffuses toward the TSD and then locally forms an n-type region. The appearance of this region along the TSD results in local formation of an n-n junction and leads to an increase in the reverse leakage current.

    DOI: 10.1063/5.0010664

    Scopus

  27. Lattice bow in thick, homoepitaxial GaN layers for vertical power devices

    Qiang Liu, Naoki Fujimoto, Jian Shen, Shugo Nitta, Atsushi Tanaka, Yoshio Honda, Zlatko Sitar, Michał Boćkowski, Yoshinao Kumagai, Hiroshi Amano

    Journal of Crystal Growth   Vol. 539   2020.6

     More details

    Publishing type:Research paper (scientific journal)  

    Lattice bow generated by 40 µm thick HVPE homoepitaxial layers on commercial free-standing, ammonothermal and HVPE GaN wafers was studied. While a change in lattice bow was measured for all wafers, the additional bow on the ammonothermal GaN wafers was minimal. The main driving force for the observed increase in the lattice bow for HVPE wafers was related to stress in the films generated by the elongation of dislocations via climb and generation of new dislocations at the homoepitaxial interface. Lattice bow is a crucial wafer parameter as it determines the variation of the offcut across the surface. If an offcut variation of 0.1° is allowed for desired control surface morphology, composition of alloys, and uniformity of doping on this surface, the measured bow on the two HVPE GaN wafers and one ammonothermal GaN wafer limits their uniformity-diameter to ~0.5″, 1″ and >4″, respectively.

    DOI: 10.1016/j.jcrysgro.2020.125643

    Scopus

  28. Oxygen Incorporation Kinetics in Vicinal m(10−10) Gallium Nitride Growth by Metal-Organic Vapor Phase Epitaxy

    Daichi Yosho, Fumiya Shintaku, Yuya Inatomi, Yoshihiro Kangawa, Jun Ichi Iwata, Atsushi Oshiyama, Kenji Shiraishi, Atsushi Tanaka, Hiroshi Amano

    Physica Status Solidi - Rapid Research Letters   Vol. 14 ( 6 )   2020.6

     More details

    Publishing type:Research paper (scientific journal)  

    The oxygen incorporation kinetics of vicinal m(10−10) gallium nitride (GaN) growth during metal-organic vapor phase epitaxy is clarified using a diffusion equation-based approach that incorporates diffusion potentials obtained by large-scale density functional theory (DFT) calculations. A diffusion model based on the Burton, Cabrera and Frank (BCF) theory is proposed, and then, the oxygen concentration in the epitaxial films is calculated quantitatively. The calculation results agree with the experimental tendency that the oxygen concentration in the −c 5° off m-GaN epilayers is lower than that in the +c 5° off m-GaN epilayers. Then, the off-angle dependence of oxygen incorporation in vicinal m-GaN growth is predicted.

    DOI: 10.1002/pssr.202000142

    Scopus

  29. Impact of high-Temperature implantation of Mg ions into GaN

    Masahiro Takahashi, Atsushi Tanaka, Yuto Ando, Hirotaka Watanabe, Manato Deki, Maki Kushimoto, Shugo Nitta, Yoshio Honda, Kohei Shima, Kazunobu Kojima, Shigefusa F. Chichibu, Hiroshi Amano

    Japanese Journal of Applied Physics   Vol. 59 ( 5 )   2020.5

     More details

    Publishing type:Research paper (scientific journal)  

    Magnesium (Mg) ion implantation into gallium nitride (GaN) at 1000 °C is proposed. Since ion implantation and annealing occur simultaneously in high-Temperature implantation, it is considered that Mg ions can be introduced at an appropriate position upon their implantation. GaN vertical diodes implanted with Mg ions were fabricated and current-voltage measurements were performed. As a result, clear rectifying properties were confirmed in a sample that was implanted with Mg ions at 1000 °C and annealed after implantation. However, the sample subjected to Mg ion implantation at RT and annealed after implantation showed no clear rectification. These results show that high-Temperature implantation of Mg ions at 1000 °C reduces Mg ion implantation-induced damage and simultaneously activates Mg ions upon their implantation.

    DOI: 10.35848/1347-4065/ab8b3d

    Scopus

  30. Computational study of oxygen stability in vicinal m(10-10)-GaN growth by MOVPE

    Fumiya Shintaku, Daichi Yosho, Yoshihiro Kangawa, Jun Ichi Iwata, Atsushi Oshiyama, Kenji Shiraishi, Atsushi Tanaka, Hiroshi Amano

    Applied Physics Express   Vol. 13 ( 5 )   2020.5

     More details

    Publishing type:Research paper (scientific journal)  

    Using density functional calculations, we clarify the oxygen incorporation mechanism in vicinal m-GaN growth by metal-organic vapor-phase epitaxy. We first identify reconstructed structures of 5° off m-GaN toward the ±c directions. Next, we explore preferable sites for oxygen substitution near step edges. We find that oxygen prefers the lower nitrogen site of the step edge on the +c 5° off m-GaN substrate compared with that on the-c 5° off m-GaN substrate. This tendency agrees with recent experimental findings that the oxygen concentration in-c 5° off m-GaN epilayers is lower than that in +c 5° off m-GaN epilayers.

    DOI: 10.35848/1882-0786/ab8723

    Scopus

  31. Demonstration of Observation of Dislocations in GaN by Novel Birefringence Method

    Atsushi Tanaka, Syo Inotsume, Shunta Harada, Kenji Hanada, Yoshio Honda, Toru Ujihara, Hiroshi Amano

    Physica Status Solidi (B) Basic Research   Vol. 257 ( 4 )   2020.4

     More details

    Publishing type:Research paper (scientific journal)  

    Herein, a newly developed birefringence microscope is used to observe dislocations in gallium nitride (GaN) substrates. The comparison results of the observation method using this microscope with other observation methods, such as X-ray topography and Raman microscopy, confirms that dislocations in a GaN substrate can be detected with a birefringence microscope. In addition, the observation can be carried out as easily as with an optical microscope. It is also found that under certain observation conditions, the direction of the edge component of dislocations can be determined.

    DOI: 10.1002/pssb.201900553

    Scopus

  32. Suppression of Green Luminescence of Mg-Ion-Implanted GaN by Subsequent Implantation of Fluorine Ions at High Temperature

    Masahiro Takahashi, Atsushi Tanaka, Yuto Ando, Hirotaka Watanabe, Manato Deki, Maki Kushimoto, Shugo Nitta, Yoshio Honda, Kohei Shima, Kazunobu Kojima, Shigefusa F. Chichibu, Kevin J. Chen, Hiroshi Amano

    Physica Status Solidi (B) Basic Research   Vol. 257 ( 4 )   2020.4

     More details

    Publishing type:Research paper (scientific journal)  

    Herein, gallium nitride (GaN) samples implanted with magnesium (Mg) and fluorine (F) ions are investigated by photoluminescence (PL) measurements. In low-temperature PL measurements, the characteristic green luminescence (GL) band attributable to nitrogen vacancies (VN) is observed in Mg-ion-implanted GaN. As VN are likely to act as donors, suppressing their formation is essential to realizing p-type conductivity. The energy required for a F impurity to replace VN in GaN and eventually form F on a N site decreases when the Fermi level approaches the valence band maximum, and therefore F is employed as a subsequent implantation element to compensate for VN. The GL band peak disappears upon implanting Mg and F ions at a high temperature and adjusting the F concentration to an appropriate value. This result suggests that VN generated by Mg ion implantation can be suppressed using an element with a lower formation energy than that of VN.

    DOI: 10.1002/pssb.201900554

    Scopus

  33. Visualization of different carrier concentrations in n-type-GaN semiconductors by phase-shifting electron holography with multiple electron biprisms

    Kazuo Yamamoto, Kiyotaka Nakano, Atsushi Tanaka, Yoshio Honda, Yuto Ando, Masaya Ogura, Miko Matsumoto, Satoshi Anada, Yukari Ishikawa, Hiroshi Amano, Tsukasa Hirayama

    Microscopy   Vol. 69 ( 1 ) page: 1 - 10   2020.2

     More details

    Publishing type:Research paper (scientific journal)  

    Phase-shifting electron holography (PS-EH) using a transmission electron microscope (TEM) was applied to visualize layers with different concentrations of carriers activated by Si (at dopant levels of 1019, 1018, 1017 and 1016 atoms cm-3) in n-type GaN semiconductors. To precisely measure the reconstructed phase profiles in the GaN sample, three electron biprisms were used to obtain a series of high-contrast holograms without Fresnel fringes generated by a biprism filament, and a cryo-focused-ion-beam (cryo-FIB) was used to prepare a uniform TEM sample with less distortion in the wide field of view. All layers in a 350-nm-thick TEM sample were distinguished with 1.8-nm spatial resolution and 0.02-rad phase-resolution, and variations of step width in the phase profile (corresponding to depletion width) at the interfaces between the layers were also measured. Thicknesses of the active and inactive layers at each dopant level were estimated from the observed phase profile and the simulation of theoretical band structure. Ratio of active-layer thickness to total thickness of the TEM sample significantly decreased as dopant concentration decreased; thus, a thicker TEM sample is necessary to visualize lower carrier concentrations; for example, to distinguish layers with dopant concentrations of 1016 and 1015 atoms cm-3. It was estimated that sample thickness must be more than 700 nm to make it be possible to detect sub-layers by the combination of PS-EH and cryo-FIB.

    DOI: 10.1093/jmicro/dfz037

    Scopus

    PubMed

  34. V-shaped dislocations in a GaN epitaxial layer on GaN substrate

    Atsushi Tanaka, Kentaro Nagamatsu, Shigeyoshi Usami, Maki Kushimoto, Manato Deki, Shugo Nitta, Yoshio Honda, Michal Bockowski, Hiroshi Amano

    AIP Advances   Vol. 9 ( 9 )   2019.9

     More details

    Publishing type:Research paper (scientific journal)  

    In this study, V-shaped dislocations in a GaN epitaxial layer on a free-standing GaN substrate were observed. Our investigation further revealed that the V-shaped dislocations were newly generated at the interface in the epilayer rather than propagated from the GaN substrate. V-shaped dislocations consist of two straight parts. The straight parts of the V-shaped dislocations were separated from each other in the m-direction and tilted toward the step-flow direction of the GaN epitaxial layer. The V-shaped dislocations are continuous single dislocations having a Burgers vector component of 1a and an intrinsic stacking fault between their straight parts.

    DOI: 10.1063/1.5114866

    Scopus

  35. Ammonia decomposition and reaction by high-resolution mass spectrometry for group III – Nitride epitaxial growth

    Zheng Ye, Shugo Nitta, Kentaro Nagamatsu, Naoki Fujimoto, Maki Kushimoto, Manato Deki, Atsushi Tanaka, Yoshio Honda, Markus Pristovsek, Hiroshi Amano

    Journal of Crystal Growth   Vol. 516   page: 63 - 66   2019.6

     More details

    Publishing type:Research paper (scientific journal)  

    The decomposition of ammonia (NH 3 ) in nitrogen (N 2 ) ambient was studied under non-equilibrium conditions similar to those in a metal organic vapor phase epitaxy (MOVPE) reactor during the epitaxial growth of group-III nitrides. The gas phase was sampled at different positions and analyzed using a time-of-flight mass spectrometry system with a high resolution (better than 0.002 u). Our results expand earlier findings. Even at the high temperature of 1200 °C, only 26% of NH 3 decomposed in a clean metal-free reactor, whereas a higher ratio of NH 3 decomposition was realized in the presence of stainless steel. The activation energy in the clean reactor was calculated to be 0.965 ± 0.004 eV. These results demonstrate the capability of our setup and shed new light on the elucidation of the vapor phase growth mechanism of group III-nitrides by MOVPE.

    DOI: 10.1016/j.jcrysgro.2019.03.025

    Scopus

  36. Direct evidence of Mg diffusion through threading mixed dislocations in GaN p-n diodes and its effect on reverse leakage current

    Shigeyoshi Usami, Norihito Mayama, Kazuya Toda, Atsushi Tanaka, Manato Deki, Shugo Nitta, Yoshio Honda, Hiroshi Amano

    Applied Physics Letters   Vol. 114 ( 23 )   2019.6

     More details

    Publishing type:Research paper (scientific journal)  

    Mg diffusion is a common problem in GaN devices with p-n junctions. Although this impurity diffusion is reported to occur through threading dislocations (TDs), no direct evidence has yet been obtained. Therefore, we tried the direct observation of Mg diffusion by atom probe tomography (APT) analysis. The n-type drift layer of the fabricated p-n diode was exposed, and etch pits were formed on the drift layer to identify the TD position. The APT analysis around TDs was carried out by lifting out the drift layer around specific etch pits using a focused ion beam to include TDs. The relationship between the etch pit shape and the TD type was confirmed by cross-sectional scanning transmission electron microscopy observation. The APT analysis of two types of etch pits formed on the mixed dislocations was performed, and Mg diffusion was clearly observed through the mixed dislocations. In this work, we show direct evidence of Mg diffusion via mixed dislocations in GaN p-n diodes and its effect on reverse leakage current.

    DOI: 10.1063/1.5097767

    Scopus

  37. Electronic structure analysis of core structures of threading dislocations in GaN

    Takashi Nakano, Kenta Chokawa, Masaaki Araidai, Kenji Shiraishi, Atsushi Oshiyama, Akira Kusaba, Yoshihiro Kangawa, Atsushi Tanaka, Yoshio Honda, Hiroshi Amano

    2019 Compound Semiconductor Week, CSW 2019 - Proceedings     2019.5

     More details

    Publishing type:Research paper (international conference proceedings)  

    It is known that threading dislocations degrade the performance of GaN-based electronic devices. Electronic structure of threading dislocations in GaN is not fully understood. Accordingly, we examine the electronic structures of threading dislocations in GaN using first principles calculations based on density functional theory (DFT) and to clarify the origin of the leakage current. We have comprehensively studied the relation between threading core structures and electronic property in GaN thin films. Our calculation models of threading dislocations are the edge dislocations with Burgers vectors of 1/3 [11-20] and the screw dislocations with Burgers vectors of [0001]. We examined various core types of the threading dislocations. We found that both edge dislocations and screw dislocations do not cause the leakage currents in n-type GaN based devices because no defect level appears near the conduction band bottom.

    DOI: 10.1109/ICIPRM.2019.8819270

    Scopus

  38. Vertical GaN p-n diode with deeply etched mesa and the capability of avalanche breakdown

    Hayata Fukushima, Shigeyoshi Usami, Masaya Ogura, Yuto Ando, Atsushi Tanaka, Manato Deki, Maki Kushimoto, Shugo Nitta, Yoshio Honda, Hiroshi Amano

    Applied Physics Express   Vol. 12 ( 2 )   2019.2

     More details

    Publishing type:Research paper (scientific journal)  

    A simple structure with high breakdown voltage and a low leakage current of a vertical GaN p-n diode on a GaN free-standing substrate is demonstrated. We describe a vertical p-n diode with a simple edge termination that has a drift layer etched deeply and vertically. A device simulation revealed that the electric field was more relaxed at the device edge and applied uniformly in the entire device with increasing etching depth. We fabricated the simulated structure and succeeded in reducing the leakage current and improving the breakdown voltage. With this structure, a stable avalanche breakdown can be observed.

    DOI: 10.7567/1882-0786/aafdb9

    Scopus

  39. Anisotropic mosaicity and lattice-plane twisting of an m-plane GaN homoepitaxial layer

    Jaemyung Kim, Okkyun Seo, Atsushi Tanaka, Jun Chen, Kenji Watanabe, Yoshio Katsuya, Toshihide Nabatame, Yoshihiro Irokawa, Yasuo Koide, Osami Sakata

    CrystEngComm   Vol. 21 ( 27 ) page: 4036 - 4041   2019

     More details

    Publishing type:Research paper (scientific journal)  

    We have observed anisotropic mosaicity of an m-plane GaN homoepitaxial layer by X-ray diffraction topography imaging over a wafer and X-ray rocking curves measured at various wafer points. Crystal domains were well aligned along the [0001] directions, but showed higher mosaicity along the [1210] direction. Images reconstructed from the full-width at half maximum showed stripe patterns along the [0001] direction. From the bending-angle images at two different azimuthal angles, we found that GaN (1010) planes were twisted along the [1210] direction, which generated anisotropic features. High resolution X-ray rocking curves revealed the multi-domain structure of GaN (1010) along the [1210] direction. The evaluated bending-angle distribution of 0.030 ± 0.013° mainly originated from the epitaxial layer twisting. We propose two possible mechanisms for this anisotropic feature and the stripe patterns correlated with epitaxial layer twisting.

    DOI: 10.1039/c9ce00463g

    Scopus

  40. Deeply and vertically etched butte structure of vertical GaN p-n diode with avalanche capability

    Hayata Fukushima, Shigeyoshi Usami, Masaya Ogura, Yuto Ando, Atsushi Tanaka, Manato Deki, Maki Kushimoto, Shugo Nitta, Yoshio Honda, Hiroshi Amano

    Japanese Journal of Applied Physics   Vol. 58 ( SC )   2019

     More details

    Publishing type:Research paper (scientific journal)  

    A vertical p-n diode with a simple edge termination structure on a GaN free-standing substrate is demonstrated. The edge of this device is terminated simply by etching a drift layer deeply and vertically. A device simulation revealed that the electric field at the device edge was more relaxed and uniformly applied by etching the mesa deeper than the depletion region. The fabricated device showed low leakage current and avalanche capability, and its breakdown characteristics could be reproduced many times. By emission microscopy observation, we found that there was no leakage current at the side wall of the device and that avalanche breakdown occurred throughout the inside of the device. This indicates that the electric field crowding at the side wall of the device was completely suppressed and a uniform electric field distribution was obtained by this structure.

    DOI: 10.7567/1347-4065/ab106c

    Scopus

  41. Correlation between nanopipes formed from screw dislocations during homoepitaxial growth by metal-organic vapor-phase epitaxy and reverse leakage current in vertical p-n diodes on a free-standing GaN substrates

    Shigeyoshi Usami, Atsushi Tanaka, Hayata Fukushima, Yuto Ando, Manato Deki, Shugo Nitta, Yoshio Honda, Hiroshi Amano

    Japanese Journal of Applied Physics   Vol. 58 ( SC )   2019

     More details

    Publishing type:Research paper (scientific journal)  

    We fabricated p-n diodes under different growth pressures on free-standing GaN substrates of the same quality and observed a noteworthy difference in the reverse leakage current. A large reverse leakage current was generated by nanopipes, which were formed from screw dislocations in the homoepitaxial layer. There were two types of screw dislocation observed in this study. The first type already existed in the substrate and the other was newly generated in the epilayer by the coalescence of edge and mixed dislocations. An increase in the growth pressure suppressed the transformation of screw dislocations into nanopipes, which led to a reduction in the reverse leakage current. To reduce the leakage current further, it is necessary to apply growth conditions that do not transform screw dislocation into nanopipes and to use a free-standing substrate without threading dislocations, that become nanopipes.

    DOI: 10.7567/1347-4065/ab1250

    Scopus

  42. Comparing high-purity c- and m-plane GaN layers for Schottky barrier diodes grown homoepitaxially by metalorganic vapor phase epitaxy

    Kentaro Nagamatsu, Yuto Ando, Zheng Ye, Ousmane L. Barry, Atsushi Tanaka, Manato Deki, Shugo Nitta, Yoshio Honda, Markus Pristovsek, Hiroshi Amano

    Japanese Journal of Applied Physics   Vol. 57 ( 10 )   2018.10

     More details

    Publishing type:Research paper (scientific journal)  

    GaN vertical Schottky barrier diodes (SBDs) were grown on m-plane GaN substrates by metalorganic vapor phase epitaxy (MOVPE) using a quartz-free flow channel (FC). The use of the quartz-free FC reduced the impurity concentrations of silicon and carbon by factors of 2 and 10, respectively, compared with the concentrations obtained using a conventional reactor with a quartz FC. The oxygen concentration was found to decrease with increasing the layer thickness. We achieved the same impurity concentration for the epitaxial layers grown on m-plane GaN substrates as for those grown on c-plane GaN substrates under the same growth conditions. A high resistivity of unintentionally doped GaN was achieved by decreasing the impurity concentration. Additionally, for the further understanding of the low impurity concentration in the m-plane GaN, the n-type GaN was inserted between the m-plane GaN substrate and the drift layer. The results revealed that the c- and m-plane breakdown voltages and leakage currents have similar tendencies.

    DOI: 10.7567/JJAP.57.105501

    Scopus

  43. m-Plane GaN Schottky Barrier Diodes Fabricated With MOVPE Layer on Several Off-Angle m-Plane GaN Substrates

    Atsushi Tanaka, Yuto Ando, Kentaro Nagamatsu, Manato Deki, Heajeong Cheong, Barry Ousmane, Maki Kushimoto, Shugo Nitta, Yoshio Honda, Hiroshi Amano

    Physica Status Solidi (A) Applications and Materials Science   Vol. 215 ( 9 )   2018.5

     More details

    Publishing type:Research paper (scientific journal)  

    In this study, GaN m-plane Schottky barrier diodes are fabricated by metalorganic vapor-phase epitaxy (MOVPE) on several off-angle gallium nitride (GaN) substrates, and the off-cut angle dependence of impurity incorporation is investigated. We show that the MOVPE layer on the substrate inclined 5° toward the [000–1] direction has extremely low impurity incorporation. These results provide important suggestions for the fabrication of m-plane power devices.

    DOI: 10.1002/pssa.201700645

    Scopus

  44. Correlation between dislocations and leakage current of p-n diodes on a free-standing GaN substrate

    Shigeyoshi Usami, Yuto Ando, Atsushi Tanaka, Kentaro Nagamatsu, Manato Deki, Maki Kushimoto, Shugo Nitta, Yoshio Honda, Hiroshi Amano, Yoshihiro Sugawara, Yong Zhao Yao, Yukari Ishikawa

    Applied Physics Letters   Vol. 112 ( 18 )   2018.4

     More details

    Publishing type:Research paper (scientific journal)  

    Dislocations that cause a reverse leakage current in vertical p-n diodes on a GaN free-standing substrate were investigated. Under a high reverse bias, dot-like leakage spots were observed using an emission microscope. Subsequent cathodoluminescence (CL) observations revealed that the leakage spots coincided with part of the CL dark spots, indicating that some types of dislocation cause reverse leakage. When etch pits were formed on the dislocations by KOH etching, three sizes of etch pits were obtained (large, medium, and small). Among these etch pits, only the medium pits coincided with leakage spots. Additionally, transmission electron microscopy observations revealed that pure screw dislocations are present under the leakage spots. The results revealed that 1c pure screw dislocations are related to the reverse leakage in vertical p-n diodes.

    DOI: 10.1063/1.5024704

    Scopus

  45. Development of sustainable smart society based on transformative electronics

    M. Ogura, Y. Ando, S. Usami, K. Nagamatsu, M. Kushimoto, M. Deki, A. Tanaka, S. Nitta, Y. Honda, M. Pnstovsek, H. Kawai, S. Yagi, H. Amano

    Technical Digest - International Electron Devices Meeting, IEDM     page: 30.1.1 - 30.1.4   2018.1

     More details

    Publishing type:Research paper (international conference proceedings)  

    We will review three recent advances. Defects which cause leakage under a high-voltage reverse-biased condition were identified in GaN pin diodes grown on free-standing GaN substrates. The performances of GaN-based horizontal-heterostructure superjunction high-electron-mobility transistor and a GaN-nanorod-based vertical pn-superjunction diode were simulated. A vertical pn-superjunction was fabricated using GaN nanorod growth technology.

    DOI: 10.1109/IEDM.2017.8268477

    Scopus

  46. Theoretical study of the electronic structure of threading edge dislocations in GaN

    Takashi Nakano, Masaaki Araidai, Kenji Shiraishi, Atsushi Tanaka, Yoshio Honda, Hiroshi Amano

    ECS Transactions   Vol. 86 ( 12 ) page: 41 - 49   2018

     More details

    Publishing type:Research paper (international conference proceedings)  

    It is very important to fabricate high-quality GaN especially used for power devices. However, threading dislocations degrade the performance of GaN-based electronic devices. It is necessary to examine the electronic behavior at threading dislocations in GaN and to clarify the origin of the leakage current. As for theoretical studies, the electronic structure of threading dislocations in GaN is not fully understood. We investigated whether threading edge dislocations contribute to the leakage current or not. To do this, we used first principles calculations based on density functional theory (DFT) to examine the electronic structure at threading edge dislocations with Burgers vectors of 1/3[11-20]. We examined four core types of atomic structure at threading edge dislocations which contains about 200 atoms. Compared with dislocation line energies of each core configurations, it was found that the 5/7-atoms ring core and 8-atoms ring core are energetically stable. Then, we analyzed the electronic densities of states of each core configurations, and it was found that all types of core configurations at threading edge dislocations do not contribute to leakage current in n-type GaN-based devices.

    DOI: 10.1149/08612.0041ecst

    Scopus

  47. Initial leakage current paths in the vertical-type GaN-on-GaN Schottky barrier diodes

    Liwen Sang, Bing Ren, Masatomo Sumiya, Meiyong Liao, Yasuo Koide, Atsushi Tanaka, Yujin Cho, Yoshitomo Harada, Toshihide Nabatame, Takashi Sekiguchi, Shigeyoshi Usami, Yoshio Honda, Hiroshi Amano

    Applied Physics Letters   Vol. 111 ( 12 )   2017.9

     More details

    Publishing type:Research paper (scientific journal)  

    Electrical characteristics of leakage current paths in vertical-type n-GaN Schottky barrier diodes (SBDs) on free-standing GaN substrates are investigated by using photon emission microscopy (PEM). The PEM mapping shows that the initial failure of the SBD devices at low voltages is due to the leakage current paths from polygonal pits in the GaN epilayers. It is observed that these polygonal pits originate from carbon impurity accumulation to the dislocations with a screw-type component by microstructure analysis. For the SBD without polygonal pits, no initial failure is observed and the first leakage appeals at the edge of electrodes as a result of electric field concentration. The mechanism of leakage at pits is explained in terms of trap assisted tunneling through fitting current-voltage characteristics.

    DOI: 10.1063/1.4994627

    Scopus

  48. Effect of dislocations on the growth of p-type GaN and on the characteristics of p–n diodes

    Shigeyoshi Usami, Ryosuke Miyagoshi, Atsushi Tanaka, Kentaro Nagamatsu, Maki Kushimoto, Manato Deki, Shugo Nitta, Yoshio Honda, Hiroshi Amano

    Physica Status Solidi (A) Applications and Materials Science   Vol. 214 ( 8 )   2017.8

     More details

    Publishing type:Research paper (scientific journal)  

    We investigate the influence of crystal defects on p-type GaN grown by metalorganic vapor phase epitaxy. Sets of p-type GaN films were grown on sapphire substrates and on free-standing GaN (F-GaN) substrates simultaneously using various Et-Cp2Mg flow rates. Although there is a difference of two orders of magnitude between the threading dislocation densities of p-type GaN grown on sapphire and F-GaN substrates, there is no significant difference in hole concentration. However, there are problems with the surface morphology of p-type GaN grown on sapphire. The deterioration of the surface was caused by the difference in nanopipe density. The electrical properties of a p–n junction diode formed on sapphire with a high density of nanopipes were observed using emission microscopy under both forward- and reverse-bias conditions. Our results demonstrate that the nanopipes are electrically inactive, and that other types of threading dislocation have more influence on the current–voltage characteristics.

    DOI: 10.1002/pssa.201600837

    Scopus

  49. Facet dependence of leakage current and carrier concentration in m-plane GaN Schottky barrier diode fabricated with MOVPE

    Atsushi Tanaka, Ousmane Barry, Kentaro Nagamatsu, Junya Matsushita, Manato Deki, Yuto Ando, Maki Kushimoto, Shugo Nitta, Yoshio Honda, Hiroshi Amano

    Physica Status Solidi (A) Applications and Materials Science   Vol. 214 ( 8 )   2017.8

     More details

    Publishing type:Research paper (scientific journal)  

    In this study, GaN m-plane Schottky barrier diodes fabricated with a metalorganic vapor-phase epitaxy on a GaN substrate were investigated using emission microscope, photoluminescence, and cathodoluminescence. In addition, facet dependence of leakage current under reverse-biased condition was observed. We showed that the leakage-current distribution was caused by the facet dependence of the carrier concentration and oxygen concentration. These results can provide important suggestions for the fabrication of m-plane devices. (a) four-faceted hillocks on m-plane GaN MOVPE sample, facet dependence of (b) leakage current and (c) PL peak intensity of the m-plane GaN Schottky barrier diode.

    DOI: 10.1002/pssa.201600829

    Scopus

  50. Effect of V/III ratio on the surface morphology and electrical properties of m–plane (101¯0) GaN homoepitaxial layers

    Ousmane I. Barry, Atsushi Tanaka, Kentaro Nagamatsu, Si Young Bae, Kaddour Lekhal, Junya Matsushita, Manato Deki, Shugo Nitta, Yoshio Honda, Hiroshi Amano

    Journal of Crystal Growth   Vol. 468   page: 552 - 556   2017.6

     More details

    Publishing type:Research paper (scientific journal)  

    We have investigated the effect of V/III ratio on the surface morphology, impurity concentration and electrical properties of m–plane (101¯0) Gallium Nitride (GaN) homoepitaxial layers. Four-sided pyramidal hillocks are observed on the nominally on-axis m–plane GaN films. Hillocks sizes relatively increase by increasing the V/III ratio. All facets of pyramidal hillocks exhibit well-defined step-terrace features. Secondary ion mass spectrometry depth profiles reveal that carbon impurities decrease by increasing the V/III ratio while the lowest oxygen content is found at an optimized V/III ratio of 900. Vertical Schottky barrier diodes fabricated on the m–GaN samples were characterized. Low leakage current densities of the order of 10−10 A/cm2 at −5 V are obtained at the optimum V/III ratio. Oxygen impurities and screw-component dislocations around hillocks are found to have more detrimental impact on the leakage current mechanism.

    DOI: 10.1016/j.jcrysgro.2016.12.012

    Scopus

  51. Growth of Shockley type stacking faults upon forward degradation in 4H-SiC p-i-n diodes

    Atsushi Tanaka, Hirofumi Matsuhata, Naoyuki Kawabata, Daisuke Mori, Kei Inoue, Mina Ryo, Takumi Fujimoto, Takeshi Tawara, Masaki Miyazato, Masaaki Miyajima, Kenji Fukuda, Akihiro Ohtsuki, Tomohisa Kato, Hidekazu Tsuchida, Yoshiyuki Yonezawa, Tsunenobu Kimoto

    Journal of Applied Physics   Vol. 119 ( 9 )   2016.3

     More details

    Publishing type:Research paper (scientific journal)  

    The growth of Shockley type stacking faults in p-i-n diodes fabricated on the C-face of 4H-SiC during forward current operation was investigated using Berg-Barrett X-ray topography and photoluminescence imaging. After forward current experiment, Shockley type stacking faults were generated from very short portions of basal plane dislocations lower than the conversion points to threading edge dislocations in the epitaxial layer. The growth behavior of Shockley type stacking faults was discussed. Growth of stacking faults in the substrates was not observed.

    DOI: 10.1063/1.4943165

    Scopus

  52. Effects of basal plane dislocation density in 4H-SiC substrate on degradation of body-diode forward voltage

    Naoyuki Kawabata, Atsushi Tanaka, Masatoshi Tsujimura, Yoshinori Ueji, Kazuhiko Omote, Hirotaka Yamaguchi, Hirofumi Matsuhata, Kenji Fukuda

    Materials Science Forum   Vol. 858   page: 384 - 388   2016

     More details

    Publishing type:Research paper (international conference proceedings)  

    We investigated the effect of the basal plane dislocation (BPD) density in 4H-silicon carbide (SiC) substrates on the forward voltage (Vsd) degradation of body-diodes. Using reflection X-ray topography, the BPD density was automatically estimated from the substrates prior to fabrication of metal–oxide–semiconductor field-effect transistors (MOSFETs). A strong positive correlation was found between the Vsdshift, which was calculated from the difference before and after forward bias stress at 160 A/cm2for ~500 hours, and the BPD density of the substrate. We show that it is possible to predict Vsdshifts from the BPD densities of SiC substrates prior to the fabrication of MOSFETs. In addition, we examined the origin of stacking faults (SFs) as a result of the application of forward bias stress. We presume that SFs are formed by BPDs converted to threading edge dislocations at the epi/sub interface, as well as by BPDs penetrating into the epitaxial layer.

    DOI: 10.4028/www.scientific.net/MSF.858.384

    Scopus

  53. Annealing temperature dependence of dislocation extension and its effect on electrical characteristic of 4H-SiC PIN diode

    Atsushi Tanaka, Naoyuki Kawabata, Masatoshi Tsujimura, Yukihiro Furukawa, Taizo Hoshino, Yoshinori Ueji, Kazuhiko Omote, Hirotaka Yamaguchi, Hirofumi Matsuhata, Kenji Fukuda

    Materials Science Forum   Vol. 821-823   page: 315 - 318   2015

     More details

    Publishing type:Research paper (international conference proceedings)  

    In this study, we investigated the annealing temperature dependence of dislocation extension in an ion-implanted region of a 4H-silicon carbide (SiC) C-face epitaxial layer, revealing that a high temperature annealing led to dislocation formation. We also investigated the currentvoltage (I-V) characteristics of a 4H-SiC PIN diode with and without these extended dislocations. We demonstrated that the forward biased I-V characteristics of samples with extended interfacial dislocations have a kink at lower current regions.

    DOI: 10.4028/www.scientific.net/MSF.821-823.315

    Scopus

  54. Dynamic characteristics of large current capacity module using 16-kV ultrahigh voltage SiC flip-type n-channel IE-IGBT

    Tomonori Mizushima, Kensuke Takenaka, Hiroyuki Fujisawa, Tomohisa Kato, Shinsuke Harada, Yasunori Tanaka, Mitsuo Okamoto, Mitsuru Sometani, Dai Okamoto, Naoki Kumagai, Shinichiro Matsunaga, Tadayoshi Deguchi, Manabu Arai, Tetsuo Hatakeyama, Youichi Makifuchi, Tsuyoshi Araoka, Naoyuki Oose, Takashi Tsutsumi, Mitsuru Yoshikawa, Katsumi Tatera, Atsushi Tanaka, Syuji Ogata, Koji Nakayama, Toshihiko Hayashi, Katsunori Asano, Masayuki Harashima, Yukio Sano, Eisuke Morisaki, Manabu Takei, Masaaki Miyajima, Hiroshi Kimura, Akihiro Otsuki, Yoshiyuki Yonezawa, Kenji Fukuda, Hajime Okumura, Tsunenobu Kimoto

    Proceedings of the International Symposium on Power Semiconductor Devices and ICs     page: 277 - 280   2014

     More details

    Publishing type:Research paper (international conference proceedings)  

    4H-SiC carbon face flip-type n-channel implantation and epitaxial (IE)-IGBT with an epitaxial p++ substrate was developed and its switching test was carried out. We were able to achieve an ultrahigh blocking voltage greater than 16 kV, extremely low Von (6.35 V at 20 A), and good temperature stability. The switching operation was achieved by connecting three IGBTs in parallel, with a total ICE of 60 A and VCE 5 kV. The turn-off loss and turn-on loss were about 220 mJ and 120 mJ, respectively at room temperature. They show low switching loss of ultrahigh voltage SiC IE-IGBT and the possibility of large scale module with parallel connection. © 2014 IEEE.

    DOI: 10.1109/ISPSD.2014.6856030

    Scopus

  55. High performance SiC IEMOSFET/SBD module

    S. Harada, Y. Hoshi, Y. Harada, T. Tsuji, A. Kinoshita, M. Okamoto, Y. Makifuchi, Y. Kawada, K. Imamura, M. Gotoh, T. Tawara, S. Nakamata, T. Sakai, F. Imai, N. Ohse, M. Ryo, A. Tanaka, K. Tezuka, T. Tsuyuki, S. Shimizu, N. Iwamuro, Y. Sakai, H. Kimura, K. Fukuda, H. Okumura

    Materials Science Forum   Vol. 717-720   page: 1053 - 1058   2012

     More details

    Publishing type:Research paper (international conference proceedings)  

    SiC power module with low loss and high reliability was developed by utilizing IEMOSFET and SBD. The IEMOSFET is the SiC MOSFET with high channel mobility in which the channel region is the p-type carbon-face epitaxial layer with low acceptor concentration. Elemental technologies for the high channel mobility and the high reliability of the gate oxide have been developed to realize the excellent characteristics by the IEMOSFET. The SBD was designed so as to minimize the forward voltage drops and the reverse leakage current. For the fabrication of these SiC power devices, the mass production technologies such as gate oxidation, ion implantation and following activation annealing have been also developed. © (2012) Trans Tech Publications.

    DOI: 10.4028/www.scientific.net/MSF.717-720.1053

    Scopus

  56. Influence of the crystal orientation of substrate on low temperature synthesis of silicon nanowires from Si<inf>2</inf>H<inf>6</inf>

    Saeed Akhtar, A. Tanaka, K. Usami, Y. Tsuchiya, S. Oda

    Thin Solid Films   Vol. 517 ( 1 ) page: 317 - 319   2008.11

     More details

    Publishing type:Research paper (scientific journal)  

    SiNW synthesis by vapor-liquid-solid (VLS) growth mechanism has been reported by many authors but a deep understanding of the key factors affecting the nanowire growth is still lacking. Here, we report a thorough investigations of the SiNW growth by employing silicon substrates with different crystal orientations and surface preparation using Au thin film as a catalyst. We observed that the activity of the Au particles strongly depends on the crystal orientation and the substrate surface preparation significantly affects the properties of SiNWs. We found that the density and growth rate of nanowires is different in a temperature dependent growth on Si(100) from Si(111). We found that the density and growth rate of nanowires is different at different crystal orientations of the substrates. We have grown nearly uniform diameter and micrometer long SiNWs by using Si2H6 as a source gas in low pressure chemical vapor deposition around eutectic temperature. The length of the SiNWs can be controlled mainly by varying the growth time. © 2008 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.tsf.2008.08.155

    Scopus

  57. Visible electroluminescence from spherical-shaped silicon nanocrystals

    Hea Jeong Cheong, Atsushi Tanaka, Daihei Hippo, Kouichi Usami, Yoshishige Tsuchiya, Hiroshi Mizuta, Shunri Oda

    Japanese Journal of Applied Physics   Vol. 47 ( 10 PART 1 ) page: 8137 - 8140   2008.10

     More details

    Publishing type:Research paper (scientific journal)  

    We fabricated light emitting diodes (LEDs) using spherically shaped nanocrystalline silicon (nc-Si), which was formed through very high frequency (VHF; 144 MHz) plasma decomposition of SiH4. In addition, we successfully reduced the roughness of the surface and part of the voids separating the dots by finding the adequate annealing conditions. Red electroluminescence was also observed at 12 V with the naked eye at room temperature under forward bias condition. It is suggested that the origin of the electroluminescence (EL) from Si nanocrystals is due to recombination centers in Si nanocrystals by the comparison of EL and photoluminescence spectra. © 2008 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.47.8137

    Scopus

  58. Synthesis of assembled nanocrystalline si dots film by the langmuir-blodgett technique

    Atsushi Tanaka, Yoshishige Tsuchiya, Koichi Usami, Shin ichi Saito, Tadashi Arai, Hiroshi Mizuta, Shunri Oda

    Japanese Journal of Applied Physics   Vol. 47 ( 5 PART 1 ) page: 3731 - 3734   2008.5

     More details

    Publishing type:Research paper (scientific journal)  

    We report on a new bottom-up technique for forming silicon nanostructures based on the assembly of nanocrystalline Si (nc-Si) dots by the Langmuir-Blodgett technique. nc-Si dots with a diameter of 10 ± 1 nm fabricated by a very high frequency (VHF) plasma process are dispersed in solvent and functionalized with an appropriate silane coupling agent. After compression at the surface of a Langmuir trough to form a well-organized two-dimensional array, nc-Si dots are transferred onto Si substrates. We have succeeded in forming a well-assembled nc-Si dot array with an area density of 7.33 × 1011 cm-2. Furthermore, we clarified what happens at the surface of a Langmuir trough based by analyzing surface pressure-area isotherms. ©2008 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.47.3731

    Scopus

  59. Light emission from size reduced nanocrystal silicon quantum dots

    Hea Jeong Cheong, Atsushi Tanaka, Daihei Hippo, Kouichi Usami, Yoshishige Tsuchiya, Hiroshi Mizuta, Shunri Oda

    Optics InfoBase Conference Papers     2007

     More details

    Publishing type:Research paper (international conference proceedings)  

    We performed HF treatment to silicon quantum dots with diameter of 8nm±1nm fabricated by VHF plasma decomposition process. We observed PL wavelength shift from 750nm to 620nm for 8nm to 2.5nm diameter nc-Si dots. © 2007 Optical Society of America.

    Scopus

  60. Light emission from size reduced nanocrystal silicon quantum dots

    Hea Jeong Cheong, Atsushi Tanaka, Daihei Hippo, Kouichi Usami, Yoshishige Tsuchiya, Hiroshi Mizuta, Shunri Oda

    Optics InfoBase Conference Papers     2007

     More details

    Publishing type:Research paper (international conference proceedings)  

    We performed HF treatment to silicon quantum dots with diameter of 8nm±1nm fabricated by VHF plasma decomposition process. We observed PL wavelength shift from 750nm to 620nm for 8nm to 2.5nm diameter nc-Si dots. © 2007 Optical Society of America.

    Scopus

  61. Light emission from size reduced nanocrystal silicon quantum dots

    Hea Jeong Cheong, Atsushi Tanaka, Daihei Hippo, Kouichi Usami, Yoshishige Tsuchiya, Hiroshi Mizuta, Shunri Oda

    Optics InfoBase Conference Papers     2007

     More details

    Publishing type:Research paper (international conference proceedings)  

    We performed HF treatment to silicon quantum dots with diameter of 8nm±1nm fabricated by VHF plasma decomposition process. We observed PL wavelength shift from 750nm to 620nm for 8nm to 2.5nm diameter nc-Si dots. © 2007 Optical Society of America.

    Scopus

  62. Light emission from size reduced nanocrystal silicon quantum dots

    Hea Jeong Cheong, Atsushi Tanaka, Daihei Hippo, Kouichi Usami, Yoshishige Tsuchiya, Hiroshi Mizuta, Shunri Oda

    Conference on Quantum Electronics and Laser Science (QELS) - Technical Digest Series     2007

     More details

    Publishing type:Research paper (international conference proceedings)  

    We performed HF treatment to silicon quantum dots with diameter of 8nm±1nm fabricated by VHF plasma decomposition process. We observed PL wavelength shift from 750nm to 620nm for 8nm to 2.5nm diameter nc-Si dots. ©2007 Optical Society of America.

    DOI: 10.1109/QELS.2007.4431332

    Scopus

  63. Light emission from size reduced nanocrystal silicon quantum dots

    Hea Jeong Cheong, Atsushi Tanaka, Daihei Hippo, Kouichi Usami, Yoshishige Tsuchiya, Hiroshi Mizuta, Shunri Oda

    Conference on Lasers and Electro-Optics, 2007, CLEO 2007     2007

     More details

    Publishing type:Research paper (international conference proceedings)  

    We performed HF treatment to silicon quantum dots with diameter of 8nm±1nm fabricated by VHF plasma decomposition process. We observed PL wavelength shift from 750nm to 620nm for 8nm to 2.5nm diameter nc-Si dots. © 2007 Optical Society of America.

    DOI: 10.1109/CLEO.2007.4453555

    Scopus

  64. High-density assembly of nanocrystalline silicon quantum dots

    A. Tanaka, G. Yamahata, Y. Tsuchiya, K. Usami, H. Mizuta, S. Oda

    Current Applied Physics   Vol. 6 ( 3 ) page: 344 - 347   2006.6

     More details

    Publishing type:Research paper (scientific journal)  

    This paper reports on a new bottom-up technique of forming silicon nanostructures based on assembly of nanocrystalline (nc) Si dots from the solution. The nc-Si dots with a diameter of 8 ± 1 nm were fabricated by using VHF plasma decomposition of pulsed SiH4 gas supply and deposited on the substrate randomly. We first studied the method of making the nc-Si dot dispersion solution by immersing the deposited wafer into various kinds of solvent with ultra sonic treatment. We found that methanol works as a suitable solvent for nc-Si dots. We then add a drop of the nc-Si dot solution onto other substrates and evaporated it. During the evaporation the nc-Si dots were assembled in the solution via the lateral capillary meniscus force which works as an attractive force between the dots. Use of SiO2 substrate with good surface wettability with the solution was found vital to have the maximum meniscus force and to have two-dimensional assembly of the dots. The evaporation speed was carefully controlled via temperature and evaporation pressure to achieve high dot density assembly. In addition, we examined the assembly of the nc-Si dots on the silicon-on-insulator substrates with various kinds of nanoscale patterning and succeeded in making the nc-Si dots cluster bridging between the nanoelectrodes with a gap of as small as 20 nm. © 2005 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.cap.2005.11.015

    Scopus

  65. Nanocrystalline silicon quantum dot devices

    S. Oda, S. Y. Huang, M. A. Salem, D. Hippo, A. Tanaka, Y. Tsuchiya, H. Mizuta

    ICSICT-2006: 2006 8th International Conference on Solid-State and Integrated Circuit Technology, Proceedings     page: 1045 - 1048   2006

     More details

    Publishing type:Research paper (international conference proceedings)  

    Electron transport and photonic properties of silicon nanocrystals prepared by plasma decomposition of silane are described with particular emphasis on silicon nanocrystals memory, microscopic charge measurement by KFM, NEMS devices, and silicon photonic devices. © 2006 IEEE.

    DOI: 10.1109/ICSICT.2006.306657

    Scopus

  66. Visible electroluminescence from size-controlled silicon quantum dots

    Hea Jeong Cheong, Daihei Hippo, Atsushi Tanaka, Kouichi Usami, Yoshishige Tsuchiya, Hiroshi Mizuta, Shunri Oda

    Conference on Lasers and Electro-Optics and 2006 Quantum Electronics and Laser Science Conference, CLEO/QELS 2006     2006

     More details

    Publishing type:Research paper (international conference proceedings)  

    We studied visible EL from size-controlled silicon quantum dots with diameter of 8nm±1nm fabricated by VHF plasma decomposition process. We observed EL from nc-Si quantum dots with applied voltage above 12V. © 2006 Optical Society of America.

    DOI: 10.1109/CLEO.2006.4628248

    Scopus

  67. A new design of nanocrystalline silicon optical devices based on 3-dimensional photonic crystal structures

    Daihei Hippo, Hea Jeong Chong, Yoshiyuki Kawata, Atsushi Tanaka, Yoshishige Tsuchiya, Hiroshi Mizuta, Shunri Oda, Kei Urakawa, Nobuyoshi Koshida

    2005 IEEE International Conference on Group IV Photonics   Vol. 2005   page: 114 - 116   2005

     More details

    Publishing type:Research paper (international conference proceedings)  

    We propose a new design of nanocrystalline silicon optical devices which are based on control of electromagnetic fields, electronic states, as well as the phonon dispersion of size-controlled silicon quantum dots.

    DOI: 10.1109/GROUP4.2005.1516422

    Scopus

  68. Assembly of nanocrystalline silicon quantum dots based on a colloidal solution method

    A. Tanaka, G. Yamahata, Y. Tsuchiya, K. Usami, H. Mizuta, S. Oda

    2005 5th IEEE Conference on Nanotechnology   Vol. 2   page: 795 - 798   2005

     More details

    Publishing type:Research paper (international conference proceedings)  

    In this paper we propose and develop a new bottom-up approach to the formation of silicon nanostructures based on assembly of nanocrystalline (ne) Si dots from the colloidal solution. The nc-Si dots with a diameter of 8±1nm were fabricated by using VHF plasma decomposition of pulsed SiH4 gas supply and deposited on the substrate randomly. For preparing the nc-Si dot colloidal solution, we first examined various kinds of solvent. The substrates on which the nc-Si dots deposited were immersed into the solvents, and ultra sonic treatment was applied for a few tens seconds. It was found that methanol works as a suitable solvent for nc-Si dots. The nc-Si dot solution was then condensed by evaporating the solvent a fraction. We dropped the nc-Si dot solution onto other substrates and evaporated it completely. We observed that the nc-Si dots were assembled in the solution via the lateral capillary meniscus force which works as an attractive force between the dots. Use of SiO2 substrate with good surface wettability with the solution was found vital to have the maximum meniscus force and to have two-dimensional assembly of the dots. The evaporation speed was carefully controlled via temperature and evaporation pressure to achieve high dot density assembly. In addition, we examined the assembly of the nc-Si dots on the silicon-on-insulator substrates with various kinds of nanoscale patterning and succeeded in making the nc-Si dots cluster bridging between the nano-electrodes with a gap of as small as 20 nm. ©2005 IEEE.

    DOI: 10.1109/NANO.2005.1500651

    Scopus

  69. Formation of an ordered array of nanocrystalline Si dots by using a solution droplet evaporation method

    Yoshishige Tsuchiya, Tatsuya Iwasa, Atsushi Tanaka, Ko Ichi Usami, Hiroshi Mizuta, Shunri Oda

    Materials Research Society Symposium Proceedings   Vol. 818   page: 347 - 352   2004

     More details

    Publishing type:Research paper (international conference proceedings)  

    This paper reports on a new bottom-up technique of forming silicon nanostructures based on natural aggregation of nanocrystalline (nc) -Si dots in the solution. We first study how the nc-Si dots deposited on the Si substrate get mobile in the solution by simply dipping the substrate with the nc-Si dots on into various solutions. We then demonstrate a solution droplet evaporation method that utilizes aggregation of the dots when we evaporate a solution droplet applied onto the nc-Si dots randomly deposited on the Si substrate. It is shown that the nc-Si dots are assembled well in a droplet of the hydrofluoric acid solution, resulting in various regular patterns on the substrate.

    DOI: 10.1557/proc-818-m11.51.1

    Scopus

▼display all

Books 2

  1. シリコンと化合粒半導体の超精密・微細加工プロセス技術

    ( Role: Joint author ,  第7章 レーザスライスによるGaN基板の切り出し加工)

    シーエムシー出版  2023.10 

  2. 次世代パワーエレクトロニクスの課題と評価技術

    ( Role: Joint author ,  第1章第2節 GaNのパワーデバイスの動向と技術課題)

    S&T出版  2022.7 

MISC 1

  1. Impact of SiN passivation film stress on electroluminescence characteristics of AlGaN/GaN high-electron-mobility transistors

    Qiang Ma, Shiyo Urano, Yuji Ando, Atsushi Tanaka, Akio Wakejima

    Applied Physics Express   Vol. 14 ( 9 )   2021.9

     More details

    Publishing type:Rapid communication, short report, research note, etc. (scientific journal)  

    This letter reports an impact of SiN film stress on electroluminescence (EL) of AlGaN/GaN HEMTs. The EL color of HEMTs turned from high-intensity white to low-intensity red, as the SiN film stress was increased from -24.2 (compressive) to +11.5 MPa (tensile). The weak reddish EL from the HEMT with a film stress of +11.5 MPa turned bright whitish when a drain-to-source voltage (V ds) was increased. A transient I d response after biasing stress revealed that severe current collapse emerged in the HEMT with a film stress of -24.2 MPa. In addition, the current collapse in HEMTs increased with the increased V ds.

    DOI: 10.35848/1882-0786/ac1f40

    Scopus

Research Project for Joint Research, Competitive Funding, etc. 1

  1. 5Gの普及・展開のための基盤技術に関する研究開発

    2018.4 - 2020.3

    電波資源拡大のための研究開発 

    田中敦之

      More details

    Authorship:Principal investigator  Grant type:Competitive

    Grant amount:\178500000

    GaN基板のレーザスライスに関する研究

KAKENHI (Grants-in-Aid for Scientific Research) 3

  1. High speed growth of pn junction by HVPE for fabrication of SJ diod

    Grant number:22K18808  2022.6 - 2024.3

    Grants-in-Aid for Scientific Research  Grant-in-Aid for Challenging Research (Exploratory)

      More details

    Authorship:Coinvestigator(s) 

  2. Direct observation of electric field distribution in GaN power devices

    Grant number:20K04578  2020.4 - 2023.3

    Grants-in-Aid for Scientific Research  Grant-in-Aid for Scientific Research (C)

      More details

    Authorship:Principal investigator 

    Grant amount:\4420000 ( Direct Cost: \3400000 、 Indirect Cost:\1020000 )

  3. Study about the influence of dislocation on devices for practical application of GaN power devices

    Grant number:17K17808  2017.4 - 2020.3

    Grants-in-Aid for Scientific Research  Grant-in-Aid for Young Scientists (B)

    Tanaka Atsushi

      More details

    Authorship:Principal investigator 

    Grant amount:\4290000 ( Direct Cost: \3300000 、 Indirect Cost:\990000 )

    We studied how the dislocations in GaN crystals affect the GaN power devices. It was found that a 1c screw dislocation in a pin diode causes leakage current when a reverse voltage is applied. In the process of achieving the above results, we also obtained, how to determine the Burgers vectors of dislocations in GaN with birefringence microscopy, a process for fabricating pin diodes with repeatable avalanche breakdown, and confirmation of the Recombination Enhanced Dislocation Gride by multiphoton excitation carries on basal plane dislocations at epi/sub interface of GaN crystal.

Industrial property rights 27

  1. 窒化物半導体装置の製造方法及び窒化物半導体基板

    本田善央、天野浩、田中敦之、伊藤佑太

     More details

    Application no:特許出願2021-174661  Date applied:2021.10

  2. 基板製造方法

    田中敦之、瀬奈ハディ

     More details

    Application no:特許出願2021-032709  Date applied:2021.3

  3. レーザ加工方法、半導体部材製造方法、及び、レーザ加工装置

    田中敦之、笹岡千秋、天野浩、河口大祐、油井俊樹、和仁陽太郎、杉浦隆二

     More details

    Application no:特許出願2020-015056  Date applied:2020.1

  4. レーザ加工方法、半導体部材製造方法、及び、レーザ加工装置

    田中敦之、笹岡千秋、天野浩、河口大祐、油井俊樹、和仁陽太郎

     More details

    Application no:特許出願2020-015060  Date applied:2020.1

  5. レーザ加工方法、半導体部材製造方法及びレーザ加工装置

    田中敦之、笹岡千秋、天野浩、河口大祐、和仁陽太郎、伊ケ崎泰則

     More details

    Application no:特許出願108146614  Date applied:2019.12

    Country of applicant:Foreign country  

  6. レーザ加工方法、半導体部材製造方法及びレーザ加工装置

    田中敦之、笹岡千秋、天野浩、河口大祐、和仁陽太郎、伊ケ崎泰則

     More details

    Application no:特許出願108146615  Date applied:2019.12

    Country of applicant:Foreign country  

  7. レーザ加工方法、半導体部材製造方法、及び半導体対象物

    田中敦之、笹岡千秋、天野浩、河口大祐、和仁陽太郎、伊ケ崎泰則

     More details

    Application no:特許出願108146331  Date applied:2019.12

    Country of applicant:Foreign country  

  8. レーザ加工方法、半導体部材製造方法、及び半導体対象物

    田中敦之、笹岡千秋、天野浩、河口大祐、和仁陽太郎、伊ケ崎泰則

     More details

    Application no:特許出願PCT/JP2019/046656  Date applied:2019.11

    Country of applicant:Foreign country  

  9. レーザ加工方法、半導体部材製造方法及びレーザ加工装置

    田中敦之、笹岡千秋、天野浩、河口大祐、和仁陽太郎、伊ケ崎泰則

     More details

    Application no:特許出願2018-239883  Date applied:2018.12

  10. 縦型高耐圧半導体装置及びその製造方法

    田中敦之、岩室憲幸、原田信介

     More details

    Application no:特許出願2014-512408  Date applied:2013.3

  11. レーザ加工方法、半導体部材製造方法、および半導体対象物

    田中敦之、笹岡千秋、天野浩、河口大祐、和仁陽太郎、伊ケ崎泰則

     More details

    Announcement no:公開特許20202102536  Date announced:2020.7

  12. 基板製造方法

    田中敦之、河口大祐

     More details

    Announcement no:公開特許 3674451  Date announced:2020.7

    Country of applicant:Foreign country  

  13. レーザ加工方法、半導体部材製造方法、および半導体対象物

    田中敦之、笹岡千秋、天野浩、河口大祐、和仁陽太郎、伊ケ崎泰則

     More details

    Announcement no:公開特許WO2020/130054  Date announced:2020.6

    Country of applicant:Foreign country  

  14. レーザ加工方法、半導体部材製造方法及びレーザ加工装置

    田中敦之、笹岡千秋、天野浩、河口大祐、和仁陽太郎、伊ケ崎泰則、油井俊樹

     More details

    Announcement no:公開特許WO2020/130055  Date announced:2020.6

    Country of applicant:Foreign country  

  15. 基板製造方法

    田中敦之、河口大祐

     More details

    Announcement no:公開特許 US2020/0180082  Date announced:2020.6

    Country of applicant:Foreign country  

  16. 基板製造方法

    田中敦之、河口大祐

     More details

    Announcement no:公開特許 CN111065765  Date announced:2020.4

    Country of applicant:Foreign country  

  17. 基板の製造方法

    田中敦之、河口大祐

     More details

    Announcement no:公開特許2019-043808  Date announced:2019.3

  18. 基板製造方法

    田中敦之、河口大祐

     More details

    Announcement no:公開特許 WO2019/044142  Date announced:2019.3

    Country of applicant:Foreign country  

  19. 炭化珪素半導体装置および炭化珪素半導体装置の製造方法

    田中敦之、辻崇

     More details

    Announcement no:公開特許2017-168674  Date announced:2017.9

  20. 炭化珪素半導体装置の製造方法および炭化珪素半導体装置

    俵武志、田中敦之

     More details

    Announcement no:公開特許2016-058499  Date announced:2016.3

  21. 炭化珪素半導体装置の製造方法

    田中敦之、辻崇

     More details

    Announcement no:公開特許2013-232555  Date announced:2013.11

  22. 縦型高耐圧半導体装置及びその製造方法

    田中敦之、岩室憲幸、原田信介

     More details

    Announcement no:再表2013/161420  Date announced:2013.10

  23. 炭化珪素半導体装置の製造方法

    田中敦之、福田憲司

     More details

    Announcement no:公開特許2013-247251  Date announced:2013.10

  24. 可動ゲート型電界効果トランジスタ

    熊田貴夫、江戸雅晴、河西公美、田中敦之

     More details

    Announcement no:公開特許2011-198916  Date announced:2011.10

  25. 炭化珪素半導体装置の製造方法

    田中敦之、岩室憲幸

     More details

    Patent/Registration no:特許第6074787  Date registered:2017.1 

  26. 炭化珪素半導体装置の製造方法および炭化珪素半導体装置

    田中敦之、俵武志

     More details

    Patent/Registration no:特許第6347188  Date registered:2018.6 

  27. 半導体装置の製造方法および製造装置

    田中敦之、辻崇

     More details

    Patent/Registration no:特許第6083129  Date registered:2017.2 

▼display all