2023/03/31 更新

写真a

ミヤザキ セイイチ
宮﨑 誠一
MIYAZAKI, Seiichi
所属
大学院工学研究科 電子工学専攻 情報デバイス工学 教授
大学院担当
大学院工学研究科
学部担当
工学部 電気電子情報工学科
職名
教授
連絡先
メールアドレス

学位 1

  1. 工学博士 ( 1986年3月   広島大学 ) 

研究キーワード 8

  1. 半導体ナノ構造

  2. 量子ドット

  3. High-k/メタルゲート

  4. 薄膜太陽電池

  5. 薄膜トランジスタ

  6. 抵抗変化メモリ

  7. フローティングゲートメモリデバイス

  8. 極微細MOSトランジスタ

研究分野 2

  1. その他 / その他  / 電子デバイス・電子機器

  2. その他 / その他  / 電子・電気材料工学

現在の研究課題とSDGs 3

  1. 半導体表面・界面の物性制御に関する研究

  2. 極微細MOSトランジスタおよび量子機能デバイスに関する研究

  3. 高効率太陽電池および高性能薄膜トランジスタ開発のためのシリコン系薄膜の研究

経歴 14

  1. 名古屋大学   大学院工学研究科   教授

    2010年6月 - 現在

      詳細を見る

    国名:日本国

  2. 名古屋大学   大学院工学研究科(兼務)   部長(学部長・研究科長)

    2021年4月 - 現在

      詳細を見る

    国名:日本国

  3. 名古屋大学   シンクロトロン光研究センター(兼務)   所長(センター長)

    2019年4月 - 2022年3月

      詳細を見る

    国名:日本国

  4. 名古屋大学   大学院工学研究科(兼務)   副研究科長

    2017年4月 - 2019年3月

      詳細を見る

    国名:日本国

  5. 名古屋大学   未来材料・システム研究所 附属未来エレクトロニクス集積研究センター(兼務)   教授

    2017年4月 - 現在

      詳細を見る

    国名:日本国

  6. 産業技術総合研究所   窒化物半導体先進デバイスOIL(兼務)   客員研究員

    2017年5月 - 現在

      詳細を見る

    国名:日本国

  7. 広島大学   ナノデバイス研究所(兼務)   客員教授

    2010年8月 - 現在

      詳細を見る

    国名:日本国

    備考:2022年4月1日付で研究所名称が「ナノデバイス・バイオ融合科学研究所」から「ナノデバイス研究所」(Resrarch Institute for Nanodevices)に変更。

  8. 公益社団法人 応用物理学会   フェロー   フェロー

    2009年9月 - 現在

  9. 南京大学   電子科学与工程学院(兼務)   兼職教授

    2010年10月 - 2017年11月

      詳細を見る

    国名:中華人民共和国

    備考:2010/10 - 2013/10, 2014/12 - 2017/11 南京大学 電子科学与工程学院 兼職教授

  10. 筑波大学   大学院数理物質科学研究科(兼務)   客員教授

    2011年9月 - 2015年3月

      詳細を見る

    国名:日本国

  11. 広島大学   大学院先端物質科学研究科   教授

    2002年4月 - 2010年5月

      詳細を見る

    国名:日本国

  12. 広島大学   大学院先端物質科学研究科   助教授

    2001年4月 - 2002年3月

      詳細を見る

    国名:日本国

  13. 広島大学   工学部   助教授

    1992年4月 - 2001年3月

      詳細を見る

    国名:日本国

  14. 広島大学   工学部   助手

    1986年4月 - 1992年3月

      詳細を見る

    国名:日本国

▼全件表示

学歴 3

  1. 広島大学   工学研究科   材料工学専攻

    1983年4月 - 1986年3月

      詳細を見る

    国名: 日本国

  2. 広島大学   工学研究科   材料工学専攻

    1981年4月 - 1983年3月

      詳細を見る

    国名: 日本国

  3. 広島大学   工学部   第二類(電気系)

    1977年4月 - 1981年3月

      詳細を見る

    国名: 日本国

所属学協会 11

  1. 公社)応用物理学会   学術教育・奨励基金委員会 委員 (2015年度~ )、理事 (2011/2012年度; 講演会企画・運営委員会、講演奨励賞委員会, 2015/2016年度)、代議員 (2001-2003年度, 2012/2013年度, 2015/2016年度)、諮問委員 (2013/2014年度)、国際委員会 委員 (2009-2012年度)、講演会企画・運営委員会 委員 (2013年度)、評議員 (2008-2010年度)

  2. 公社)応用物理学会   東海支部 幹事 (2011-2016, 2013年度企画委員長), 東海支部 支部長 (2015/2016年度), 東海支部 諮問委員(2017.4~ )、中国四国支部 幹事 (1995.4-2010.5)

  3. 公社)応用物理学会   薄膜・表面物理分科会 幹事 (1997-2018年度, 常任幹事:1998/1999年度), 薄膜・表面物理分科会 幹事長 (2017.4-2019.3), 薄膜・表面物理分科会 顧問 (2019.4~ )

  4. 公社)応用物理学会   シリコンテクノロジー分科会 幹事 (1999-2010年度, 常任幹事:2003/2004年度, 副幹事長2008/2009年度、幹事長:2009/2010年度), シリコンテクノロジー分科会 諮問委員 (2011.4~ )

  5. 一社)電子情報通信学会   シリコン材料・デバイス研究専門委員会 専門委員(SDM6月度研究会担当)(1998年5月~)

  6. 公社)日本表面真空学会   中部支部 役員(2018年5月~)

  7. 旧)公社)日本表面科学会   中部支部 役員(2011年5月~2018年5月まで)

  8. 一社)日本MRS

  9. 公社)日本工学教育協会   理事(2022年6月~2024年6月まで)、事業企画委員会委員(2018年6月~2020年6月まで)

  10. Material Reseach Society

  11. The Electrochemical Society

▼全件表示

委員歴 133

  1. 名古屋市工業研究所   機関運営会議構成員  

    2023年1月   

      詳細を見る

    団体区分:学協会

    名古屋市工業研究所の研究課題に関する意見交換、令和5年1月12日~令和5年1月20日まで

  2. 東海工学教育協会   会長  

    2022年8月 - 2024年6月   

      詳細を見る

    団体区分:学協会

    規定の通り、令和4年8月26日~令和6年6月30日まで

  3. 公益社団法人 日本工学教育協会   理事  

    2022年6月 - 2024年6月   

      詳細を見る

    団体区分:学協会

    理事会を構成し定款に従い業務執行の決定に参画、令和4年6月15日~令和6年6月14日まで

  4. 名古屋市工業研究所   機関運営会議構成員  

    2022年1月   

      詳細を見る

    名古屋市工業研究所の研究課題に関する意見交換
    令和4年1月21日~令和4年1月21日まで

  5. The 9th International Symposium on Control of Semiconductor Interfaces (ISCSI-IX, September 5-8, 2022, Nagoya University, Japan)   国際会議諮問委員  

    2022年   

      詳細を見る

    The 9th International Symposium on Control of Semiconductor Interfaces (ISCSI-IX, September 5-8, 2022, Nagoya University, Japan)

  6. 一般社団法人 愛知県発明協会   参与  

    2021年7月 - 2023年6月   

      詳細を見る

    会長の諮問に答え、又は意見を述べる
    令和3年7月12日~令和5年6月30日まで

  7. 公益財団法人 中部電気利用基礎研究振興財団   評議員  

    2021年6月 - 2026年6月   

      詳細を見る

    評議員会への出席、役員等の選解任、定款規定事項の審議等
    令和3年6月11日~令和8年6月30日まで

  8. 公益財団法人 永井科学技術財団   評議員  

    2021年6月 - 2024年6月   

      詳細を見る

    定時評議員会への出席、贈呈式、交歓会への出席参加
    令和3年6月1日~令和6年6月定時評議委員会開催日まで

  9. 公益財団法人 科学技術交流財団   企画運営委員会委員  

    2021年6月 - 2023年6月   

      詳細を見る

    研究交流事業・共同研究事業等についての調査審議
    令和3年6月4日~令和5年6月30日まで

  10. 東海工学教育協会   副会長  

    2021年6月 - 2022年6月   

      詳細を見る

    規定の通り
    令和3年6月24日~令和4年6月30日まで

  11. 公益財団法人 名古屋産業科学研究所   理事  

    2021年5月 - 2024年6月   

      詳細を見る

    団体区分:学協会

    理事会を構成し、法令及び定款に定めるところにより業務の執行の決定に参画する
    令和3年5月10日~令和6年6月30日まで

  12. 公益財団法人 日比科学技術振興財団   理事  

    2021年5月 - 2024年5月   

      詳細を見る

    業務執行の決定、代表理事等の職務の監視を担う理事会を構成
    令和3年5月20日~令和6年5月評議員会開催日まで

  13. 公益財団法人 名古屋産業科学研究所   中部ハイテクセンター企画運営委員会委員  

    2021年5月 - 2023年3月   

      詳細を見る

    団体区分:学協会

    中部ハイテクセンター事業の運営に係る業務
    令和3年5月1日~令和5年3月31日まで

  14. 中部原子力懇談会   参与  

    2021年4月 - 2024年3月   

      詳細を見る

    当会への事業活動への指導
    令和3年4月23日~令和6年3月31日まで

  15. 公益財団法人 名古屋産業科学研究所   中部TLO企画運営委員会委員  

    2021年4月 - 2024年3月   

      詳細を見る

    団体区分:学協会

    中部TLO事業の企画及び推進等に関する審議
    令和3年4月13日~令和6年3月31日まで

  16. 一般社団法人 八大学工学系連合会   理事  

    2021年4月 - 2023年4月   

      詳細を見る

    団体区分:学協会

    必要に応じて、法人運営について意見を述べること等
    令和3年4月23日~令和5年4月30日まで

  17. 公益財団法人 中部科学技術センター   中部イノベネット運営委員  

    2021年4月 - 2023年3月   

      詳細を見る

    中部イノベネット事業における技術支援の提供や連携、会議への出席
    令和3年4月28日~令和5年3月31日まで

  18. 公益財団法人 立松財団   理事  

    2021年4月 - 2023年3月   

      詳細を見る

    理事会メンバーとして財団運営の意思決定に参画
    令和3年4月1日~令和5年3月31日まで

  19. 公益財団法人 科学技術交流財団   主幹研究員  

    2021年4月 - 2022年3月   

      詳細を見る

    団体区分:学協会

    大学連合からの支援職員い関する取決め第1のとおり
    令和3年4月9日~令和4年3月31日まで

  20. The Electrochemical Society(ECS)日本支部   日本支部長  

    2021年1月 - 2022年12月   

  21. 公益財団法人 岐阜県産業経済振興センター   研究開発委員会 委員(アドバイザー)  

    2020年9月 - 2023年3月   

  22. Nanomaterials, Editorial Board Member   学術雑誌編集委員  

    2020年7月 - 現在   

  23. 独立行政法人 日本学術振興会 R025先進薄膜界面機能創成委員会   委員  

    2020年6月 - 現在   

  24. 日本放射光学会年会・放射光科学合同シンポジウム(JSR)組織委員会   JSR2021組織委員  

    2020年 - 2021年   

      詳細を見る

    第34回日本放射光学会年会・放射光科学合同シンポジウム(JSR2021)(開催日:2021年1月8-10日、開催形態:オンライン)

  25. 公益財団法人 科学技術交流財団   あいちシンクロトロン光センター運営委員会委員  

    2019年5月 - 2023年6月   

      詳細を見る

    あいちシンクロトロン光センターの運営に係る助言
    令和元年5月~令和5年6月30日まで

  26. 公益社団法人 応用物理学会 薄膜・表面物理分科会   顧問  

    2019年4月 - 現在   

      詳細を見る

    顧問 (2019.4~ )

  27. 東京医科歯科大学   生体医歯工学共同研究拠点運営委員会委員  

    2019年4月 - 2024年3月   

      詳細を見る

    東京医科歯科大学生体材料工学研究所 生体医歯工学共同研究拠点運営委員会委員 (2019 - 2023年度)

  28. 日本放射光学会 年会・放射光科学合同シンポジウム(JSR)組織委員会   JSR2020副実行委員長/プログラム委員/組織委員  

    2019年 - 2020年   

      詳細を見る

    第33回日本放射光学会年会・放射光科学合同シンポジウム(JSR2020)(開催日:2020年1月10-12日、開催地:名古屋)

  29. 11th Int. Symp. on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials/12th Int. Conf. on Plasma-Nano Technology (ISPlasma 2019/IC-PLANT 2019; Nagoya, March 17-21, 2019) Organizing Committee Chair   国際会議・シンポジウム オーガナイザ  

    2019年   

      詳細を見る

    11th Int. Symp. on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials/12th Int. Conf. on Plasma-Nano Technology (ISPlasma 2019/IC-PLANT 2019; Nagoya, March 17-21, 2019) Organizing Committee Chair

  30. 8th Int. Symp. on Control of Semiconductor Interfaces (Sendai, Nov. 27-30, 2019) Organizing Committee Chair   国際会議・シンポジウム オーガナイザ  

    2019年   

      詳細を見る

    8th Int. Symp. on Control of Semiconductor Interfaces (Sendai, Nov. 27-30, 2019) Organizing Committee Chair

  31. 公益社団法人 日本工学教育協会   事業企画委員会委員  

    2018年6月 - 2020年6月   

  32. 公益社団法人 日本表面真空学会 中部支部   役員  

    2018年5月 - 現在   

      詳細を見る

    中部支部 役員 (2018.5~ )

  33. 独立行政法人 日本学術振興会 協力会   評議員  

    2018年5月 - 2020年9月   

  34. Int. SiGe Technology and Device Meeting (ISTDM)/Int. Conf. on Silicon Epitaxy and Heterostructures (ICSI) (Joint ISTDM/ICSI Conf.; 1st 2018 (Potsdam), 2nd 2019(Madison WI), 3rd 2021(Taipei))   国際会議諮問委員  

    2018年 - 2021年   

      詳細を見る

    Int. SiGe Technology and Device Meeting (ISTDM)/Int. Conf. on Silicon Epitaxy and Heterostructures (ICSI) (Joint ISTDM/ICSI Conf.; 1st 2018 (Potsdam), 2nd 2019(Madison WI), 3rd 2021(Taipei))

  35. 1st joint Int. SiGe Technology and Device Meeting (ISTDM)/Int. Conf. on Silicon Epitaxy and Heterostructures (ICSI) (1st Joint ISTDM/ICSI Conf., Potsdam, 2018) Co-chair   国際会議プログラム委員, Co-chair  

    2018年   

      詳細を見る

    1st joint Int. SiGe Technology and Device Meeting (ISTDM)/Int. Conf. on Silicon Epitaxy and Heterostructures (ICSI) (1st Joint ISTDM/ICSI Conf., Potsdam, 2018) Co-chair

  36. 14th Int. Conf. on Atomically Controlled Surfaces, Interfaces and Nanostructures (Sendai, Oct.21-15, 2018) Organizing Committee Chair   国際会議・シンポジウム オーガナイザ  

    2018年   

      詳細を見る

    14th Int. Conf. on Atomically Controlled Surfaces, Interfaces and Nanostructures (Sendai, Oct.21-15, 2018) Organizing Committee Chair

  37. 10th Anniversary Int. Symp. on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials/11th Int. Conf. on Plasma-Nano Technology (ISPlasma 2018/IC-PLANT 2018; Nagoya, March 4-8, 2018) Organizing Committee Vice-Chair   国際会議・シンポジウム オーガナイザ  

    2018年   

      詳細を見る

    10th Anniversary Int. Symp. on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials/11th Int. Conf. on Plasma-Nano Technology (ISPlasma 2018/IC-PLANT 2018; Nagoya, March 4-8, 2018) Organizing Committee Vice-Chair

  38. 公益社団法人 応用物理学会 東海支部   諮問委員  

    2017年4月 - 2025年3月   

      詳細を見る

    支部役員会における助言、指導、2017年4月1日~2025年3月31日まで

  39. 国立研究開発法人 産業技術総合研究所   窒化物半導体先進デバイスOIL客員研究員  

    2017年4月 - 2024年3月   

      詳細を見る

    2017.4~2021.3、2022.4~2024.3.31まで。

  40. 公益社団法人 応用物理学会 薄膜・表面物理分科会   幹事長  

    2017年4月 - 2019年3月   

      詳細を見る

    幹事長 (2017.4-2019.3)

  41. European Mat. Res. Soc. Fall Meeting: Symp. I "Integration of Novel Materials and Devices on Silicon for Future Technologies" (Warsaw, 2016)   国際会議プログラム委員  

    2016年   

      詳細を見る

    European Mat. Res. Soc. Fall Meeting: Symp. I "Integration of Novel Materials and Devices on Silicon for Future Technologies" (Warsaw, 2016)

  42. 2016 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2016, Hakodate, 2016) Steering Committee Co-chair   国際会議実行委員  

    2016年   

      詳細を見る

    2016 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2016, Hakodate, 2016) Steering Committee Co-chair

  43. 7th Int. Symp. on Control of Semiconductor Interfaces (Nagoya, June 7-16, 2016) Organizing Committee Chair   国際会議・シンポジウム オーガナイザ  

    2016年   

      詳細を見る

    7th Int. Symp. on Control of Semiconductor Interfaces (Nagoya, June 7-16, 2016) Organizing Committee Chair

  44. 8th Int. Symp. on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials/9th Int. Conf. on Plasma-Nano Technology & Sicence (ISPlasma 2016/IC-PLANTS2016, Nagoya, 2016) Executive Committee Chair   国際会議実行委員  

    2016年   

      詳細を見る

    8th Int. Symp. on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials/9th Int. Conf. on Plasma-Nano Technology & Sicence (ISPlasma 2016/IC-PLANTS2016, Nagoya, 2016) Executive Committee Chair

  45. 公益社団法人 応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会 共催 電子デバイス界面テクノロジー研究会 ―材料・プロセス・デバイス特性の物理―   運営委員  

    2015年 - 現在   

  46. 公益社団法人 応用物理学会 学術教育・奨励基金委員会   委員  

    2015年 - 現在   

      詳細を見る

    学術教育・奨励基金委員会 委員 (2015年度~ )

  47. 公益社団法人 応用物理学会 東海支部   支部長  

    2015年 - 2016年   

      詳細を見る

    支部長 (2015/2016年度)

  48. Int. Symp. on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials/Int. Conf. on Plasma-Nano Technology (ISPlasma/IC-PLANTS; 2014 - 2018, 2020 ~)   国際会議プログラム委員  

    2014年 - 現在   

      詳細を見る

    Int. Symp. on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials/Int. Conf. on Plasma-Nano Technology (ISPlasma/IC-PLANTS; 2014 - 2018, 2020 ~)

  49. Int. Symp. on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials/Int. Conf. on Plasma-Nano Technology & Science (ISPlasma/IC-PLANTS, 2014~ )   国際会議組織運営委員  

    2014年 - 現在   

      詳細を見る

    Int. Symp. on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials/Int. Conf. on Plasma-Nano Technology & Science (ISPlasma/IC-PLANTS, 2014~ )

  50. Int. Conf. on Solid State Devices and Materials (SSDM; 2014~ )   国際会議組織運営委員  

    2014年 - 現在   

      詳細を見る

    Int. Conf. on Solid State Devices and Materials (SSDM; 2014~ )

  51. ECS Trans. Vol. 98, No. 5 (2020), Vol. 85, No.16 (2018), Vol.75, No.8 (2016), Vol.64, No. 6 (2014), Ed. Member   学術論文編集委員  

    2014年 - 2020年   

      詳細を見る

    ECS Trans. Vol. 98, No. 5 (2020), Vol. 85, No.16 (2018), Vol.75, No.8 (2016), Vol.64, No. 6 (2014), Ed. Member

  52. Thin Solid Films, Vol 557, 30 (2014), Managing Gest Editor   学術論文編集委員  

    2014年   

      詳細を見る

    Thin Solid Films, Vol 557, 30 (2014), Managing Gest Editor

  53. 8th Int.Conf.on Reactive Plasmas (ICRP-8; Fukuoka, 2014)   国際会議諮問委員  

    2014年   

      詳細を見る

    8th Int.Conf.on Reactive Plasmas (ICRP-8; Fukuoka, 2014)

  54. 公益社団法人 応用物理学会 講演会企画・運営委員会   委員  

    2013年4月 - 2014年3月   

      詳細を見る

    委員 (2013年度)
    応用物理学会 第52期応用物理学委員会

  55. 公益社団法人 応用物理学会   諮問委員  

    2013年2月 - 2014年2月   

      詳細を見る

    諮問委員 (2013/2014年度)

  56. 6th Int. Symp. on Control of Semiconductor Interfaces (ISCSI-VI, Fukuoka, 2013)   国際会議組織運営委員  

    2013年   

      詳細を見る

    6th Int. Symp. on Control of Semiconductor Interfaces (ISCSI-VI, Fukuoka, 2013)

  57. Electrochemical Society   ECS Japan Section, Member-at-large(2012.8~2016.12), 2nd Vice Chair(2017.1~2018.12), 1st Vice Chair(2019.1~2020.12)  

    2012年8月 - 2020年12月   

  58. 高輝度光科学研究センターSPring-8成果審査委員会   査読者  

    2012年1月 - 2014年3月   

  59. Int. Symp. on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials (ISPlasma,4th 2012, 5th 2013)   国際会議プログラム委員  

    2012年 - 2013年   

      詳細を見る

    Int. Symp. on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials (ISPlasma,4th 2012, 5th 2013)

  60. J. Non-Cryst. Solids, Vol.358, Issue 17 (2012) : Special Issue for Proc. of the 24th Int. Conf. on Amorphous and Nanocrystalline Semiconductors (ICANS 24) Guest Editor (Head of Guest Editors)   学術論文編集委員  

    2012年   

      詳細を見る

    J. Non-Cryst. Solids, Vol.358, Issue 17 (2012) : Special Issue for Proc. of the 24th Int. Conf. on Amorphous and Nanocrystalline Semiconductors (ICANS 24) Guest Editor (Head of Guest Editors)

  61. 電子情報通信学会 エレクトロニクスソサイエティ英文論文誌編集委員会   編集委員  

    2011年9月 - 2012年5月   

  62. SPring-8利用研究課題審査委員会分科会   レフェリー  

    2011年6月 - 2013年3月   

  63. 旧) 公益社団法人 日本表面科学会 中部支部   役員  

    2011年5月 - 2018年5月   

      詳細を見る

    役員 (2011.5-2018.5 )

  64. 公益社団法人 応用物理学会 シリコンテクノロジー分科会   諮問委員  

    2011年4月 - 現在   

      詳細を見る

    諮問委員 (2011.4~ )

  65. 公益社団法人 応用物理学会 東海支部   幹事  

    2011年4月 - 2016年   

      詳細を見る

    東海支部 幹事 (2011-2016, 2013年度企画委員長)

  66. 公益社団法人 応用物理学会   理事 (2011/2012年度; 講演会企画・運営委員会、講演奨励賞委員会, 2015/2016年度)  

    2011年4月 - 2016年   

  67. 公益社団法人 応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会 共催 特別研究会 「ゲートスタック研究会 ─材料・プロセス・評価の物理─」   運営委員  

    2011年3月 - 2015年3月   

  68. Int. Workshop on Dielectric Thin Films (IWDTF; Tokyo, 2011(Chair), 2013, 2015, 2017, 2019, 2021)   国際会議組織運営委員  

    2011年 - 2021年   

      詳細を見る

    Int. Workshop on Dielectric Thin Films (IWDTF; Tokyo, 2011(Chair), 2013, 2015, 2017, 2019, 2021)

  69. 24th Int. Conf. on Amorphous and Nanocrystalline Semiconductors (ICANS24, Nara, 2011)   国際会議プログラム委員  

    2011年   

      詳細を見る

    24th Int. Conf. on Amorphous and Nanocrystalline Semiconductors (ICANS24, Nara, 2011)

  70. Key Engineering Materials, Vol.470 "Technology Evolution for Silicon Nano-Electronics", (2011) Editor   学術論文編集委員  

    2011年   

      詳細を見る

    Key Engineering Materials, Vol.470 "Technology Evolution for Silicon Nano-Electronics", (2011) Editor

  71. Jpn. J. Appl. Phys. 50, No.1 (2011): Selected Topics in Applied Physics: Technology Evolution for Silicon Nano-Electronics, Guest Editor   学術論文編集委員  

    2011年   

      詳細を見る

    Jpn. J. Appl. Phys. 50, No.1 (2011): Selected Topics in Applied Physics: Technology Evolution for Silicon Nano-Electronics, Guest Editor

  72. 2011 Int. Workshop on Dielectric Thin Films (IWDTF-11,Tokyo, 2011) Chair   国際会議組織運営委員  

    2011年   

      詳細を見る

    2011 Int. Workshop on Dielectric Thin Films (IWDTF-11,Tokyo, 2011) Chair

  73. 15th Int. Conf. on Thin Films (ICTF-15, Kyoto, 2011) Session Chair   国際会議組織運営委員  

    2011年   

      詳細を見る

    15th Int. Conf. on Thin Films (ICTF-15, Kyoto, 2011) Session Chair

  74. 24th Int. Conf. on Amorphous and Nanocrystalline Semiconductors (ICANS24, Nara, 2011) Publication Chair: JNCS Guest Editor   国際会議組織運営委員  

    2011年   

      詳細を見る

    24th Int. Conf. on Amorphous and Nanocrystalline Semiconductors (ICANS24, Nara, 2011) Publication Chair: JNCS Guest Editor

  75. 日本学術振興会 科学研究費委員会   専門委員  

    2010年12月 - 2011年11月   

  76. 南京大学 電子科学与工程学院   兼職教授  

    2010年10月 - 2017年11月   

      詳細を見る

    任期:2010/10 - 2013/10, 2014/12 - 2017/11

  77. 広島大学 ナノデバイス研究所   客員教授  

    2010年8月 - 現在   

      詳細を見る

    2022年4月1日付で研究所名称が「ナノデバイス・バイオ融合科学研究所」から「ナノデバイス研究所」(Resrarch Institute for Nanodevices)に変更。
    シリコン材料・プロセス技術における共同研究および外部連携の推進

  78. Int. Symp. on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials (ISPlasma; Nagoya, 2nd 2010, 3rd 2011, 4th 2012, 5th 2013)   国際会議組織運営委員  

    2010年 - 2013年   

      詳細を見る

    Int. Symp. on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials (ISPlasma; Nagoya, 2nd 2010, 3rd 2011, 4th 2012, 5th 2013)

  79. 公益社団法人 応用物理学会   フェロー  

    2009年9月   

  80. 公益社団法人 応用物理学会 国際委員会   委員  

    2009年4月 - 2012年   

      詳細を見る

    国際委員会 委員 (2009-2012年度)

  81. Int. Symp on Dry Process Symp. (DPS: Busan, 2009(Vice-chair), Tokyo, 2010(Chair), Kyoto, 2011(Vice-chair))   国際会議実行委員  

    2009年 - 2011年   

      詳細を見る

    Int. Symp on Dry Process Symp. (DPS: Busan, 2009(Vice-chair), Tokyo, 2010(Chair), Kyoto, 2011(Vice-chair))

  82. 1st Int. Workshop on Si based nano-electronics and -photonics (SINEP-09; Vigo, 2009)   国際会議プログラム委員  

    2009年   

      詳細を見る

    1st Int. Workshop on Si based nano-electronics and -photonics (SINEP-09; Vigo, 2009)

  83. 公益社団法人 応用物理学会    2009年度中国四国支部学術講演会 (2009年8月1日) 実行委員長  

    2009年   

  84. 公益社団法人 応用物理学会   評議員  

    2008年4月 - 2011年3月   

      詳細を見る

    評議員 (2008-2010年度)

  85. 独立行政法人 日本学術振興会 アモルファス・ナノ材料第147委員会   委員  

    2008年 - 現在   

  86. SiGe, Ge, & Related Compounds: Materials, Processing, and Devices Symposium in ECS (2008(Hawaii), 2010(Las Vegas), 2012(Hawaii), 2014(Cancun), 2016(Honolulu), 2018(Cancun), 2020(Honolulu)) Surfaces & Interfaces Committee Chair   国際会議組織運営委員  

    2008年 - 2020年   

      詳細を見る

    SiGe, Ge, & Related Compounds: Materials, Processing, and Devices Symposium in ECS (2008(Hawaii), 2010(Las Vegas), 2012(Hawaii), 2014(Cancun), 2016(Honolulu), 2018(Cancun), 2020(Honolulu)) Surfaces & Interfaces Committee Chair

  87. Int. SiGe Technology and Device Meeting (ISTDM, 4th 2008, 5th 2010, 6th 2012, 8th 2016)   国際会議プログラム委員  

    2008年 - 2016年   

      詳細を見る

    Int. SiGe Technology and Device Meeting (ISTDM, 4th 2008, 5th 2010, 6th 2012, 8th 2016)

  88. Int. Conf. on Plasma Nanotechnology & Science (IC-PLANTS; 2008 - 2012)   国際会議プログラム委員  

    2008年 - 2012年   

      詳細を見る

    Int. Conf. on Plasma Nanotechnology & Science (IC-PLANTS; 2008 - 2012)

  89. 2008 Int. Workshop on Dielectric Thin Films (IWDTF-08,Kawasaki, 2008)   国際会議実行委員  

    2008年   

      詳細を見る

    2008 Int. Workshop on Dielectric Thin Films (IWDTF-08,Kawasaki, 2008)

  90. Symp. Z, "Material Science and Process Technologies for Advanced Nano-Electronic Devices" (Nagoya, Dec. 9-13, 2008) The IUMRS International Conference in Asia 2008 (IUMRS-ICA, 2008)   国際会議・シンポジウム オーガナイザ  

    2008年   

      詳細を見る

    Symp. Z, "Material Science and Process Technologies for Advanced Nano-Electronic Devices" (Nagoya, Dec. 9-13, 2008) The IUMRS International Conference in Asia 2008 (IUMRS-ICA, 2008)

  91. Int. Symp. on Control of Semiconductor Interfaces-for Next Generation ULSI Process Integrations-(ISCSI; 5th 2007, 6th 2013)   国際会議プログラム委員  

    2007年 - 2013年   

      詳細を見る

    Int. Symp. on Control of Semiconductor Interfaces-for Next Generation ULSI Process Integrations-(ISCSI; 5th 2007, 6th 2013)

  92. Symp. "A: Amorphous and Polycrystalline Thin-Film Silicon Science and Technology" (San Francisco, April 9-13, 2007; 2008), Mat. Res. Soc. Spring Meeting   国際会議・シンポジウム オーガナイザ  

    2007年 - 2008年   

      詳細を見る

    Symp. "A: Amorphous and Polycrystalline Thin-Film Silicon Science and Technology" (San Francisco, April 9-13, 2007; 2008), Mat. Res. Soc. Spring Meeting

  93. 5th Int. Symp. on Control of Semiconductor Interfaces-for Next Generation ULSI Process Integrations- (ISCSI-V, Tokyo, 2007)   国際会議組織運営委員  

    2007年   

      詳細を見る

    5th Int. Symp. on Control of Semiconductor Interfaces-for Next Generation ULSI Process Integrations- (ISCSI-V, Tokyo, 2007)

  94. 5th Int. Symp. on Control of Semiconductor Interfaces-for Next Generation ULSI Process Integrations-(ISCSI-V, Tokyo, 2007) Vice-chair   国際会議実行委員  

    2007年   

      詳細を見る

    5th Int. Symp. on Control of Semiconductor Interfaces-for Next Generation ULSI Process Integrations-(ISCSI-V, Tokyo, 2007) Vice-chair

  95. IEICE Trans. on Electronics; E102-C, No. 6 (2019), E101-C, No. 5 (2018), E100-C, No. 5 (2017), E99-C, No. 5 (2016), E98-C, No. 5 (2015), E97-C, No. 5 (2014), E96-C, No. 5 (2013), E95-C, No.5 (2012), E94-C, No.5 (2011), E93-C, No.5 (2010), E92-C, No.5 (2009), E91-C, No.5 (2008), E90-C, No.5 (2007), E89-C, No.5 (2006): Special Section on Fundamental and Applicationof Advanced Semiconductor Devices, Ed. Commitee Member   学術論文編集委員  

    2006年 - 2019年   

      詳細を見る

    IEICE Trans. on Electronics; E102-C, No. 6 (2019), E101-C, No. 5 (2018), E100-C, No. 5 (2017), E99-C, No. 5 (2016), E98-C, No. 5 (2015), E97-C, No. 5 (2014), E96-C, No. 5 (2013), E95-C, No.5 (2012), E94-C, No.5 (2011), E93-C, No.5 (2010), E92-C, No.5 (2009), E91-C, No.5 (2008), E90-C, No.5 (2007), E89-C, No.5 (2006): Special Section on Fundamental and Applicationof Advanced Semiconductor Devices, Ed. Commitee Member

  96. European Mat. Res. Soc. Spring Meeting: Symp. L "Characterization of High-k Dielectric Materials" (Nice, 2006)   国際会議プログラム委員  

    2006年   

      詳細を見る

    European Mat. Res. Soc. Spring Meeting: Symp. L "Characterization of High-k Dielectric Materials" (Nice, 2006)

  97. Int. Symp on Dry Process Symp. (DPS; 2005 - 2009, 2011~ )   国際会議プログラム委員  

    2005年 - 現在   

      詳細を見る

    Int. Symp on Dry Process Symp. (DPS; 2005 - 2009, 2011~ )

  98. Int. Workshop on New Group IV Semiconductor an Nanoelectronics (2005 ~ )   国際会議プログラム委員  

    2005年 - 現在   

      詳細を見る

    Int. Workshop on New Group IV Semiconductor an Nanoelectronics (2005 ~ )

  99. Int. Conf. on Silicon Epitaxy and Heterostructures (ICSI; 4th 2005, 5th 2007, 6th 2009, 7th 2011(Co-chair), 8th 2013 (Chair))   国際会議プログラム委員  

    2005年 - 2013年   

      詳細を見る

    Int. Conf. on Silicon Epitaxy and Heterostructures (ICSI; 4th 2005, 5th 2007, 6th 2009, 7th 2011(Co-chair), 8th 2013 (Chair))

  100. Int. Meeting for Future of Electron Devices, Kansai (IMFEDK; 2005 - 2010)   国際会議プログラム委員  

    2005年 - 2010年   

      詳細を見る

    Int. Meeting for Future of Electron Devices, Kansai (IMFEDK; 2005 - 2010)

  101. IEICE Trans. on Electronics: Special Issue 2005-4EC, Ed. Commitee Member   学術論文編集委員  

    2005年   

      詳細を見る

    IEICE Trans. on Electronics: Special Issue 2005-4EC, Ed. Commitee Member

  102. The Special Joint Symp. on "Evolution and Outlook of Oxide Nonvolatile Memories" (Tokyo, Dec. 11, 2005), Mat. Res. Soc. Japan   国際会議・シンポジウム オーガナイザ  

    2005年   

      詳細を見る

    The Special Joint Symp. on "Evolution and Outlook of Oxide Nonvolatile Memories" (Tokyo, Dec. 11, 2005), Mat. Res. Soc. Japan

  103. IEICE Trans. on Electronics E87-C, No.1 (2004): Special Section on High-k Gate Dielectrics, Guest Editor   学術論文編集委員  

    2004年   

      詳細を見る

    IEICE Trans. on Electronics E87-C, No.1 (2004): Special Section on High-k Gate Dielectrics, Guest Editor

  104. Int.Conf.on Polycrystalline Semiconductors (POLYSE; Potsdam, 2004)   国際会議諮問委員  

    2004年   

      詳細を見る

    Int.Conf.on Polycrystalline Semiconductors (POLYSE; Potsdam, 2004)

  105. 一般社団法人 電気学会 システム集積プロセス調査専門委員会   委員  

    2003年3月 - 2006年3月   

      詳細を見る

    委員 (2003.3-2006.3)

  106. e-Journal of Surf. Sci. and Nanotechnology, Ed. Board Member(2003-)   学術論文編集委員  

    2003年 - 現在   

      詳細を見る

    e-Journal of Surf. Sci. and Nanotechnology, Ed. Board Member(2003-)

  107. Jpn. J. Appl. Phys. 50, No.4B (2011), 49, No.4B (2010), 48, No.4B (2009), 47, No.4B (2008), 46. No.4B (2007), 45. No.4B (2006), 44. No.4B (2005), 43. No.4B(2004), 42. No.4B (2003): Special Issue for Int. Conf. on Solid State Devices and Material, Ed. Commitee Member   学術論文編集委員  

    2003年 - 2011年   

      詳細を見る

    Jpn. J. Appl. Phys. 50, No.4B (2011), 49, No.4B (2010), 48, No.4B (2009), 47, No.4B (2008), 46. No.4B (2007), 45. No.4B (2006), 44. No.4B (2005), 43. No.4B(2004), 42. No.4B (2003): Special Issue for Int. Conf. on Solid State Devices and Material, Ed. Commitee Member

  108. 7th Int. Conf. on Atomically Controlled Surfaces, Interfaces and Nanostructures (ACSIN-7, Nara, 2003)   国際会議実行委員  

    2003年   

      詳細を見る

    7th Int. Conf. on Atomically Controlled Surfaces, Interfaces and Nanostructures (ACSIN-7, Nara, 2003)

  109. 4th Int. Symp. on Control of Semiconductor Interfaces (ISCSI-4; Tokyo, 2002)   国際会議プログラム委員  

    2002年   

      詳細を見る

    4th Int. Symp. on Control of Semiconductor Interfaces (ISCSI-4; Tokyo, 2002)

  110. 独立行政法人 日本学術振興会 半導体界面制御技術第154委員会   委員長(2013.4 - 2020.6), 企画幹事長(2008.4 - 2013.3), 企画副幹事長(2004.4 - 2008.3), 企画幹事(2001 - 2013.3)  

    2001年4月 - 2020年6月   

      詳細を見る

    半導体界面制御技術第154委員会 委員長(2013.4 - 2020.6), 企画幹事長(2008.4 - 2013.3), 企画副幹事長(2004.4 - 2008.3), 企画幹事(2001 - 2013.3)

  111. 公益社団法人 応用物理学会    代議員 (2001-2003年度, 2012/2013年度, 2015/2016年度)  

    2001年 - 2016年   

  112. Int. Workshop on Gate Insulator (IWGI; 2001, 2003)   国際会議プログラム委員  

    2001年 - 2003年   

      詳細を見る

    Int. Workshop on Gate Insulator (IWGI; 2001, 2003)

  113. Int. Conf. on Rapid Thermal Processing for Future Semiconductor Devices (RTP; Ise shima, 2001)   国際会議プログラム委員  

    2001年   

      詳細を見る

    Int. Conf. on Rapid Thermal Processing for Future Semiconductor Devices (RTP; Ise shima, 2001)

  114. Jpn. J. Appl. Phys. 40, No.4B (2001): Special Issue for 2000 Int. Conf. on Solid State Devices and Material, Ed. Commitee Chairperson   学術論文編集委員  

    2001年   

      詳細を見る

    Jpn. J. Appl. Phys. 40, No.4B (2001): Special Issue for 2000 Int. Conf. on Solid State Devices and Material, Ed. Commitee Chairperson

  115. 8th Int. Conf. on the Formation of Semiconductor Interface (ICFSI-8; Sapporo, 2001)   国際会議プログラム委員  

    2001年   

      詳細を見る

    8th Int. Conf. on the Formation of Semiconductor Interface (ICFSI-8; Sapporo, 2001)

  116. 一般社団法人 電気学会 グローバルインテグレーションプロセス調査専門委員会   委員  

    2000年3月 - 2003年3月   

      詳細を見る

    委員(2000.3-2003.3)

  117. Asia-Pacific Workshop on Fundamental and Application of Advanced Semiconductor Devices (AWAD; 2000 ~, 2012(Chair))   国際会議プログラム委員  

    2000年 - 現在   

      詳細を見る

    Asia-Pacific Workshop on Fundamental and Application of Advanced Semiconductor Devices (AWAD; 2000 ~, 2012(Chair))

  118. 公益社団法人 応用物理学会 シリコンテクノロジー分科会   幹事 (1999-2010年度, 常任幹事:2003/2004年度, 副幹事長2008/2009年度、幹事長:2009/2010年度)  

    1999年4月 - 2010年3月   

  119. Int. Workshop on Dielectric Thin Films (IWDTF; 1999, 2004, 2006) Vice-chair   国際会議プログラム委員  

    1999年 - 2006年   

      詳細を見る

    Int. Workshop on Dielectric Thin Films (IWDTF; 1999, 2004, 2006) Vice-chair

  120. Silicon Nanoelectronics Workshop(SNW; 1999 - 2005)   国際会議プログラム委員  

    1999年 - 2005年   

      詳細を見る

    Silicon Nanoelectronics Workshop(SNW; 1999 - 2005)

  121. Int. Joint Conf. on Si Epi. and Heterostructure(IJC-Si; Zao, 1999)   国際会議プログラム委員  

    1999年   

      詳細を見る

    Int. Joint Conf. on Si Epi. and Heterostructure(IJC-Si; Zao, 1999)

  122. 3rd. Int. Symp. on Surface Science for Micro- and Nano-Device Fablication (ISSS-3; Tokyo, 1999)   国際会議プログラム委員  

    1999年   

      詳細を見る

    3rd. Int. Symp. on Surface Science for Micro- and Nano-Device Fablication (ISSS-3; Tokyo, 1999)

  123. 一般社団法人 電子情報通信学会 シリコン材料・デバイス研究専門委員会   SDM研究専門委員会 専門委員  

    1998年5月 - 2023年6月   

      詳細を見る

    SDM研究専門委員会 専門員(SDM6月度研究会担当)
    平成10年5月~令和5年6月7日まで

  124. 独立行政法人 日本学術振興会 プラズマ材料科学第153委員会   委員  

    1998年 - 2003年3月   

  125. Jpn. J. Appl. Phys. Assoc. Editor (1998 - 2002)   学術論文編集委員  

    1998年 - 2002年   

      詳細を見る

    Jpn. J. Appl. Phys. Assoc. Editor (1998 - 2002)

  126. 公益社団法人 応用物理学会   第59回応用物理学会学術講演会 (1998年9月15-18日) 現地実行委員  

    1998年   

  127. 1998 Int. Conf. on Solid State Devices and Materials (SSDM 1998, Hiroshima, 1998)   国際会議実行委員  

    1998年   

      詳細を見る

    1998 Int. Conf. on Solid State Devices and Materials (SSDM 1998, Hiroshima, 1998)

  128. 一般社団法人 電気学会 プロセス・インテグレーション調査専門委員会   委員  

    1997年3月 - 2000年3月   

      詳細を見る

    委員 (1997.3-2000.3)

  129. 公益社団法人 応用物理学会 薄膜・表面物理分科会   幹事  

    1997年 - 2018年   

      詳細を見る

    幹事 (1997-2018年度, 常任幹事:1998/1999年度)

  130. Int. Conf. on Solid State Devices and Materials (SSDM; 1997 - 2012 , 2011(Vice-Chair), 2012(Chair))   国際会議プログラム委員  

    1997年 - 2012年   

      詳細を見る

    Int. Conf. on Solid State Devices and Materials (SSDM; 1997 - 2012 , 2011(Vice-Chair), 2012(Chair))

  131. Mat. Res. Soc. Spring Meeting: Symp. A "Amorphous and Polycrystalline Thin-Film Silicon Science and Technology" (San Fransicso, 1997, 1998)   国際会議プログラム委員  

    1997年 - 1998年   

      詳細を見る

    Mat. Res. Soc. Spring Meeting: Symp. A "Amorphous and Polycrystalline Thin-Film Silicon Science and Technology" (San Fransicso, 1997, 1998)

  132. 公益社団法人 応用物理学会 中国四国支部   幹事  

    1995年4月 - 2010年5月   

      詳細を見る

    幹事 (1995.4-2010.5)

  133. 独立行政法人 日本学術振興会 薄膜第131委員会   企画委員 (1993 - 2020.6), 庶務幹事(1999.4 - 2004.3)  

    1993年 - 2020年6月   

      詳細を見る

    薄膜第131委員会 企画委員 (1993 - 2020.6), 庶務幹事(1999.4 - 2004.3)

▼全件表示

受賞 6

  1. ISPlasma2012 Best Presentation Award

    2011年3月   ISPlasma2012 Organizing Committee Chair  

     詳細を見る

    受賞国:日本国

  2. 応用物理学会 中国四国支部 貢献賞

    2010年7月   (社)応用物理学会 中国四国支部  

     詳細を見る

    受賞国:日本国

  3. 応用物理学会フェロー表彰

    2009年9月   応用物理学会  

     詳細を見る

    受賞国:日本国

  4. Selete Achievement Award

    2004年5月   Semiconductor Leading Edge Technologies, Inc.  

     詳細を見る

    受賞国:日本国

  5. Jpn. J. Appl. Phys. Editorial Contribution Award

    2003年4月   The Japan Society of Applied Physics  

     詳細を見る

    受賞国:日本国

  6. 井上研究奨励賞

    1987年2月   (財)井上科学財団  

     詳細を見る

    受賞国:日本国

▼全件表示

 

論文 282

  1. Layer transfer of ultrathin Ge crystal segregated on Al/Ge(111) structure 査読有り 国際誌

    K. Matsushita, A. Ohta, S. Shibayama, T. Tokunaga, N. Taoka, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   62 巻 ( SG ) 頁: SG1007 (8 pages)   2023年6月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/acb65c

  2. Characterization of magnesium channeled implantation layers in GaN(0001) 査読有り 国際誌

    A. Suyama, H. Kawanowa, H. Minagawa, J. Maekawa, S. Nagamachi, M. Aoki, A. Ohta, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   62 巻 ( SC ) 頁: SC1080 (6 pages)   2023年4月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/acb951

  3. Formation of ultra-thin NiGe film with single crystalline phase and smooth surface 査読有り 国際誌

    S. Nishimura, N. Taoka, A. Ohta, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   62 巻 ( SC ) 頁: SC1027 (6 pages)   2023年4月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/acac6f

  4. Evaluation of chemical structure and Si segregation of Al/Si(111) 査読有り 国際誌

    T. Sakai, A. Ohta, K. Matsushita, N. Taoka, K. Makihara and S. Miyazaki

    Jpn. J. Appl. Phys.   62 巻 ( SC ) 頁: SC1059 (8 pages)   2023年4月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/acb1fd

  5. Effects of Cl Passivation on Al2O3/GaN Interface Properties 査読有り 国際誌

    T. Nagai, N. Taoka, A. Ohta, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   62 巻 ( SA ) 頁: SA1002 (5 pages)   2023年1月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/ac73d9

  6. Magnetic-Field Dependent Electron Transport of Fe3Si Nanodots 査読有り 国際誌

    J. Wu, K. Makihara, H. Zhang, N. Taoka, A. Ohta, and S. Miyazaki

    IEICE Transactions on Electronics   E105.C 巻 ( 10 ) 頁: 616 - 621   2022年10月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1587/transele.2021FUP0007

  7. Study on Electron Emission from Phosphorus δ-Doped Si-QDs/Undoped Si-QDs Multiple-Stacked Structures 査読有り 国際誌

    K. Makihara, T. Takemoto, S. Obayashi, A. Ohta, N. Taoka, and S. Miyazaki

    IEICE Transactions on Electronics   E105.C 巻 ( 10 ) 頁: 610 - 615   2022年10月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1587/transele.2021FUP0006

  8. 工学教育へ高まる期待 査読有り

    宮﨑 誠一

    工学教育(J. of JSEE)   70 巻 ( 5 ) 頁: 5_1   2022年9月

     詳細を見る

    担当区分:筆頭著者, 最終著者, 責任著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.4307/jsee.70.5_1

  9. Impact of substrate heating during Al deposition and post annealing on surface morphology, Al crystallinity, and Ge segregation in Al/Ge(111) structure 査読有り 国際誌

    K. Matsushita, A. Ohta, N. Taoka, S. Hayashi, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   61 巻 ( SH ) 頁: SH1012 (9 pages)   2022年7月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/ac5fbc

  10. Characterization of electronic charged states of high density self-aligned Si-based quantum dots evaluated with AFM/Kelvin probe technique 査読有り 国際誌

    Y. Imai, K. Makihara, N. Taoka, A. Ohta, and S. Miyazaki

    Jpn. J. Appl. Phys.   61 巻 ( SD ) 頁: SD1012 (5 pages)   2022年6月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/ac61aa

  11. Study on silicidation reaction of Fe nanodots with SiH4 査読有り 国際誌

    H. Furuhata, K. Makihara, Y. Shimura, S. Fujimori, Y. Imai, A. Ohta, N. Taoka, and S. Miyazaki

    Applied Physics Express   15 巻 ( 5 ) 頁: 055503 (4 pages)   2022年5月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1882-0786/ac6727

  12. Segregation control for ultrathin Ge layer in Al/Ge(111) system 査読有り 国際誌

    A. Ohta, M. Kobayashi, N. Taoka, M. Ikeda, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   61 巻 ( SA ) 頁: SA1014 (7 pages)   2022年1月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/ac19ff

  13. Effect of substrate temperature on plasma-enhanced self-assembling formation of high-density FePt nanodots 査読有り 国際誌

    S. Honda, K. Makihara, N. Taoka, H. Furuhata, A. Ohta, D. Oshima, T. Kato, and S. Miyazaki

    Jpn. J. Appl. Phys.   61 巻 ( SA ) 頁: SA1008 (5 pages)   2022年1月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/ac2036

  14. Characterization of Light Emission Properties of Impurity Doped Ge/Si Core–Shell Quantum Dots 査読有り 国際誌

    S. Miyazaki, Y. Imai, and K. Makihara

    ECS Transactions   109 巻 ( 4 ) 頁: 335 - 341   2022年

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/10904.0335ecst

  15. Single germanene phase formed by segregation through Al(111) thin films on Ge(111) 査読有り 国際共著 国際誌

    J. Yuhara, H. Muto, M. Araidai, M. Kobayashi, A. Ohta, S. Miyazaki, S. Takakura, M. Nakatake, and G. L. Lay

    2D Materials   8 巻 ( 4 ) 頁: 045039   2021年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/2053-1583/ac2bef

  16. Epitaxial growth of massively parallel germanium nanoribbons by segregation through Ag(1 1 0) thin films on Ge(1 1 0) 査読有り

    J. Yuhara, H. Shimazu, M. Kobayashi, A. Ohta, S. Miyazaki, S. Takakura, M. Nakatake, and G. L. Lay

    Appl. Surf. Sci.   550 巻   頁: 149236 (7 pages)   2021年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.apsusc.2021.149236

  17. Surface flattening and Ge crystalline segregation of Ag/Ge structure by thermal anneal 査読有り

    A. Ohta, K. Yamada, H. Sugawa, N. Taoka, M. Ikeda, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   60 巻 ( SB ) 頁: SBBK05 (6 pages)   2021年5月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/abdad0

  18. Energy band diagram for SiO2/Si system as evaluated from UPS analysis under vacuum ultraviolet with variable incident photon energy 査読有り

    A. Ohta, T. Imagawa, N. Taoka, M. Ikeda, K. Makihara and S. Miyazaki

    Jpn. J. Appl. Phys.   60 巻 ( SA ) 頁: SAAC02 (6 pages)   2021年1月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/abb75b

  19. Impact of Boron Doping and H2 Annealing on Light Emission from Ge/Si Core-Shell Quantum Dots 招待有り 査読有り

    S. Miyazaki, and K. Makihara

    ECS Transactions   104 巻 ( 4 ) 頁: 105 - 112   2021年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/10404.0105ecst

  20. Effect of B-doping on photoluminescence properties of Si quantum dots with Ge core 査読有り

    K. Makihara, S. Fujimori, M. Ikeda, A. Ohta, and S. Miyazaki

    Materials Science in Semiconductor Processing   120 巻   頁: 105250 (5 pages)   2020年12月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.mssp.2020.105250

    Web of Science

  21. Characterization of photoluminescence from Si quantum dots with B δ-doped Ge core 査読有り

    T. Maehara, S. Fujimori, M. Ikeda, A. Ohta, K. Makihara, and S. Miyazaki

    Materials Science in Semiconductor Processing   119 巻 ( 15 ) 頁: 105215 (4 pages)   2020年11月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.mssp.2020.105215

    Web of Science

  22. Electron Field Emission from Multiply-Stacked Si Quantum Dots Structures with Graphene Top-Electrode 査読有り

    T. Niibayashi, T. Takemoto, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    ECS Transactions   98 巻 ( 5 ) 頁: 429-434   2020年9月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/09805.0429ecst

  23. Crystallization of Ge Thin Films on Sapphire(0001) by Thermal Annealing 査読有り

    H. Sugawa, A. Ohta, M. Kobayashi, N. Taoka, M. Ikeda, K. Makihara, and S. Miyazaki

    ECS Transactions   98 巻 ( 5 ) 頁: 505-511   2020年9月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/09805.0505ecst

  24. Characterization of Magnetic-Field Dependent Electron Transport of Fe3Si Nanodots by Using a Magnetic AFM Probe 査読有り

    J. Wu, H. Zhang, H. Furuhata, K. Makihara, M. Ikeda, A. Ohta, and S. Miyazaki

    ECS Transactions   98 巻 ( 5 ) 頁: 493-498   2020年9月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/09805.0493ecst

  25. Complex dielectric function of Si oxide as evaluated from photoemission measurements 査読有り

    A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   59 巻 ( SM ) 頁: SMMB04 (8 pages)   2020年7月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/ab8c99

    Web of Science

  26. Formation of ultrathin segregated-Ge crystal on Al/Ge(111) surface 査読有り

    M. Kobayashi, A. Ohta, M. Kurosawa, M. Araidai, N. Taoka, T. Simizu, M. Ikeda, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   59 巻 ( SG ) 頁: SGGK15 (6 pages)   2020年4月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/ab69de

    Web of Science

  27. Comparative study of photoluminescence properties obtained from SiO2/GaN and Al2O3/GaN structures 査読有り

    N. Takada, N. Taoka, A. Ohta, T. Yamamoto, N. X. Truyen, H. Yamada, T. Takahashi, M. Ikeda, K. Makihara, M. Shimizu, and S. Miyazaki

    Jpn. J. Appl. Phys.   58 巻 ( SI ) 頁: SIIB22 (5 pages)   2019年8月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/ab26ac

    Web of Science

  28. Impact of surface pre-treatment on Pt-nanodot formation induced by remote H2-plasma exposure 査読有り

    S. Fujimori, K. Makihara, M. Ikeda, A. Ohta, and S. Miyazaki

    Jpn. J. Appl. Phys.   58 巻 ( SI ) 頁: SIIA15 (4 pages)   2019年8月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/ab23f9

    Web of Science

  29. Effect of H2-dilution in Si-cap formation on photoluminescence intensity of Si quantum dots with Ge core 査読有り

    S. Fujimori, R. Nagai, M. Ikeda, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   58 巻 ( SI ) 頁: SIIA01 (4 pages)   2019年8月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/ab0c7a

    Web of Science

  30. Characterization of Electron Field Emission from Multiple-Stacking Si-Based Quantum Dots 査読有り

    Y. Futamura, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    IEICE Transactions on Electronics   E102.C 巻 ( 6 ) 頁: 458 - 461   2019年6月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1587/transele.2018FUP0007

    Web of Science

  31. Impact of remote plasma oxidation of a GaN surface on photoluminescence properties 査読有り

    N. Takada, N. Taoka, T. Yamamoto, A. Ohta, N. X. Truyen, H. Yamada, T. Takahashi, M. Ikeda, K. Makihara, M. Shimizu, and S. Miyazaki

    Jpn. J. Appl. Phys.   58 巻 ( SE ) 頁: SEEC02 (4 pages)   2019年6月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/ab09c9

    Web of Science

  32. Evaluation of the potential distribution in a multiple stacked Si quantum dots structure by hard X-ray photoelectron spectroscopy 査読有り

    Y. Futamura, Y. Nakashima, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   58 巻 ( SA ) 頁: SAAE01 (4 pages)   2019年2月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/aaeb38

    Web of Science

  33. Photoemission-Based Characterization of Gate Dielectrics and Stack Interfaces 招待有り 査読有り

    S. Miyazaki, and A. Ohta

    ECS Transactions   92 巻 ( 4 ) 頁: 11 - 19   2019年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/09204.0011ecst

    Web of Science

  34. Characterization of electron charging and transport properties of Si-QDs with phosphorus doped Ge core 査読有り

    R. Nagai, K. Yamada, S. Fujimori, M. Ikeda, K. Makihara, A. Ohta, and S. Miyazaki

    Semiconductor Science and Technology   33 巻 ( 12 ) 頁: 124021   2018年12月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6641/aaebbc

    Web of Science

  35. Activation mechanism of TiOx passivating layer on crystalline Si 査読有り

    T. Mochizuki, K. Gotoh, A. Ohta, S. Ogura, Y. Kurokawa, S. Miyazaki, K. Fukutani, and N. Usami

    Applied Physics Express   11 巻 ( 10 ) 頁: 102301 (4 pages)   2018年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/APEX.11.102301

    Web of Science

  36. Energy band structure and electrical properties of Ga-oxide/GaN interface formed by remote oxygen plasma 査読有り

    T. Yamamoto, N. Taoka, A. Ohta, N. X. Truyen, H. Yamada, T. Takahashi, M. Ikeda, K. Makihara, O. Nakatsuka, M. Shimizu, and S. Miyazaki

    Jpn. J. Appl. Phys.   57 巻 ( 6S3 ) 頁: 06KA05 (5 pages)   2018年6月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.06KA05

    Web of Science

  37. Low-temperature formation of Ga-oxide/GaN interface with remote oxygen plasma and its interface properties 査読有り

    T. Yamamoto, N. Taoka, A. Ohta, N. X. Truyen, H. Yamada, T. Takahashi, M. Ikeda, K. Makihara, M. Shimizu, and S. Miyazaki

    Jpn. J. Appl. Phys.   57 巻 ( 6S2 ) 頁: 06JE01 (5 pages)   2018年6月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.06JE01

    Web of Science

  38. Interface properties of SiO2/GaN structures formed by chemical vapor deposition with remote oxygen plasma mixed with Ar or He 査読有り

    N. X. Truyen, N. Taoka, A. Ohta, K. Makihara, H. Yamada, T. Takahashi, M. Ikeda, M. Shimizu, and S. Miyazaki

    Jpn. J. Appl. Phys.   57 巻 ( 6S3 ) 頁: 06KA01 (7 pages)   2018年6月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.06KA01

    Web of Science

  39. Growth of two-dimensional Ge crystal by annealing of heteroepitaxial Ag/Ge(111) under N2 ambient 査読有り

    K. Ito, A. Ohta, M. Kurosawa, M. Araidai, M. Ikeda, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   57 巻 ( 6S1 ) 頁: 06HD08 (5 pages)   2018年6月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.06HD08

    Web of Science

  40. Evaluation of resistive switching properties of Si-rich oxide embedded with Ti nanodots by applying constant voltage and current 査読有り

    A. Ohta, Y. Kato, M. Ikeda, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   57 巻 ( 6S1 ) 頁: 06HD05 (4 pages)   2018年6月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.06HD05

    Web of Science

  41. Total photoelectron yield spectroscopy of energy distribution of electronic states density at GaN surface and SiO2/GaN interface 査読有り

    A. Ohta, N. X. Truyen, N. Fujimura, M. Ikeda, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   57 巻 ( 6S3 ) 頁: 06KA08 (6 pages)   2018年6月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.06KA08

    Web of Science

  42. Direct evaluation of electrical dipole moment and oxygen density ratio at high-k dielectrics/SiO2 interface by X-ray photoelectron spectroscopy analysis 査読有り

    N. Fujimura, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   57 巻 ( 4S ) 頁: 04FB07 (5 pages)   2018年4月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.04FB07

    Web of Science

  43. High thermal stability of abrupt SiO2/GaN interface with low interface state density 査読有り

    N. X. Truyen, N. Taoka, A. Ohta, K. Makihara, H. Yamada, T. Takahashi, M. Ikeda, M. Shimizu, and S. Miyazaki

    Jpn. J. Appl. Phys.   57 巻 ( 4S ) 頁: 04FG11 (5 pages)   2018年4月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.04FG11

    Web of Science

  44. Segregated SiGe ultrathin layer formation and surface planarization on epitaxial Ag(111) by annealing of Ag/SiGe(111) with different Ge/(Si + Ge) compositions 査読有り

    K. Ito, A. Ohta, M. Kurosawa, M. Araidai, M. Ikeda, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   57 巻 ( 4S ) 頁: 04FJ05 (6 pages)   2018年4月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.04FJ05

    Web of Science

  45. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements 査読有り

    N. X. Truyen, A. Ohta, K. Makihara, M. Ikeda, and S. Miyazaki

    Jpn. J. Appl. Phys.   57 巻 ( 1S ) 頁: 01AD02 (5 pages)   2018年1月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.01AD02

    Web of Science

  46. Formation of Mn-germanide nanodots on ultrathin SiO2 induced by remote hydrogen plasma 査読有り

    Y. Wen, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    Jpn. J. Appl. Phys.   57 巻 ( 1S ) 頁: 01AF05 (4 pages)   2018年1月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.01AF05

    Web of Science

  47. Electroluminescence of superatom-like Ge-core/Si-shell quantum dots by alternate field-effect-induced carrier injection 査読有り

    K. Makihara, M. Ikeda, N. Fujimura, K. Yamada, A. Ohta, and S. Miyazaki

    Applied Physics Express   11 巻 ( 1 ) 頁: 011305 (4 pages)   2018年1月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/APEX.11.011305

    Web of Science

  48. High Density Formation and Magnetoelectronic Transport Properties of Fe3Si Nanodots 査読有り

    H. Zhang, K. Makihara, M. Ikeda, A. Ohta, and S. Miyazaki

    ECS Transactions   86 巻 ( 7 ) 頁: 131 - 138   2018年

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/08607.0131ecst

    Web of Science

  49. Impact of phosphorus doping to multiple-stacked Si quantum dots on electron emission properties 査読有り

    D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    Materials Science in Semiconductor Processing   70 巻   頁: 183 - 187   2017年11月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.mssp.2016.12.015

    Web of Science

  50. Magnetoelectronic transport of double stack FePt nanodots 査読有り

    K. Makihara, T. Kawase, A. Ohta, M. Ikeda, and S. Miyazaki

    Appl. Phys. Lett   111 巻 ( 5 ) 頁: 052403 (4 pages)   2017年7月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4985603

    Web of Science

  51. Evaluation of energy distribution of filled defects of Si oxide thin films from total photoelectron yield spectroscopy 査読有り

    A. Ohta, M. Ikeda, K. Makihara, and S. Miyazakia

    Microelectronic Engineering   178 巻   頁: 85 - 88   2017年6月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.mee.2017.05.001

    Web of Science

  52. Potential changes and chemical bonding features for Si-MOS structure as evaluated from HAXPES analysis 査読有り

    A. Ohta, H. Murakami, M. Ikeda, K. Makihara, E. Ikenaga, and S. Miyazaki

    Microelectronic Engineering   178 巻   頁: 80 - 84   2017年6月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.mee.2017.05.002

    Web of Science

  53. Low-temperature formation of crystalline Si:H/Ge:H heterostructures by plasma-enhanced CVD in combination with Ni-nanodots seeding nucleation 査読有り

    Y. Lu, K. Makihara, D. Takeuchi, M. Ikeda, A. Ohta, and S. Miyazaki

    Jpn. J. Appl. Phys.   56 巻 ( 6S1 ) 頁: 06GG07 (4 pages)   2017年6月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.56.06GG07

    Web of Science

  54. Embedding of Ti Nanodots into SiOx and Its Impact on Resistance Switching Behaviors 査読有り

    Y. Kato, A. Ohta, M. Ikeda, K. Makihara, S. Miyazaki

    IEICE Transactions on Electronics   E100.C 巻 ( 5 ) 頁: 468 - 474   2017年5月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1587/transele.E100.C.468

    Web of Science

  55. Photoemission study on electrical dipole at SiO2/Si and HfO2/SiO2 interfaces 査読有り

    N. Fujimura, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   56 巻 ( 4S ) 頁: 04CB04 (6 pages)   2017年4月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.56.04CB04

    Web of Science

  56. Effects of remote hydrogen plasma on chemical bonding features and electronic states of 4H-SiC(0001) surface 査読有り

    N. X. Truyen, A. Ohta, K. Makihara, M. Ikeda, and S. Miyazaki

    Jpn. J. Appl. Phys.   56 巻 ( 1S ) 頁: 01AF01 (5 pages)   2017年1月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.56.01AF01

    Web of Science

  57. High-density formation of Ta nanodot induced by remote hydrogen plasma 査読有り

    Y. Wang, D. Takeuchi, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   56 巻 ( 1S ) 頁: 01AE01 (4 pages)   2017年1月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.56.01AE01

    Web of Science

  58. Characterization of Interfacial Dipoles at Dielectric Stacks by XPS Analysis 招待有り 査読有り

    S. Miyazaki, A. Ohta, and N. Fujimura

    ECS Transactions   80 巻 ( 1 ) 頁: 229 - 235   2017年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/08001.0229ecst

    Web of Science

  59. Photoemission Study of Gate Dielectrics on Gallium Nitride 招待有り 査読有り

    S. Miyazaki, N. X. Truyen, A. Ohta, and T. Yamamoto

    ECS Transactions   79 巻 ( 1 ) 頁: 119 - 127   2017年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/07901.0119ecst

    Web of Science

  60. Processing and Characterization of High Density Si/Ge Quantum Dots for Electroluminescent Devices 招待有り 査読有り

    S. Miyazaki, K. Yamada, K. Makihara, and M. Ikeda

    ECS Transactions   80 巻 ( 4 ) 頁: 167 - 172   2017年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/08004.0167ecst

    Web of Science

  61. Nano spin-diodes using FePt-NDs with huge on/off current ratio at room temperature 査読有り

    K. Makihara, T. Kato, Y. Kabeya, Y. Mitsuyuki, A. Ohta, D. Oshima, S. Iwata, Y. Darma, M. Ikeda, and S. Miyazaki

    Scientific Reports   6 巻   頁: 33409 (7 pages)   2016年9月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1038/srep33409

  62. Evaluation of valence band top and electron affinity of SiO2 and Si-based semiconductors using X-ray photoelectron spectroscopy 査読有り

    N. Fujimura, A. Ohta, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   55 巻 ( 8S2 ) 頁: 08PC06 (5 pages)   2016年8月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.55.08PC06

  63. Impact of embedded Mn nanodots on resistive switching characteristics of Si-rich oxides as measured in Ni-electrode metal–insulator–metal diodes 査読有り

    T. Arai, A. Ohta, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   55 巻 ( 6S1 ) 頁: 06GH07 (5 pages)   2016年6月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.55.06GH07

  64. Bonding and Electron Energy-Level Alignment at Metal/TiO2 Interfaces: A Density Functional Theory Study 査読有り

    H. Chen, P. Li, N. Umezawa, H. Abe, J. Ye, K. Shiraishi, A. Ohta, and S. Miyazaki

    The Journal of Physical Chemistry   120 巻 ( 10 ) 頁: 5549 - 5556   2016年3月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1021/acs.jpcc.5b12681

  65. Evaluation of field emission properties from multiple-stacked Si quantum dots 査読有り

    D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    Thin Solid Films   602 巻   頁: 68 - 71   2016年3月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.tsf.2015.10.070

  66. Study on electroluminescence from multiply-stacking valency controlled Si quantum dots 査読有り

    T. Yamada, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    Thin Solid Films   602 巻   頁: 48 - 51   2016年3月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.tsf.2015.10.007

  67. Photoluminescence study of high density Si quantum dots with Ge core 査読有り

    K. Kondo, K. Makihara, M. Ikeda, and S. Miyazaki

    Journal of Applied Physics   119 巻 ( 3 ) 頁: 033103 (5 pages)   2016年1月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4940348

  68. Formation and characterization of high-density FeSi nanodots on SiO2 induced by remote H2 plasma 査読有り

    H. Zhang, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    Jpn. J. Appl. Phys.   55 巻 ( 1S ) 頁: 01AE20 (4 pages)   2016年1月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.55.01AE20

  69. The interface analysis of GaN grown on 0° off 6H-SiC with an ultra-thin buffer layer 査読有り

    Z. Sun, A. Ohta, S. Miyazaki, K. Nagamatsu, H. Lee, M. Olsson, Z. Ye, M. Deki, Y. Honda, and H. Amano

    Jpn. J. Appl. Phys.   55 巻 ( 1 ) 頁: 010303 (3 pages)   2016年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.55.010303

  70. Evaluation of Dielectric Function of Thermally-grown SiO2 and GeO2 from Energy Loss Signals for XPS Core-line Photoelectrons 査読有り

    T. Yamamoto, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki

    ECS Transactions   75 巻 ( 8 ) 頁: 777 - 783   2016年

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/07508.0777ecst

  71. Processing and characterization of Si/Ge quantum dots 査読有り

    S. Miyazaki, K. Makihara, A. Ohta, and M. Ikeda

    2016 IEEE International Electron Devices Meeting (IEDM)     頁: 826 (33.2.1) - 830 (33.2.4)   2016年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/IEDM.2016.7838532

  72. Effect of Ge Core Size on Photoluminescence from Si Quantum Dots with Ge Core 査読有り

    K. Yamada, K. Kondo, K. Makihara, M. Ikeda, A. Ohta, and S. Miyazaki

    ECS Transactions   75 巻 ( 8 ) 頁: 695 - 700   2016年

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/07508.0695ecst

  73. High Density Formation of FePt Alloy Nanodots Induced by Remote Hydrogen Plasma and Characterization of their Magnetic Properties 査読有り

    R. Fukuoka, K. Makihara, H. Zhang, A. Ohta, T. Kato, S. Iwata, M. Ikeda, and S. Miyazaki

    Transactions of the Materials Research Society of Japan   40 巻 ( 4 ) 頁: 347-350   2015年12月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.14723/tmrsj.40.347

  74. Electronic defect states in thermally-grown SiO2/4H-SiC structure measured by total photoelectron yield spectroscopy 査読有り

    A. Ohta, K. Makihara, and S. Miyazaki

    Microelectronic Engineering   147 巻   頁: 264-268   2015年11月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.mee.2015.04.093

  75. Progress in determination method for ultrathin Si-based oxide bandgaps from analysis of energy loss signals for photoelectrons 査読有り

    A. Ohta, H. Murakami, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   54 巻 ( 6S1 ) 頁: 06FH08 (5 pages)   2015年6月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.54.06FH08

  76. Resistance-Switching Characteristics of Si-rich Oxide Evaluated by Using Ni Nanodots as Electrodes in Conductive AFM Measurements 査読有り

    A. Ohta, C. Liu, T. Arai, D. Takeuchi, H. Zhang, K. Makihara, and S. Miyazaki

    IEICE Transactions on Electronics   E98.C 巻 ( 5 ) 頁: 406-410   2015年5月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1587/transele.E98.C.406

  77. High-Resolution Photoemission Study of High-k Dielectric Bilayer Stack on Ge(100) 招待有り 査読有り

    S. Miyazaki, and A. Ohta

    ECS Transactions   69 巻 ( 10 ) 頁: 165-170   2015年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/06910.0165ecst

  78. Photoemission Study on Chemical Bonding Features and Electronic Defect States of Thermally-Grown SiO2/4H-SiC Structure 査読有り

    H. Watanabe, A. Ohta, K. Makihara, and S. Miyazaki

    ECS Transactions   69 巻 ( 10 ) 頁: 179-186   2015年

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/06910.0179ecst

  79. Resistive Switching Characteristics of Si-Rich Oxides with Embedding Ti Nanodots 査読有り

    Y. Kato, T. Arai, A. Ohta, K. Makihara, and S. Miyazaki

    ECS Transactions   69 巻 ( 10 ) 頁: 291-298   2015年

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/06910.0291ecst

  80. Application of remote hydrogen plasma to selective processing for Ge-based devices: Crystallization, etching, and metallization 査読有り

    K. Makihara, M. Ikeda, T. Okada, and S. Miyazaki

    Jpn. J. Appl. Phys.   53 巻 ( 11S ) 頁: 11RA02 (4 pages)   2014年11月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.53.11RA02

  81. Effect of electric field concentration using nanopeak structures on the current–voltage characteristics of resistive switching memory 査読有り

    S. Otsuka, T. Shimizu, S. Shingubara, K. Makihara, S. Miyazaki, A. Yamasaki, Y. Tanimoto, and K. Takase

    AIP Advances   4 巻 ( 8 ) 頁: 087110 (7 pages)   2014年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4892823

  82. Selective Growth of Self-Assembling Si and SiGe Quantum Dots 査読有り

    K. Makihara, M. Ikeda, and S. Miyazaki

    IEICE Transactions on Electronics   E97.C 巻 ( 5 ) 頁: 393-396   2014年5月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1587/transele.E97.C.393

  83. High-Sensitive Detection of Electronic Emission through Si-Nanocrystals/Si-Nanocolumnar Structures by Conducting-Probe Atomic Force Microscopy 査読有り

    D. Takeuchi, K. Makihara, M. Ikeda, S. Miyazaki, H. Kaki, and T. Hayashi

    IEICE Transactions on Electronics   E97.C 巻 ( 5 ) 頁: 397-400   2014年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1587/transele.E97.C.397

  84. Pre-Amorphization and Low-Temperature Implantation for Efficient Activation of Implanted As in Ge(100) 査読有り

    H. Murakami, S. Hamada, T. Ono, K. Hashimoto, A. Ohta, H. Hanafusa, S. Higashi, and S. Miyazaki

    ECS Transactions   64 巻 ( 6 ) 頁: 423-429   2014年

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/06406.0423ecst

  85. Photoluminescence Study of Si Quantum Dots with Ge Core 査読有り

    K. Makihara, K. Kondo, M. Ikeda, A. Ohta, and S. Miyazaki

    ECS Transactions   64 巻 ( 6 ) 頁: 365-370   2014年

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/06406.0365ecst

  86. Characterization of Chemical Bonding Features and Interfacial Reactions in Ge-MIS Structure with HfO2/TaGexOy Dielectric Stack 査読有り

    A. Ohta, H. Murakami, K. Hashimoto, K. Makihara, and S. Miyazaki

    ECS Transactions   64 巻 ( 6 ) 頁: 241-248   2014年

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/06406.0241ecst

  87. Characterization of Electron Emission from High Density Self-aligned Si-based Quantum Dots by Conducting-Probe Atomic Force Microscopy 査読有り

    D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    ECS Transactions   64 巻 ( 6 ) 頁: 923-928   2014年

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/06406.0923ecst

  88. Characterization of Resistive Switching Behaviors of RF Sputtered Si Oxide Resistive Random Access Memories with Ti-Based Electrodes 査読有り

    A. Ohta, M. Fukusima, K. Makihara, H. Murakami, S. Higashi, and S. Miyazaki

    Jpn. J. Appl. Phys   52 巻 ( 11S ) 頁: 11NJ06 (5 pages)   2013年11月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.52.11NJ06

  89. Highly-Crystallized Ge:H Film Growth from GeH4 Very High Frequency Inductively-Coupled Plasma: Crystalline Nucleation Initiated by Ni Nanodots 査読有り

    K. Makihara, J. Gao, K. Sakaike, S. Hayashi, H. Deki, M. Ikeda, S. Higashi, and S. Miyazaki

    Jpn. J. Appl. Phys   52 巻 ( 11S ) 頁: 11NA04 (3 pages)   2013年11月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.52.11NA04

  90. Temporal Changes of Charge Distribution in High Density Self-aligned Si-based Quantum Dots as Evaluated by AFM/KFM 査読有り

    N. Tsunekawa K. Makihara, M. Ikeda, and S. Miyazaki

    Trans. of MRS-J   38 巻 ( 3 ) 頁: 393 - 396   2013年9月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.14723/tmrsj.38.393

  91. XPS Study of Energy Band Alignment between Hf-La Oxides and Si(100) 査読有り

    A. Ohta, H. Murakami, S. Higashi, and S. Miyazaki

    Trans. of MRS-J   38 巻 ( 3 ) 頁: 353 - 357   2013年9月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.14723/tmrsj.38.353

  92. High Density Formation of Iron Nanodots on SiO2 Induced by Remote Hydrogen Plasma 査読有り

    H. Zhang, R. Fukuoka, Y. Kabeya, K. Makihara, and S. Miyazaki

    Advanced Materials Research   750-752 巻   頁: 1011 - 1015   2013年8月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.4028/www.scientific.net/AMR.750-752.1011

  93. Photoexcited Carrier Transfer in a NiSi-Nanodots/Si-Quantum-Dots Hybrid Floating Gate in MOS Structures 査読有り

    M. Ikeda, K. Makihara, and S. Miyazaki

    IEICE Transactions on Electronics   E96-C 巻 ( 5 ) 頁: 694 - 698   2013年5月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1587/transele.E96.C.694

  94. Control of Interfacial Reaction of HfO2/Ge Structure by Insertion of Ta Oxide Layer 査読有り

    K. Hashimoto, A. Ohta, H. Murakami, S. Higashi, and S. Miyazaki

    IEICE Transactions on Electronics   E96-C 巻 ( 5 ) 頁: 674 - 679   2013年5月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1587/transele.E96.C.674

  95. X-ray Photoemission Study of SiO2/Si/Si0.55Ge0.45/Si Heterostructures 査読有り

    A. Ohta. K. Makihara, S. Miyazaki, M. Sakuraba, and J. Murota

    IEICE Transactions on Electronics   E96-C 巻 ( 5 ) 頁: 680 - 685   2013年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1587/transele.E96.C.680

  96. Evaluation of Chemical Composition and Bonding Features of Pt/SiOx/Pt MIM Diodes and Its Impact on Resistance Switching Behavior 査読有り

    A. Ohta, K. Makihara, M. Ikeda, H. Murakamis, S. Higashi, and S. Miyazaki

    IEICE Transactions on Electronics   E96-C 巻 ( 5 ) 頁: 702 - 707   2013年5月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1587/transele.E96.C.702

  97. Characterization of Resistive Switching of Pt/Si-Rich Oxide/TiN System 査読有り

    M. Fukushima, A. Ohta, K. Makihara, and S. Miyazaki

    IEICE Transactions on Electronics   E96-C 巻 ( 5 ) 頁: 708 - 713   2013年5月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1587/transele.E96.C.708

  98. Characterization of Local Electronic Transport through Ultrathin Au/Highly-Dense Si Nanocolumnar Structures by Conducting-Probe Atomic Force Microscopy 査読有り

    D. Takeuchi, K. Makihara, M. Ikeda, S. Miyazaki, H. Kaki, and T. Hayashi

    IEICE Transactions on Electronics   E96-C 巻 ( 5 ) 頁: 718 - 721   2013年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1587/transele.E96.C.718

  99. Characterization of Electroluminescence from One-dimensionally Self-Aligned Si-based Quantum Dots 査読有り

    H. Takami, K. Makihara, M. Ikeda, and S. Miyazaki

    Jpn. J. Appl. Phys.   52 巻 ( 4S ) 頁: 04CG08 (4 pages)   2013年4月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.52.04CG08

  100. Determination of Energy Band Alignment in Ultrathin Hf-based Oxide/Pt System 査読有り

    A. Ohta, H. Murakami, S. Higashi, and S. Miyazaki

    Journal of Physics: Conference Series   417 巻 ( 15th International Conference on Thin Films (ICTF-15) 8–11 November 2011, Kyoto, Japan ) 頁: 012012 (6 pages)   2013年3月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1742-6596/417/1/012012

  101. Characterization of Ultrathin Ta-oxide Films Formed on Ge(100) by ALD and Layer-by-Layer Methods 査読有り

    K. Mishima, H. Murakami, A. Ohta, S. K. Sahari, T. Fujioka, S. Higashi, and S. Miyazaki

    Journal of Physics: Conference Series   417 巻 ( 15th International Conference on Thin Films (ICTF-15) 8–11 November 2011, Kyoto, Japan ) 頁: 012013 (6 pages)   2013年3月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1742-6596/417/1/012013

  102. Kinetics of thermally oxidation of Ge(100) surface 査読有り

    S. K. Sahari, A. Ohta, M. Matsui, K. Mishima, H. Murakami, S. Higashi, and S. Miyazaki

    Journal of Physics: Conference Series   417 巻 ( 15th International Conference on Thin Films (ICTF-15) 8–11 November 2011, Kyoto, Japan ) 頁: 012014 (6 pages)   2013年3月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1742-6596/417/1/012014

  103. Formation and Characterization of Hybrid Nanodots Floating Gate for Optoelectronic Application 査読有り

    S. Miyazaki

    MRS Online Proceedings Library   1510 巻 ( 2 )   2013年2月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1557/opl.2013.272

  104. Resistive Switching Properties of SiOx/TiO2 Multi-Stack in Ti-electrode MIM Diodes 査読有り

    A. Ohta, K. Makihara, M. Fukusima, H. Murakami, S. Higashi, and S. Miyazaki

    ECS Transactions   58 巻 ( 9 ) 頁: 293 - 300   2013年

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/05809.0293ecst

  105. Study on Charge Storage and Optical Response of Hybrid Nanodots Floating Gate MOS Devices for Their Optoelectronic Application 招待有り 査読有り

    S. Miyazaki, M. Ikeda, and K. Makihara

    ECS Transactions   58 巻 ( 9 ) 頁: 231 - 237   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/05809.0231ecst

  106. Study of electron transport characteristics through self-aligned Si-based quantum dots 査読有り

    K. Makihara, M. Ikeda, and S. Miyazaki

    J. Appl. Phys.   112 巻 ( 10 ) 頁: 104301 (5 pages)   2012年11月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4766383

  107. Evaluation of Charge Trapping Properties of Microcrystalline Germanium Thin Films by Kelvin Force Microscopy 査読有り

    K. Makihara, H. Deki, M. Ikeda, and S. Miyazaki

    Journal of Non-Crystalline Solids   358 巻 ( 17 ) 頁: 2086-2089   2012年9月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jnoncrysol.2011.12.035

  108. Evaluation of Chemical Bonding Features and Resistance Switching Behaviors of Ultrathin Si Oxide Dielectric Sandwiched Between Pt Electrodes 査読有り

    A. Ohta, Y. Goto, S. Nishigaki, H. Murakami, S. Higashi, and S. Miyazaki

    Jpn. J. Appl. Phys.   51 巻 ( 6S ) 頁: 06FF02 (6 pages)   2012年6月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.51.06FF02

  109. Characterization of Resistance-Switching of Si Oxide Dielectrics Prepared by RF Sputtering 査読有り

    A. Ohta, Y. Goto, S. Nishigaki, G. Wei, H. Murakami, S. Higashi, and S. Miyazaki

    IEICE Transactions on Electronics   E95.C 巻 ( 5 ) 頁: 879-884   2012年5月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1587/transele.E95.C.879

  110. Electroluminescence from One-dimensionally Self-Aligned Si-based Quantum Dots with High Areal Dot Density 査読有り

    K. Makihara, H. Deki, M Ikeda, and S, Miyazaki

    Jpn. J. Appl. Phys   51 巻 ( 4S ) 頁: 04DG08 (5 pages)   2012年4月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.51.04DG08

  111. Control of Schottky Barrier Height at Al/p-Ge Junctions by Ultrathin Layer Insertion 査読有り

    A. Ohta, M. Matsui, H. Murakami, S. Higashi, and S. Miyazaki

    ECS Transactions   50 巻 ( 9 ) 頁: 449-457   2012年

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/05009.0449ecst

  112. Characterization of Resistance-Switching Properties of SiOx Films Using Pt Nanodots Electrodes 査読有り

    K. Makihara, M. Fukushima, A. Ohta, M. Ikeda, and S. Miyazaki

    ECS Transactions   50 巻 ( 9 ) 頁: 459-464   2012年

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/05009.0459ecst

  113. Evaluation of Chemical Structure and Resistance Switching Characteristics of Undoped Titanium Oxide and Titanium–Yttrium Mixed Oxide 査読有り 国際誌

    A. Ohta, Y. Goto, G. Wei, H. Murakami, S. Higashi, and S. Miyazaki

    Jpn. J. Appl. Phys.   50 巻 ( 10S ) 頁: 10PH02 (6 pages)   2011年10月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.50.10PH02

  114. X-ray Photoelectron Spectroscopy Study of Interfacial Reactions between Metal and Ultrathin Ge Oxide 査読有り 国際誌

    A. Ohta, T. Fujioka, H. Murakami, S. Higashi, and S. Miyazaki

    Jpn. J. Appl. Phys.   50 巻 ( 10S ) 頁: 10PE01 (6 pages)   2011年10月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.50.10PE01

  115. Formation of High-Density Pt Nanodots on SiO2 Induced by Millisecond Rapid Thermal Annealing Using Thermal Plasma Jet for Floating Gate Memory 査読有り 国際誌

    K. Makihara, K. Matsumoto, M. Yamane, T. Okada, N. Morisawa, M. Ikeda, S. Higashi, and S. Miyazaki

    Jpn. J. Appl. Phys.   50 巻 ( 8S2 ) 頁: 08KE06 (4pages)   2011年8月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.50.08KE06

  116. Characterization of chemical bonding features at metal/GeO2 Interfaces by X-ray photoelectron spectroscopy 査読有り 国際誌

    M. Matsui, H. Murakami, T. Fujioka, A. Ohta, S. Higashi, and S. Miyazaki

    Microelectronic Engineering   88 巻 ( 7 ) 頁: 1549-1552   2011年7月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.mee.2011.03.032

  117. Impact of insertion of ultrathin TaOx layer at the Pt/TiO2 interface on resistive switching characteristics 査読有り 国際誌

    G. Wei, H. Murakami, T. Fujioka, A. Ohta, Y. Goto, S. Higashi, and S. Miyazaki

    Microelectronic Engineering   88 巻 ( 7 ) 頁: 1152-1154   2011年7月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.mee.2011.03.114

  118. The Impact of Y Addition into TiO2 on Electronic States and Resistive Switching Characteristics 査読有り 国際誌

    A. Ohta, Y. Goto, M. F. Kazalman, G. Wei, H. Murakami, S. Higashi, and S. Miyazaki

    Jpn. J. Appl. Phys.   50 巻 ( 6S ) 頁: 06GG01 (5 pages)   2011年6月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.50.06GG01

  119. High-density formation of Ge quantum dots on SiO2 査読有り 国際誌

    K. Makihara, M. Ikeda, A. Ohta, S. Takeuchi, Y. Shimura, S. Zaima, and S. Miyazaki

    Solid-State Electronics   60 巻 ( 1 ) 頁: 65-69   2011年6月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.sse.2011.01.035

  120. Characterization of Mg Diffusion into HfO2/SiO2/Si(100) Stacked Structures and Its Impact on Detect State Densities 査読有り 国際誌

    A. Ohta, D. Kanme, H. Murakami, S. Higashi, and S. Miyazaki

    IEICE Transactions on Electronics   E94.C 巻 ( 5 ) 頁: 717-723   2011年5月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1587/transele.E94.C.717

  121. Impact of Annealing Ambience on Resistive Switching in Pt/TiO2/Pt Structure 査読有り 国際誌

    G. Wei, Y. Goto, A. Ohta, K. Makihara, H. Murakami, S. Higashi, and S. Miyazaki

    IEICE Transactions on Electronics   E94.C 巻 ( 5 ) 頁: 699-704   2011年5月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1587/transele.E94.C.699

  122. Study on Collective Electron Motion in Si-Nano Dot Floating Gate MOS Capacitor 査読有り 国際誌

    M. Muraguchi, Y. Sakurai, Y. Takada, S. Nomura, K. Shiraishi, M. Ikeda, K. Makihara, S. Miyazaki, Y. Shigeta, and T. Endoh

    IEICE Transactions on Electronics   E94.C 巻 ( 5 ) 頁: 730-736   2011年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1587/transele.E94.C.730

  123. Activation of As Atoms in Ultrashallow Junction during Milli- and Microsecond Annealing Induced by Thermal-Plasma-Jet Irradiation 査読有り 国際誌

    K. Matsumoto, A. Ohta, S. Miyazaki, and S. Higashi

    Jpn. J. Appl. Phys.   50 巻 ( 4S ) 頁: 04DA07 (4 pages)   2011年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.50.04DA07

  124. Native Oxidation Growth on Ge(111) and (100) Surfaces 査読有り 国際誌

    S. K. Sahari, H. Murakami, T. Fujioka, T. Bando, A. Ohta, K. Makihara, S. Higashi, and S. Miyazaki

    Jpn. J. Appl. Phys.   50 巻 ( 4S ) 頁: 04DA12 (4 pages)   2011年4月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.50.04DA12

  125. Collective Tunneling Model in Charge-Trap-Type Nonvolatile Memory Cell 査読有り 国際誌

    M. Muraguchi, Y. Sakurai, Y. Takada, Y. Shigeta, M. Ikeda, K. Makihara, S. Miyazaki, S. Nomura, K. Shiraishi, and T. Endoh

    Jpn. J. Appl. Phys.   50 巻 ( 4S ) 頁: 04DD04 (4 pages)   2011年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.50.04DD04

  126. Application of Thermal Plasma Jet Irradiation to Crystallization and Gate Insulator Improvement for High-Performance Thin-Film Transistor Fabrication 査読有り 国際誌

    S. Higashi, S. Hayashi, Y. Hiroshige, Y. Nishida, H. Murakami, and S. Miyazaki

    Jpn. J. Appl. Phys.   50 巻 ( 3S ) 頁: 03CB10 (8 pages)   2011年3月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.50.03CB10

  127. Optical Response of Si-Quantum-Dots/NiSi-Nanodots Stack Hybrid Floating Gate in MOS Structures 査読有り 国際誌

    N. Morisawa, M. Ikeda, K. Makihara, and S. Miyazaki

    Key Engineering Materials   470 巻   頁: 135-139   2011年2月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.4028/www.scientific.net/KEM.470.135

  128. Formation and Characterization of Silicon-Quantum-Dots/Metal-Silicide-Nanodots Hybrid Stack and its Application to Floating Gate Functional Devices 招待有り 査読有り 国際誌

    S. Miyazaki

    ECS Transactions   41 巻 ( 7 ) 頁: 93-98   2011年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/1.3633288

  129. Importance of Electronic State of Two-Dimensional Electron Gas for Electron Injection Process in Nano-Electronic Devices 査読有り 国際誌

    M. Muraguchi, T. Endoh, Y. Takada, Y. Sakurai, S. Nomura, K. Shiraishi, M. Ikeda, K. Makihara, S. Miyazaki, and Y. Shigeta

    Physica E: Low-dimensional Systems and Nanostructures   42 巻 ( 10 ) 頁: 2602 - 2605   2010年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.physe.2009.12.025

  130. Formation of Cobalt and Cobalt-silicide Nanodots on Ultrathin SiO2 Induced by Remote Hydrogen Plasma 査読有り 国際誌

    A. Kawanami, K. Makihara, M. Ikeda, and S. Miyazaki

    Jpn. J. Appl. Phys.   49 巻 ( 8S1 ) 頁: 08JA04 (4 pages)   2010年8月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.49.08JA04

  131. Contribution of Carbon to Growth of Boron-Containing Cluster in Heavily Boron-Doped Silicon 査読有り 国際誌

    H. Itokawa, A. Ohta, M. Ikeda, I. Mizushima, and S. Miyazaki

    Jpn. J. Appl. Phys.   49 巻 ( 8R ) 頁: 081301 (5 pages)   2010年8月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.49.081301

  132. Formation of High Crystallinity Silicon Films by High Speed Scanning of Melting Region Formed by Atmospheric Pressure DC Arc Discharge Micro-Thermal-Plasma-Jet and Its Application to Thin Film Transistor Fabrication 査読有り 国際誌

    S. Hayashi, S. Higashi, H. Murakami and S. Miyazaki

    Applied Physics Express   3 巻 ( 6 ) 頁: 061401 (3 pages)   2010年6月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/APEX.3.061401

  133. Characterization of Electronic Charged States of Impurity Doped Si Quantum Dots Using Atomic Force Microsope/Kelvin Probe Technique 査読有り 国際誌

    K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   49 巻 ( 6R ) 頁: 065002 (4 pages)   2010年6月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.49.065002

  134. Random Telegraph Signals in Two-Dimensional Array of Si Quantum Dots 査読有り 国際誌

    K. Makihara, M. Ikeda, A. Kawanami and S. Miyazaki

    IEICE Transactions on Electronics   E93.C 巻 ( 5 ) 頁: 569 - 572   2010年5月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1587/transele.E93.C.569

  135. Activation of B and As in Ultrashallow Junction During Millisecond Annealing Induced by Thermal Plasma Jet Irradiation 査読有り 国際誌

    K. Matsumoto, S. Higashi, H. Murakami, and S. Miyazaki

    Jpn. J. Appl. Phys.   49 巻 ( 4S ) 頁: 04DA02 (4 pages)   2010年4月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.49.04DA02

  136. Effect of Chemical Composition of SiOx Films on Rapid Formation of Si Nanocrystals Induced by Thermal Plasma Jet Irradiation 査読有り 国際誌

    T. Okada, S. Higashi, H. Kaku, K. Makihara, H. Furukawa, Y. Hiroshige and S. Miyazaki

    Phys. Status Solidi C   7 巻 ( 3-4 ) 頁: 732 - 734   2010年4月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssc.200982804

  137. Light-Induced Carrier Transfer in NiSi-Nanodots/Si-Quantum-Dots Hybrid Floating Gate in Metal–Oxide–Semiconductor Structures 査読有り 国際誌

    N. Morisawa, M. Ikeda, S. Nakanishi, A. Kawanami, K. Makihara and S. Miyazaki

    Jpn. J. Appl. Phys.   49 巻 ( 4S ) 頁: 04DJ04 (4 pages)   2010年4月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.49.04DJ04

  138. Characterization of Microcrystalline Silicon Thin Film Transistors Fabricated by Thermal Plasma Jet Crystallization Technique 査読有り 国際誌

    S. Higashi, K. Sugakawa, H. Kaku, T. Okada, and S. Miyazaki

    Jpn. J. Appl. Phys.   49 巻 ( 3S ) 頁: 03CA08 (4 pages)   2010年3月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The electrical characteristics of thin-film transistors (TFTs) fabricated by thermal plasma jet (TPJ)-crystallized microcrystalline Si (µc-Si) films have been investigated. Amorphous Si (a-Si) films were crystallized with the TPJ under the scanning speed (v) of 350 to 550 mm/s, and µc-Si TFTs were successfully fabricated with a 300 °C process. By reducing v, µFE increases from 3.2 to 17.1 cm2 V-1 s-1, and Vth and S decrease from 9.2 to 5.2 V and 1.3 to 0.6 V/decade, respectively. The variations of µFE, Vth, and S were kept within small values of 1.06 (±4.4%), 0.14 (±1.1%), and 0.04 (±4.0%), respectively. The µc-Si is formed with ∼20-nm-sized randomly oriented small grains, and this isotropic nature results in very small variation of TFT performance. With decreasing v, the fraction of nano sized grains and disordered bonds at the grain boundary decreases, which results in improved TFT performance.

    DOI: 10.1143/JJAP.49.03CA08

  139. Formation Mechanism of Metal nanodots Induced by Remote Plasma Exposure 査読有り 国際誌

    K. Makihara, K. Shimanoe, A. Kawanami, M. Ikeda, S. Higashi and S. Miyazaki

    Journal of Optoelectronics and Advanced Materials   12 巻 ( 3 ) 頁: 626 - 630   2010年3月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  140. Anomalous temperature dependence of electron tunneling between a two-dimensional electron gas and Si dots 査読有り 国際誌

    Y. Sakurai, S. Nomura, Y. Takada, J. Iwata, K. Shiraishi, M. Muraguchi, T. Endoh, Y. Shigeta, M. Ikeda, K. Makihara, and S. Miyazaki

    Physica E: Low-dimensional Systems and Nanostructures   42 巻 ( 4 ) 頁: 918 - 921   2010年2月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.physe.2009.11.120

  141. Formation and Characterization of Hybrid Nanodot Stack Structure for Floating Gate Application 査読有り 国際誌

    S. Miyazaki, K. Makihara, and M. Ikeda

    Thin Solid Films   518 巻 ( 6 ) 頁: S30 - S34   2010年1月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.tsf.2009.10.049

  142. Temperature Dependence of Electron Tunneling between Two Dimensional Electron Gas and Si Quantum Dots 査読有り 国際誌

    Y. Sakurai, J. Iwata, M. Muraguchi, Y. Shigeta, Y. Takada, S. Nomura, T. Endoh, S. Saito, K. Shiraishi, M. Ikeda, K. Makihara, and S. Miyazaki

      49 巻 ( 1R ) 頁: 014001 (4 pages)   2010年1月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Quantum mechanical electron tunneling has potential applications in both science and technology, such as flash memories in modern LSI technologies and electron transport chains in biosystems. Although it is known that one-dimensional quantum electron tunneling lacks temperature dependence, the behavior of electron tunneling between different dimensional systems is still an open question. Here, we investigated the electron tunneling between a two-dimensional electron gas (2DEG) and zero-dimensional Si quantum dots and discovered an unexpected temperature dependence: At high temperature, the gate voltage necessary for electron injection from 2DEG to Si quantum dots becomes markedly small. This unusual tunneling behavior was phenomenologically explained by considering the geometrical matching of wave functions between different dimensional systems. We assumed that electron tunneling would occur within a finite experimental measurement time. Then, the observed electron tunneling is explained only by the contributions of wave packets below the quantum dot with a finite lifetime rather than the ordinary thermal excited states of 2DEG.

    DOI: 10.1143/JJAP.49.014001

  143. Formation of High Density Metal Silicide Nanodots on Ultrathin SiO2 for Floating Gate Memory Application 査読有り 国際誌

    S. Miyazaki, M. Ikeda, K. Makihara, K. Shimanoe and R. Matsumoto

    J. of Materials Science Forum   638-642 巻   頁: 1725 - 1730   2010年1月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.4028/www.scientific.net/MSF.638-642.1725

  144. Characterization of Interfaces between Chemically Cleaned or Thermally Oxidized Germanium and Metals 査読有り 国際誌

    H. Murakami, T. Fujioka, A. Ohta, T. Bando, S. Higashi and S. Miyazaki

    ECS Trans.   33 巻 ( 6 ) 頁: 253 - 262   2010年

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/1.3487556

  145. Self-Align Formation of Si Quantum Dots 査読有り 国際誌

    K. Makihara, M. Ikeda, H. Deki, A. Ohta and S. Miyazaki

    ECS Trans.   33 巻 ( 6 ) 頁: 661 - 667   2010年

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/1.3487596

  146. Formation of Pseudo-Expitaxial Ge Films on Si(100) by Droplet of Ge Microliquid 査読有り 国際誌

    T. Matsumoto, S. Higashi, K. Makihara, M. Akazawa and S. Miyazaki

    ECS Trans.   33 巻 ( 6 ) 頁: 165 - 170   2010年

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/1.3487545

  147. Characterization of Interfacial Reaction and Chemical Bonding Features of LaOx/HfO2 Stack Structure Formed on Thermally-grown SiO2/Si(100) 査読有り 国際誌

    A. Ohta, D. Kanme, H. Murakami, S. Higashi, and S. Miyazaki

    Microelectronic Engineering   86 巻 ( 7-9 ) 頁: 1650 - 1653   2009年7月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.mee.2009.03.114

  148. Electron Charged States of Pt-silicide Nanodots as Evaluated by Using an AFM/Kelvin Probe Technique 査読有り 国際誌

    K. Makihara, K. Shimanoe, M. Ikeda, A. Ohta, S. Higashi, and S. Miyazaki

    Transactions of the Materials Research Society of Japan   34 巻 ( 2 ) 頁: 309 - 312   2009年6月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.14723/tmrsj.34.309

  149. Photoemission study of fully silicided Pd2Si gates with interface modification induced by dopants 査読有り 国際誌

    T. Hosoi, A. Ohta, S. Miyazaki, H. Shiraish, K. Shibahara

    Appl. Phys. Lett.   94 巻 ( 19 ) 頁: 192102 (3 pages)   2009年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.3133337

  150. Formation of Pd Nanodots Induced by Remote Hydrogen Plasma and Its Application to Floating Gate MOS Memories 査読有り 国際誌

    K. Shimanoe K. Makihara, M. Ikeda, and S. Miyazaki

    IEICE Transactions on Electronics   E92.C 巻 ( 5 ) 頁: 616 - 619   2009年5月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1587/transele.E92.C.616

  151. Electroluminescence from Si Quantum Dots/SiO2 Multilayers with Ultrathin Oxide Layers due to Bipolar Injection 査読有り 国際誌

    J. Xu, K. Makihara, H. Dek, and S. Miyazaki

    Solid State Communications   149 巻 ( 19-20 ) 頁: 739 - 742   2009年5月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.ssc.2009.03.013

  152. Effective-Work-Function Control by Varying the TiN Thickness in Poly-Si/TiN Gate Electrodes for Scaled High-k CMOSFETs 査読有り 国際誌

    M. Kadoshima, T. Matsuki, S. Miyazaki, K. Shiraishi, T. Chikyo, K. Yamada, T. Aoyama, Y. Nara, and Y. Ohji

    IEEE Electron Device Letters   30 巻 ( 5 ) 頁: 466 - 468   2009年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/LED.2009.2016585

  153. Formation of Metal Silicide Nanodots on Ultrathin SiO2 for Floating Gate Application 査読有り 国際誌

    S. Miyazaki, M. Ikeda, K. Makihara, K. Shimanoe and R. Matsumoto

    Solid State Phenomena   154 巻   頁: 95 - 100   2009年4月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We demonstrated a new fabrication method of Pt- and Ni-silicide nanodots with an areal density of the order of ~1011 cm-2 on SiO2 through the process steps of ultrathin metal film deposition on pre-grown Si-QDs and subsequent remote H2 plasma treatments at room temperature.
    Verification of electrical separation among silicide nanodots was made by measuring surface potential changes due to electron injection and extraction using an AFM/Kelvin probe technique.
    Photoemission measurements confirm a deeper potential well of silicide nanodots than Si-QDs and a resultant superior charge retention was also verified by surface potential measurements after charging to and discharging. Also, the advantage in many electron storage per silicide nanodot was demonstrated in C-V characteristics of MIS capacitors with silicide nanodots FGs.

    DOI: 10.4028/www.scientific.net/SSP.154.95

  154. Millisecond Rapid Thermal Annealing of Si Wafer Induced by High-Power-Density Thermal Plasma Jet Irradiation and Its Application to Ultrashallow Junction Formation 査読有り 国際誌

    H. Furukawa, S. Higashi, T. Okada, H. Murakami, and S. Miyazaki

    Jpn. J. Appl. Phys.   48 巻 ( 4S ) 頁: 04C011 (4 pages)   2009年4月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We have investigated the generation of high-power-density thermal plasma jet (TPJ) as a heat source for the annealing of a Si wafer surface in a millisecond period and the formation of an ultrashallow junction. The power density of DC arc discharge thermal plasma jet markedly increased from 11.0 to 32.3 kW/cm2 with increasing spacing between an anode and a cathode (ES) from 1.0 to 3.0 mm. The increase in TPJ power density with ES was mainly due to the increase in plasma temperature from about 16000 to 23000 K. By applying this high-power-density TPJ, the Si wafer was heated by more than 700 K within 10 ms. Using this annealing technique, we demonstrated the dopant activation of an arsenic-implanted Si wafer and successfully obtained a low sheet resistance of 262 Ω/sq at an annealing temperature as high as 1207 K without a significantly enhanced diffusion of the implantation profile.

    DOI: 10.1143/JJAP.48.04C011

  155. Temperature Dependence of Capacitance of Si Quantum Dot Floating Gate MOS Capacitor 査読有り 国際誌

    Y. Sakurai, S. Nomura, K. Shiraishi, M. Ikeda, K. Makihara, and S. Miyazaki

    Journal of Physics: Conference Series   150 巻 ( Electronic Quantum Transport ) 頁: 022071 (4 pages)   2009年3月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1742-6596/150/2/022071

  156. Surface Potential Changes Induced by Physisorption of Si-tagged Protein A on HF-last Si(100) and Thermally Grown SiO2 surfaces 査読有り 国際誌

    S. Mahboob, K. Makihara, A. Ohta, S. Higashi, Y. Hata, A. Kuroda, and S. Miyazaki

    ECS Trans.   19 巻 ( 22 ) 頁: 35 - 43   2009年

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/1.3245167

  157. Charge Storage Characteristics of Hybrid Nanodots Floating Gate 査読有り 国際誌

    S. Miyazaki, K. Makihara, and M. Ikeda

    ECS Trans.   25 巻 ( 7 ) 頁: 433 - 439   2009年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/1.3203980

  158. Physics of Nano-contact between Si Quantum Dots and Inversion Layer 査読有り 国際誌

    Y. Sakurai, S. Nomura, Y. Takada, J. Iwata, K. Shiraishi, M. Muraguchi, T. Endoh, Y. Shigeta, M. Ikeda, and K. Makihara

    ECS Trans.   25 巻 ( 7 ) 頁: 463 - 469   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/1.3203984

  159. The Influence of Defects and Impurities on Electrical Properties of High-k Dielectrics 査読有り 国際共著 国際誌

    J. Dąbrowski, S. Miyazaki, S. Inumiya, G. Kozłowski, G. Lippert, G. Łupina, Y. Nara, H. J. Müssig, A. Ohta, and Y. Pei

    Materials Science Forum   608 巻   頁: 55 - 109   2008年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Electrical properties of thin high-k dielectric films are influenced (or even governed)
    by the presence of macroscopic, microscopic and atomic-size defects. For most applications,
    a structurally perfect dielectric material with moderate parameters would have sufficiently
    low leakage and sufficiently long lifetime. But defects open new paths for carrier
    transport, increasing the currents by orders of magnitude, causing instabilities due to charge
    trapping, and promoting the formation of defects responsible for electrical breakdown events
    and for the failure of the film. We discuss how currents flow across the gate stack and how
    damage is created in the material. We also illustrate the contemporary basic knowledge on
    hazardous defects (including certain impurities) in high-k dielectrics using the example of a
    family of materials based on Pr oxides. As an example of the influence of stoichiometry on
    the electrical parameters of the dielectric, we analyze the effect of nitrogen incorporation into
    ultrathin Hf silicate films.

    DOI: 10.4028/www.scientific.net/MSF.608.55

  160. Impact of impurity Doping into Si Quantum Dots with Ge Core on Their Electrical Charging Characteristics 査読有り 国際誌

    K. Makihara, M. Ikeda, S. Higashi, and S. Miyazaki

    Thin Solid Films   517 巻 ( 1 ) 頁: 306 - 308   2008年11月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.tsf.2008.08.103

  161. Low Temperature High-rate Growth of Crystalline Ge Films on Quartz and Crystalline Si Substrates from VHF Inductively-Coupled Plasma of GeH4 査読有り 国際誌

    T. Sakata, K. Makihara, H. Deki, S. Higashi, and S. Miyazaki

    Thin Solid Films   517 巻 ( 1 ) 頁: 216 - 218   2008年11月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.tsf.2008.08.027

  162. Control of Electronic Charged States of Si-Based Quantum Dots for Floating Gate Application 査読有り 国際誌

    S. Miyazaki, K. Makihara, and M. Ikeda

    Thin Solid Films   517 巻 ( 1 ) 頁: 41 - 44   2008年11月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.tsf.2008.08.101

  163. Formation of Low-Defect-Concentration Polycrystalline Silicon Films by Thermal Plasma Jet Crystallization Technique 査読有り 国際誌

    T. Yorimoto, S. Higashi, H. Kaku, T. Okada, H. Murakami, S. Miyazaki, T. Matsui, A. Masuda, and M. Kondo

    Jpn. J. Appl. Phys.   47 巻 ( 8S2 ) 頁: 6949 - 6952   2008年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Defect concentration in polycrystalline silicon (poly-Si) films formed by thermal plasma jet (TPJ) annealing and excimer laser annealing (ELA) has been investigated on basis of the electrical property and spin density (Ns). Phosphorus-doped Si films with an average concentration of 4.3 ×1017 cm-3 and crystallized by TPJ annealing showed electrical conductivity (σ) values of 2.0 ×10-3–7.8 ×10-2 S/cm, whereas ELA Si films show much lower σ values of (1.6–4.5) ×10-6 S/cm regardless of irradiated laser energy density. Ns values in TPJ annealed Si films were (2.3–4.5) ×1017 cm-3, which are roughly one order of magnitude lower than those of ELA films. These results indicate that dangling bonds in crystallized films are the predominant traps and they strongly govern the electrical property. TPJ crystallization offers the possibility of fabricating poly-Si films with a low defect concentration presumably owing to the much lower cooling rate (∼105 K/s) during crystalline growth than that of ELA (∼1010 K/s). By treating TPJ annealed films with hydrogen plasma for 10 min at 250 °C, a defect density as low as 5.0 ×1016 cm-3 is achieved.

    DOI: 10.1143/JJAP.47.6949

  164. Interface Properties and Effective Work Function of Sb-Predoped Fully Silicided NiSi Gate 査読有り 国際誌

    T. Hosoi, K. Sano, A. Ohta, K. Makihara, H. Kaku, S. Miyazaki, and K. Shibahara

    Surface and Interface Analysis   40 巻 ( 6-7 ) 頁: 1126 - 1130   2008年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/sia.2827

  165. Progress on Charge Distribution in Multiply-Stacked Si Quantum Dots/SiO2 Structure as Evaluated by AFM/KFM 査読有り 国際誌

    K. Makihara, M. Ikeda, S. Higashi, and S. Miyazaki

    IEICE Transactions on Electronics   E91.C 巻 ( 5 ) 頁: 712 - 715   2008年5月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1093/ietele/e91-c.5.712

  166. Cathode Electron Injection Breakdown Model and Time Dependent Dielectric Breakdown Lifetime Prediction in High-k/Metal Gate Stack p-Type Metal–Oxide–Silicon Field Effect Transistors 査読有り 国際誌

    M. Sato, C. Tamura, K. Yamabe, K. Shiraishi, S. Miyazaki, K. Yamada, R. Hasunuma, T. Aoyama, Y. Nara, and Y. Ohji

    Jpn. J. Appl. Phys.   47 巻 ( 5R ) 頁: 3326 - 3331   2008年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We have investigated the time dependent dielectric breakdown (TDDB) for a high-k/metal gate p-type metal–oxide–semiconductor field effect transistors (pMOSFETs) under inversion stress. We have found that electrons, injected from the cathode, are minority carriers in the gate leakage current and play an important role in determining TDDB lifetime and that the existence of oxygen vacancies in HfSiON, decide the electron current mechanism in HfSiON. Since electrons from the cathode flow as a tunneling current with the effective barrier height determined by the energy level of the oxygen vacancies in the HfSiON, electron current is strongly dependent on the effective work function of the metal gate. That implies that a higher work function should be effective to suppress of electron current, due to the elevated barrier height for electrons. Therefore, the formation of a high work function metal gate is essential, not only for low threshold voltage of pMOSFETs but also to achieve long TDDB lifetimes.

    DOI: 10.1143/JJAP.47.3326

  167. Effect of He Addition on the Heating Characteristics of Substrate Surface Irradiated by Ar Thermal Plasma Jet 査読有り 国際誌

    T. Okada, S. Higashi, H. Kaku, N. Koba, H. Murakami, and S. Miyazaki

    Thin Solid Films   516 巻 ( 11 ) 頁: 3680 - 3683   2008年4月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.tsf.2007.08.090

  168. Crystallization of Amorphous Ge Films Induced by Semiconductor Diode Laser Annealing 査読有り 国際誌

    K. Sakaike, S. Higashi, H. Murakami, and S. Miyazaki

    Thin Solid Films   516 巻 ( 11 ) 頁: 3595 - 3600   2008年4月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.tsf.2007.08.028

  169. Nucleation Study of Hydrogenated Microcrystalline Silicon (μc-Si:H) Films Deposited by VHF-ICP 査読有り 国際誌

    T. Karakawa, S. Higashi, H. Murakami, and S. Miyazaki

    Thin Solid Films   516 巻 ( 11 ) 頁: 3497 - 3501   2008年4月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.tsf.2007.08.087

  170. Characterization of Multistep Electron Charging and Discharging of a Silicon Quantum Dots Floating Gate by Applying Pulsed Gate Biases 査読有り 国際誌

    R. Matsumoto, M. Ikeda, S. Higashi, and S. Miyazaki

    Jpn. J. Appl. Phys.   47 巻 ( 4S ) 頁: 3103 - 3106   2008年4月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.47.3103

  171. Self-Assembling Formation of Ni Nanodots on SiO2 Induced by Remote H2 Plasma Treatment and Their Electrical Charging Characteristics 査読有り 国際誌

    K. Makihara, K. Shimanoe, M. Ikeda, S. Higashi, and S. Miyazaki

    Jpn. J. Appl. Phys.   47 巻 ( 4S ) 頁: 3099 - 3102   2008年4月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We fabricated nanometer-scale Ni dots and NiSi dots on an ultrathin SiO2 layer using remote H2 plasma and demonstrated the feasibility of remote H2 plasma treatment for controlling the areal density of the dots. 1.8-nm-thick-Ni/SiO2 and Ni/Si-quantum dots (QDs)/SiO2 layer were treated with remote H2 plasma generated by the inductive coupling between an external single-turn antenna and a 60 MHz generator. When a Ni/SiO2 was treated with remote H2 plasma at room temperature, Ni nanodot density could be controlled in the range of 109 to 1012 cm-2 by adjusting the plasma conditions. After the remote H2 plasma treatment of the Ni/Si-QDs, the formation of electrically isolated NiSi dots with an areal density of ∼1011 cm-2 was confirmed. These results imply that hydrogen radicals generated in H2 plasma play an important role in improving surface diffusion caused by energy reduction at the Ni/SiO2 interface. The surface potential of the Ni nanodots changes stepwise with the tip bias. This is due to the multistep electron injection into and extraction of Ni nanodots. The minimum tip biases for electron injection into Ni nanodots, NiSi dots and Si-QDs were -0.2, -0.7, and -1.0 V, respectively. This reflected the difference in electron affinity among Ni, NiSi and Si.

    DOI: 10.1143/JJAP.47.3099

  172. Comprehensive Analysis of Positive and Negative Bias Temperature Instabilities in High-k/Metal Gate Stack Metal–Oxide–Silicon Field Effect Transistors with Equivalent Oxide Thickness Scaling to Sub-1 nm 査読有り 国際誌

    M. Sato, K. Yamabe, K. Shiraishi, S. Miyazaki, K. Yamada, C. Tamura, R. Hasunuma, S. Inumiya, T. Aoyama, Y. Nara, and Y. Ohji

    Jpn. J. Appl. Phys.   47 巻 ( 4S ) 頁: 2354 - 2359   2008年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We have undertaken a comprehensive analysis of the positive bias temperature instability (PBTI) and negative bias temperature instability (NBTI) reliabilities of high-k/metal gate stacks. In the case of PBTI, electron traps constituted the main factor in drain current degradation resulting in an initial jump in threshold voltage shift due to fast transient electron traps, which depended only on stress voltage, because of the formation of positive oxygen vacancies near the cathode. However, in the case of NBTI, both interface state degradation (including interface hole traps) and hole traps in bulk HfSiON should be considered. We have clarified that the interface layer quality is related to not only the high transconductance but also the hole traps. The use of a high-quality interfacial layer, such as a wet oxide interface, represents a promising solution for the improvement of NBTI lifetime.

    DOI: 10.1143/JJAP.47.2354

  173. In-situ Measurement of Temperature Variation in Si Wafer during Millisecond Rapid Thermal Annealing Induced by Thermal Plasma Jet Irradiation 査読有り 国際誌

    H. Furukawa, S. Higashi, T. Okada, H. Kaku, H. Murakami, and S. Miyazaki

    Jpn. J. Appl. Phys.   47 巻 ( 4S ) 頁: 2460 - 2463   2008年4月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    An in-situ measurement technique for the temperature profile of a Si wafer during millisecond rapid thermal annealing has been developed. By analyzing the oscillation observed in transient reflectivity of the Si wafer during annealing, we obtain a transient temperature profile with a millisecond time resolution. Since this measurement is based on optical interference, a highly sensitive temperature measurement with an accuracy of 2 K is expected. Using this measurement technique, we controlled Si wafer surface temperature during thermal plasma jet irradiation with the heating and cooling rates in the order of 104–105 K/s.

    DOI: 10.1143/JJAP.47.2460

  174. Growth of Si crystalline in SiOx films induced by millisecond rapid thermal annealing using thermal plasma jet 査読有り 国際誌

    T. Okada, S. Higashi, H. Kaku, T. Yorimoto, H. Murakami, and S. Miyazaki

    Solid-State Electronics   52 巻 ( 3 ) 頁: 377 - 380   2008年3月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.sse.2007.10.007

  175. Nucleation Control for High Density Formation of Si-based Quantum Dots on Ultrathin SiO2 査読有り 国際誌

    K. Makihara, A. Kawanami, M. Ikeda, S. Higashi, and S. Miyazaki

    ECS Trans.   16 巻 ( 10 ) 頁: 255 - 260   2008年

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/1.2986779

  176. In-situ Monitoring of Si Wafer Temperature during Millisecond Rapid Thermal Annealing 査読有り 国際誌

    H. Furukawa, S. Higashi, T. Okada, H. Kaku, H. Murakami, and S. Miyazaki

    ECS Trans.   13 巻 ( 1 ) 頁: 31 - 36   2008年

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/1.2911482

  177. Formation of Si Nanocrystals in SiOx Films Induced by Thermal Plasma Jet Annealing and Its Application to Floating Gate Memory 査読有り 国際誌

    T. Okada, S. Higashi, H. Kaku, H. Furukawa, and S. Miyazaki

    ECS Trans.   16 巻 ( 9 ) 頁: 177 - 182   2008年

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/1.2980548

  178. Theory of Metal/Dielectric Interfaces -Breakdown of Schottky Barrier Limits- 査読有り 国際誌

    K. Shiraishi, T. Nakayama, T. Nakaoka, A. Ohta, and S. Miyazaki

    ECS Trans.   13 巻 ( 2 ) 頁: 21 - 27   2008年

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/1.2908612

  179. Photoemission Study of Metal/HfSiON Gate Stack 査読有り 国際誌

    S. Miyazaki, H. Yoshinaga, A. Ohta, Y. Akasaka, K. Shiraishi, K. Yamada, S. Inumiya, M. Kadoshima, and Y. Nara

    ECS Trans.   13 巻 ( 2 ) 頁: 67 - 73   2008年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/1.2908618

  180. Effect of Annealing on Electronic Characteristics of HfSiON Films fabricated by Damascene Gate Process 査読有り 国際誌

    K. Yamabe, K. Murata, T. Hayashi, T C. Tamura, M. Sato, A. Uedono, K. Shiraishi, N. Umezawa, T. Chikyow, H. Watanabe, Y. Nara, Y. Ohji, S. Miyazaki, K. Yamada, and R. Hasunuma

    ECS Trans.   16 巻 ( 5 ) 頁: 521 - 526   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/1.2981633

  181. Practical dual-metal-gate dual-high-k CMOS integration technology for hp 32 nm LSTP utilizing process-friendly TiAlN metal gate

    M. Kadoshima, T. Matsuki, M. Sato, T. Aminaka, E. Kurosawa, A. Ohta, H. Yoshinaga, S. Miyazaki, K. Shiraishi, K. Yamabe, K. Yamada, T. Aoyama, Y. Nara, Y. Ohji

    International Electron Device Meeting 2007 (IEDM)(Washington DC)   20.4 巻   頁: 531-534   2007年12月

     詳細を見る

    記述言語:英語  

  182. Performance Improvement of HfAlOxN n-Channel Metal–Oxide–Semiconductor Field-Effect Transistors by Controlling the Bonding Configuration of Nitrogen Atoms Coordinated to Hf Atoms 査読有り

    K. Iwamoto, T. Nishimura1, A. Ohta2, K. Tominaga, T. Nabatame, S. Miyazaki2, and A. Toriumi1,3

    Jpn. J. Appl. Phys.   46 巻   頁: 7666-7670   2007年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    A high-temperature oxygen annealing (HiTOA) process has been developed to recover the degradation of the electrical characteristics due to the nitrogen incorporation into the HfAlOx film. The HiTOA process was carried out after the introduction of the nitrogen atoms. This process affected the bonding configuration of the nitrogen atom coordinated to the hafnium atom, and reconverted the nitrogen atom into an oxygen one. Therefore, this substitution markedly recovered the gate leakage current through the HfAlOxN film with a slight increase in the equivalent oxide thickness. Additionally, it significantly improved the effective mobility of n+ polycrystalline silicon (poly-Si) gate n-channel metal–oxide–semiconductor field-effect transistors (nMOSFETs).

  183. Formation of Low-Defect-Concentration Polycrystalline Si Films by Thermal Plasma Jet Crystallization Technique

    T. Yorimoto, S. Higashi, H. Kaku, T. Okada, H. Murakami, S. Miyazaki, T. Matsui, A. Masuda, M. Kondo

    2007 Int. Symposium on Dry Process (DPS2007)(Tokyo)   8-03 巻   頁: 157-158   2007年11月

     詳細を見る

    記述言語:英語  

  184. Charge Injection Characteristics of NiSi-Dots/Silicon-Quantum-Dots Stacked Floating Gate in MOS Capacitors

    M. Ikeda, R. Matsumoto, K. Shimanoe, T. Okada, K. Makihara, S. Higashi, S. Miyazaki

    3rd International Workshop in New Group IV Semiconductor Nanoelectronics (SiGe(C)2007)(Sendai)   P-08 巻   頁: 35-36   2007年11月

     詳細を見る

    記述言語:英語  

  185. High Rate Growth of Crystalline Ge Films at Low Temperatures by Controlling 60MHz Inductively-Coupled Plasma of H2-diluted GeH4

    S. Miyazaki, T. Sakata, K. Makihara, M. Ikeda

    3rd International Workshop in New Group IV Semiconductor Nanoelectronics (SiGe(C)2007)(Sendai)   P-07 巻   頁: 33-34   2007年11月

     詳細を見る

    記述言語:英語  

  186. Formation of PtSi Nanodots Induced by Remote H2 Plasma

    K. Shimanoe, K. Makihara, A. Ohta, M. Ikeda, S. Higashi, S. Miyazaki

    3rd International Workshop in New Group IV Semiconductor Nanoelectronics (SiGe(C)2007), Sendai   P-09 巻   頁: 37-38   2007年11月

     詳細を見る

    記述言語:英語  

  187. Impact of Low Temperature Anneal on Effective Work Function and Chemical Bonding Features for Ru/HfSiON/SiON Gate Stack

    A. Ohta, H. Yoshinaga, H. Murakami, S. Higashi, S. Miyazaki, M. Kadoshima, Y. Nara

    Fifth International Symposium on Control of Semiconductor Interfaces (ISCSI-V)(Tokyo)   OA3-1 巻   頁: 215-216   2007年11月

     詳細を見る

    記述言語:英語  

  188. Evaluation of Effective Work Function in Ru/HfSiON/SiO2 Gate Stack Structures – Thickness Dependence in Bottom SiO2 layer

    H. Yoshinaga, A. Ohta, H. Murakami, S. Higashi, S. Miyazaki, M. Kadoshima, Y. Nara,

    Fifth International Symposium on Control of Semiconductor Interfaces (ISCSI-V)(Tokyo)   P.-47 巻   頁: 181-182   2007年11月

     詳細を見る

    記述言語:英語  

  189. The Impact of Post Deposition NH3-Anneal on La Oxide Films Formed by MOCVD Using La(DPM)3

    R. Yougauchi, A. Ohta, Y. Munetaka, H. Murakami, S. Higashi, S. Miyazaki

    Fifth International Symposium on Control of Semiconductor Interfaces, (ISCSI-V)(Tokyo)   OA3-8 巻   頁: 227-228   2007年11月

     詳細を見る

    記述言語:英語  

  190. High Efficiency Dopant Activation Induced by Thermal Plasma Jet Crystallization of Heavily-Phosphorus-Doped Amorphous Si Films

    H. Kaku, S. Higashi, H. Furukawa, T. Okada, T. Yorimoto, H. Murakami, S. Miyazaki

    5th International Symposium on Control of Semiconductor Interfaces (ISCSI-V)(Tokyo)   OA1 巻   頁: 51-52   2007年11月

     詳細を見る

    記述言語:英語  

  191. High Rate Growth of Highly-Crystallized Ge Films on Quartzfrom VHF Inductively-Coupled Plasma of GeH4 + H2 査読有り

    T. Sakata, K. Makihara, H. Deki, S. Higashi and S. Miyazaki

    Materials Science Forum   561-565 巻   頁: 1209-1212   2007年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We have studied uniform growth of crystalline Ge films on quartz plate from VHF(60MHz)-ICP of 10% GeH4 diluted with H2 in the temperature range from 150 to 350ºC. By
    optimizing total gas flow rate, gas pressure, VHF power and antenna-substrate distance, the growth
    rate as high as 7.4nm/s was obtained at 150ºC and increased gradually up to ~7.9nm/s at 350ºC. The
    crystallinity, which was evaluated by Raman scattering measurements as an integrated intensity ratio
    of TO phonons in crystalline phase to those in disordered phase, reached a value as high as ~93 % at350ºC, but degraded down to 64% at 150ºC as a result of the formation of a 60~70nm-thick amorphous incubation (A. I.) layer on quartz. By applying a two-step deposition method at 150ºC, in which the GeH4 concentration was selected to be 0.6% for the crystalline nucleation in the first 10s
    deposition, being as thin as 10nm in thickness, and then changed to 10% GeH4 for the high rate growth, the crystallinity was improved to 78% with keeping an effective growth rate as high as 7.5nm/s, because of a significant increase in the growth rate after the crystalline nucleation.

  192. Characterization of Electronic Charged States of Nickel Silicide Nanodots Using AFM/ Kelvin Probe Technique 査読有り

    R. Nishihara, K. Makihara, Y. Kawaguchi, M. Ikeda,H. Murakami, S. Higashi and S. Miyazaki

    Materials Science Forum   561-565 巻   頁: 1213-1216   2007年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    We have formed high density nanodots of nickel silicide (NiSi) on ultrathin SiO2 and characterized their electronic charged states by using an AFM/Kelvin probe technique. Si quantum dots (Si-QDs) with an areal dot density of ~2.5x1011cm-2 were self-assembled on
    ~3.6nm-thick thermally-grown SiO2 by controlling the early stages of LPCVD using pure SiH4 gas. Subsequently, electron beam evaporation of Ni was carried out as thin as ~1.7nm in equivalent thickness at room temperature and followed by 300ºC anneal for 5min in vacuum.
    XPS and AFM measurements confirm the formation of NiSi dots with an average dot height of ~8nm. After removal of Ni residue on SiO2 by a dilute HCl solution, bias conditions required for electron charging to NiSi dots were compared with those to pure Si-QDs dots and Ni dots.
    The surface potential changes stepwise with respect to the tip bias due to multistep electron injection and extraction of NiSi nanodots. In addition, it is confirmed that charge retention characteristics of NiSi dots are superior to those of Si-QDs with the almost same size.

  193. Self-Assembling Formation of Ninanodots on SiO2 Induced by Remote H2-Plasma Treatment and Their Electrical Charging Characteristics

    K. Makihara, K. Shimanoe, M. Ikeda, S. Higashi, S. Miyazaki

    2007 International Conference on Solid State Devices and Materials (SSDM2007)(Tsukuba)   I-8-1 巻   頁: 1108-1109   2007年9月

     詳細を見る

    記述言語:英語  

  194. Electroluminescence from Multiple-Stacked Structures of Impurity Doped Si Quantum Dots

    K. Okuyama, K. Makihara, M. Ikeda, S. Higashi, S. Miyazaki

    2007 International Conference on Solid State Devices and Materials (SSDM2007)(Tsukuba)   E-1-4 巻   頁: 106-107   2007年9月

     詳細を見る

    記述言語:英語  

  195. In-situ Measurement of Temperature Variation in Si Wafer During Millisecond Rapid Thermal Annealing Induced by Thermal Plasma Jet Irradiation

    H. Furukawa, S. Higashi, T. Okada, H. Kaku, H. Murakami, S. Miyazaki

    Solid State Device and Materials (SSDM2007)(Tsukuba)   P-1-27L 巻   頁: 376-377   2007年9月

     詳細を見る

    記述言語:英語  

  196. Characterization of Multistep Electron Charging and Discharging of Silicon-Quantum-Dots Floating Gate by Applying Pulsed Gate Biases

    R. Matsumoto, M. Ikeda, S. Higashi, S. Miyazaki

    2007 International Conference on Solid State Devices and Materials (SSDM2007)(Tsukuba)   I-8-3 巻   頁: 1112-1113   2007年9月

     詳細を見る

    記述言語:英語  

  197. Dopant Activation Induced by Thermal Plasma Jet Crystallization of Heavily-Phosphorus-Doped Amorphous Si films

    H. Kaku, S. Higashi, H. Furukawa, T. Okada, T. Yorimoto, H. Murakami, S. Miyazaki

    The Fourteenth International Workshop on ACTIVE-MATRIX FLATPANEL DISPLAY AND DEVICES(Awaji)   3-3 巻   頁: 33-36   2007年7月

     詳細を見る

    記述言語:英語  

  198. Progress on Charge Distribution in Multiply-Stacked Si Quantum Dots/SiO2 Structure as Evaluated by AFM/KFM

    K. Makihara, M. Ikeda, S. Higashi, S. Miyazaki

    2007 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2007) (Korea)   J-R22W 巻   頁: 251-254   2007年6月

     詳細を見る

    記述言語:英語  

  199. Phosphorus Doping to Si Quantum Dots for Floating Gate Application

    K. Makihara, M. Ikeda, A. Ohta, H. Murakami, R. Matsumoto, E. Ikenaga, M. Kobata, J. Kim, S. Higashi, S. Miyazaki

    2007 Silicon Nanoelectronics Workshop(Kyoto)   5-3 巻   頁: 161-162   2007年6月

     詳細を見る

    記述言語:英語  

  200. Fermi-level pinning position modulation by Al-containing metal gate for cost-effective dual-metal/dual-high-k CMOS

    M. Kadoshima, Y. Sugita, K. Shiraishi, H. Watanabe, A. Ohta, S. Miyazaki, K. Nakajima, T. Chikyow, K. Yamada, T. Aminaka, E. Kurosawa, T. Matsuki, T. Aoyama, Y. Nara, Y. Ohji

    The 2008 Symposium on VLSI Technology(Kyoto)   5A-1 巻   頁: 66-67   2007年6月

     詳細を見る

    記述言語:英語  

  201. Characterization of Chemical Bonding Features and Defect State Density in HfSiOxNy/SiO2 Gate Stack

    A. Ohta, Y. Munetaka, A. Tsugou, K. Makihara, H. Murakami, S. Higashi, S. Miyazaki, S. Inumiya, Y. Nara

    15th biannual Conference on Insulating Films on Semiconductors 2007 (INFOS2007)(Greece)   session7 7.36 巻   頁: 251-254   2007年6月

     詳細を見る

    記述言語:英語  

  202. Impact of Boron Doping to Si Quantum Dots on Light Emission Properties

    K. Okuyama, K. Makihara, A. Ohta, H. Murakami, M. Ikeda, S. Higashi, S. Miyazaki

    2007 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2007) (Korea)   J-R23M 巻   頁: 135-138   2007年6月

     詳細を見る

    記述言語:英語  

  203. Hafnium 4f Core-level Shifts Caused by Nitrogen Incorporation in Hf-based High-k Gate Dielectrics 査読有り

    N. Umezawa1, K. Shiraishi2,1, S. Miyazaki3, T. Ohno1, T. Chikyow1, K. Yamada4,1, and Y. Nara5

    Jpn. J. Appl. Phys.   46 巻   頁: 3507-3509   2007年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Hafnium (Hf) 4f core-level binding energy shifts caused by Hf–N bond formation in hafnium oxynitride (HfOxNy) have been studied by first-principles calculations. Our computational results clearly showed that the Hf 4f core-level binding energies are reduced by 0.36 and 0.65 eV for Hf–N and N–Hf–N bond formation, respectively. These results are in good agreement with chemical shifts observed in the X-ray photoelectron spectroscopy (XPS) of HfOxNy films deposited on a Si(100) substrate. In the present work, we demonstrate that the first-principles calculation is a useful tool to clarify chemical environments of Hf-based high-k gate dielectrics.

  204. Impact of impurity Doping into Si Quantum Dots with Ge Core on Their Electrical Charging Characteristics

    K. Makihara, M. Ikeda, S. Higashi, S. Miyazaki

    5th International Conference on Silicon Epitaxy and Heterostructures(France)   22P 2-15 巻   頁: 313-314   2007年5月

     詳細を見る

    記述言語:英語  

  205. Characterization of Metal/High-k Structures Using Monoenergetic Positron Beams 査読有り

    Akira Uedono, Tatsuya Naito, Takashi Otsuka, Kenichi Ito, Kenji Shiraishi, Kikuo Yamabe, Seiichi Miyazaki1, Heiji Watanabe2, Naoto Umezawa3, Toyohiro Chikyow3, Toshiyuki Ohdaira4, Ryoichi Suzuki4, Yasushi Akasaka5,, Satoshi Kamiyama5, Yasuo Nara5, and Keisaku Yamada6

    Jpn. J. Appl. Phys.   46 巻   頁: 3214-3218   2007年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    The impact of TiN deposition on thin high-k (HfO2 and HfSiON) films formed on Si substrates was studied using monoenergetic positron beams. For the predeposition sample, the positrons implanted into Si diffuse toward the high-k/Si interface under the influence of the electric field, suggesting the presence of negative charges in the high-k films. After TiN was deposited on HfO2, positive charges were introduced at the TiN/HfO2 interface, which were associated with the incorporation of nitride into HfO2, resulting in the formation of positively charged oxygen vacancies (VOs). From the isochronal annealing experiments for TiN/HfSiON/Si, it was found that positively charged defects (such as VO) were introduced into HfSiON after annealing at 700–900 °C. These defects were introduced by the interaction between TiN and HfSiON, resulting in the formation of polycrystalline TiO2 at the interface. The positively charged defects were annealed out at 1100 °C, but the dielectric properties of HfSiON degraded.

  206. Low Temperature High-rate Growth of Crystalline Ge Films on Quartz and Crystalline Si Substrates from VHF Inductively-Coupled Plasma of GeH4

    T. Sakata, K. Makihara, H. Deki, S. Higashi, S. Miyazaki

    5th International Conference on Silicon Epitaxy and Heterostructures (ICSI-5)(France)   21P1-7 巻   頁: 214-215   2007年5月

     詳細を見る

    記述言語:英語  

  207. Light Emitting Diode with MOS Structures Containing Multiple-Stacked Si Quantum Dots 査読有り

    J. Xu, K. Makihara, H. Deki, Y. Kawaguchi, H. Murakami, S. Higashi and S. Miyazaki

    Solid State Phenomena   121-123 巻   頁: 557-560   2007年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Light emitting diode with MOS structures containing multiple-stacked Si quantum dots(QDs)/SiO2 was fabricated and the visible-infrared light emission was observed a room temperature when the negative gate bias exceeded the threshold voltage. The luminescence intensity was
    increased linearly with increasing the injected current density. The possible luminescence mechanism was briefly discussed and the delta P doping was performed to obtain the doped Si QDsand the improvement of EL intensity was demonstrated.

  208. Luminescence Study of Multiply-Stacked Structures Consisting of Impurity-Doped Si Quantum Dots and Ultrathin SiO2

    K. Makihara, Y. Kawaguchi, M. Ikeda, S. Higashi, S. Miyazaki,

    The 2007 International Meeting for Future of Electron Devices, Kansai (IMFEDK)(Osaka)   PB-5 巻   頁: 121-122   2007年4月

     詳細を見る

    記述言語:英語  

  209. Guiding Principle of Energy Level Controllability of Silicon Dangling Bonds in HfSiON 査読有り

    Naoto Umezawa, Kenji Shiraishi1, Seiichi Miyazaki2, Akira Uedono1, Yasushi Akasaka3, Seiji Inumiya3, Ryu Hasunuma1, Kikuo Yamabe1, Hiroyoshi Momida4, Takahisa Ohno4, Kenji Ohmori, Toyohiro Chikyow, Yasuo Nara3, and Keisaku Yamada5

    Jpn. J. Appl. Phys.   46 巻   頁: 1891-1894   2007年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Silicon dangling bonds (Si-DBs) in HfSiOx have been studied using first-principles calculations. Interestingly, our computational result revealed that the Si-DB-related gap state in HfSiOx locates in a much lower energy region than that in SiOx. This is because Hf atoms enhance the ionic character of the HfSiOx film, which in turn induces a positive charge at the Si site. We consider that the low-lying Si-DB level, which is now very near the N 2p state, contributes to the formation of strong Si–N bonds in HfSiON. The lower shift of the Si-DB level upon cation metal inclusion can be useful information not only for improving the electric properties of high-k gate stacks but also for developing prominent silicon–oxide–nitride–oxide–silicon (SONOS) nonvolatile memories where controllability of the charge trap level is a crucial issue.

  210. Melting and Solidification of Microcrystalline Si Films Induced by Semiconductor Diode Laser Irradiation 査読有り

    Kohei Sakaike, Seiichiro Higashi, Hirotaka Kaku, Hideki Murakami, and Seiichi Miyazaki

    Jpn. J. Appl. Phys.   46 巻   頁: 1276-1279   2007年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Rapid thermal annealing of microcrystalline Si (µc-Si) films induced by cw semiconductor diode laser (SDL) irradiation has been investigated. Owing to the higher absorption coefficient of µc-Si than that of amorphous Si (a-Si), 1.2-µm-thick µc-Si films are melted and recrystallized within 3 ms, whereas no phase transformation of a-Si films is observed under the same annealing condition. The annealed Si films show a high crystalline volume fraction of 97% and [111] preferential orientation. Characteristic triangle surface structures aligned to the laser scanning direction, which suggests that the lateral solidification from molten Si is observed.

  211. Ultrarapid Thermal Annealing Induced by DC Arc Discharge Plasma Jet Its Application

    S. Higashi, H. Kaku, T. Okada, H. Murakami, S. Miyazaki

    5th International Symposium Nanotechnology (JAPAN NANO 2007)(Tokyo)   P3-2 巻   頁: 144-145   2007年2月

     詳細を見る

    記述言語:英語  

  212. Comparison of Defect Densities in Excimer Laser and Thermal Plasma Jet Crystallized Si Films

    S. Higashi, T. Yorimoto, T. Okada, H. Kaku, H. Murakami, S. Miyazaki

    3rd International TFT Conference(Italy)   P21 巻   頁: 204-207   2007年1月

     詳細を見る

    記述言語:英語  

  213. Growth of Si Crystalline in SiOx Films Induced by Millisecond Rapid Thermal Annealing Using Thermal Plasma Jet

    T. Okada, S. Higashi, H. Kaku, T. Yorimoto, H.Murakami, S. Miyazaki

    3rd International TFT Conference(Italy)   5a.3 巻   頁: 82-85   2007年1月

     詳細を見る

    記述言語:英語  

  214. Comparison of Defect Densities in Excimer Laser and Thermal Plasma Jet Crystallized Si Films, 3rd International TFT Conference, Rome, Italy, Jan.

    S. Higashi, T. Yorimoto, T. Okada, H. Kaku, H. Murakami, S. Miyazaki,

    3rd International TFT Conference, Rome, Italy, Jan. 25-26, 2007, P21, pp     頁: 204-207.   2007年1月

     詳細を見る

    記述言語:英語  

  215. Theoretical Studies on Metal/High-k Gate Stacks

    K. Shiraishi, Y. Akasaka, G. Nakamura, T. Nakayama, S. Miyazaki, H. Watanabe, A. Ohta, K. Ohmori, T. Chikyow, Y. Nara, K. Yamabe, K. Yamada

    ECS Trans.   6 巻 ( 1 ) 頁: 191-204   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  216. Melting and Solidification of Microcrystalline Si Films Induced by Semiconductor Diode Laser Irradiation

    K. Sakaike, S. Higashi, H. Kaku, H. Murakami, S. Miyazaki

    Jpn. J. Appl. Phys.   46 巻 ( 3B ) 頁: 1276-1279   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  217. Control of Substrate Surface Temperature in Millisecond Annealing Technique Using Thermal Plasma Jet

    T. Okada, S. Higashi, H. Kaku, N. Koba, H. Murakami, S. Miyazaki

    Thin Solid Films   515 巻   頁: 4897-4900   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  218. Electrical Characteristics of Lightly-Doped Si Films Crystallized by Thermal Plasma Jet Irradiation

    T. Yorimoto, S. Higashi, H. Kaku, T. Okada, H. Murakami, S. Miyazaki, M. Maki, T. Sameshima

    Trans. of MRS-J   32 巻 ( 2 ) 頁: 465-468   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  219. Performance Improvement of HfAlOxN n-Channel Metal-Oxide-Semiconductor Field-Effect Transistors by Controlling the Bonding Configuration of Nitrogen Atoms Coordinated to Hf Atoms

    K. Iwamoto, T. Nishimura, A. Ohta, K. Tominaga, T. Nabatame, S. Miyazaki, A. Toriumi

    Jpn. J. Appl. Phys.   46 巻 ( 12 ) 頁: 7666-7670   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  220. Vacancy-fluorine complexes and their impact on the properties of metal-oxide transistors with high-k gate dielectrics studied using monoenergetic positron beams

    A. Uedono, S. Inumiya, T. Matsuki, T. Aoyama, Y. Nara, S. Ishibashi, T. Ohdaira, R. Suzuki, S. Miyazaki, K. Yamada

    J. Appl. Phys   102 巻   頁: 054511-1 – 054511-7   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  221. Improvement in Fermi-Level Pinning of p-MOS Metal Gate Electodes on HfSiON by Employing Ru Gate Electrodes

    M. Kadoshima, Y. Suginta, K. Shiraishi, H. Watanabe, A. Ohta, S. Miyazaki, K. Nakajima, T. Chikyow, K. Yamada, T. Aminaka, E. Kurosawa, T. Matsuki, T. Aoyama, Y. Nara, Y. Ohji

    ECS Trans.   11 巻 ( 4 ) 頁: 169-180   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  222. Characterization of Chemical Bonding Features and Defect State Density in HfSiOxNy/SiO2 Gate Stack

    A. Ohta, Y. Munetaka, A. Tsugou, K. Makihara, H. Murakami, S. Higashi, S. Miyazaki, S. Inumiya, Y. Nara

    Microelec. Eng.   84 巻   頁: 2386-2389   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  223. Characterization of Electronic Charged States of Si-Based Quantum Dots for Floating Gate Application

    S. Miyazaki, M. Ikeda, K. Makihara

    ECS Trans.   11 巻 ( 6 ) 頁: 233-243   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  224. Characterization of Electronic Charged States of Nickel Silicide Nanodots Using AFM/Kelvin Probe Technique

    R. Nishihara, K. Makihara, Y. Kawaguchi, M. Ikeda, H. Murakami, S. Higashi, S. Miyazaki

    Materials Science Forum   561-565 巻   頁: 1213-1216   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  225. Light Emitting Diode with MOS Structures Containing Multiple-Stacked Si Quantum Dots

    J. Xu, K. Makihara, H. Deki, Y. Kawaguchi, H. Murakami, S. Higashi, S. Miyazaki

    Solid State Phenomena   121-123 巻   頁: 557-560   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  226. Vacancy-Type Defects in MOSFETs with High-k Gate Dielectrics Probed by Monoenergetic Positron Beams

    A. Uedono, R. Hasumuma, K. Shiraishi, K. Yamabe, S. Inumiya, Y. Akasaka, S. Kamiyama, T. Matsuki, T. Aoyama, Y. Nara, S. Miyazaki, H. Watanab, N. Umezawa, T. Chikyow, S. Ishibashi, T. Ohdaira, R. Suzuki, K. Yamada

    ECS Trans.   11 巻 ( 4 ) 頁: 81-90   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  227. Role of the Ionicity in Defect Formation in Hf-based Dielectrics

    N. Umezawa, K. Shiraishi, S. Miyazaki, A. Uedono, Y. Akasaka, S. Inumiya, A. Oshiyama, R. Hasunuma, K. Yamabe, H. Momida, T. Ohno, K. Ohmori, T. Chikyow, Y. Nara, K. Yamada

    ECS Trans.   11 巻 ( 4 ) 頁: 199-211   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  228. Tight distribution of dielectric characteristics of HfSiON in metal gate devices

    R. Hasumuma, T. Naito, C. Tamura, A. Uedono, K. Shiraishi, N. Umezawa, T. Chikyow, S. Inumiya, M. Sato, Y. Tamura, H. Watanabe, Y. Nara, Y. Ohji, S. Miyazaki, K. Yamada, K. Yamabe

    ECS Trans.   11 巻 ( 4 ) 頁: 3-11   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  229. Introduction of defects into HfO2 gate dielectrics by metal-gate deposition studied using x-ray photoelectron spectroscopy and positron annihilation

    1. A. Uedono, T. Naito, T. Otsuka, K. Shiraishi, K. Yamabe, S. Miyazaki, H. Watanabe

    J. Appl. Phys.   100 巻   頁: 064501-1 – 064501-5   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  230. Crystallization of Si in Millisecond Time Domain Induced by Thermal Plasma Jet Irradiation

    S. Higashi, H. Kaku, T. Okada, H. Murakami, S. Miyazaki

    Jpn. J. Appl. Phys.   45 巻 ( 5B ) 頁: 4313-4320   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  231. Analysis of Transient Temperature Profile During Thermal Plasma Jet Annealing of Si Films on Quartz Substrate

    T. Okada, S. Higashi, H. Kaku, N. Koba, H. Murakami, S. Miyazaki

    Jpn. J. Appl. Phys.   45 巻 ( 5B ) 頁: 4355-4357   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  232. In-Situ Observation of Rapid Crystalline Growth Induced by Excimer Laser Irradiation to Ge/Si Stacked Structure

    A. Yamashita, Y. Okamoto, S. Higashi, S. Miyazaki, H. Watakabe, T. Sameshima

    Thin Solid Films   508 巻   頁: 53-56   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  233. High-rate Growth of Highly-crystallized Si Films from VHF Inductively-Coupled Plasma CVD

    N. Kosku, S. Miyazaki

    Thin Solid Films   511-512 巻   頁: 265-270   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  234. The Application of Very High Frequency Inductively-coupled Plasma to High-Rate Growth of Microcrystalline Silicon Films

    N. Kosku, S. Miyazaki

    J. Non-Cryst. Solid   352 巻   頁: 911-914   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  235. Growth of Crystallized Ge Films from VHF-Inductively Coupled Plasma of H2-Diluted GeH4

    T. Sakata, K. Makihara, S. Higashi, S. Miyazaki

    Thin Solid Films   515 巻 ( 12 ) 頁: 4971-4974   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  236. An Unfavorable Effect of Nitrogen Incorporation on Reduction in the Oxygen Vacancy Formation Energy

    N. Umezawa, K. Shiraishi, Y. Akasaka, S. Inumiya, A. Uedono, S. Miyazaki, T. Chikyow, T.Ohno, Y. Nara, K. Yamada

    Trans. of the Mat. Res. Soc. of Japan   31 巻 ( 1 ) 頁: 129-132   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  237. Photoemission Study of Ultrathin HfSiON/Si(100) Systems

    A. Ohta, H. Nakagawa, H. Murakami, S. Higashi, S. Miyazaki, S. Inumiya, Y. Nara

    Trans. of the Mat. Res. Soc. of Japan   31 巻 ( 1 ) 頁: 125-128   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  238. Photoemission Study of Ultrathin GeO2/Ge Heterostructures Formed by UV-O3 Oxidation

    A. Ohta, H. Murakami, S. Higashi, S. Miyazaki

    Jour. of Sur. Sci. and Nanotech.   4 巻   頁: 174-179   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  239. Impact of Nitrogen Incorporation into Yittrium Oxide on Chemical Bonding Features and Electrical Properties

    H. Abe, H. Nakagawa, M. Taira, A. Ohta, S. Higashi, S. Miyazaki

    Trans. of the Mat. Res. Soc. of Japan   31 巻 ( 1 ) 頁: 157-160   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  240. Nitridation of Ge(100) Surfaces by Vacuum-ultra violet (VUV) Irradiation in NH3 Ambience

    H. Nakagawa, A. Ohta, M. Taira, H. Abe, H. Murakami, S. Higashi, S. Miyazaki

    Trans. of the Mat. Res. Soc. of Japan   31 巻 ( 1 ) 頁: 153-156   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  241. Influence of thermal annealing on defect states and chemical structures in ultrathin Al2O3/SiN/poly-Si

    M. Taira, A. Ohta, H. Nakagawa, S. Miyazaki, K. Yoneda, M. Horikawa, K. Koyama

    Trans. of the Mat. Res. Soc. of Japan   31 巻 ( 1 ) 頁: 149-152   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  242. Characterization of FUSI-PtSi Formed on Ultrathin HfO2/Si(100) by Photoelectron Spectroscopy

    Y. Munetaka, F. Takeno, A. Ohta, H. Murakami, S. Higashi, S. Miyazaki

    Trans. of the Mat. Res. Soc. of Japan   31 巻 ( 1 ) 頁: 145-148   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  243. Depth Profiling of Chemical and Electronic Structures and Defects of Ultrathin HfSiON on Si(100)

    S. Miyazaki, A. Ohta, S. Inumiya, Y. Nara, K. Yamada

    ECS Trans.   3 巻 ( 3 ) 頁: 171-180   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  244. Physics of Metal/High-k Interfaces

    T. Nakayama, K. Shiraishi, S. Miyazaki, Y. Akasaka, K. Torii, P. Ahmet, K. Ohmori, N. Umezawa, H. Watanabe, T. Chikyow, Y. Nara, A. Ohta, H. Iwai, K. Yamada, T. Nakaoka

    Physics of Metal/High-k Interfaces   3 巻 ( 3 ) 頁: 129-140   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  245. Multistep Electron Charging to and Discharging from Silicon-Quantum-Dots Floating Gate in nMOSFETs

    T. Nagai, M. Ikeda, Y. Shimizu, S. Higashi, S. Miyazaki

    Trans. of MRS-J   31 巻 ( 1 ) 頁: 137-140   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  246. Study of Charged States of Si Quantum Dots with Ge Core

    K. Makihara, M. Ikeda, S. Higashi, S. Miyazaki

    ECS Trans.   3 巻 ( 7 ) 頁: 257-262   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  247. Characterization of Electronic Charged States of Si-Based Quantum Dots and Their Application to Floating Gate Memories

    S. Miyazaki, M. Ikeda and K. Makihara

    ECS Trans.   2 巻 ( 1 ) 頁: 157-164   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  248. Fabrication of Multiply-Stacked Si Quantum Dots for Floating Gate MOS Devices

    K. Makihara, M. Ikeda, T. Nagai, H. Murakami, S. Higashi, S. Miyazaki

    Trans. of MRS-J   31 巻 ( 1 ) 頁: 133-136   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  249. Decay Characteristics of Electronic Charged States of Si Quantum Dots as Evaluated by an AFM/Kelvin Probe Technique

    J. Nishitani, K. Makihara, M. Ikeda, H. Murakami, S. Higashi, S. Miyazaki

    Thin Solid Films   508 巻 ( 1-2 ) 頁: 190-194   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  250. Characterization of Electronic Charged States of P-doped Si Quantum Dots Using AFM/Kelvin Probe

    K. Makihara, J. Xu, M. Ikeda, H. Murakami, S. Higashi, S. Miyazaki

    Thin Solid Films   508 巻 ( 1-2 ) 頁: 186-189   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  251. Characterization of HfSiON gate dielectrics using monoenergetic positron beams

    1. A. Uedono, K. Ikeuchi, T. Otsuka, K. Shiraishi, K. Yamabe, S. Miyazaki, N. Umezawa, A. Hamid, T. Chikyow

    J. Appl. Phys.   99 巻   頁: 054507-1 – 054507-6   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  252. Control of the Nucleation Density of Si Quantum Dots by Remote Hydrogen Plasma Treatment

    K. Makihara, H. Deki, H. Murakami, S. Higasi, S. Miyazaki

    Appl. Surf. Sci.   244 巻 ( 1-4 ) 頁: 75-78   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  253. Influence of Substrate dc Bias on Crystallinity of Silicon Films Grown at a High Rate from Inductively-coupled Plasma CVD

    N. Kosku, H. Murakami, S. Higashi, S. Miyazaki

    Appl. Surf. Sci.   244 巻 ( 1-4 ) 頁: 39-42   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  254. A New Crystallization Technique of Si Films on Glass Substrate Using Thermal Plasma Jet

    H. Kaku, S. Higashi, H. Taniguchi, H. Murakami, S. Miyazaki

    Appl. Surf. Sci.   244 巻 ( 1-4 ) 頁: 8-11   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  255. Characterization of Germanium Nanocrystallites Grown on SiO2 by a Conductive AFM Probe Technique

    K. Makihara, Y. Okamoto, H. Murakami, S. Higashi, S. Miyazaki

    IEICE Trans. on Electronics   E88-C 巻 ( 4 ) 頁: 705-708   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  256. Formation of Microcrystalline Germanium (mc-Ge:H) Films From Inductively-Coupled Plasma CVD

    Y. Okamoto, K. Makihara, H. Murakami, S. Higasi, S. Miyazaki

    Appl. Surf. Sci.   244 巻 ( 1-4 ) 頁: 12-15   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  257. Electrical Characterization of HfAlOx/SiON Dielectric Gate Capacitors

    Y. Pei, S. Nagamachi, H. Murakami, S. Higashi, S. Miyazaki, T. Kawahara, K. Torii

    Trans. of the Mat. Res. Soc. of Japan   30 巻 ( 1 ) 頁: 205-208   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  258. Analysis of Leakage Current through Al/HfAlOx/SiONx/Si(100) MOS Capacitors

    S. Nagamachi, A. Ohta, F. Takeno, H. Nakagawa, H. Murakami, S. Miyazaki, T. Kawahara, K. Torii

    Trans. of the Mat. Res. Soc. of Japan   30 巻 ( 1 ) 頁: 197-200   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  259. The Role of Oxygen-related Defects on the Reliabilities of HfO2-based High-k Gate Insulators

    K. Torii, K. Shiraishi, S. Miyazaki, K. Yamabe, M. Boero, T. Chikyow, K. Yamada, H. Kitajima, T. Arikado

    Trans. of the Mat. Res. Soc. of Japan   30 巻 ( 1 ) 頁: 191-195   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  260. Impact of Rapid Thermal Anneal on ALCVD-Al2O3/Si3N4/Si(100) Stack Structures-Photoelectron Spectroscopy

    F. Takeno, A. Ohta, S. Miyazaki, K. Komeda, M. Horikawa, K. Koyama

    Trans. of the Mat. Res. Soc. of Japan   30 巻 ( 1 ) 頁: 213-217   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  261. Characterization of Charge Trapping and Dielectric Breakdown of HfAlOX/SiON Dielectric Gate Stack

    Y. Pei, S. Nagamachi, H. Murakami, S. Higashi, S. Miyazaki, T. Kawahara, K. Torii, Y. Nara

    ECS Trans.   1 巻 ( 1 ) 頁: 163-172   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  262. Characterization of Aluminum-Oxynitride Stacked Gate Dielectrics Prepared by a Layer-by-Layer Process of Chemical Vapor Deposition and Rapid Thermal Nitridation

    H. Murakami, W. Mizubayashi, H. Yokoi, A. Suyama, S. Miyazaki

    IEICE Trans. on Electronics   E88-C 巻 ( 4 ) 頁: 640-645   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  263. Characterization of Atom Diffusion in Polycrystalline Si/SiGe/Si Stacked Gate

    H. Murakami, Y. Moriwaki, M. Fujitake, D. Azuma, S. Higashi, S. Miyazaki

    IEICE Trans. on Electronics   E88-C 巻 ( 4 ) 頁: 646-650   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  264. Impact of Rapid Thermal Anneal on ALCVD-Al2O3/Si3N4/Si(100) Stack Structures-Photoelectron Spectroscopy

    F. Takeno, A. Ohta, S. Miyazaki, K. Komeda, M. Horikawa, K. Koyama

    Trans. of the Mat. Res. Soc. of Japan   30 巻 ( 1 ) 頁: 213-217   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  265. Analysis of Leakage Current through Al/HfAlOx/SiONx/Si(100) MOS Capacitors

    S. Nagamachi, A. Ohta, F. Takeno, H. Nakagawa, H. Murakami, S. Miyazaki, T. Kawahara, K. Torii

    Trans. of the Mat. Res. Soc. of Japan   30 巻 ( 1 ) 頁: 197-200   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  266. Characterization of Electronic Charged States of Silicon Nanocrystals as a Floating Gate in MOS Structures

    S. Miyazaki, T. Shibaguchi, M. Ikeda

    Mat. Res. Soc. Symp. Proc.   830 巻   頁: 249-254   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  267. Charging and Discharging Characteristics of Stacked Floating Gates of Silicon Quantum Dots

    T. Shibaguchi, M. Ikeda, H. Murakami, S. Miyazaki

    IEICE Trans. on Electronics   E88-C 巻 ( 4 ) 頁: 709-712   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  268. Application of Plasma Jet Crystallization Technique to Fabrication of Thin-Film Transistor

    S. Higashi, H. Kaku, H. Murakami, S. Miyazaki, H. Watakabe, N. Ando, T. Sameshima

    Jpn. J. Appl. Phys.   44 巻 ( 3 ) 頁: L108-L110   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  269. Crystallization of Si Films on Glass Substrate Using Thermal Plasma Jet

    S. Higashi, H. Kaku, H. Taniguchi, H. Murakami, S. Miyazaki

    Thin Solid Films   487 巻   頁: 122-125   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  270. Crystallization of Si Films on Glass Substrate Using Thermal Plasma Jet

    S. Higashi, H. Kaku, H. Taniguchi, H. Murakami, S. Miyazaki

    Thin Solid Films   487 巻   頁: 122-125   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  271. High-Rate Growth of Highly-Crystallized Si Films from VHF Inductively-Coupled Plasma CVD

    N. Kosku, S. Miyazaki

    Trans. of MRS-J   30 巻 ( 1 ) 頁: 279-282   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  272. Fabrication of Polycrystalline Si Thin Film Transistor Using Plasma Jet Crystalliztion Technique

    H. Kaku, S. Higashi, S. Miyazaki, M. Asami, H. Watakabe, N. Andoh, T. Sameshima

    Trans. of MRS-J   30 巻 ( 1 ) 頁: 283-286   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  273. Influence of Thermal Annealing on Compositional Mixing and Crystallinity of Highly-Selective Grown Si Dots with Ge Core

    Y. Darma, Hideki Murakami, S. Miyazaki

    Appl. Surf. Sci.   224 巻   頁: 156-159   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  274. New Analytical Modeling for Photoinduced Discharge Characteristics of Photoreceptors

    A. Teshima, S. Miyazaki,

    Jpn. J. Appl. Phys.   43 巻 ( 8A ) 頁: 5129-5133   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  275. Electrical Characterization of Ge Microcrystallites by Atomic Force Microscopy Using a Conducting Probe

    K. Makihara, Y. Okamoto, H. Nakagawa, M. Ikeda, H. Murakami, S. Higashi, S. Miyazaki

    Thin Solid Films   457 巻   頁: 103-108   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  276. Analysis of Soft Breakdown of 2.6-4.9nm-Thick Gate Oxides

    W. Mizubayashi, S. Miyazaki

    Jpn. J. Appl. Phys.   43 巻 ( 10 ) 頁: 6925-6929   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  277. Statistical Analysis of Soft and Hard Breakdown in 1.9-4.8nm-thick Gate Oxides, IEEE Electron Device Lett.

    W. Mizubayashi, Y. Yoshida, H. Murakami, S. Miyazaki, M. Hirose

    IEEE Electron Device Lett   25 巻 ( 5 ) 頁: 305-307   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  278. Praseodymium silicate formed by postdeposition high-temperature annealing

    A. Sakai, S. Sakashita, M. Sakashita, S. Zaima, S. Miyazaki

    Appl. Phys. Lett.   85 巻 ( 22 ) 頁: 5322-5324   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  279. Impact of Rapid Thermal O2 Anneal on Dielectric Stack Structures of Hafnium Aluminate and Silicon Dioxide Formed on Si(100)

    A. Ohta, H. Nakagawa, H. Murakami, S. Higashi, T, Kawahara, K. Torii, S. Miyazaki

    Jpn. J. Appl. Phys.   43 巻 ( 11B ) 頁: 7831-7836   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  280. Photoelectron Spectroscopy of ultrathin yttrium oxide films on Si(100)

    A. Ohta, M. Yamaoka, S. Miyazaki

    Microelec. Eng.   72 巻   頁: 154-159   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  281. Characterization of Interfacial Oxide Layers in Heterostructures of Hafnium Oxides Formed on NH3-nitrided Si(100)

    H. Nakagawa, A. Ohta, F. Takeno, S. Nagamachi, H. Murakami, S. Higashi, S. Miyazaki

    Jpn. J. Appl. Phys.   43 巻 ( 11B ) 頁: 7890-7894   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  282. Influence of Boron and Fluorine Incorporation on the Network Structure of Ultrathin SiO2 査読有り

    S. Miyazaki, K. Morino and M. Hirose

    Solid State Phenomena   76-77 巻   頁: 149-152   2001年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Incorporation of boron and fluorine atoms in to an ultrathin SiO2 layer during a p+-polySi gate fabrication process with BF2+ or B+ implantation and its influence on the SiO2 bonding network have been studied by FT-IR and XPS in conjunction with SiO2 thinning by dilute HF etching. The analysis of F1s core spectrum measured at each SiO2 thinning step shows that fluorine atoms pile up in the SiO2 network near the SiO2/Si interface in bonding forms of mainly F-SiO3 or F-BO3 units and partly F-O units. Also, boron pile-up near the interface has been confirmed from the depth profiling of the IR absorption bands due to B-F and B-O bonds. The thickness dependence of the LO phonon frequency for the oxides with B or B/F incorporation indicates that not only fluorine atoms but also three-coordinate boron atoms in the interfacial region relax built-in compressive stress in the oxide network near the interface

▼全件表示

書籍等出版物 12

  1. 化学便覧 第7版 応用化学編

    宮崎誠一( 担当: 共著)

    日本化学会編,丸善出版(株)  2014年 

     詳細を見る

    記述言語:日本語

    Ⅱ基礎的化学技術/材料,7章 電子・光材料プロセス技術
    7.3.2 CVD技術

  2. 薄膜工学(第2版)分担執筆 第2章3節「化学気相成長法」

    宮崎誠一( 担当: 単著)

    丸善出版  2011年6月 

     詳細を見る

    記述言語:日本語

  3. マイクロ・ナノ領域の超精密技術第3章2節「半導体デバイス」ⅠSi系(極微細化の観点を中心にして)

    宮崎誠一( 担当: 単著)

    オーム社  2011年3月 

     詳細を見る

    記述言語:日本語

  4. 実用薄膜プロセス―機能創製・応用展開― 第1編「創製技術」第5章「CVD」

    宮崎誠一( 担当: 単著)

    技術教育出版社  2009年 

     詳細を見る

    記述言語:日本語

  5. 次世代半導体メモリの最新技術 第6章

    ( 担当: 共著)

    シーエムシー出版  2009年 

     詳細を見る

    記述言語:日本語

    シリコン系ナノ構造集積と機能メモリデバイス開発

  6. プラズマ・核融合学会誌 熱プラズマによるアモルファスシリコンの結晶化,「講座 熱流を伴う反応性プラズマを用いた材料合成プロセス 3.結晶化・相変化制御への応用」

    東 清一郎, 宮崎 誠一( 担当: 共著)

    2009年 

     詳細を見る

    記述言語:日本語

  7. 次世代半導体メモリの最新技術 第6章分担執筆:「シリコン系ナノ構造集積と機能メモリデバイス開発」

    ( 担当: 単著)

    シーエムシー出版  2009年 

     詳細を見る

    記述言語:日本語

  8. プラズマ・核融合学会誌85(3) 熱プラズマによるアモルファスシリコンの結晶化,「講座 熱流を伴う反応性プラズマを用いた材料合成プロセス 3.結晶化・相変化制御への応用」

    東 清一郎, 宮崎 誠一( 担当: 共著)

    プラズマ・核融合学会  2009年 

     詳細を見る

    記述言語:日本語

  9. 実用薄膜プロセス―機能創製・応用展開― 第1編「創製技術」第5章「CVD」

    ( 担当: 単著)

    技術教育出版社  2009年 

     詳細を見る

    記述言語:日本語

  10. 究極のかたちをつくる 第1章分担執筆:「ナノサイズのかたちをつくる」

    ( 担当: 単著)

    日刊工業新聞社  2009年 

     詳細を見る

    記述言語:日本語

  11. 薄膜ハンドブック 第II編 第1章 1.3.4 CVD(編集・分担執筆 )

    宮崎 誠一( 担当: 単著)

    Ohmsha  2008年 

     詳細を見る

    記述言語:日本語

  12. 表面科学の基礎と応用(第3編、第1章・第2節)

    ( 担当: 共著)

    エヌ・ティー・エス  2004年 

     詳細を見る

    記述言語:日本語

    Siの熱酸化機構、Si表面の熱酸化、Si酸化膜の構造、極薄Si酸化膜およびSi/SiO2界面の分析

▼全件表示

講演・口頭発表等 837

  1. Light-emission Properties of High-density Superatom-like Ge-core/Si-shell Quantum Dots 国際共著 国際会議

    K. Makihara, Y. Yamamoto, Y. Imai, N. Taoka, M. A. Schubert, B. Tillack, and S. Miyazaki

    The 6th International Conference on Electronics, Communications and Control Engineering (ICECC 2023)  2023年3月25日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Fukuoka Institute of Technology, Fukuoka   国名:日本国  

  2. Formation of SiO2 Layer on SiGe/Si Nano-structures using Plasma-enhanced Atomic Layer Deposition

    J. Cai, N. Taoka, K. Makihara, A. Ohta, and S. Miyazaki

    第70回応用物理学会春季学術講演会  2023年3月15日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:ハイブリッド開催(上智大学 四谷キャンパス+オンライン)   国名:日本国  

    15p-PA06-2

  3. Reduced-Pressure CVDにより形成したGeコアSi量子ドットの構造評価と室温発光特性評価 国際共著

    牧原 克典、Yamamoto Yuji、Schubert Markus Andreas、田岡 紀之、Tillack Bernd、宮﨑 誠一

    第70回応用物理学会春季学術講演会  2023年3月17日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:ハイブリッド開催(上智大学 四谷キャンパス+オンライン)   国名:日本国  

    17p-D221-1

  4. AFM/KFMによる熱酸化SOI基板上に自己組織化形成したSi量子ドットの局所帯電特性評価 国際共著

    今井 友貴、牧原 克典、山本 裕司、Wen Wei-Chen、田岡 紀之、大田 晃生、宮﨑 誠一

    第70回応用物理学会春季学術講演会  2023年3月17日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:ハイブリッド開催(上智大学 四谷キャンパス+オンライン)   国名:日本国  

    17p-B414-7

  5. Fe ナノドットへの SiH4照射によるβ-FeSi2ナノドットの高密度形成

    斎藤 陽斗、牧原 克典、王 子璐、田岡 紀之、大田 晃生、宮﨑 誠一

    第70回応用物理学会春季学術講演会  2023年3月16日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:ハイブリッド開催(上智大学 四谷キャンパス+オンライン)   国名:日本国  

    16p-PA03-2

  6. Fe超薄膜へのSiH4照射によるシリサイド化反応制御

    斎藤 陽斗、牧原 克典、王 子璐、田岡 紀之、大田 晃生、宮﨑 誠一

    第70回応用物理学会春季学術講演会  2023年3月16日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:ハイブリッド開催(上智大学 四谷キャンパス+オンライン)   国名:日本国  

    16p-PA03-3

  7. Al/Si0.2Ge0.8(111)構造の熱処理によるSiおよびGeの表面偏析 国際共著

    酒井 大希、松下 圭吾、大田 晃生、田岡 紀之、牧原 克典、山本 裕司、宮﨑 誠一

    第70回応用物理学会春季学術講演会  2023年3月16日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:ハイブリッド開催(上智大学 四谷キャンパス+オンライン)   国名:日本国  

    16a-D511-8

  8. SiO2上への極薄ニッケルシリサイド膜形成―Si/Ni/Si初期構造における膜厚依存性―

    木村 圭佑、田岡 紀之、西村 駿介、大田 晃生、牧原 克典、宮﨑 誠一

    第70回応用物理学会春季学術講演会  2023年3月16日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:ハイブリッド開催(上智大学 四谷キャンパス+オンライン)   国名:日本国  

    16a-D511-10

  9. Impact of O2 Annealing on Electronic States of p-type GaN(0001) Surface 国際会議

    Z. Zhou, X. Tian, A. Ohta, N. Taoka, K. Makihara, and S. Miyazaki

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides Nanomaterials / 16th International Conference on Plasma-Nano Technology and Science (ISPlasma 2023 / IC-PLANTS 2023)  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gihu Univ.   国名:日本国  

    07P-P2-36

  10. Characterization of Light Emission Properties of Superatom-like Ge-core/Si-shell Quantum Dots 国際共著 国際会議

    K. Makihara, Y. Yamamoto, Y. Imai, N. Taoka, M. A. Schubert, B. Tillack, and S. Miyazaki

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides Nanomaterials / 16th International Conference on Plasma-Nano Technology and Science (ISPlasma 2023 / IC-PLANTS 2023)  2023年3月6日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gihu Univ.   国名:日本国  

    06P-P3-46

  11. Electroluminescence from High Density Ge/Si Quantum Dots on Sub-micron Patterned Si Wires 国際会議

    Y. Imai, K. Makihara, N. Taoka, A. Ohta, and S. Miyazaki

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides Nanomaterials / 16th International Conference on Plasma-Nano Technology and Science (ISPlasma 2023 / IC-PLANTS 2023)  2023年3月6日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Gihu Univ.   国名:日本国  

    06P-P3-45

  12. AFM/ケルビンプローブモードによる超高密度一次元連結Si系量子ドットの帯電状態評価

    今井 友貴、牧原 克典、田岡 紀之、大田 晃生、宮﨑 誠一

    電子デバイス界面テクノロジー研究会 ―材料・プロセス・デバイス特性の物理―(第28回)  2023年2月3日 

     詳細を見る

    開催年月日: 2023年2月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:東レ総合研修センター(静岡県三島市)   国名:日本国  

    P-23

  13. Al/Si(111)構造の平坦性および結晶性制御と偏析による極薄Si層形成

    酒井 大希、大田 晃生、松下 圭吾、田岡 紀之、牧原 克典、宮﨑 誠一

    電子デバイス界面テクノロジー研究会 ―材料・プロセス・デバイス特性の物理―(第28回)  2023年2月3日 

     詳細を見る

    開催年月日: 2023年2月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:東レ総合研修センター(静岡県三島市)   国名:日本国  

    P-13

  14. SiO2上に形成したニッケルシリサイド薄膜の膜厚が表面形態・結晶相へ与える影響

    木村 圭佑、田岡 紀之、西村 駿介、大田 晃生、牧原 克典、宮﨑 誠一

    電子デバイス界面テクノロジー研究会 ―材料・プロセス・デバイス特性の物理―(第28回)  2023年2月3日 

     詳細を見る

    開催年月日: 2023年2月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:東レ総合研修センター(静岡県三島市)   国名:日本国  

    P-14

  15. SiO2上へのNiGe薄膜の形成とその電気特性及び電子状態

    西村 駿介、田岡 紀之、大田 晃生、牧原 克典、宮﨑 誠一

    電子デバイス界面テクノロジー研究会 ―材料・プロセス・デバイス特性の物理―(第28回)  2023年2月3日 

     詳細を見る

    開催年月日: 2023年2月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:東レ総合研修センター(静岡県三島市)   国名:日本国  

    P-15

  16. 共晶系の偏析により形成した極薄Ge結晶のデバイスプロセスの検討

    松下 圭吾、大田 晃生、田岡 紀之、牧原 克典、宮﨑 誠一

    電子デバイス界面テクノロジー研究会 ―材料・プロセス・デバイス特性の物理―(第28回)  2023年2月3日 

     詳細を見る

    開催年月日: 2023年2月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:東レ総合研修センター(静岡県三島市)   国名:日本国  

    P-16

  17. GeコアSi量子ドットの構造評価と室温発光特性 国際共著

    牧原 克典、Yuji Yamamoto、今井 友貴、田岡 紀之、Markus Andreas Schubert、Bernd Tillack、宮﨑 誠一

    電子デバイス界面テクノロジー研究会 ―材料・プロセス・デバイス特性の物理―(第28回)  2023年2月3日 

     詳細を見る

    開催年月日: 2023年2月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:東レ総合研修センター(静岡県三島市)   国名:日本国  

    P-22

  18. Ultrathin Si Segregated Layer Formation on Al/Si(111) 国際会議

    T. Sakai, A. Ohta, K. Matsushita, N. Taoka, K. Makihara, and S. Miyazaki

    13th International WorkShop on New Group IV Semiconductor Nanoelectronics  2023年1月24日 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Tohoku University, Sendai   国名:日本国  

    P-09

  19. Formation of Fe3Si Nanodots and Characterization of Their Magnetoelectronic Transport Properties 国際会議

    J. Wu, H. Zhang, K. Makihara, N. Taoka, and S. Miyazaki

    13th International WorkShop on New Group IV Semiconductor Nanoelectronics  2023年1月23日 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Tohoku University, Sendai   国名:日本国  

    O-03

  20. Electronic Charged States of High Density Self-aligned Si-based Quantum Dots as Evaluated by Using an AFM/Kelvin Probe Technique 国際会議

    Y. Imai, K. Makihara, N. Taoka, A. Ohta, and S. Miyazaki

    13th International WorkShop on New Group IV Semiconductor Nanoelectronics  2023年1月24日 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Tohoku University, Sendai   国名:日本国  

    O-04

  21. Change of Surface Morphology, Chemical Bonding Features and Crystalline Phases of Ultra-thin NixSi1-x Layers Due to Thinning 国際会議

    K. Kimura, N. Taoka, S. Nishimura, A. Ohta, K. Makihara, and S. Miyazaki

    13th International WorkShop on New Group IV Semiconductor Nanoelectronics  2023年1月24日 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Tohoku University, Sendai   国名:日本国  

    P-03

  22. Formation of Fe-silicide-NDs and Characterization of Their PL Properties 国際会議

    H. Saito, K. Makihara, Y. Hara, S. Fujimori, Y. Imai, N. Taoka, A. Ohta, and S. Miyazaki

    13th International WorkShop on New Group IV Semiconductor Nanoelectronics  2023年1月24日 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Tohoku University, Sendai   国名:日本国  

    P-06

  23. Si酸化膜上に形成したニッケルシリサイド層の 膜厚が結晶相に与える影響

    木村 圭佑、田岡 紀之、大田 晃生、牧原 克典、宮﨑 誠一

    名古屋大学シンクロトロン光研究センターシンポジウム(2022)  2023年1月16日 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学 野依学術記念交流館   国名:日本国  

    18

  24. Al/Ge(111)上に偏析したGe薄膜の化学結合状態分析

    松下 圭吾、大田 晃生、田岡 紀之、牧原 克典、宮﨑 誠一

    名古屋大学シンクロトロン光研究センターシンポジウム(2022)  2023年1月16日 

     詳細を見る

    開催年月日: 2023年1月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学 野依学術記念交流館   国名:日本国  

    13

  25. SiH4照射によるFeナノドットのシリサイド化反応過程および発光特性の評価

    斎藤 陽斗、牧原 克典、大田 晃生、田岡 紀之、宮﨑 誠一

    第22回日本表面真空学会中部支部学術講演会  2022年12月17日 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋工業大学   国名:日本国  

    5

  26. Fabrication and Characterization of Ge/Si Core-Shell Quantum Dots for Light Emission Devices 招待有り 国際会議

    K. Makihara, and S. Miyazaki

    Symposium on Light Emission and Photonics of Group IV Semiconductor Nanostructures (LPGN)  2022年12月14日 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Nagoya University   国名:日本国  

    16:45-17:20

  27. Control of Surface Flatness and Ge Segregation on Metal/Ge Structure Toward Ultrathin and Two-dimensional Ge Crystal Growth 招待有り

    大田 晃生、宮﨑 誠一

    2022年度 ナノ構造・物性-ナノ機能・応用部会合同シンポジウム  2022年12月10日 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:彦根勤労福祉会館「たちばな」   国名:日本国  

  28. Alignment Control of Si-based Quantum Dots 国際会議

    Y. Imai, K. Makihara, N. Taoka, and S. Miyazaki

    The 3rd International Workshop on Advanced Nanomaterials for Future Electron Devices 2022 (IWAN2022)  2022年11月28日 

     詳細を見る

    開催年月日: 2022年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Frankfurt (Oder)   国名:ドイツ連邦共和国  

    S1-2

  29. High-Density Formation of Fe-Silicide Nanodots and Their Magnetic-Field Dependent Electron Transport Properties 国際会議

    J. Wu, K. Makihara, N. Taoka, and S. Miyazaki

    The 3rd International Workshop on Advanced Nanomaterials for Future Electron Devices 2022 (IWAN2022)  2022年11月28日 

     詳細を見る

    開催年月日: 2022年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Frankfurt (Oder)   国名:ドイツ連邦共和国  

    S1-1

  30. Crystalline Phase Control of Hf-oxide Layer due to Si Surface Orientations 国際会議

    W. Yasuda, N. Taoka, A. Ohta, K. Makihara, and S. Miyazaki

    The 43rd International Symposium on Dry Process (DPS2022)  2022年11月25日 

     詳細を見る

    開催年月日: 2022年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Osaka International Convention Center   国名:日本国  

    P-31

  31. Layer Transfer of Ultrathin Ge Layer Segregated on Al/Ge(111) 国際会議

    K. Matsushita, A. Ohta, N. Taoka, K. Makihara, and S. Miyazaki

    35th International Microprocesses and Nanotechnology Conference (MNC 2022)  2022年11月10日 

     詳細を見る

    開催年月日: 2022年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:JR Hotel Clement Tokushima   国名:日本国  

    10D-1-2

  32. Characterization of Light Emission Properties of Impurity Doped Ge/Si Core-Shell Quantum Dots 招待有り 国際会議

    S. Miyazaki, Y. Imai, and K. Makihara

    242nd ECS Meeting (Symposium G03 - SiGe, Ge, and Related Materials: Materials, Processing, and Devices 10)  2022年10月13日 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Atlanta, GA   国名:アメリカ合衆国  

    G03-1234 (オンデマンド配信、招待講演)

  33. Characterization of Magnesium Channeled Implantation Layers in GaN(0001) 国際会議

    A. Suyama, H. Kawanowa, H. Minagawa, J. Maekawa, S. Nagamachi, M. Aoki, A. Ohta, K. Makihara, S. Miyazaki

    2022 International Conference on Solid State Devices and Materials (SSDM 2022)  2022年9月27日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:hybrid format (Makuhari Messe, Chiba)   国名:日本国  

    J-1-02

  34. Formation of Ultra-thin NiGe film with Mono-crystalline Phase and Smooth Surface 国際会議

    S. Nishimura, N. Taoka, A. Ohta, K. Makihara, and S. Miyazaki

    2022 International Conference on Solid State Devices and Materials (SSDM 2022)  2022年9月28日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:hybrid format (Makuhari Messe, Chiba)   国名:日本国  

    B-6-06

  35. Evaluation of Chemical Structure and Si Segregation of Al/Si(111) 国際会議

    T. Sakai, A. Ohta, K. Matsushita, N. Taoka, K. Makihara, and S. Miyazaki

    2022 International Conference on Solid State Devices and Materials (SSDM 2022)  2022年9月29日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:hybrid format (Makuhari Messe, Chiba)   国名:日本国  

    B-8-02

  36. 絶縁膜/GaN 界面の化学・電子状態評価-光電子分光分析からの知見 招待有り

    宮﨑 誠一、大田 晃生

    第83回応用物理学会秋季学術講演会シンポジウム「ワイドバンドギャップ半導体MOS界面科学の最前線」  2022年9月21日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:ハイブリッド開催(東北大学 川内北キャンパス+オンライン)   国名:日本国  

    21p-M206-5

  37. Electron Emission Proprties of Multiple-Stacked SiGe-Nanodots/Si Structures

    S. Qiu, K. Makihara, N. Taoka, A. Ohta, and S. Miyazaki

    第83回応用物理学会秋季学術講演会  2022年9月23日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:ハイブリッド開催(東北大学 川内北キャンパス+オンライン)   国名:日本国  

    23p-B101-7

  38. Al/Ge(111)構造上に偏析した極薄Ge結晶層の転写

    松下 圭吾、大田 晃生、柴山 茂久、田岡 紀之、牧原 克典、宮﨑 誠一

    第83回応用物理学会秋季学術講演会  2022年9月21日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:ハイブリッド開催(東北大学 川内北キャンパス+オンライン)   国名:日本国  

    21p-A106-14

  39. ニッケルシリサイド超薄膜形成におけるSiキャップ層の効果

    木村 圭佑、田岡 紀之、西村 駿介、大田 晃生、牧原 克典、宮﨑 誠一

    第83回応用物理学会秋季学術講演会  2022年9月21日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:ハイブリッド開催(東北大学 川内北キャンパス+オンライン)   国名:日本国  

    21p-A106-15

  40. 単一結晶相を有する Ni-Germanide 極薄膜の電気特性および電子状態

    西村 駿介、田岡 紀之、大田 晃生、牧原 克典、宮﨑 誠一

    第83回応用物理学会秋季学術講演会  2022年9月21日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:ハイブリッド開催(東北大学 川内北キャンパス+オンライン)   国名:日本国  

    21p-A106-16

  41. 高温短時間熱処理による極薄SiO2上に形成したa-Si膜の結晶化

    今井 友貴、牧原 克典、田岡 紀之、大田 晃生、宮﨑 誠一

    第83回応用物理学会秋季学術講演会  2022年9月21日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:ハイブリッド開催(東北大学 川内北キャンパス+オンライン)   国名:日本国  

    21a-C206-6

  42. FePtナノ構造の帯磁特性評価

    武 嘉麟、牧原 克典、田岡 紀之、大田 晃生、宮﨑 誠一

    第83回応用物理学会秋季学術講演会  2022年9月23日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:ハイブリッド開催(東北大学 川内北キャンパス+オンライン)   国名:日本国  

    23a-A205-12

  43. Feシリサイドドットの室温PL特性―ドットサイズ依存性

    斎藤 陽斗、牧原 克典、田岡 紀之、大田 晃生、宮﨑 誠一

    第83回応用物理学会秋季学術講演会  2022年9月20日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:ハイブリッド開催(東北大学 川内北キャンパス+オンライン)   国名:日本国  

    20a-A406-1

  44. 第一原理計算によるSiO2/GaN界面の中間層の研究

    服部 柊人、押山 淳、白石 賢二、宮﨑 誠一、渡部 平司、上野 勝典、田中 亮、近藤 剣、高島 信也、江戸 雅晴

    第83回応用物理学会秋季学術講演会  2022年9月22日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:ハイブリッド開催(東北大学 川内北キャンパス+オンライン)   国名:日本国  

    22p-B204-3

  45. Surface Modification and Wafer Bonding of Ultrathin Ge Segregated Layer formed on Al/Ge(111) 国際会議

    K. Matsushita, A. Ohta, N. Taoka, K. Makihara, and S. Miyazaki

    The 9th International Symposium on Control of Semiconductor Interfaces (ISCSI-IX)  2022年9月7日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya University   国名:日本国  

    WP1-3

  46. Formation of Ultra-thin Nickel Silicide Layer on SiO2 and Control of Crystalline Phase and Surface Roughness 国際会議

    K. Kimura, S. Nishimura, N. Taoka, A. Ohta, K. Makihara, and S. Miyazaki

    The 9th International Symposium on Control of Semiconductor Interfaces (ISCSI-IX)  2022年9月5日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya University   国名:日本国  

    MP1-5

  47. Structural and Light-emission Properties of High–density Superatom–like Ge–core/Si–shell Quantum Dots 国際共著 国際会議

    K. Makihara, Y. Yamamoto, Y. Imai, N. Taoka, M. A. Schubert, B. Tillack, and S. Miyazaki

    The 9th International Symposium on Control of Semiconductor Interfaces (ISCSI-IX)  2022年9月5日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya University   国名:日本国  

    MP2-14

  48. Alignment Control of Self-Assembling Si Quantum Dots 国際会議

    Y. Imai, R. Tsuji, K. Makihara, N. Taoka, A. Ohta, S. Miyazaki

    The 9th International Symposium on Control of Semiconductor Interfaces (ISCSI-IX)  2022年9月6日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya University   国名:日本国  

    TuA2-4

  49. Dot Size Dependence of Electron Emission from Si-QDs Multiple-Stacked Structures 国際会議

    S. Obayashi, K. Makihara, N. Taoka, A. Ohta, and S. Miyazaki

    The 9th International Symposium on Control of Semiconductor Interfaces (ISCSI-IX)  2022年9月6日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya University   国名:日本国  

    TuA2-5

  50. High-Density Formation and Characterization of Fe-Silicide Nanodots on SiO2 国際会議

    J. Wu, H. Zhang, K. Makihara, N. Taoka, A. Ohta, and S. Miyazaki

    29th International Conference on Amorphous & Nanocrystaline Ssemiconductors (ICANS 29)  2022年8月24日 

     詳細を見る

    開催年月日: 2022年8月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nanjing University   国名:中華人民共和国  

    5597

  51. Study on Photoluminescence Properties of Fe-silicide-NDs 国際会議

    H. Saito, K. Makihara, Y. Hara, S. Fujimori, Y. Imai, N. Taoka, A. Ohta, and S. Miyazaki

    The 6th Asia-Pacific Conference on Semiconducting Silicides and Related Materials, 2022 (APAC-Silicide 2022)  2022年7月31日 

     詳細を見る

    開催年月日: 2022年7月 - 2022年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:オンライン開催   国名:日本国  

    Sun-p-O18

  52. [チュートリアル]組成・状態評価 招待有り

    宮﨑 誠一

    日本学術振興会 R025先進薄膜界面機能創成委員会 リトリート学習会  2022年7月30日 

     詳細を見る

    開催年月日: 2022年7月

    記述言語:日本語   会議種別:公開講演,セミナー,チュートリアル,講習,講義等  

    開催地:オンライン開催   国名:日本国  

  53. Evaluation of Chemical and Electronic States of Mg-doped GaN(0001) Surfaces 国際会議

    X. Tian. W. Liu, A. Ohta, N. Taoka, K. Makihara, S. Miyazaki

    2022 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2022)  2022年7月8日 

     詳細を見る

    開催年月日: 2022年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:オンライン開催   国名:大韓民国  

    B6-5

  54. Two-Dimensional Ge Crystal Growth by Ge Surface Segregation of Metal/Ge Stack 招待有り 国際会議

    A. Ohta and S. Miyazaki

    2022 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2022)  2022年7月7日 

     詳細を見る

    開催年月日: 2022年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン開催   国名:大韓民国  

    A3-1

  55. SiO2上へのニッケルシリサイド薄膜形成とその表面形態・結晶相制御

    木村 圭佑、田岡 紀之、大田 晃生、牧原 克典、宮﨑 誠一

    電子情報通信学会 シリコン材料・デバイス研究会(SDM)「MOSデバイス・メモリ・パワーデバイス高性能化-材料・プロセス技術」 (応用物理学会 シリコンテクノロジー分科会との合同開催)   2022年6月21日 

     詳細を見る

    開催年月日: 2022年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学 VBL   国名:日本国  

    講演No. SDM2022-31, 信学技報, vol. 122, no. 84, pp. 27-30, 2022年6月14日

  56. Si(111)上のAl(111)薄膜形成と熱処理によるSi原子の表面偏析制御

    酒井 大希、松下 圭吾、大田 晃生、田岡 紀之、牧原 克典、宮﨑 誠一

    電子情報通信学会 シリコン材料・デバイス研究会(SDM)「MOSデバイス・メモリ・パワーデバイス高性能化-材料・プロセス技術」 (応用物理学会 シリコンテクノロジー分科会との合同開催)   2022年6月21日 

     詳細を見る

    開催年月日: 2022年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学 VBL   国名:日本国  

    講演No. SDM2022-32, 信学技報, vol. 122, no. 84, pp. 31-34, 2022年6月14日

  57. 金属Hfの酸化によって形成した酸化物の結晶構造および化学組成にSi基板面方位が与える影響

    安田 航、田岡 紀之、大田 晃生、牧原 克典、宮﨑 誠一

    電子情報通信学会 シリコン材料・デバイス研究会(SDM)「MOSデバイス・メモリ・パワーデバイス高性能化-材料・プロセス技術」 (応用物理学会 シリコンテクノロジー分科会との合同開催)   2022年6月21日 

     詳細を見る

    開催年月日: 2022年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学 VBL   国名:日本国  

    講演No. SDM2022-26, 信学技報, vol. 122, no. 84, pp. 9-12, 2022年6月14日

  58. SiO₂上へのニッケルシリサイド超薄膜の形成と化学結合状態分析

    木村 圭佑、西村 駿介、田岡 紀之、大田 晃生、牧原 克典、宮﨑 誠一

    第69回応用物理学会春季学術講演会  2022年3月26日 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:ハイブリッド開催(青山学院大学 相模原キャンパス+オンライン)   国名:日本国  

    講演No. 26a-P05-2

  59. Si量子ドットの一次元配列制御

    辻 綾哉、今井 友貴、牧原 克典、田岡 紀之、大田 晃生、宮崎 誠一

    第69回応用物理学会春季学術講演会  2022年3月23日 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:ハイブリッド開催(青山学院大学 相模原キャンパス+オンライン)   国名:日本国  

    講演No. 23p-P11-1

  60. HCl前洗浄したAl2O3/GaN界面の高温電気的特性

    長井 大誠、田岡 紀之、大田 晃生、牧原 克典、宮﨑 誠一

    第69回応用物理学会春季学術講演会  2022年3月24日 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:ハイブリッド開催(青山学院大学 相模原キャンパス+オンライン)   国名:日本国  

    講演No. 24a-E302-8

  61. Feシリサイドドットの発光特性評価

    古幡 裕志、斎藤 陽斗、牧原 克典、大田 晃生、田岡 紀之、宮﨑 誠一

    第69回応用物理学会春季学術講演会  2022年3月24日 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:ハイブリッド開催(青山学院大学 相模原キャンパス+オンライン)   国名:日本国  

    講演No. 24p-E103-4

  62. Si量子ドット多重集積構造からの電界電子放出―ドットサイズ依存性

    尾林 秀治、牧原 克典、田岡 紀之、大田 晃生、宮﨑 誠一

    第69回応用物理学会春季学術講演会  2022年3月24日 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:ハイブリッド開催(青山学院大学 相模原キャンパス+オンライン)   国名:日本国  

    講演No. 24p-F408-5

  63. SiO2上に形成したNiGe超薄膜の表面形態と結晶相制御

    西村 駿介、田岡 紀之、大田 晃生、牧原 克典、宮﨑 誠一

    第69回応用物理学会春季学術講演会  2022年3月25日 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:ハイブリッド開催(青山学院大学 相模原キャンパス+オンライン)   国名:日本国  

    講演No. 25p-D114-2

  64. Al/Si(111)上に表面偏析したSiの光電子分光分析

    酒井 大希、松下 圭吾、大田 晃生、田岡 紀之、牧原 克典、宮﨑 誠一

    第69回応用物理学会春季学術講演会  2022年3月26日 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:ハイブリッド開催(青山学院大学 相模原キャンパス+オンライン)   国名:日本国  

    講演No. 26a-P05-1

  65. Effects of Cl passivation on Al2O3/GaN interface properties 国際会議

    T. Nagai, N. Taoka, A. Ohta, K. Makihara, and S. Miyazaki

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides Nanomaterials / 15th International Conference on Plasma-Nano Technology and Science (ISPlasma 2022 / IC-PLANTS 2022)  2022年3月9日 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:オンライン開催   国名:日本国  

    講演No. 09aC02O (1115)

  66. Suppression of Ga Diffusion by Interfacial Barrier Layer in AlSiO/p-GaN 国際会議

    X. Tian, W. Liu, A. Ohta, N. Taoka, K. Makihara, T. Narita, and S. Miyazaki

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides Nanomaterials / 15th International Conference on Plasma-Nano Technology and Science (ISPlasma 2022 / IC-PLANTS 2022)  2022年3月9日 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:オンライン開催   国名:日本国  

    講演No. 09P-12 (1187)

  67. Photoemission Study of Mg doped GaN(0001) Surfaces 国際会議

    W. Liu, X. Tian, A. Ohta, N. Taoka, K. Makihara, and S. Miyazaki

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides Nanomaterials / 15th International Conference on Plasma-Nano Technology and Science (ISPlasma 2022 / IC-PLANTS 2022)  2022年3月9日 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:オンライン開催   国名:日本国  

    講演No. 09P-13 (2000)

  68. HClによる表面洗浄がAl2O3/GaN界面特性および電気的特性に与える影響

    長井 大誠、田岡 紀之、大田 晃生、牧原 克典、宮﨑 誠一

    電子デバイス界面テクノロジー研究会 ―材料・プロセス・デバイス特性の物理―(第27回)  2022年1月28日 

     詳細を見る

    開催年月日: 2022年1月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:オンライン開催   国名:日本国  

    講演No. P-15

  69. 基板加熱によるAl/Ge(111) の結晶性・平坦性の制御と熱処理によるGe表面偏析

    松下 圭吾、大田 晃生、林 将平、田岡 紀之、牧原 克典、宮﨑 誠一

    電子デバイス界面テクノロジー研究会 ―材料・プロセス・デバイス特性の物理―(第27回)  2022年1月28日 

     詳細を見る

    開催年月日: 2022年1月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:オンライン開催   国名:日本国  

    講演No. P-17

  70. Fe ナノドットへのSiH4 照射による Fe シリサイドナノドットの高密度・一括形成と室温PL 特性評価

    斎藤 陽斗、古幡 裕志、牧原 克典、志村 洋介、大田 晃生、田岡 紀之、宮﨑 誠一

    第21回日本表面真空学会中部支部学術講演会(若手講演会)  2021年12月18日  日本表面真空学会中部支部

     詳細を見る

    開催年月日: 2021年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン開催   国名:日本国  

    11:15 - 11:30 2

  71. AFM/KFM による超高密度一次元連結 Si 系量子ドットの局所帯電電荷分布計測

    今井 友貴、牧原 克典、田岡 紀之、大田 晃生、宮﨑 誠一

    第21回日本表面真空学会中部支部学術講演会(若手講演会)  2021年12月18日  日本表面真空学会中部支部

     詳細を見る

    開催年月日: 2021年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン開催   国名:日本国  

    11:30 - 11:45 3

  72. Electroluminescence Study of Si Quantum Dots with Ge Core 招待有り

    2021年度ナノ構造・物性-ナノ機能・応用部会合同シンポジウム  2021年12月1日  ナノ学会

     詳細を見る

    開催年月日: 2021年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:ハイブリット開催(対面(松江テルサ(島根県松江市))、オンライン併用)   国名:日本国  

    12/1 13:30-14:10

  73. Impact of Substrate Heating on Surface Flattening and Ge Segregation of Al/Ge(111) 国際会議

    K. Matsushita, A. Ohta, N. Taoka, S. Hayashi, K. Makihara, and S. Miyazaki

    2021 International Workshop on DIELECTRIC THIN FILMS FOR FUTURE ELECTRON DEVICES: SCIENCE AND TECHNOLOGY (2021 IWDTF)  2021年11月14日 

     詳細を見る

    開催年月日: 2021年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:On-line virtual   国名:日本国  

  74. Roles for Si, Oxygen atoms and Oxygen Vacancy in Crystalline Phase Stabilization of HfZr-oxide Layer 国際会議

    N. Taoka, R. Hasegawa, A. Ohta, K. Makihara, and S. Miyazaki

    2021 International Workshop on DIELECTRIC THIN FILMS FOR FUTURE ELECTRON DEVICES: SCIENCE AND TECHNOLOGY (2021 IWDTF)  2021年11月15日 

     詳細を見る

    開催年月日: 2021年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:On-line virtual   国名:日本国  

  75. Characterization of Electronic Charged States of High Density Self-aligned Si-based Quantum Dots Evaluated with AFM/Kelvin Probe Technique 国際会議

    Y. Imai, K. Makihara, A. Ohta, N. Taoka, and S. Miyazaki

    34th International Microprocesses and Nanotechnology Conference (MNC 2021)  2021年10月27日 

     詳細を見る

    開催年月日: 2021年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Online and On-Demand Conference   国名:日本国  

  76. Study on Silicidation Reaction of Fe-NDs with SiH4 国際会議

    H. Furuhata, K. Makihara, A. Ohta, N. Taoka, and S. Miyazaki

    34th International Microprocesses and Nanotechnology Conference (MNC 2021)  2021年10月26日 

     詳細を見る

    開催年月日: 2021年10月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Online and On-Demand Conference   国名:日本国  

  77. Impact of Boron Doping and H2 Annealing on Light Emission from Ge/Si Core-Shell Quantum Dots 招待有り 国際会議

    S. Miyazaki, K. Makihara

    240th ECS Meeting (Symposium G02 - Semiconductor Process Integration 12)  2021年10月14日 

     詳細を見る

    開催年月日: 2021年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Digital Platform   国名:アメリカ合衆国  

  78. Remote Hydrogen Plasma-Assisted Formation and Characterization of High-Density Fe-Silicide Nanodots 国際会議

    J. Wu, Z. He, H. Furuhata, A. Ohta, N. Taoka, K. Makihara, and S. Miyazaki

    The 2nd International Workshop on Advanced Nanomaterials for Future Electron Devices 2021 (IWAN2021)  2021年9月24日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:ALL-VIRTUAL conference   国名:日本国  

  79. High Density Formation and Light Emission Characterization of Si Quantum Dots with Ge Core 招待有り 国際会議

    K. Makihara, and S. Miyazaki

    The 2nd International Workshop on Advanced Nanomaterials for Future Electron Devices 2021 (IWAN2021)  2021年9月24日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:ALL-VIRTUAL conference   国名:日本国  

  80. Study on Silicidation Reaction of Fe-NDs with SiH4 for Light Emission Devices 国際会議

    H. Furuhata, K. Makihara, A. Ohta, N. Taoka, and S. Miyazaki

    The 2nd International Workshop on Advanced Nanomaterials for Future Electron Devices 2021 (IWAN2021)  2021年9月24日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:ALL-VIRTUAL conference   国名:日本国  

  81. 後酸化によって形成したHf酸化物の結晶構造に基板面方位が与える影響

    安田 航、田岡 紀之、大田 晃生、牧原 克典、宮﨑 誠一

    第82回応用物理学会秋季学術講演会  2021年9月12日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン開催   国名:日本国  

    講演番号:12p-N301-13

  82. Si量子ドット多重集積構造へのP添加による内部ポテンシャル変調と電子放出特性評価

    尾林 秀治、牧原 克典、竹本 竜也、田岡 紀之、大田 晃生、宮﨑 誠一

    第82回応用物理学会秋季学術講演会  2021年9月13日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン開催   国名:日本国  

    講演番号:13p-N323-5

  83. 基板加熱がAl/Ge(111)構造の表面平坦化とGe偏析に及ぼす影響

    松下 圭吾、大田 晃生、田岡 紀之、林 将平、牧原 克典、宮﨑 誠一

    第82回応用物理学会秋季学術講演会  2021年9月10日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン開催   国名:日本国  

    講演番号:10p-N202-18

  84. High Density Formation of Fe-based Silicide Nanodots Induced by Remote H2 Plasma 国際共著

    Z. He, J. Wu, K. Makihara, H. Zhang, H. Furuhata, N. Taoka, A. Ohta, and S. Miyazaki

    2021年9月23日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  85. Magnetic-Field Dependent Electron Transport of Fe3Si Nanodots 国際共著

    J. Wu, K. Makihara, H. Zhang, H. Furuhata, N. Taoka, A. Ohta, and S. Miyazaki

    2021年9月23日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  86. 高密度FeナノドットへのSiH4照射によるシリサイド化反応制御

    古幡 裕志、牧原 克典、大田 晃生、田岡 紀之、宮﨑 誠一

    第82回応用物理学会秋季学術講演会  2021年9月10日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン開催   国名:日本国  

    講演番号:10p-N302-10

  87. AFM/KFMによる超高密度一次元連結Si系量子ドットの局所帯電電荷計測

    今井 友貴、牧原 克典、田岡 紀之、大田 晃生、宮﨑 誠一

    第82回応用物理学会秋季学術講演会  2021年9月10日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン開催   国名:日本国  

    講演番号:10p-N304-5

  88. Study on Electron Emission from Phosphorus delta-Doped Si-QDs/Undoped Si-QDs Multiple-Stacked Structures 国際会議

    K. Makihara, T. Takemoto, S. Obayashi, A. Ohta, N. Taoka, and S. Miyazaki

    2021 International Conference on Solid State Devices and Materials (SSDM 2021)  2021年9月7日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:All-VIRTUAL conference   国名:日本国  

  89. Magnetic-Field Dependent Electron Transport of Fe3Si Nanodots 国際共著 国際会議

    J. Wu. K. Makihara, H. Zhang, N. Taoka, A. Ohta, and S. Miyazaki

    2021 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2021)  2021年8月26日 

     詳細を見る

    開催年月日: 2021年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:VIRTUAL conference   国名:日本国  

  90. [チュートリアル]組成・状態評価 招待有り

    宮﨑 誠一

    日本学術振興会 R025先進薄膜界面機能創成委員会 リトリート学習会  2021年7月31日 

     詳細を見る

    開催年月日: 2021年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン開催   国名:日本国  

  91. Fabrication and Characterization of Multiple Stack Si/Ge Quantum Dots for Light/Electron Emission Devices 招待有り 国際会議

    S. Miyazaki

    13th International Conference And Expo On Nanotechnology & Nanomaterials (iNanotech 2021)  2021年7月13日 

     詳細を見る

    開催年月日: 2021年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:スペイン  

  92. 熱処理によるAlおよびAg/Ge(111)上の極薄Ge形成と層厚制御

    大田 晃生、松下 圭吾、田岡 紀之、牧原 克典、宮﨑 誠一

    電子情報通信学会 シリコン材料・デバイス研究会(SDM)「MOSデバイス・メモリ高性能化-材料・プロセス技術」(応用物理学会 シリコンテクノロジー分科会との合同開催)  2021年6月22日 

     詳細を見る

    開催年月日: 2021年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン開催   国名:日本国  

    信学技報, vol. 121, no. 71, SDM2021-29, pp. 27-31, 2021年6月

  93. Photoemission-based Characterization of Interface Dipoles and Defect States for Gate Dielectrics 招待有り 国際会議

    S. Miyazaki

    11th International Conference on Processing and Manufacturing of Advanced Materials (Thermec'2021)  2021年6月 

     詳細を見る

    開催年月日: 2021年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Virtual Conference   国名:オーストリア共和国  

  94. ナノドットによる量子物性制御デバイスの開発 招待有り

    牧原 克典、宮﨑 誠一

    放射線科学とその応用第186委員会 第38回研究会  2021年5月18日  日本学術振興会産学協力研究委員会 放射線科学とその応用第186委員会

     詳細を見る

    開催年月日: 2021年5月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン開催   国名:日本国  

  95. HCl前洗浄がAl2O3/GaN界面特性に与える影響

    長井 大誠、田岡 紀之、大田 晃生、池田 弥央、牧原 克典、宮﨑 誠一

    第68回応用物理学会春季学術講演会  2021年3月16日 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン開催   国名:日本国  

  96. XANAMにより測定したGe量子ドット像のX線エネルギー依存性

    鈴木 秀士、向井 慎吾、田 旺帝、野村 昌治、藤森 俊太郎、池田 弥央、牧原 克典、宮﨑 誠一、朝倉 清高

    第68回応用物理学会春季学術講演会  2021年3月17日 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:オンライン開催   国名:日本国  

  97. XANAMによるSi-Ge量子ドットにおけるX線誘起力変化の調査

    鈴木 秀士、向井 慎吾、田 旺帝、野村 昌治、藤森 俊太郎、池田 弥央、牧原 克典、宮﨑 誠一、朝倉 清高

    第68回応用物理学会春季学術講演会  2021年3月16日 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン開催   国名:日本国  

  98. リモート水素プラズマ支援FePt合金ナノドット自己組織化形成プロセスにおける基板温度が磁化特性に与える影響

    本田 俊輔、古幡 裕志、大田 晃生、池田 弥央、大島 大輝、加藤 剛志、牧原 克典、宮﨑 誠一

    第68回応用物理学会春季学術講演会  2021年3月19日 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン開催   国名:日本国  

  99. 低温水素アニール処理がGeコアSi量子ドットのPL特性に及ぼす影響

    前原 拓哉、池田 弥央、大田 晃生、牧原 克典、宮﨑 誠一

    第68回応用物理学会春季学術講演会  2021年3月17日 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン開催   国名:日本国  

  100. Segregation Control for Ultrathin Ge Layer in Al/Ge(111) system 国際会議

    A. Ohta, M. Kobayashi, N. Taoka, M. Ikeda, K. Makihara, and S. Miyazaki

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides Nanomaterials / 14th International Conference on Plasma-Nano Technology and Science (ISPlasma 2021 / IC-PLANTS 2021)  2021年3月10日 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  101. Influence of Substrate Temperature on Plasma-Enhanced Self-Assembling Formation of High Density FePt-Nanodot 国際会議

    S. Honda, K. Makihara, H. Furuhata, A. Ohta, M. Ikeda, T. Kato, D. Oshima, and S. Miyazaki

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides Nanomaterials / 14th International Conference on Plasma-Nano Technology and Science (ISPlasma 2021 / IC-PLANTS 2021)  2021年3月9日 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  102. High-Density Formation of FeSi2 Nanodots on Ultrathin SiO2 Induced by Remote Hydrogen Plasma 国際会議

    H. Zhixue, H, Zhang, A. Ohta, M. Ikeda, N. Taoka, K. Makihara, and S. Miyazaki

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides Nanomaterials / 14th International Conference on Plasma-Nano Technology and Science (ISPlasma 2021 / IC-PLANTS 2021)  2021年3月9日 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  103. Magnetoelectronic Transport Characteristics of Fe3Si Nanodots on Ultrathin SiO2 Induced by Remote Hydrogen Plasma 国際会議

    W. Jialin, H. Zhang, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides Nanomaterials / 14th International Conference on Plasma-Nano Technology and Science (ISPlasma 2021 / IC-PLANTS 2021)  2021年3月9日 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  104. Characterization of Electron Field Emission from Phosphorus δ-Doped Si-QDs/Undoped Si-QDs Multiple-Stacked Structures 国際会議

    T. Takemoto, T. Niibayashi, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides Nanomaterials / 14th International Conference on Plasma-Nano Technology and Science (ISPlasma 2021 / IC-PLANTS 2021)  2021年3月9日 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  105. [チュートリアル]組成・状態評価 招待有り

    宮﨑 誠一

    日本学術振興会 R025先進薄膜界面機能創成委員会 リトリート学習会  2021年3月6日 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン開催   国名:日本国  

  106. 金属Hf/Zrの熱酸化プロセスが結晶相と強誘電特性に与える影響

    長谷川 遼介、田岡 紀之、大田 晃生、牧原 克典、池田 弥央、宮﨑 誠一

    電子デバイス界面テクノロジー研究会 ―材料・プロセス・デバイス特性の物理―(第26回)  2021年1月22日 

     詳細を見る

    開催年月日: 2021年1月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:オンライン開催   国名:日本国  

  107. Sapphire(0001)上アモルファスGe薄膜の固相結晶化

    須川 響、大田 晃生、田岡 紀之、池田 弥央、牧原 克典、宮﨑 誠一

    電子デバイス界面テクノロジー研究会 ―材料・プロセス・デバイス特性の物理―(第26回)  2021年1月22日 

     詳細を見る

    開催年月日: 2021年1月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:オンライン開催   国名:日本国  

  108. Ag/Ge構造の表面偏析制御と平坦化による極薄Ge結晶形成

    大田 晃生、山田 憲蔵、須川 響、田岡 紀之、池田 弥央、牧原 克典、宮﨑 誠一

    電子デバイス界面テクノロジー研究会 ―材料・プロセス・デバイス特性の物理―(第26回)  2021年1月22日 

     詳細を見る

    開催年月日: 2021年1月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:オンライン開催   国名:日本国  

  109. グラフェン電極を用いたSi量子ドット多重集積構造からの電子放出特性評価

    新林 智文、牧原 克典、大田 晃生、池田 弥央、宮﨑 誠一

    第20回日本表面真空学会中部支部学術講演会  2020年12月19日  日本表面真空学会中部支部

     詳細を見る

    開催年月日: 2020年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン開催   国名:日本国  

  110. Electron Field Emission from Multiply-Stacked Si Quantum Dots Structures with Graphene Top-Electrode 国際会議

    Tomofumi Niibayashi, Tatsuya Takemoto, Katsunori Makihara, Akio Ohta, Mitsuhisa Ikeda, and Seiichi Miyazaki

    PRiME 2020 (238th Meeting of The Electrochemical Society (ECS)) 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:All-VIRTUAL conference   国名:アメリカ合衆国  

  111. Crystallization of Ge Thin Films on Sapphire(0001) by Thermal Annealing 国際会議

    Hibiki Sugawa, Akio Ohta, Masato Kobayashi, Noriyuki Taoka, Mitsuhisa Ikeda, Katsunori Makihara and Seiichi Miyazaki

    PRiME 2020 (238th Meeting of The Electrochemical Society (ECS)) 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:ポスター発表  

    開催地:All-VIRTUAL conference   国名:アメリカ合衆国  

  112. Characterization of Magnetic-Field Dependent Electron Transport of Fe3Si Nanodots by Using a Magnetic AFM Probe 国際会議

    Jialin Wu, Hai Zhang, Hiroshi Furuhata, Katsunori Makihara, Mitsuhisa Ikeda, Akio Ohta and Seiichi Miyazaki

    PRiME 2020 (238th Meeting of The Electrochemical Society (ECS)) 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:ポスター発表  

    開催地:All-VIRTUAL conference   国名:アメリカ合衆国  

  113. Growth of Ultrathin Ge Crystal Layer by Surface Segregation and Flattening of Ag/Ge Structure 国際会議

    Akio Ohta, Kenzou Yamada, Hibiki Sugawa, Noriyuki Taoka, Mitsuhisa Ikeda, Katsunori Makihara, an Seiichi Miyazaki

    2020 International Conference on Solid State Devices and Materials (SSDM 2020)  2020年9月28日 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:All-VIRTUAL conference   国名:日本国  

  114. グラフェン上部電極を用いたSi量子ドット多重集積構造からの電界電子放出 ―コレクタ電極電圧依存性評価

    2020年9月9日 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  115. XANAMによるGe量子ドット像の1次元 元素マッピング

    2020年9月9日 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  116. 金属Zr/Hf構造の熱酸化によるZrHf酸化物の形成と結晶相制御

    2020年9月10日 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  117. 偏析法によるAl(111)薄膜表面上のゲルマネンの創製

    武藤 寛明、柚原 淳司、小林 征登、大田 晃生、宮崎 誠一、Guy Le Lay

    日本物理学会2020年秋季大会  2020年9月11日 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン開催   国名:日本国  

    講演No. 11aJ1-5

  118. Si-Ge系ナノドットの高密度集積と光・電子物性制御 招待有り

    牧原 克典、宮﨑 誠一

    阪大CSRN 第二回異分野研究交流会 ~半導体ナノカーボン系~  2020年8月28日 

     詳細を見る

    開催年月日: 2020年8月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン開催   国名:日本国  

  119. Photoemission Study of Chemically-Cleaned GaN Surfaces and GaN-SiO2 Interfaces Formed by Remote Plasma CVD 招待有り 国際会議

    S. Miyazaki, and A. Ohta

    Material Research Meeting 2019 (MRM 2020) 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Yokohama   国名:日本国  

  120. Light Emission from Multiple Stack Si/Ge Quantum Dots 招待有り 国際会議

    S. Miyazaki

    7th Global Nanotechnology Congress and Expo: Nanotechnology 2019 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Kuala Lumpur   国名:マレーシア  

  121. Formation of High Density Fe-silicide Nanodots Induced by Remote Hydrogen Plasma and Characterization of Their Magnetic Properties 招待有り 国際会議

    J. Wu, H. Furuhata, H. Zhang, Y. Hashimoto, M. Ikeda, A. Ohta, A. Kohno, K. Makihara, and S. Miyazaki

    8th International Symposium on Control of Semiconductor Interfaces (ISCSI-8) 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Sendai   国名:日本国  

  122. Fabrication of Impurity Doped Si Quantum Dots with Ge Core for Light Emission Devices 招待有り 国際会議

    K. Makihara, M. Ikeda, and S. Miyazaki

    8th International Symposium on Control of Semiconductor Interfaces (ISCSI-8) 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Sendai   国名:日本国  

  123. Application of Surface Chemical Imaging by XANAM to Ge Surfaces 招待有り 国際会議

    S. Suzuki, S. Mukai, W. J. Chun, M. Nomura, S. Fujimori, M. Ikeda, K. Makihara, S. Miyazaki, and K. Asakura

    8th International Symposium on Control of Semiconductor Interfaces (ISCSI-8) 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Sendai   国名:日本国  

  124. Characterization of Photoluminescence from Si-QDs with B δ-Doped Ge Core 招待有り 国際会議

    T. Maehara, S. Fujimori, M. Ikeda, A. Ohta, K. Makihara, and S. Miyazaki

    8th International Symposium on Control of Semiconductor Interfaces (ISCSI-8) 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Sendai   国名:日本国  

  125. High Density Formation and Magnetoelectronic Transport Properties of Magnetic Fe-silicide Nanodots 招待有り 国際会議

    H. Zhang, X. Liu, K. Makihara, M. Ikeda, A. Ohta, and S. Miyazaki

    8th International Symposium on Control of Semiconductor Interfaces (ISCSI-8) 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Sendai   国名:日本国  

  126. Formation of High Density PtAl Nanodots Induced by Remote Hydrogen Plasma Exposure 招待有り 国際会議

    S. Miyazaki

    41st International Symposium on Dry Process (DPS 2019) 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Hiroshima   国名:日本国  

  127. Fabrication and Characterization of Multiple Stack Si/Ge Quantum Dots for Light Emission 招待有り 国際会議

    S. Miyazaki

    2nd Int. Conf. on Photonics Research: InterPhotonics 2019 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Antalya   国名:トルコ共和国  

  128. Operand Study of Multiple Stacked Si Quantum Dots by Hard X-ray Photoelectron Spectroscopy 招待有り 国際会議

    M. Ikeda, A. Ohta, K. Makihara, and S. Miyazaki

    International Conference on Materials and Systems for Sustainability 2019 (ICMaSS 2019) 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya   国名:日本国  

  129. Impact of Boron Doping into Si Quantum Dots with Ge Core on Their Photoluminescence Properties 招待有り 国際会議

    S. Fujimori, M. Ikeda, A. Ohta, K. Makihara, and S. Miyazaki

    International Conference on Materials and Systems for Sustainability 2019 (ICMaSS 2019) 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  130. Determination of Complex Dielectric Function of Oxide Film from Photoemission Measurements 招待有り 国際会議

    A. Ohata, M. Ikeda, K. Makihara, and S. Miyazaki

    International Conference on Materials and Systems for Sustainability 2019 (ICMaSS 2019) 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  131. Impact of Boron Doping into Si Quantum Dots with Ge Core on Their Photoluminescence Properties 招待有り 国際会議

    K. Makihara, S. Fujimori, M. Ikeda, A. Ohta, and S. Miyazaki

    32nd International Microprocesses and Nanotechnology Conference (MNC 2019) 

     詳細を見る

    開催年月日: 2019年10月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Hiroshima   国名:日本国  

  132. Growth of Hetero-epitaxial Al on Ge(111) and Segregation of Ge Crystal by Annealing 招待有り 国際会議

    M. Kobayashi, A. Ohta, M. Kurosawa, M. Araidai, M. Ikeda, N. Taoka, T. Shimizu, K. Makihara, and S. Miyazaki

    32nd International Microprocesses and Nanotechnology Conference (MNC 2019) 

     詳細を見る

    開催年月日: 2019年10月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Hiroshima   国名:日本国  

  133. Study on Light Emission from Multiple Stack Si/Ge Quantum Dots 招待有り 国際会議

    S. Miyazaki

    World Congress on Lasers, Optics and Photonics 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Barcelona   国名:スペイン  

  134. Impact of Post Deposition Annealing on Chemical Bonding Features and Filled Electronic Defects of AlSiO/GaN(0001) Structure 招待有り 国際会議

    A. Ohta, D. Kikuta, T. Narita, K. Itoh, K. Makihara, T. Kachi, and S. Miyazaki

    2019 International Conference of Solid State of Device and Materials (SSDM 2019) 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya University   国名:日本国  

  135. Characterization of Electron Field Emission from Si Quantum Dots with Ge Core/Si Quantum Dots Hybrid Stacked Structures 招待有り 国際会議

    T. Takemoto, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    2019 International Conference of Solid State of Device and Materials (SSDM 2019) 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya University   国名:日本国  

  136. Characterization of Ni/GaN(0001) Interfaces by Photoemission Measurements 招待有り 国際会議

    K. Watanabe, A. Ohta, N. Taoka, H. Yamada, M. Ikeda, K. Makihara, M. Shimizu, and S.Miyazaki

    2019 International Conference of Solid State of Device and Materials (SSDM 2019) 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya University   国名:日本国  

  137. Growth of Ultrathin Segregated-Ge Crystal on Al/Ge(111) Surface 招待有り 国際会議

    M. Kobayashi, A. Ohta, M. Kurosawa, M. Araidai, M. Ikeda, N. Taoka, T. Shimizu, K. Makihara, and S. Miyazaki

    2019 International Conference of Solid State of Device and Materials (SSDM 2019) 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya University   国名:日本国  

  138. Formation of high density Fe-silicide nanodots induced by remote H2 plasma and their magnetic properties 招待有り 国際会議

    Y. Hashimoto, K. Makihara, M. Ikeda, A. Ohta, A. Kohno, and S. Miyazaki

    The 5th Asia-Pacific Conference on Semiconducting Silicides and Related Materials, 2019 (APAC-Silicide 2019) 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Seagaia Convention Center, Miyazaki   国名:日本国  

  139. [チュートリアル] 薄膜評価法-組成・状態評価 招待有り

     詳細を見る

    開催年月日: 2019年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  140. Characterization of Electron Field Emission of Multiply-Stacked Si-QDs/SiO2 Structures 招待有り 国際会議

    T. Takemoto, Y. Futamura, M. Ikeda, A. Ohta, K. Makihara, and S. Miyazaki

    2019 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2019) 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Busan   国名:大韓民国  

  141. Effect of B-doping on Photoluminescence Properties of Si-QDs with Ge Core 招待有り 国際会議

    S. Fujimori, R. Nagai, M. Ikeda, A. Ohta, K. Makihara, and S. Miyazaki

    2nd Joint ISTDM / ICSI 2019 Conference; 10th International SiGe Technology and Device Meeting (ISTDM)/ 12th International Conference on Silicon Epitaxy and Heterostructures (ICSI) 

     詳細を見る

    開催年月日: 2019年6月

    記述言語:英語   会議種別:ポスター発表  

    開催地:University of Wisconsin-Madison   国名:アメリカ合衆国  

  142. Fabrication and Characterization of Multiple Stack Si/Ge Quantum Dots for Light and Electron Emissions 国際会議

    S. Miyazaki

    World Chemistry Forum 2019 (WCF-2019) 

     詳細を見る

    開催年月日: 2019年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Catalonia Barcelona Plaza, Barcelona   国名:スペイン  

  143. Formation and Characterization of Si Quantum Dots with Ge Core for Electroluminescent Devices 招待有り 国際会議

    K. Makihara, M. Ikeda, A. Ohta, and S. Miyazaki

    2019 COMPOUND SEMICONDUCTOR WEEK (CSW2019) 

     詳細を見る

    開催年月日: 2019年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Nara   国名:日本国  

  144. Photoemission Characterization of Interface Dipoles and Electronic Defect States for Gate Dielectrics 招待有り 国際会議

    S. Miyazaki and A. Ohta

    2019 International Conference on Semiconductor Technology for Ultra Large Scale Integrated Circuits and Thin Film Transistors (ULSIC vs. TFT 7) 

     詳細を見る

    開催年月日: 2019年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Kyoto   国名:日本国  

  145. 電子デバイス・材料開発に向けたナノスケールスタック構造・界面の光電子分光分析 招待有り

     詳細を見る

    開催年月日: 2019年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  146. Photoemission Study of Gate Dielectrics and Stack Interfaces 招待有り 国際会議

    S. Miyazaki, and A. Ohta

    2018 International Conference of Solid State of Device and Materials (SSDM 2018) 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Tokyo   国名:日本国  

  147. Formation and Characterization of Si/Ge Quantum Dots for Optoelectronic Application 招待有り 国際会議

    S. Miyazaki, K. Makihara, M. Ikeda, and A. Ohta

    International Conference on Processing & Manufacturing of Advanced Materials (Thermec' 2018) 

     詳細を見る

    開催年月日: 2018年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Paris   国名:フランス共和国  

  148. Si-Geスーパーアトム構造の高密度集積と光・電子物性制御 招待有り

     詳細を見る

    開催年月日: 2018年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  149. Local Structure of High Performance TiOx Passivating Layer Revealed by Electron Energy Loss Spectroscopy 国際会議

    T. Mochizuki, K. Gotoh, A. Ohta, Y. Kurokawa, S. Miyazaki, T. Yamamoto, N. Usami

    2018 IEEE 7TH WORLD CONFERENCE ON PHOTOVOLTAIC ENERGY CONVERSION (WCPEC-7) (A JOINT CONFERENCE OF 45TH IEEE PVSC, 28TH PVSEC & 34TH EU PVSEC) 

     詳細を見る

    開催年月日: 2018年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:WAIKOLOA, HAWAII   国名:アメリカ合衆国  

  150. Si-Ge系コア・シェル量子構造の高密度集積と光・電子物性制御 招待有り

     詳細を見る

    開催年月日: 2018年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  151. Oxidation of GaN surface by remote oxygen plasma 国際会議

    T. Yamamoto, N. Taoka, A. Ohta, N. X. Truyen, H. Yamada, T. Takahashi, M. Ikeda, K. Makihara, M. Shimizu, and S. Miyazaki

    The 39th International Symposium on Dry Process (DPS 2017)  

     詳細を見る

    開催年月日: 2017年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Tokyo Tech Front (Kuramae Kaikan)   国名:日本国  

  152. Ultrathin Ge Growth on Flat Ag Surface in Hetero-Epitaxial Ag/Ge Structure by Annealing 国際会議

    K. Ito, A. Ohta, M. Kurosawa, M. Araidai, M. Ikeda, K. Makihara, and S. Miyazaki

    The 30th International Microprocesses and Nanotechnology Conference (MNC 2017) 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:The Ramada Plaza Jeju Hotel (Jeju, Korea)   国名:大韓民国  

  153. Evaluation of Resistive Switching Properties of Si-rich Oxide Embedded with Ti Nanodots by Applying Constant Voltage and Constant Current 国際会議

    A. Ohta, Y. Kato, M. Ikeda, K. Makihara, and S. Miyazaki

    The 30th International Microprocesses and Nanotechnology Conference (MNC 2017) 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:The Ramada Plaza Jeju Hotel (Jeju, Korea)   国名:大韓民国  

  154. GaN-MOSデバイス開発に向けたゲート絶縁膜及び界面の光電子分光分析

    宮﨑 誠一

    応用物理学会 先進パワー半導体分科会 第4回講演会 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋国際会議場   国名:日本国  

  155. 硬 X 線光電子分光法による Si 量子ドット多重集積構造のオペランド分析

    中島 裕太、牧原 克典、大田 晃生、池田 弥央、宮﨑 誠一

    第5回応用物理学会名古屋大学スチューデントチャプター東海地区学術講演会 

     詳細を見る

    開催年月日: 2017年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学IB電子情報館   国名:日本国  

  156. Ge コア Si 量子ドットの EL 特性評価

    山田 健太郎、池田 弥央、牧原 克典、大田 晃生、宮﨑 誠一

    第5回応用物理学会名古屋大学スチューデントチャプター東海地区学術講演会 

     詳細を見る

    開催年月日: 2017年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学IB電子情報館   国名:日本国  

  157. 熱処理によるエピタキシャル Ag 上への Ge 二次元結晶の合成指針の構築

    伊藤 公一、大田 晃生、黒澤 昌志、洗平 昌晃、池田 弥央、牧原 克典、宮﨑 誠一

    第5回応用物理学会名古屋大学スチューデントチャプター東海地区学術講演会 

     詳細を見る

    開催年月日: 2017年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学IB電子情報館   国名:日本国  

  158. 熱処理がリモートプラズマ CVD SiO2/GaN 構造の化学結合状態及び電気特性に与える影響

    グェンスァン チュン、田岡 紀之、大田 晃生、山田 永、高橋 言緒、池田 弥央、牧原 克典、清水 三聡、宮﨑 誠一

    第5回応用物理学会名古屋大学スチューデントチャプター東海地区学術講演会 

     詳細を見る

    開催年月日: 2017年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学IB電子情報館   国名:日本国  

  159. リモートプラズマ酸化した GaN の表面構造と電子状態

    山本 泰史、田岡 紀之、大田 晃生、グェンスァン チュン、山田 永、高橋 言緒、池田 弥央、牧原 克典、清水 三聡、宮﨑 誠一

    第5回応用物理学会名古屋大学スチューデントチャプター東海地区学術講演会 

     詳細を見る

    開催年月日: 2017年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学IB電子情報館   国名:日本国  

  160. 入射エネルギー可変の真空紫外光電子分光による固体表面の価電子帯上端位置の計測

    今川 拓哉、大田 晃生、田岡 紀之、藤村 信幸、グェンスァン チュン、池田 弥央、牧原 克典、宮﨑 誠一

    第5回応用物理学会名古屋大学スチューデントチャプター東海地区学術講演会 

     詳細を見る

    開催年月日: 2017年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学IB電子情報館   国名:日本国  

  161. 高誘電率絶縁膜/SiO2積層構造の光電子分光分析 -界面ダイポールと酸素密度の相関-

    藤村 信幸、大田 晃生、池田 弥央、牧原 克典、宮﨑 誠一

    第5回応用物理学会名古屋大学スチューデントチャプター東海地区学術講演会 

     詳細を見る

    開催年月日: 2017年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学IB電子情報館   国名:日本国  

  162. Ultrathin Ge Growth on Ag Surface by Annealing of Hetero-Epitaxial Ag/Ge(111) 国際会議

    A. Ohta, K. Ito, M. Kurosawa, M. Araidai, M. Ikeda, K. Makihara, and S. Miyazaki

    The 8th International Symposium on Surface Science (ISSS-8) 

     詳細を見る

    開催年月日: 2017年10月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Tsukuba International Congress Center   国名:日本国  

  163. Processing and Characterization of High Density Si/Ge Quantum Dots for Electroluminescent Devices 招待有り 国際会議

    S. Miyazaki, K. Yamada, K. Makihara, and M. Ikeda

    The 232nd Meeting of The Electrochemical Society (ECS Meeting) 

     詳細を見る

    開催年月日: 2017年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:National Harbor MD   国名:アメリカ合衆国  

  164. Characterization of Interfacial Dipoles at Dielectric Stacks by XPS Analysis 招待有り 国際会議

    S. Miyazaki, A. Ohta, and N. Fujimura

    The 232nd Meeting of The Electrochemical Society (ECS Meeting) 

     詳細を見る

    開催年月日: 2017年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:National Harbor MD   国名:アメリカ合衆国  

  165. Direct Observation of Electrical Dipole and Atomic Density at High-k Dielectrics/SiO2 Interface 国際会議

    N. Fujimura, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki

    SSDM2017 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  166. High Thermal Stability of Abrupt SiO2/GaN Interface with Low Interface State Density 国際会議

    T. X. Nguyen, N. Taoka, A. Ohta , K. Makihara, H. Yamada, T. Takahashi, M. Ikeda, M. Shimizu, and S. Miyazaki

    SSDM2017 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  167. Growth of 2D Crystal of Group-IV Elements on Epitaxial Ag (111) 国際会議

    K. Ito, A. Ohta, M. Kurosawa, M. Araidai, M. Ikeda, K. Makihara, and S. Miyazaki

    SSDM2017 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  168. Challenges in Si-Based Nanotechnology:Fabrication and Characterization of Multistack Si/Ge Quantum Dots for Novel Functional Devices 招待有り 国際会議

    S. Miyazaki

    The 5th International Conference on Advanced Materials Science and Technology 2017 (ICAMST 2017) 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Makassar   国名:インドネシア共和国  

  169. リモートプラズマCVD SiO2/GaN界面の熱安定性

    グェンスァン チュン、田岡 紀之、大田 晃生、山田 永、高橋 言緒、池田 弥央、牧原 克典、清水 三聡、宮﨑 誠一

    第78回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡国際会議場・国際センター・福岡サンパレス   国名:日本国  

  170. 熱処理によるAg/Ge構造の表面平坦化とGe析出量制御

    伊藤 公一、大田 晃生、黒澤 昌志、洗平 昌晃、池田 弥央、牧原 克典、宮﨑 誠一

    第78回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡国際会議場・国際センター・福岡サンパレス   国名:日本国  

  171. Study of Wet Chemical Treatments of Epitaxial GaN(0001) Surface

    L. Peng, A. Ohta, N. X. Truyen, M. Ikeda, K. Makihara, N. Taoka, T.Narita, K. Itoh, D. Kikuta, K. Shiozaki, T.Kachi, S. Miyazaki

     詳細を見る

    開催年月日: 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  172. 電子・正孔交互注入によるGeコアSi量子ドット多重集積構造の発光特性

    牧原 克典、池田 弥央、藤村 信幸、大田 晃生、宮﨑 誠一

    第78回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡国際会議場・国際センター・福岡サンパレス   国名:日本国  

  173. 真空紫外光電子分光によるGaNの電子親和力評価

    今川 拓哉、大田 晃生、藤村 信幸、グェン スァン チュン、池田 弥央、牧原 克典、加地 徹、塩崎 宏司、宮﨑 誠一

    第78回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡国際会議場・国際センター・福岡サンパレス   国名:日本国  

  174. XPSによるHigh-k/SiO2界面のダイポール定量と酸素密度比との相関

    藤村 信幸、大田 晃生、池田 弥央、牧原 克典、宮﨑 誠一

    第78回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡国際会議場・国際センター・福岡サンパレス   国名:日本国  

  175. リモート酸素プラズマで形成したGa酸化物/GaN構造のエネルギーバンド構造と電気的特性

    山本 泰史、田岡 紀之、大田 晃生、グェン スァチュン、山田 永、高橋 言緒、池田 弥央、牧原 克典、清水 三聡、宮﨑 誠一

    第78回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡国際会議場・国際センター・福岡サンパレス   国名:日本国  

  176. グリーンナノエレクトロニクスのための材料・プロセスインテグレーション - 超低消費電力次世代トランジスタ開発 -

    宮﨑 誠一

    SPring-8シンポジウム2017 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:広島大学 東千田未来創生センター   国名:日本国  

  177. 高誘電率絶縁膜の電子親和力の決定およびSiO2との界面で生じる電位変化の定量

    藤村 信幸、大田 晃生、池田 弥央、牧原 克典、宮﨑 誠一

    2017年真空・表面科学合同講演会 

     詳細を見る

    開催年月日: 2017年8月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:横浜市立大学金沢八景キャンパス   国名:日本国  

    第37回表面科学学術講演会要旨集 第58回真空に関する連合講演会予稿集, 発行日20170817, pp. 28, セッションID: 1Dp10S

    DOI: 10.14886/sssj2008.37.0_55

  178. リモート酸素プラズマ支援CVDによる急峻SiO2/GaN界面の形成とその電気的特性

    N. X. Truyen、田岡 紀之、大田 晃生、 山田 永、高橋 言緒、池田 弥央、牧原 克典、清水 三聡、宮﨑 誠一

    2017年真空・表面科学合同講演会 

     詳細を見る

    開催年月日: 2017年8月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:横浜市立大学金沢八景キャンパス   国名:日本国  

    第37回表面科学学術講演会要旨集 第58回真空に関する連合講演会予稿集, 発行日20170817, pp. 28, セッションID:1Dp11S

    DOI: 10.14886/sssj2008.37.0_56

  179. [チュートリアル] 組成・状態分析 招待有り

     詳細を見る

    開催年月日: 2017年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  180. Fabrication of Multiple Stack Si/Ge Quantum Dots for Light/Electron Emission Devices 招待有り 国際会議

    S. Miyazaki, K. Yamada, Y. Nakashima, K. Makihara, A. Ohta, and M. Ikeda

    The 1st International Semiconductor Conference for Global Challenges (ISCGC-2017) 

     詳細を見る

    開催年月日: 2017年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Nanjing   国名:中華人民共和国  

  181. Study of Light Emission from Si Quantum Dots with Ge Core 招待有り 国際会議

    S. Miyazaki, K. Yamada, M. Ikeda, and K. Makihara

    Frontiers in Materials Processing Applications, Research and Technology (FiMPART'17) 

     詳細を見る

    開催年月日: 2017年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Bordeaux   国名:フランス共和国  

  182. Abrupt SiO2/GaN Interface Properties Formed by Remote Plasma Assisted CVD 国際会議

    N. X. Truyen, N. Taoka, A. Ohta, K. Makihara, H. Yamada, T. Takahashi, M. Ikeda, M. Shimizu, and S. Miyazaki

    2017 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2017) 

     詳細を見る

    開催年月日: 2017年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Hotel Hyundai (Gyeongju), Gyeongju-si, Korea   国名:大韓民国  

  183. Magnetoelectronic Transport of Double Stack FePt Nanodots 国際会議

    K. Makihara, T. Kawase, A. Ohta, M. Ikeda, and S. Miyazaki

    AWAD2017(2017 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices) 

     詳細を見る

    開催年月日: 2017年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Hotel Hyundai (Gyeongju), Gyeongju-si, Korea   国名:大韓民国  

  184. Evaluation of Energy Distribution of Filled Defects of Si Oxide Thin Films from Total Photoelectron Yield Spectroscopy 国際会議

    A. Ohta

    20th Conference on Insulating Films on Semiconductors (INFOS 2017) 

     詳細を見る

    開催年月日: 2017年6月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Seminaris SeeHotel Potsdam(Potsdam, Germany)   国名:ドイツ連邦共和国  

  185. Potential Changes and Chemical Bonding Features for Si-MOS Diodes as Evaluated from HAXPES Analysis 国際会議

    A. Ohta, H. Murakami, M. Ikeda, K. Makihara, E. Ikenaga, and S. Miyazaki

    20th Conference on Insulating Films on Semiconductors (INFOS 2017) 

     詳細を見る

    開催年月日: 2017年6月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Seminaris SeeHotel Potsdam(Potsdam, Germany)   国名:ドイツ連邦共和国  

  186. 定電圧および定電流印加によるSi酸化薄膜の電気抵抗変化特性評価

    大田 晃生、加藤 祐介、池田 弥央、牧原 克典、宮﨑 誠一

    シリコン材料・デバイス(SDM)研究会 

     詳細を見る

    開催年月日: 2017年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:キャンパス・イノベーションセンター東京   国名:日本国  

    信学技報 IEICE Technical Report, Vol. 117, No. 101, pp. 25-29, 発行日20170613

  187. エピタキシャルAg(111)上の極薄IV族結晶形成

    伊藤 公一、大田 晃生、黒澤 昌志、洗平 昌晃、池田 弥央、牧原 克典、宮﨑 誠一

    シリコン材料・デバイス(SDM)研究会 

     詳細を見る

    開催年月日: 2017年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:キャンパス・イノベーションセンター東京   国名:日本国  

    信学技報 IEICE Technical Report, vol. 117, no. 101, pp. 43-48, 資料番号 SDM2017-30, 発行日20170613

  188. XPSによるHigh-k/SiO2界面の化学構造およびダイポールの評価

    藤村 信幸、大田 晃生、池田 弥央、牧原 克典、宮﨑 誠一

    シリコン材料・デバイス(SDM)研究会 

     詳細を見る

    開催年月日: 2017年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:キャンパス・イノベーションセンター東京   国名:日本国  

    信学技報 IEICE Technical Report, vol. 117, no. 101, pp. 19-23, 資料番号 SDM2017-25, 発行日 20170613

  189. Photoemission study of gate dielectrics on gallium nitride 招待有り 国際会議

    S. Miyazaki, N. X. Truyen, and A. Ohta

    ULSIC vs TFT: 6th International Conference on Semiconductor Technology for Ultra Large Scale Integrated Circuits and Thin Film Transistors 

     詳細を見る

    開催年月日: 2017年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Schloss Hernstein Seminar Hotel, Schloss Hernstein, Hernstein   国名:オーストリア共和国  

  190. Characterization of Electroluminescence from Si-QDs with Ge Core 国際会議

    K. Yamada, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    ICSI-10(The 10th International Conference on Silicon Epitaxy and heterostructures) 

     詳細を見る

    開催年月日: 2017年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:The University of Warwick(Coventry, UK)   国名:グレートブリテン・北アイルランド連合王国(英国)  

  191. Fabrication and Magnetoelectronic Transport Fe3Si-Nanodots on Ultrathin SiO2 国際会議

    K. Makihara, H. Zhang, A. Ohta, M. Ikeda, and S. Miyazaki

    ICSI-10(The 10th International Conference on Silicon Epitaxy and heterostructures) 

     詳細を見る

    開催年月日: 2017年5月

    記述言語:英語   会議種別:ポスター発表  

    開催地:The University of Warwick(Coventry, UK)   国名:グレートブリテン・北アイルランド連合王国(英国)  

  192. Evaluation of Potential Distribution in Multiple Stacked Si Quantum Dots Structure by Hard X-ray Photoelectron Spectroscopy 国際会議

    Y. Nakashima, D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    ICSI-10(The 10th International Conference on Silicon Epitaxy and heterostructures) 

     詳細を見る

    開催年月日: 2017年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:The University of Warwick(Coventry, UK)   国名:グレートブリテン・北アイルランド連合王国(英国)  

  193. High Density Formation of and Light Emission from Si Quantum Dots with Ge Core 国際会議

    S. Miyazaki, K. Yamada, M. Ikeda, and K. Makihara

    2017MRS SPRING MEETING 

     詳細を見る

    開催年月日: 2017年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:PHOENIX CONVENTION CENTER   国名:アメリカ合衆国  

  194. Si 細線構造への高密度 Si 量子ドット形成と発光特性

    高 磊、池田 弥央、山田 健太郎、牧原 克典、大田 晃生、宮﨑 誠一

    第64回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:パシフィコ横浜   国名:日本国  

  195. 硬X線光電子分光を用いたSi量子ドット多重集積構造の 電位分布評価

    中島 裕太、竹内 大智、 牧原 克典、大田 晃生、池田 弥央、宮﨑 誠一

    第64回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:パシフィコ横浜   国名:日本国  

  196. Ge コアSi 量子ドットの発光特性評価

    山田 健太郎、牧原 克典、池田 弥央、大田 晃生、宮﨑 誠一

    第64回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:パシフィコ横浜   国名:日本国  

  197. XPSによるHfO2の電子親和力と界面ダイポールの定量

    藤村 信幸、大田 晃生、池田 弥央、牧原 克典、宮崎 誠一

    第64回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:パシフィコ横浜   国名:日本国  

  198. ドライおよびN2O酸化により形成したSiO2/4H-SiCの電 子占有欠陥評価

    渡辺 浩成、大田 晃生、池田 弥央、牧原 克典、森 大輔、寺尾 豊、宮﨑 誠一

    第64回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:パシフィコ横浜   国名:日本国  

  199. Ge上にエピタキシャル成長したAg(111)表面の平坦化お よび化学構造評価

    伊藤 公一、大田 晃生、黒澤 昌志、洗平 昌晃、池田 弥央、牧原 克典、宮﨑 誠一

    第64回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:パシフィコ横浜   国名:日本国  

  200. リモートプラズマ支援 CVD SiO2/GaN の界面特性

    グェン スァン チュン、田岡 紀之、大田 晃生、山本 泰史、山田 永、高橋 言緒、池田 弥央、牧原 克典、清水 三聡、宮﨑 誠一

    第64回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:パシフィコ横浜   国名:日本国  

  201. リモート酸素プラズマによるGaN表面酸化

    山本 泰史、田岡 紀之、大田 晃生、グェンスァ ン チュン、山田 永、高橋 言緒、池田 弥央、牧原 克典、清水 三聡、宮﨑 誠一

    第64回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:パシフィコ横浜   国名:日本国  

  202. 硬X線光電子分光法によるSi-MOSダイオードのオペラ ンド分析 -電位変化および化学結合状態評価-

    大田 晃生、村上 秀樹、池田 弥央、牧原 克典、池永 英司、宮﨑 誠一

    第64回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:パシフィコ横浜   国名:日本国  

  203. Impact of Thermal Annealing on Mophology and Chemical Bonding Features at Epitaxial Ag(111) Surface Grown on Ge(111) 招待有り 国際会議

    K. Ito, A. Ohta, M. Kurosawa, M. Araidai, M. Ikeda, K. Makihara, and S. Miyazaki

    ISPlasma2017/IC-PLANTS2017 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Kasugai   国名:日本国  

  204. Total Photoelectron Yield Spectroscopy of Electronic States of GaN Surface 国際会議

    A. Ohta

    ISPlasma2017/IC-PLANTS2017 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  205. Evaluation of Dielectric Function of Oxide Thin Films from Photoemission Measurements 国際会議

    T. Yamamoto, A. Ohta, M.Ikeda, K. Makihara, and S. Miyazaki

    10th International Workshop on New Group IV Semiconductor Nanoelectronics and JSJP Core-to-Core Program Joint Seminar 

     詳細を見る

    開催年月日: 2017年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  206. Potential Change and Electrical Dipole at Ultrathin Oxide/Semiconductor Interfaces as Evaluated by XPS 国際会議

    N. Fujimura, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki

    10th International Workshop on New Group IV Semiconductor Nanoelectronics and JSJP Core-to-Core Program Joint Seminar 

     詳細を見る

    開催年月日: 2017年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  207. Characterization of Remote Plasma CVD SiO2 on GaN(0001) 国際会議

    N. X. Truyen, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki

    10th International Workshop on New Group IV Semiconductor Nanoelectronics and JSJP Core-to-Core Program Joint Seminar 

     詳細を見る

    開催年月日: 2017年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  208. Formation of Si-based Quantum Dots on Sub-micron patterned Si Substrates 国際会議

    M. Ikeda, L. Gao, K. Yamada, K. Makihara, A. Ohta, and S. Miyazaki

    10th International Workshop on New Group IV Semiconductor Nanoelectronics and JSJP Core-to-Core Program Joint Seminar 

     詳細を見る

    開催年月日: 2017年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  209. Total Photoelectron Yield Spectroscopy of Electronic States of Oxide Thin Films and Wide Bandgap Semiconductors 国際会議

    A. Ohta, T. Yamamoto, N. X. Truyen, M. Ikeda, K. Makihara, and S. Miyazaki

    10th International Workshop on New Group IV Semiconductor Nanoelectronics and JSJP Core-to-Core Program Joint Seminar 

     詳細を見る

    開催年月日: 2017年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  210. Characterization of Field Electron Emission from Multiply-Stacking Si Quantum Dots 国際会議

    Y. Nakashima, D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    10th International Workshop on New Group IV Semiconductor Nanoelectronics and JSJP Core-to-Core Program Joint Seminar 

     詳細を見る

    開催年月日: 2017年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  211. Chemical Analysis of Epitaxial Ag(111) Surface formed on Group-IV Semiconductors 国際会議

    K. Ito, A. Ohta, M. Kurosawa, M. Araidai, M. Ikeda, K. Makihara, and S. Miyazaki

    10th International Workshop on New Group IV Semiconductor Nanoelectronics and JSJP Core-to-Core Program Joint Seminar 

     詳細を見る

    開催年月日: 2017年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  212. Luminescence Studies of High Density Si Quantum Dots with Ge core 国際会議

    K. Yamada, M. Ikeda, K. Makihara, and S. Miyazaki

    10th International Workshop on New Group IV Semiconductor Nanoelectronics and JSJP Core-to-Core Program Joint Seminar 

     詳細を見る

    開催年月日: 2017年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  213. 光電子分光法によるリモートプラズマCVD SiO2/GaNの化学結合状態および電子占有欠陥評価

    グェン チュンスァン、大田 晃生、牧原 克典、池田 弥央、宮﨑 誠一

    電子デバイス界面テクノロジー研究会 

     詳細を見る

    開催年月日: 2017年1月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:東レ研修センター(静岡県三島市)   国名:日本国  

    講演番号 P-25, 電子デバイス界面テクノロジー研究会―材料・プロセス・デバイス特性の物理― (第22回研究会)予稿集, pp. 207 - 210, 発行日 20170120

  214. X線光電子分光法による極薄酸化物積層構造の電位変化・ダイポール評価

    藤村 信幸、大田 晃生、池田 弥央、牧原 克典、宮﨑 誠一

    電子デバイス界面テクノロジー研究会 

     詳細を見る

    開催年月日: 2017年1月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:東レ研修センター(静岡県三島市)   国名:日本国  

    講演番号 P-20, 電子デバイス界面テクノロジー研究会―材料・プロセス・デバイス特性の物理― (第22回研究会)予稿集, pp. 187 - 190, 発行日 20170120

  215. 熱酸化SiO₂/4H-SiCSi面およびC面の電子専有欠陥および化学構造評価

    渡辺 浩成、大田 晃生、池田 弥央、牧原 克典、森 大輔、寺尾 豊、宮﨑 誠一

    第16回 日本表面科学会中部支部学術講演会 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学   国名:日本国  

  216. HfO₂/SiO₂/Si構造の光電子分光分析ー界面ダイポールの定量ー

    藤村 信幸、大田 晃生、池田 弥央、牧原 克典、宮﨑 誠一

    第16回 日本表面科学会中部支部学術講演会 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学   国名:日本国  

  217. Characterization of Magnetoelectronic Transport through Double Stack FePt Nanodots on Ultrathin SiO2/c-Si by Conductive-probe AFM 国際会議

    S. Miyazaki

    ICSPM24 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  218. Processing and Characterization of Si/Ge Quantum Dots 招待有り 国際会議

    S. Miyazaki, K. Makihara, A. Ohta, and M. Ikeda

    2016 IEDM(IEEE International Electron Devices Meeting) 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Hilton San Francisco Union Square(San Francisco, CA)   国名:アメリカ合衆国  

  219. シリコン酸化薄膜の電気抵抗スイッチングおよび欠陥準位密度評価 国際会議

    加藤 祐介、大田 晃生、池田 弥央、牧原 克典、宮﨑 誠一

    2016 真空・表面科学合同講演会 

     詳細を見る

    開催年月日: 2016年11月 - 2016年12月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋国際会議場 (名古屋市熱田区)   国名:日本国  

  220. Si細線構造への高密度Si量子ドット形成 国際会議

    高 磊、竹内 大智、牧原 克典、大田 晃生、池田 弥央、宮﨑 誠一

    2016 真空・表面科学合同講演会 

     詳細を見る

    開催年月日: 2016年11月 - 2016年12月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋国際会議場 (名古屋市熱田区)   国名:日本国  

  221. Si系量子ドット多重集積構造からの電界電子放出特性 国際会議

    中島 裕太、竹内 大智、牧原 克典、大田 晃生、池田 弥央、宮﨑 誠一

    2016 真空・表面科学合同講演会 

     詳細を見る

    開催年月日: 2016年11月 - 2016年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋国際会議場 (名古屋市熱田区)   国名:日本国  

  222. HAXPESによるSi-MOSキャパシタの化学結合状態および内部電位の深さ方向分析 国際会議

    大田 晃生、村上 秀樹、池田 弥央、牧原 克典、池永 英司、宮﨑 誠一

    2016 真空・表面科学合同講演会 

     詳細を見る

    開催年月日: 2016年11月 - 2016年12月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋国際会議場 (名古屋市熱田区)   国名:日本国  

  223. IV族半導体上に蒸着したAg薄膜の化学構造評価と反応制御 国際会議

    伊藤 公一、大田 晃生、黒澤 昌志、洗平 昌晃、池田 弥央、牧原 克典、宮﨑 誠一

    2016 真空・表面科学合同講演会 

     詳細を見る

    開催年月日: 2016年11月 - 2016年12月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋国際会議場 (名古屋市熱田区)   国名:日本国  

  224. Magnetoelectronic Transport and Resistive Switching in Double Stack FePt Nanodots on Ultrathin SiO2/c-Si 招待有り 国際会議

    S. Miyazaki

    JSPS Core-to-Core Program Workshop 

     詳細を見る

    開催年月日: 2016年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Julich   国名:ドイツ連邦共和国  

  225. High Density Formation of Ta/TaOxide Core-Shell Nanodots 国際会議

    Y. Wang, D. Takeuchi, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki

    29th International Microprocesses and Nanotechnology Conference (MNC 2016) 

     詳細を見る

    開催年月日: 2016年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:ANA Crowne Plaza, Kyoto, Japan   国名:日本国  

  226. Low Temperature Formation of Crystalline Si:H/Ge:H Heterostructures by Plasma Enhanced CVD in Combination with Ni-NDs Seeding Nucleation 国際会議

    K. Makihara, D. Takeuchi, M. Ikeda, A. Ohta, and S.Miyazaki

    29th International Microprocesses and Nanotechnology Conference (MNC 2016) 

     詳細を見る

    開催年月日: 2016年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:ANA Crowne Plaza, Kyoto, Japan   国名:日本国  

  227. Evaluation of Potential Change and Electrical Dipole in HfO2/ SiO2/Si Structure 国際会議

    N. Fujimura, A. Ohta, K. Makihara, and S. Miyazaki

    SSDM 2016 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Tsukuba   国名:日本国  

  228. Formation and Characterization of Si Quantum Dots with Ge Core for Functional Devices 招待有り 国際会議

    S. Miyazaki, D. Takeuchi, M. Ikeda, and K. Makihara

    SSDM 2016 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Tsukuba   国名:日本国  

  229. Magnetotransport Properties of FePt Alloy-NDs Stacked Structures 招待有り 国際会議

    K. Makihara, T. Kawase, A. Ohta, M. Ikeda, and S. Miyazaki

    SSDM 2016 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Tsukuba   国名:日本国  

  230. FePtナノドットスタック構造における磁場印加後の電気伝導特性評価

    河瀬 平雅、牧原 克典、大田 晃生、池田 弥央、宮﨑 誠一

    第77回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:朱鷺メッセ(新潟県新潟市)   国名:日本国  

  231. Ta酸化物ナノドットの高密度・一括形成(II)

    王 亜萍、竹内 大智、牧原 克典、大田 晃生、池田 弥央、宮﨑 誠一

    第77回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:朱鷺メッセ(新潟県新潟市)   国名:日本国  

  232. GeコアSi量子ドットのエレクトロルミネッセンス特性

    山田 健太郎、池田 弥央、牧原 克典、宮﨑 誠一

    第77回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:朱鷺メッセ(新潟県新潟市)   国名:日本国  

  233. HfO2/SiO2/Si(100)構造における内部電位分布、界面ダイポールの定量評価

    藤村 信幸、大田 晃生、池田 弥央、牧原 克典、宮﨑 誠一

    第77回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:朱鷺メッセ(新潟県新潟市)   国名:日本国  

  234. X線光電子分光法による熱酸化SiO2およびGeO2薄膜の誘電関数評価

    山本 泰史、大田 晃生、池田 弥央、牧原 克典、宮﨑 誠一

    第77回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:朱鷺メッセ(新潟県新潟市)   国名:日本国  

  235. リモートプラズマCVDSiO2/GaN界面の光電子分光分析

    グェン スァン チュン、大田 晃生、牧原 克典、池田 弥央、宮﨑 誠一

    第77回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:朱鷺メッセ(新潟県新潟市)   国名:日本国  

  236. GeコアSi量子ドット/Si量子ドット多重集積構造のEL特性

    竹内 大智、山田 健太郎、牧原 克典、池田 弥央、大田 晃生、 宮﨑 誠一

    第77回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:朱鷺メッセ(新潟県新潟市)   国名:日本国  

  237. 4H-SiCSi面およびC面上に成長した熱酸化膜の光電子収率分光法による電子占有欠陥評価

    渡辺 浩成、大田 晃生、 池田 弥央、牧原 克典、宮﨑 誠一

    第77回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:朱鷺メッセ(新潟県新潟市)   国名:日本国  

  238. Formation of Fe3Si-Nanodots on Ultrathin SiO2 Induced by H2-plasma Treatment and Their Magnetic-Field Dependent Electron Transport Properties 国際会議

    H. Zhang, K. Makihara, M. Ikeda, A. Ohta, and S. Miyazaki

    APAC Silicide 2016  

     詳細を見る

    開催年月日: 2016年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  239. Embedding of Ti nanodots into SiOx and its impact on resistance switching behaviors 国際会議

    Y. Kato, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki

    AWAD2016(2016 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices) 

     詳細を見る

    開催年月日: 2016年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  240. Formation and electron transport properties of Fe3Si nanodots on ultrathin SiO2 国際会議

    H. Zhang, M. Ikeda, K. Makihara, A. Ohta, and S. Miyazaki

    AWAD2016(2016 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices) 

     詳細を見る

    開催年月日: 2016年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  241. XPSによるSiO2/半導体界面の電位変化およびダイポールの定量

    藤村 信幸、大田 晃生、渡辺 浩成、牧原 克典、宮﨑 誠一

    シリコン材料・デバイス研究会(SDM) 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:キャンパス・イノベーションセンター東京   国名:日本国  

    信学技報, vol. 116, no. 118, pp. 43-47, 資料番号 SDM2016-40, 発行日 20160622

  242. リモート酸素プラズマ支援CVDによる低温SiO2薄膜形成

    グェンスァン チュン、藤村 信幸、竹内 大智、大田 晃生、牧原 克典、池田 弥央、宮﨑 誠一

    シリコン材料・デバイス研究会(SDM) 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:キャンパス・イノベーションセンター東京   国名:日本国  

    信学技報, vol. 116, no. 118, pp. 49-52, 資料番号 SDM2016-41, 発行日 20160622

  243. High Density Formation of and Light Emission from Silicon Quantum Dots with Ge Core 招待有り 国際会議

    S. Miyazaki

    11th Workshop on Si-based Optoelectronic Materials and Devices 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:中華人民共和国  

  244. Electron Transport Properties of High Density FePt-NDs Stacked Structures 国際会議

    T. Kawase, Y. Mitsuyuki, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    ISCSI-VII/ISTDM 2016 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  245. Impact of Phosphorus Doping to Multiply-Stacking Si Quantum Dots on Electron Emission Properties 国際会議

    D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    ISCSI-VII/ISTDM 2016 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  246. Determination of Energy Band Profile of Thermally-grown SiO2/4H-SiC Structure Using XPS 国際会議

    H. Watanabe, A. Ohta, K. Makihara, and S. Miyazaki

    ISCSI-VII/ISTDM 2016 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  247. [チュートリアル]組成・状態分析

    宮﨑 誠一

    薄膜工学セミナー2016~薄膜の基礎から応用まで~ 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:キャンパスイノベーションセンター東京   国名:日本国  

  248. Characterization of light emission from Si quantum dots with Ge core 招待有り 国際会議

    S. Miyazaki

    Intern. Conf. on Processing and Manufacturing of Advanced Materials 2016 (THERMEC'2016) 

     詳細を見る

    開催年月日: 2016年5月 - 2016年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Granz   国名:オーストリア共和国  

  249. FePtナノドットスタック構造における磁気伝導特性

    河瀬 平雅、満行 優介、牧原 克典、大田 晃生、池田 弥央、宮﨑 誠一

    応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  250. GeコアSi量子ドットの発光メカニズム

    近藤 圭悟、池田 弥央、牧原 克典、宮﨑 誠一

    応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:東京工業大学   国名:日本国  

  251. Ti系薄膜およびTiナノドットを埋め込んだSiOx膜の抵抗変化特性評価

    加藤 祐介、大田 晃生、牧原 克典、宮﨑 誠一

    応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  252. 磁性AFM探針を用いたFe3Siナノドットの電子輸送特性評価

    張 海、満行 優介、牧原 克典、池田 弥央、大田 晃生、宮﨑 誠一

    応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  253. ウェット酸化により形成したSiO2/4H-SiCの電子状態評価(II)

    渡辺 浩成、大田 晃生、藤村 信行、牧原 克典、宮﨑 誠一

    応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  254. GeコアSi量子ドットにおけるGeコアサイズがPL特性に及ぼす影響

    山田 健太郎、近藤 圭悟、池田 弥央、牧原 克典、宮﨑 誠一

    応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  255. Cleaning of 4H-SiC(0001) Surface by using Remote Hydrogen Plasma 国際会議

    T. xuan Nguyen, D. Takeuchi, A. Ohta, K. Makihara, and S. Miyazaki

    ISPlasma2016 & IC-PLANTS2016 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  256. Characterization of Chemical Bonding Features of Ultrathin Ge Layer Grown by Ag-Induced Layer-Exchange Method 国際会議

    A. Ohta, M. Kurosawa, M. Araidai, and S. Miyazaki

    ISPlasma2016 & IC-PLANTS2016 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  257. Self-assembling Formation of Ta Nanodots Induced by Remote Hydrogen Plasma from Ge/Ta Bi-layer Stack 国際会議

    Y. Wang, D. Takeuchi, A. Ohta, K. Makihara, M. Ikeda, and S. Miyazaki

    ISPlasma2016 & IC-PLANTS2016 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  258. Impact of Magnetic-Field Application on Electron Charging Characteristics of FePt Nanodots 国際会議

    T. Kawase, Y. Mitsuyuki, A. Ohta, K. Makihara, T. Katou, S. Iwata, and S. Miyazaki

    ISPlasma2016 & IC-PLANTS2016 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  259. Formation of High Density Ta Oxide Nanodots 国際会議

    Y. Wang, D. Takeuchi, A. Ohta, K. Makihara, and S. Miyazaki

    ISPlasma2016 & IC-PLANTS2016 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  260. Effect of Ge Stacked Layer on Ti Nanodots Formation From Metal Thin Films by Remote Hydrogen Plasma Exposure 国際会議

    Y. Kato, A. Ohta, K. Makihara, and S. Miyazaki

    ISPlasma2016 & IC-PLANTS2016 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  261. High Density Formation and Light Emission Properties of Silicon Quantum Dots with Ge Core 招待有り 国際会議

    S. Miyazaki

    BIT's 2nd Annual World Congress of Smart Materials-2016 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:シンガポール共和国  

  262. SiO2/4H-SiC構造の電子障壁高さの決定と欠陥準位密度の深さ方向分析

    渡辺 浩成、大田 晃生、牧原 克典、宮﨑 誠一

    電子デバイス界面テクノロジー研究会 (第21回研究会) 

     詳細を見る

    開催年月日: 2016年1月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:東レ総合研修センター(静岡県三島市)   国名:日本国  

    電子デバイス界面テクノロジー研究会 (第21回研究会)研究報告, pp. 185 - 188, 講演番号 P-19, 発行日 20160121

  263. リモートH2プラズマ照射による4H-SiC(0001)の表面の改質

    グェン スァンチュン、竹内 大智、大田 晃生、池田 弥央、牧原 克典、宮﨑 誠一

    電子デバイス界面テクノロジー研究会 (第21回研究会)  

     詳細を見る

    開催年月日: 2016年1月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:東レ総合研修センター(静岡県三島市)   国名:日本国  

    電子デバイス界面テクノロジー研究会 (第21回研究会) 研究報告, pp. 217 - 220, 講演番号 P-27, 発行日 20160121

  264. Si, 4H-SiCおよびSiO2の価電子帯上端位置と電子親和力の評価

    藤村 信幸、大田 晃生、牧原 克典、宮﨑 誠一

    電子デバイス界面テクノロジー研究会 (第21回研究会)  

     詳細を見る

    開催年月日: 2016年1月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:東レ総合研修センター(静岡県三島市)   国名:日本国  

    電子デバイス界面テクノロジー研究会 (第21回研究会) 研究報告, pp. 209 - 212, 講演番号 P-25, 発行日 20160121

  265. SiOx膜へのTiナノドットの埋め込みがその抵抗変化特性に与える影響

    加藤 祐介、大田 晃生、牧原 克典、宮﨑 誠一

    電子デバイス界面テクノロジー研究会 (第21回研究会)  

     詳細を見る

    開催年月日: 2016年1月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:東レ総合研修センター(静岡県三島市)   国名:日本国  

    電子デバイス界面テクノロジー研究会 (第21回研究会) 研究報告, pp. 205 - 208, 講演番号 P-24, 発行日 20160121

  266. Fabrication and Magnetoelectronic Transport of Double Stack FePt Nanodots on Ultrathin SiO2 国際会議

    S. Miyazaki, Y. Kabeya, Y. Mitsuyuki, and K. Makihara

    2015 MRS Fall Meeting & Exhibit 

     詳細を見る

    開催年月日: 2015年11月 - 2015年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  267. Impact of Embedded MnNanodots on Resistive Switching Properties of Si-rich Oxides 国際会議

    T. Arai, A. Ohta, K. Makihara, and S. Miyazaki

    28th International Microprocesses and Nanotechnology Conference(MNC2015) 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  268. Formation of High Density Ti Nanodots and Evaluation of Resistive Switching Properties of SiOx-ReRAMs with Ti Nanodots 国際会議

    Y. Kato, A. Ohta, T. Arai, K. Makihara, and S. Miyazaki

    The 2015 International Workshop on Dielectric Thin Films for Future Electron Devices: Science and Technology (2015 IWDTF) 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  269. Evaluation of Valence Band Maximum and Electron Affinity of SiO2 and Si-based Semiconductors Using XPS 国際会議

    N. Fujimura, A. Ohta, K. Makihara, and S. Miyazaki

    The 2015 International Workshop on Dielectric Thin Films for Future Electron Devices: Science and Technology (2015 IWDTF) 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  270. Photoemission Study of Thermally-Grown SiO2/4H-SiC Structure. 国際会議

    H. Watanabe, A. Ohta, N. Fujimura, K. Makihara, and S. Miyazaki

    The 2015 International Workshop on Dielectric Thin Films for Future Electron Devices: Science and Technology (2015 IWDTF) 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  271. High Density Formation of Ta Nanodots Induced by Remote Hydrogen Plasma 国際会議

    Y. Wang, D. Takeuchi, K. Makihara, A. Ohta, and S. Miyazaki

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  272. Photoemission Study on Chemical Bonding Features and Electronic Defect States of Thermally-Grown SiO2/4H-SiC Structure 国際会議

    H. Watanabe, A. Ohta, K. Makihara, and S. Miyazaki

    The 228th ECS Meeting  

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  273. Resistive Switching Characteristics of Si-Rich Oxides with Embedding Ti Nanodots 国際会議

    Y. Kato, T. Arai, A. Ohta, K. Makihara, and S. Miyazaki

    The 228th ECS Meeting  

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  274. High-Resolution Photoemission Study of High-k Dielectric Bilayer Stack on Ge(100) 国際会議

    S. Miyazaki

    The 228th ECS Meeting  

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  275. グリーンナノエレクトロニクスのための材料・プロセスインテグレーション ~超低消費電力次世代トランジスタ開発~ 招待有り

    宮﨑 誠一、大田 晃生、他

    SPring-8シンポジウム2015 放射光が先導するグリーンイノベーション ~グローバルな視点からの発信~ 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:九州大学 伊都キャンパス カーボンニュートラル・エネルギー国際研究所/I2CNER(アイスナー) 大ホール他   国名:日本国  

  276. [チュートリアル] CVD1(シリコン系) 招待有り

    宮﨑 誠一

     詳細を見る

    開催年月日: 2015年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:サンパーク犬山   国名:日本国  

  277. Electronic Defect States in Thermally-grown SiO2/4H-SiC Structure Measured by Total Photoelectron Yield Spectroscopy 国際会議

    A. Ohta, K. Makihara, and S. Miyazaki

    The 19th Conference on "Insulating Films on Semiconductors"(INFOS 2015) 

     詳細を見る

    開催年月日: 2015年6月 - 2015年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:イタリア共和国  

  278. Effect of P-doping on Photoluminescence Properties of Si Quantum Dots with Ge Core 国際会議

    K. Kondo

    2015 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2015)  

     詳細を見る

    開催年月日: 2015年6月 - 2015年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  279. Electron Transport Properties of High Density FePt-NDs Stacked Structures 国際会議

    Y. Mitsuyuki, K. Makihara, A. Oota, and S. Miyazaki

    2015 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2015)  

     詳細を見る

    開催年月日: 2015年6月 - 2015年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  280. High Density Formation and Characterization of CoPt and FePt Nanodots on SiO2 国際会議

    S. Miyazaki

    International Conference on Frontiers in Materials Processing Applications Research & Technology (FiMPART'15) 

     詳細を見る

    開催年月日: 2015年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:インド  

  281. Impact of Phosphorus Doping to Multiply-Stacking Si Quantum Dots on Electron Field Emission Properties 国際会議

    D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    The 9th International Conference on Silicon Epitaxy and Heterostructures (ICSI-9) 

     詳細を見る

    開催年月日: 2015年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:カナダ  

  282. Study on Light Emission from Si Quantum Dots with Ge Core 国際会議

    S. Miyazaki, K. Kondo, and K. Makihara

    The 9th International Conference on Silicon Epitaxy and Heterostructures (ICSI-9) 

     詳細を見る

    開催年月日: 2015年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:カナダ  

  283. Study on Electroluminescence from Multiply-Stacking Valency Controlled Si Quantum Dots 国際会議

    T. Yamada, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    The 9th International Conference on Silicon Epitaxy and Heterostructures (ICSI-9) 

     詳細を見る

    開催年月日: 2015年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:カナダ  

  284. Formation and Characterization of High Density FeSi Nanodots on SiO2 Induced by Remote H2 Plasma 国際会議

    K. Makihara, H. Zhang, A. Ohta, and S. Miyazaki

    ISPlasma2015/IC-PLANTS2015 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  285. Characterization of Electron Field Emission from High Density Self-Aligned Si-Based Quantum Dots 国際会議

    D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    ISPlasma2015/IC-PLANTS2015 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  286. リモート水素プラズマ支援によるTaナノドットの高密度一括形成

    王 亜萍、牧原 克典、大田 晃生、竹内 大智、宮﨑 誠一

    第62回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学(神奈川県平塚市)   国名:日本国  

  287. リモートH2プラズマ処理した4H-SiC表面の化学構造および電子状態分析

    グェンスァン チュン、大田 晃生、竹内 大智、張 海牧原 克典、宮﨑 誠一

    第62回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学(神奈川県平塚市)   国名:日本国  

  288. 光電子収率分光法によるSiO2/SiC構造の電子状態計測(2)

    大田 晃生、渡邉 浩成、グェンスァン チュン、牧原 克典、宮﨑 誠一

    第62回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学(神奈川県平塚市)   国名:日本国  

  289. リモート水素プラズマ支援によるFeシリサイドナノドットの高密度一括形成と磁化特性評価

    張 海、牧原 克典、大田 晃生、壁谷 悠希、宮﨑 誠一

    第62回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学(神奈川県平塚市)   国名:日本国  

  290. 外部磁場がFePt合金ナノドットへの電子注入特性に及ぼす影響

    満行 優介、壁谷 悠希、張 海、大田 晃生、牧原 克典、宮﨑 誠一

    第62回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学(神奈川県平塚市)   国名:日本国  

  291. 高密度FePtナノドットスタック構造の電子輸送特性

    壁谷 悠希、満行 優介、張 海、大田 晃生、牧原 克典、宮﨑 誠一

    第62回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学(神奈川県平塚市)   国名:日本国  

  292. P添加がGeコアSi量子ドットのPL特性に及ぼす影響

    近藤 圭悟、牧原 克典、宮﨑 誠一

    第62回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学(神奈川県平塚市)   国名:日本国  

  293. Si量子ドット多重集積構造の電界電子放出特性評価

    竹内 大智、牧原 克典、大田 晃生、池田 弥央、宮﨑 誠一

    第62回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学(神奈川県平塚市)   国名:日本国  

  294. 不純物添加がSi量子ドット多重集積構造のEL特性に及ぼす影響

    山田 敬久、牧原 克典、池田 弥央、宮﨑 誠一

    第62回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学(神奈川県平塚市)   国名:日本国  

  295. Si-rich酸化膜へのMnナノドット埋め込みが抵抗変化特性へ及ぼす影響

    荒井 崇、大田 晃生、牧原 克典、宮﨑 誠一

    「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第20回) 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:東レ研修センター(静岡県三島市)   国名:日本国  

  296. Formation and Characterization of High Density FePt Nanodots on SiO2 Induced by Remote Hydrogen Plasma 国際会議

    S. Miyazaki, Y. Kabeya, R. Fukuoka, H. Zhang, K. Makihara, T. Kato, and S. Iwata

    2014 MRS Fall Meeting&Exhibit 

     詳細を見る

    開催年月日: 2014年11月 - 2014年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  297. Photoemission Study of High-k Dielectrics Stack on Ge(100) - Determination of Energy Bandgaps and Band Alignments 国際会議

    S. Miyazaki

    JSPS International Core-to-Core Program Workshop on Atomically Controlled Processing for Ultra-large Scale Integration (imec) 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:ベルギー王国  

  298. Luminescence Studies of High Density Si-based Quantum Dots 国際会議

    K. Makihara

    JSPS International Core-to-Core Program Workshop on Atomically Controlled Processing for Ultra-large Scale Integration (imec) 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ベルギー王国  

  299. Study of Electron Field Emission from High Density Self-aligned Si-based Quantum Dots 国際会議

    D. Takeuchi

    JSPS International Core-to-Core Program Workshop on Atomically Controlled Processing for Ultra-large Scale Integration (imec) 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ベルギー王国  

  300. High Density formation of Fe-Silicide Nanodots on SiO2 Induced by Remote H2 Plasma 国際会議

    H. Zhang, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    MNC 2014  

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  301. Characterization of Chemical Bonding Features and Interfacial Reactions in Ge-MIS Structure with HfO2/TaGexOy Dielectric Stack 国際会議

    A. Ohta, H. Murakami, K. Hashimoto, K. Makihara, and S. Miyazaki

    The 2014 ECS and SMEQ (Sociedad Mexicana de Electroquímica) Joint International Meeting  

     詳細を見る

    開催年月日: 2014年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:メキシコ合衆国  

  302. Characterization of Electron Emission from High Density Self-aligned Si-based Quantum Dots by Conducting-Probe Atomic Force Microscopy 国際会議

    D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    The 2014 ECS and SMEQ (Sociedad Mexicana de Electroquímica) Joint International Meeting  

     詳細を見る

    開催年月日: 2014年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:メキシコ合衆国  

  303. Pre-Amorphization and Low-Temperature Implantation for Efficient Activation of Implanted As in Ge(100) 国際会議

    H. Murakami, S. Hamada, T. Ono, K. Hashimoto, A. Ohta, H. Hanafusa, S. Higashi, and S. Miyazaki

    The 2014 ECS and SMEQ (Sociedad Mexicana de Electroquímica) Joint International Meeting  

     詳細を見る

    開催年月日: 2014年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:メキシコ合衆国  

  304. Photoluminescence Study of Si Quantum Dots with Ge Core 国際会議

    K. Makihara, K. Kondo, M. Ikeda, A. Ohta, and S. Miyazaki

    The 2014 ECS and SMEQ (Sociedad Mexicana de Electroquímica) Joint International Meeting  

     詳細を見る

    開催年月日: 2014年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:メキシコ合衆国  

  305. Materials and Interfaces Characterization for Advanced Ge-Channel Devices: Soft and Hard X-ray Photoemission Measurements 招待有り 国際会議

    S. Miyazaki

    The 1st Material Research Society of Indonesia (MRS-Id) Meeting 2014  

     詳細を見る

    開催年月日: 2014年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:インドネシア共和国  

  306. Mnナノドット埋め込みSiリッチ酸化膜の抵抗変化特性

    荒井 崇、大田 晃生、牧原 克典、宮﨑 誠一

    第75回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:北海道大学   国名:日本国  

  307. 光電子収率分光法によるSiO2/SiC界面の電子状態計測

    大田 晃生、竹内 大智、チュン グェンスァン、牧原 克典、宮﨑 誠一

    第75回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:北海道大学   国名:日本国  

  308. 不純物添加Si量子ドット多重集積構造のエレクトロルミネッセンス

    山田 敬久、牧原 克典、池田 弥央、宮﨑 誠一

    第75回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:北海道大学   国名:日本国  

  309. P添加Si量子ドット多重集積構造の電界電子放出特性

    竹内 大智、牧原 克典、大田 晃生、池田 弥央、宮﨑 誠一

    第75回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:北海道大学   国名:日本国  

  310. FePtナノドット/極薄SiO2層における電子輸送特性の外部磁場依存性

    壁谷 悠希、牧原 克典、大田 晃生、宮﨑 誠一

    第75回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:北海道大学   国名:日本国  

  311. リモート水素プラズマ支援によるMn-Ge系ナノドットの高密度一括形成

    温 映輝、牧原 克典、大田 晃生、宮﨑 誠一

    第75回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:北海道大学   国名:日本国  

  312. Electroluminescence from Multiply-Stack of Doped Si Quantum Dots 国際会議

    T. Yamada, K. Makihara, M. Ikeda, and S. Miyazaki

    international conference on SOLID STATE DEVICES AND MATERIALS (SSDM2014) 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  313. Impact of Magnetic-Field Application on Electron Transport Through CoPt Alloy Nanodots 国際会議

    Y. Kabeya

    The 15th IUMRS-ICA (International Union of Materials Research Societies, International Conference in Asia)  

     詳細を見る

    開催年月日: 2014年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  314. Characterization of Resistance-Switching of Ni Nano-dot/SiOx/Ni Diodes 国際会議

    A. Ohta

    The 15th IUMRS-ICA (International Union of Materials Research Societies, International Conference in Asia)  

     詳細を見る

    開催年月日: 2014年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  315. Impact of Remote H2 Plasma on Surface Roughness of 4H-SiC(0001) 国際会議

    T. Nguyen

    The 15th IUMRS-ICA (International Union of Materials Research Societies, International Conference in Asia)  

     詳細を見る

    開催年月日: 2014年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  316. High Density Formation of Mn and Mn-germanide Nanodots 国際会議

    Y. WEN

    The 15th IUMRS-ICA (International Union of Materials Research Societies, International Conference in Asia)  

     詳細を見る

    開催年月日: 2014年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  317. Local Electrical Properties of Si-rich Oxides with Embedding Mn-nanodots by Atomic Force Microscopy Using Conducting-Probe 国際会議

    T. Arai

    The 15th IUMRS-ICA (International Union of Materials Research Societies, International Conference in Asia)  

     詳細を見る

    開催年月日: 2014年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  318. Resistance-Switching Characteristics of Si-rich Oxide as Evaluated by Using Ni Nanodots as Electrodes in Conductive AFM Measurements 国際会議

    A. Ohta, C. Liu, T. Arai, D. Takeuchi, H. Zhang, K. Makihara, and S. Miyazaki

    2014 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2014) 

     詳細を見る

    開催年月日: 2014年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  319. Impact of embedded Mn-nanodots on resistive switching in Si-rich oxides 国際会議

    T. Arai, C. Liu, A. Ohta, K. Makihara, and S. Miyazaki

    7th International Silicon-Germanium Technology and Device Meeting (2014 ISTDM) 

     詳細を見る

    開催年月日: 2014年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:シンガポール共和国  

  320. Characterization of electronic charged states of self-aligned coupled Si quantum dots by AFM/KFM Probe Technique 国際会議

    K. Makihara, N. Tsunekawa, M. Ikeda, and S. Miyazaki

    7th International Silicon-Germanium Technology and Device Meeting (2014 ISTDM) 

     詳細を見る

    開催年月日: 2014年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:シンガポール共和国  

  321. XPS Study of Energy Band Alignment of High-k Dielectric Gate Stack on Ge(100) 国際会議

    S. Miyazaki, and A. Ohta

    2014 MRS Spring Meetings & Exhibit 

     詳細を見る

    開催年月日: 2014年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  322. 磁性AFM探針を用いたCoPt合金ナノドットの電子輸送特性評価-外部磁場依存性

    壁谷 悠希、張 海、福岡 諒、牧原 克典、宮﨑 誠一

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学相模原キャンパス(神奈川県)   国名:日本国  

  323. Mnナノドットを埋め込んだSiOx膜の抵抗変化特性

    荒井 崇、劉 冲、大田 晃生、牧原 克典、宮﨑 誠一

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学相模原キャンパス(神奈川県)   国名:日本国  

  324. 導電性AFM探針による高密度一次元連結Si系量子ドットからの電子放出特性評価(II)

    竹内 大智、牧原 克典、大田 晃生、池田 弥央、宮﨑 誠一

    第61回応用物理学会春季学術講演会