Updated on 2023/09/22

写真a

 
MIYAZAKI, Seiichi
 
Organization
Graduate School of Engineering Electronics 2 Professor
Graduate School
Graduate School of Engineering
Undergraduate School
School of Engineering Electrical Engineering, Electronics, and Information Engineering
Title
Professor
Contact information
メールアドレス

Degree 1

  1. Dr. of Engineering ( 1986.3   Hiroshima University ) 

Research Interests 8

  1. 半導体ナノ構造

  2. 量子ドット

  3. High-k/メタルゲート

  4. 薄膜太陽電池

  5. 薄膜トランジスタ

  6. 抵抗変化メモリ

  7. フローティングゲートメモリデバイス

  8. 極微細MOSトランジスタ

Research Areas 2

  1. Others / Others  / Electronic Device/Electronic Equipment

  2. Others / Others  / Electron/Electric Material Engineering

Current Research Project and SDGs 3

  1. 半導体表面・界面の物性制御に関する研究

  2. 極微細MOSトランジスタおよび量子機能デバイスに関する研究

  3. 高効率太陽電池および高性能薄膜トランジスタ開発のためのシリコン系薄膜の研究

Research History 14

  1. Nagoya University   Professor

    2010.6

      More details

    Country:Japan

  2. Nagoya University   Dean

    2021.4

      More details

    Country:Japan

  3. Nagoya University   Synchrotron Radiation Research Center   Director in General

    2019.4 - 2022.3

      More details

    Country:Japan

  4. Nagoya University   Graduate School of Engineering

    2017.4 - 2019.3

      More details

    Country:Japan

  5. Nagoya University   Institute of Materials and Systems for Sustainability Center for Integrated Research of Future Electronics   Professor

    2017.4

      More details

    Country:Japan

  6. 産業技術総合研究所   窒化物半導体先進デバイスOIL(兼務)   客員研究員

    2017.5

      More details

    Country:Japan

  7. Hiroshima University   Resrarch Institute for Nanodevices

    2010.8

      More details

    Country:Japan

  8. 公益社団法人 応用物理学会   フェロー   フェロー

    2009.9

  9. 南京大学   電子科学与工程学院(兼務)   兼職教授

    2010.10 - 2017.11

      More details

    Country:China

    Notes:2010/10 - 2013/10, 2014/12 - 2017/11
    南京大学 電子科学与工程学院 兼職教授

  10. University of Tsukuba

    2011.9 - 2015.3

      More details

    Country:Japan

  11. Hiroshima University   Professor

    2002.4 - 2010.5

      More details

    Country:Japan

  12. Hiroshima University

    2001.4 - 2002.3

      More details

    Country:Japan

  13. Hiroshima University

    1992.4 - 2001.3

      More details

    Country:Japan

  14. Hiroshima University   Assistant

    1986.4 - 1992.3

      More details

    Country:Japan

▼display all

Education 3

  1. Hiroshima University   Graduate School, Division of Engineering

    1983.4 - 1986.3

      More details

    Country: Japan

  2. Hiroshima University   Graduate School, Division of Engineering

    1981.4 - 1983.3

      More details

    Country: Japan

  3. Hiroshima University   Faculty of Engineering

    1977.4 - 1981.3

      More details

    Country: Japan

Professional Memberships 11

  1. 公社)応用物理学会   学術教育・奨励基金委員会 委員 (2015年度~ )、理事 (2011/2012年度; 講演会企画・運営委員会、講演奨励賞委員会, 2015/2016年度)、代議員 (2001-2003年度, 2012/2013年度, 2015/2016年度)、諮問委員 (2013/2014年度)、国際委員会 委員 (2009-2012年度)、講演会企画・運営委員会 委員 (2013年度)、評議員 (2008-2010年度)

  2. 公社)応用物理学会   東海支部 幹事 (2011-2016, 2013年度企画委員長), 東海支部 支部長 (2015/2016年度), 東海支部 諮問委員(2017.4~ )、中国四国支部 幹事 (1995.4-2010.5)

  3. 公社)応用物理学会   薄膜・表面物理分科会 幹事 (1997-2018年度, 常任幹事:1998/1999年度), 薄膜・表面物理分科会 幹事長 (2017.4-2019.3), 薄膜・表面物理分科会 顧問 (2019.4~ )

  4. 公社)応用物理学会   シリコンテクノロジー分科会 幹事 (1999-2010年度, 常任幹事:2003/2004年度, 副幹事長2008/2009年度、幹事長:2009/2010年度), シリコンテクノロジー分科会 諮問委員 (2011.4~ )

  5. 一社)電子情報通信学会   シリコン材料・デバイス研究専門委員会 専門委員(SDM6月度研究会担当)(1998年5月~)

  6. 公社)日本表面真空学会   中部支部 役員(2018年5月~)

  7. 旧)公社)日本表面科学会   中部支部 役員(2011年5月~2018年5月まで)

  8. The Materials Research Society of Japan

  9. 公社)日本工学教育協会   理事(2022年6月~2024年6月まで)、事業企画委員会委員(2018年6月~2020年6月まで)

  10. Material Reseach Society

  11. The Electrochemical Society

▼display all

Committee Memberships 133

  1. 名古屋市工業研究所   機関運営会議構成員  

    2023.1   

      More details

    Committee type:Academic society

    名古屋市工業研究所の研究課題に関する意見交換、令和5年1月12日~令和5年1月20日まで

  2. 東海工学教育協会   会長  

    2022.8 - 2024.6   

      More details

    Committee type:Academic society

    規定の通り、令和4年8月26日~令和6年6月30日まで

  3. 公益社団法人 日本工学教育協会   理事  

    2022.6 - 2024.6   

      More details

    Committee type:Academic society

    理事会を構成し定款に従い業務執行の決定に参画、令和4年6月15日~令和6年6月14日まで

  4. 名古屋市工業研究所   機関運営会議構成員  

    2022.1   

      More details

    名古屋市工業研究所の研究課題に関する意見交換
    令和4年1月21日~令和4年1月21日まで

  5. The 9th International Symposium on Control of Semiconductor Interfaces (ISCSI-IX, September 5-8, 2022, Nagoya University, Japan)   International Advisory Committee  

    2022   

  6. 一般社団法人 愛知県発明協会   参与  

    2021.7 - 2023.6   

      More details

    会長の諮問に答え、又は意見を述べる
    令和3年7月12日~令和5年6月30日まで

  7. 公益財団法人 中部電気利用基礎研究振興財団   評議員  

    2021.6 - 2026.6   

      More details

    評議員会への出席、役員等の選解任、定款規定事項の審議等
    令和3年6月11日~令和8年6月30日まで

  8. 公益財団法人 永井科学技術財団   評議員  

    2021.6 - 2024.6   

      More details

    定時評議員会への出席、贈呈式、交歓会への出席参加
    令和3年6月1日~令和6年6月定時評議委員会開催日まで

  9. 公益財団法人 科学技術交流財団   企画運営委員会委員  

    2021.6 - 2023.6   

      More details

    研究交流事業・共同研究事業等についての調査審議
    令和3年6月4日~令和5年6月30日まで

  10. 東海工学教育協会   副会長  

    2021.6 - 2022.6   

      More details

    規定の通り
    令和3年6月24日~令和4年6月30日まで

  11. 公益財団法人 名古屋産業科学研究所   理事  

    2021.5 - 2024.6   

      More details

    Committee type:Academic society

    理事会を構成し、法令及び定款に定めるところにより業務の執行の決定に参画する
    令和3年5月10日~令和6年6月30日まで

  12. 公益財団法人 日比科学技術振興財団   理事  

    2021.5 - 2024.5   

      More details

    業務執行の決定、代表理事等の職務の監視を担う理事会を構成
    令和3年5月20日~令和6年5月評議員会開催日まで

  13. 公益財団法人 名古屋産業科学研究所   中部ハイテクセンター企画運営委員会委員  

    2021.5 - 2023.3   

      More details

    Committee type:Academic society

    中部ハイテクセンター事業の運営に係る業務
    令和3年5月1日~令和5年3月31日まで

  14. 中部原子力懇談会   参与  

    2021.4 - 2024.3   

      More details

    当会への事業活動への指導
    令和3年4月23日~令和6年3月31日まで

  15. 公益財団法人 名古屋産業科学研究所   中部TLO企画運営委員会委員  

    2021.4 - 2024.3   

      More details

    Committee type:Academic society

    中部TLO事業の企画及び推進等に関する審議
    令和3年4月13日~令和6年3月31日まで

  16. 一般社団法人 八大学工学系連合会   理事  

    2021.4 - 2023.4   

      More details

    Committee type:Academic society

    必要に応じて、法人運営について意見を述べること等
    令和3年4月23日~令和5年4月30日まで

  17. 公益財団法人 中部科学技術センター   中部イノベネット運営委員  

    2021.4 - 2023.3   

      More details

    中部イノベネット事業における技術支援の提供や連携、会議への出席
    令和3年4月28日~令和5年3月31日まで

  18. 公益財団法人 立松財団   理事  

    2021.4 - 2023.3   

      More details

    理事会メンバーとして財団運営の意思決定に参画
    令和3年4月1日~令和5年3月31日まで

  19. 公益財団法人 科学技術交流財団   主幹研究員  

    2021.4 - 2022.3   

      More details

    Committee type:Academic society

    大学連合からの支援職員い関する取決め第1のとおり
    令和3年4月9日~令和4年3月31日まで

  20. The Electrochemical Society(ECS)日本支部   日本支部長  

    2021.1 - 2022.12   

  21. 公益財団法人 岐阜県産業経済振興センター   研究開発委員会 委員(アドバイザー)  

    2020.9 - 2023.3   

  22. Nanomaterials, Editorial Board Member   Editorial Board Member  

    2020.7   

  23. 独立行政法人 日本学術振興会 R025先進薄膜界面機能創成委員会   委員  

    2020.6   

  24. 日本放射光学会年会・放射光科学合同シンポジウム(JSR)組織委員会   JSR2021組織委員  

    2020 - 2021   

      More details

    第34回日本放射光学会年会・放射光科学合同シンポジウム(JSR2021)(開催日:2021年1月8-10日、開催形態:オンライン)

  25. 公益財団法人 科学技術交流財団   あいちシンクロトロン光センター運営委員会委員  

    2019.5 - 2023.6   

      More details

    あいちシンクロトロン光センターの運営に係る助言
    令和元年5月~令和5年6月30日まで

  26. 公益社団法人 応用物理学会 薄膜・表面物理分科会   顧問  

    2019.4   

      More details

    顧問 (2019.4~ )

  27. 東京医科歯科大学   生体医歯工学共同研究拠点運営委員会委員  

    2019.4 - 2024.3   

      More details

    東京医科歯科大学生体材料工学研究所 生体医歯工学共同研究拠点運営委員会委員 (2019 - 2023年度)

  28. 日本放射光学会 年会・放射光科学合同シンポジウム(JSR)組織委員会   JSR2020副実行委員長/プログラム委員/組織委員  

    2019 - 2020   

      More details

    第33回日本放射光学会年会・放射光科学合同シンポジウム(JSR2020)(開催日:2020年1月10-12日、開催地:名古屋)

  29. 11th Int. Symp. on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials/12th Int. Conf. on Plasma-Nano Technology (ISPlasma 2019/IC-PLANT 2019; Nagoya, March 17-21, 2019) Organizing Committee Chair   国際会議・シンポジウム オーガナイザ  

    2019   

      More details

    11th Int. Symp. on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials/12th Int. Conf. on Plasma-Nano Technology (ISPlasma 2019/IC-PLANT 2019; Nagoya, March 17-21, 2019) Organizing Committee Chair

  30. 8th Int. Symp. on Control of Semiconductor Interfaces (Sendai, Nov. 27-30, 2019) Organizing Committee Chair   国際会議・シンポジウム オーガナイザ  

    2019   

      More details

    8th Int. Symp. on Control of Semiconductor Interfaces (Sendai, Nov. 27-30, 2019) Organizing Committee Chair

  31. 公益社団法人 日本工学教育協会   事業企画委員会委員  

    2018.6 - 2020.6   

  32. 公益社団法人 日本表面真空学会 中部支部   役員  

    2018.5   

      More details

    中部支部 役員 (2018.5~ )

  33. 独立行政法人 日本学術振興会 協力会   評議員  

    2018.5 - 2020.9   

  34. Int. SiGe Technology and Device Meeting (ISTDM)/Int. Conf. on Silicon Epitaxy and Heterostructures (ICSI) (Joint ISTDM/ICSI Conf.; 1st 2018 (Potsdam), 2nd 2019(Madison WI), 3rd 2021(Taipei))   国際会議諮問委員  

    2018 - 2021   

      More details

    Int. SiGe Technology and Device Meeting (ISTDM)/Int. Conf. on Silicon Epitaxy and Heterostructures (ICSI) (Joint ISTDM/ICSI Conf.; 1st 2018 (Potsdam), 2nd 2019(Madison WI), 3rd 2021(Taipei))

  35. 1st joint Int. SiGe Technology and Device Meeting (ISTDM)/Int. Conf. on Silicon Epitaxy and Heterostructures (ICSI) (1st Joint ISTDM/ICSI Conf., Potsdam, 2018) Co-chair   国際会議プログラム委員, Co-chair  

    2018   

      More details

    1st joint Int. SiGe Technology and Device Meeting (ISTDM)/Int. Conf. on Silicon Epitaxy and Heterostructures (ICSI) (1st Joint ISTDM/ICSI Conf., Potsdam, 2018) Co-chair

  36. 14th Int. Conf. on Atomically Controlled Surfaces, Interfaces and Nanostructures (Sendai, Oct.21-15, 2018) Organizing Committee Chair   国際会議・シンポジウム オーガナイザ  

    2018   

      More details

    14th Int. Conf. on Atomically Controlled Surfaces, Interfaces and Nanostructures (Sendai, Oct.21-15, 2018) Organizing Committee Chair

  37. 10th Anniversary Int. Symp. on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials/11th Int. Conf. on Plasma-Nano Technology (ISPlasma 2018/IC-PLANT 2018; Nagoya, March 4-8, 2018) Organizing Committee Vice-Chair   国際会議・シンポジウム オーガナイザ  

    2018   

      More details

    10th Anniversary Int. Symp. on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials/11th Int. Conf. on Plasma-Nano Technology (ISPlasma 2018/IC-PLANT 2018; Nagoya, March 4-8, 2018) Organizing Committee Vice-Chair

  38. 公益社団法人 応用物理学会 東海支部   諮問委員  

    2017.4 - 2025.3   

      More details

    支部役員会における助言、指導、2017年4月1日~2025年3月31日まで

  39. 国立研究開発法人 産業技術総合研究所   窒化物半導体先進デバイスOIL客員研究員  

    2017.4 - 2024.3   

      More details

    2017.4~2021.3、2022.4~2024.3.31まで。

  40. 公益社団法人 応用物理学会 薄膜・表面物理分科会   幹事長  

    2017.4 - 2019.3   

      More details

    幹事長 (2017.4-2019.3)

  41. European Mat. Res. Soc. Fall Meeting: Symp. I "Integration of Novel Materials and Devices on Silicon for Future Technologies" (Warsaw, 2016)   国際会議プログラム委員  

    2016   

      More details

    European Mat. Res. Soc. Fall Meeting: Symp. I "Integration of Novel Materials and Devices on Silicon for Future Technologies" (Warsaw, 2016)

  42. 2016 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2016, Hakodate, 2016) Steering Committee Co-chair   国際会議実行委員  

    2016   

      More details

    2016 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2016, Hakodate, 2016) Steering Committee Co-chair

  43. 7th Int. Symp. on Control of Semiconductor Interfaces (Nagoya, June 7-16, 2016) Organizing Committee Chair   国際会議・シンポジウム オーガナイザ  

    2016   

      More details

    7th Int. Symp. on Control of Semiconductor Interfaces (Nagoya, June 7-16, 2016) Organizing Committee Chair

  44. 8th Int. Symp. on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials/9th Int. Conf. on Plasma-Nano Technology & Sicence (ISPlasma 2016/IC-PLANTS2016, Nagoya, 2016) Executive Committee Chair   国際会議実行委員  

    2016   

      More details

    8th Int. Symp. on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials/9th Int. Conf. on Plasma-Nano Technology & Sicence (ISPlasma 2016/IC-PLANTS2016, Nagoya, 2016) Executive Committee Chair

  45. 公益社団法人 応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会 共催 電子デバイス界面テクノロジー研究会 ―材料・プロセス・デバイス特性の物理―   運営委員  

    2015   

  46. 公益社団法人 応用物理学会 学術教育・奨励基金委員会   委員  

    2015   

      More details

    学術教育・奨励基金委員会 委員 (2015年度~ )

  47. 公益社団法人 応用物理学会 東海支部   支部長  

    2015 - 2016   

      More details

    支部長 (2015/2016年度)

  48. Int. Symp. on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials/Int. Conf. on Plasma-Nano Technology (ISPlasma/IC-PLANTS; 2014 - 2018, 2020 ~)   国際会議プログラム委員  

    2014   

      More details

    Int. Symp. on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials/Int. Conf. on Plasma-Nano Technology (ISPlasma/IC-PLANTS; 2014 - 2018, 2020 ~)

  49. Int. Symp. on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials/Int. Conf. on Plasma-Nano Technology & Science (ISPlasma/IC-PLANTS, 2014~ )   国際会議組織運営委員  

    2014   

      More details

    Int. Symp. on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials/Int. Conf. on Plasma-Nano Technology & Science (ISPlasma/IC-PLANTS, 2014~ )

  50. Int. Conf. on Solid State Devices and Materials (SSDM; 2014~ )   国際会議組織運営委員  

    2014   

      More details

    Int. Conf. on Solid State Devices and Materials (SSDM; 2014~ )

  51. ECS Trans. Vol. 98, No. 5 (2020), Vol. 85, No.16 (2018), Vol.75, No.8 (2016), Vol.64, No. 6 (2014), Ed. Member   Ed. Member  

    2014 - 2020   

      More details

    ECS Trans. Vol. 98, No. 5 (2020), Vol. 85, No.16 (2018), Vol.75, No.8 (2016), Vol.64, No. 6 (2014), Ed. Member

  52. Thin Solid Films, Vol 557, 30 (2014), Managing Gest Editor   Managing Gest Editor  

    2014   

      More details

    Thin Solid Films, Vol 557, 30 (2014), Managing Gest Editor

  53. 8th Int.Conf.on Reactive Plasmas (ICRP-8; Fukuoka, 2014)   国際会議諮問委員  

    2014   

      More details

    8th Int.Conf.on Reactive Plasmas (ICRP-8; Fukuoka, 2014)

  54. 公益社団法人 応用物理学会 講演会企画・運営委員会   委員  

    2013.4 - 2014.3   

      More details

    委員 (2013年度)
    応用物理学会 第52期応用物理学委員会

  55. 公益社団法人 応用物理学会   諮問委員  

    2013.2 - 2014.2   

      More details

    諮問委員 (2013/2014年度)

  56. 6th Int. Symp. on Control of Semiconductor Interfaces (ISCSI-VI, Fukuoka, 2013)   国際会議組織運営委員  

    2013   

      More details

    6th Int. Symp. on Control of Semiconductor Interfaces (ISCSI-VI, Fukuoka, 2013)

  57. Electrochemical Society   ECS Japan Section, Member-at-large(2012.8~2016.12), 2nd Vice Chair(2017.1~2018.12), 1st Vice Chair(2019.1~2020.12)  

    2012.8 - 2020.12   

  58. 高輝度光科学研究センターSPring-8成果審査委員会   査読者  

    2012.1 - 2014.3   

  59. Int. Symp. on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials (ISPlasma,4th 2012, 5th 2013)   国際会議プログラム委員  

    2012 - 2013   

      More details

    Int. Symp. on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials (ISPlasma,4th 2012, 5th 2013)

  60. J. Non-Cryst. Solids, Vol.358, Issue 17 (2012) : Special Issue for Proc. of the 24th Int. Conf. on Amorphous and Nanocrystalline Semiconductors (ICANS 24) Guest Editor (Head of Guest Editors)   Guest Editor (Head of Guest Editors)  

    2012   

      More details

    J. Non-Cryst. Solids, Vol.358, Issue 17 (2012) : Special Issue for Proc. of the 24th Int. Conf. on Amorphous and Nanocrystalline Semiconductors (ICANS 24) Guest Editor (Head of Guest Editors)

  61. 電子情報通信学会 エレクトロニクスソサイエティ英文論文誌編集委員会   編集委員  

    2011.9 - 2012.5   

  62. SPring-8利用研究課題審査委員会分科会   レフェリー  

    2011.6 - 2013.3   

  63. 旧) 公益社団法人 日本表面科学会 中部支部   役員  

    2011.5 - 2018.5   

      More details

    役員 (2011.5-2018.5 )

  64. 公益社団法人 応用物理学会 シリコンテクノロジー分科会   諮問委員  

    2011.4   

      More details

    諮問委員 (2011.4~ )

  65. 公益社団法人 応用物理学会 東海支部   幹事  

    2011.4 - 2016   

      More details

    東海支部 幹事 (2011-2016, 2013年度企画委員長)

  66. 公益社団法人 応用物理学会   理事 (2011/2012年度; 講演会企画・運営委員会、講演奨励賞委員会, 2015/2016年度)  

    2011.4 - 2016   

  67. 公益社団法人 応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会 共催 特別研究会 「ゲートスタック研究会 ─材料・プロセス・評価の物理─」   運営委員  

    2011.3 - 2015.3   

  68. Int. Workshop on Dielectric Thin Films (IWDTF; Tokyo, 2011(Chair), 2013, 2015, 2017, 2019, 2021)   国際会議組織運営委員  

    2011 - 2021   

      More details

    Int. Workshop on Dielectric Thin Films (IWDTF; Tokyo, 2011(Chair), 2013, 2015, 2017, 2019, 2021)

  69. 24th Int. Conf. on Amorphous and Nanocrystalline Semiconductors (ICANS24, Nara, 2011)   国際会議プログラム委員  

    2011   

      More details

    24th Int. Conf. on Amorphous and Nanocrystalline Semiconductors (ICANS24, Nara, 2011)

  70. Key Engineering Materials, Vol.470 "Technology Evolution for Silicon Nano-Electronics", (2011) Editor   Editor  

    2011   

      More details

    Key Engineering Materials, Vol.470 "Technology Evolution for Silicon Nano-Electronics", (2011) Editor

  71. Jpn. J. Appl. Phys. 50, No.1 (2011): Selected Topics in Applied Physics: Technology Evolution for Silicon Nano-Electronics, Guest Editor   Guest Editor  

    2011   

      More details

    Jpn. J. Appl. Phys. 50, No.1 (2011): Selected Topics in Applied Physics: Technology Evolution for Silicon Nano-Electronics, Guest Editor

  72. 2011 Int. Workshop on Dielectric Thin Films (IWDTF-11,Tokyo, 2011) Chair   国際会議組織運営委員  

    2011   

      More details

    2011 Int. Workshop on Dielectric Thin Films (IWDTF-11,Tokyo, 2011) Chair

  73. 15th Int. Conf. on Thin Films (ICTF-15, Kyoto, 2011) Session Chair   国際会議組織運営委員  

    2011   

      More details

    15th Int. Conf. on Thin Films (ICTF-15, Kyoto, 2011) Session Chair

  74. 24th Int. Conf. on Amorphous and Nanocrystalline Semiconductors (ICANS24, Nara, 2011) Publication Chair: JNCS Guest Editor   国際会議組織運営委員  

    2011   

      More details

    24th Int. Conf. on Amorphous and Nanocrystalline Semiconductors (ICANS24, Nara, 2011) Publication Chair: JNCS Guest Editor

  75. 日本学術振興会 科学研究費委員会   専門委員  

    2010.12 - 2011.11   

  76. 南京大学 電子科学与工程学院   兼職教授  

    2010.10 - 2017.11   

      More details

    任期:2010/10 - 2013/10, 2014/12 - 2017/11

  77. 広島大学 ナノデバイス研究所   客員教授  

    2010.8   

      More details

    2022年4月1日付で研究所名称が「ナノデバイス・バイオ融合科学研究所」から「ナノデバイス研究所」(Resrarch Institute for Nanodevices)に変更。
    シリコン材料・プロセス技術における共同研究および外部連携の推進

  78. Int. Symp. on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials (ISPlasma; Nagoya, 2nd 2010, 3rd 2011, 4th 2012, 5th 2013)   国際会議組織運営委員  

    2010 - 2013   

      More details

    Int. Symp. on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials (ISPlasma; Nagoya, 2nd 2010, 3rd 2011, 4th 2012, 5th 2013)

  79. 公益社団法人 応用物理学会   フェロー  

    2009.9   

  80. 公益社団法人 応用物理学会 国際委員会   委員  

    2009.4 - 2012   

      More details

    国際委員会 委員 (2009-2012年度)

  81. Int. Symp on Dry Process Symp. (DPS: Busan, 2009(Vice-chair), Tokyo, 2010(Chair), Kyoto, 2011(Vice-chair))   国際会議実行委員  

    2009 - 2011   

      More details

    Int. Symp on Dry Process Symp. (DPS: Busan, 2009(Vice-chair), Tokyo, 2010(Chair), Kyoto, 2011(Vice-chair))

  82. 1st Int. Workshop on Si based nano-electronics and -photonics (SINEP-09; Vigo, 2009)   国際会議プログラム委員  

    2009   

      More details

    1st Int. Workshop on Si based nano-electronics and -photonics (SINEP-09; Vigo, 2009)

  83. 公益社団法人 応用物理学会    2009年度中国四国支部学術講演会 (2009年8月1日) 実行委員長  

    2009   

  84. 公益社団法人 応用物理学会   評議員  

    2008.4 - 2011.3   

      More details

    評議員 (2008-2010年度)

  85. 独立行政法人 日本学術振興会 アモルファス・ナノ材料第147委員会   委員  

    2008   

  86. SiGe, Ge, & Related Compounds: Materials, Processing, and Devices Symposium in ECS (2008(Hawaii), 2010(Las Vegas), 2012(Hawaii), 2014(Cancun), 2016(Honolulu), 2018(Cancun), 2020(Honolulu)) Surfaces & Interfaces Committee Chair   国際会議組織運営委員  

    2008 - 2020   

      More details

    SiGe, Ge, & Related Compounds: Materials, Processing, and Devices Symposium in ECS (2008(Hawaii), 2010(Las Vegas), 2012(Hawaii), 2014(Cancun), 2016(Honolulu), 2018(Cancun), 2020(Honolulu)) Surfaces & Interfaces Committee Chair

  87. Int. SiGe Technology and Device Meeting (ISTDM, 4th 2008, 5th 2010, 6th 2012, 8th 2016)   国際会議プログラム委員  

    2008 - 2016   

      More details

    Int. SiGe Technology and Device Meeting (ISTDM, 4th 2008, 5th 2010, 6th 2012, 8th 2016)

  88. Int. Conf. on Plasma Nanotechnology & Science (IC-PLANTS; 2008 - 2012)   国際会議プログラム委員  

    2008 - 2012   

      More details

    Int. Conf. on Plasma Nanotechnology & Science (IC-PLANTS; 2008 - 2012)

  89. 2008 Int. Workshop on Dielectric Thin Films (IWDTF-08,Kawasaki, 2008)   国際会議実行委員  

    2008   

      More details

    2008 Int. Workshop on Dielectric Thin Films (IWDTF-08,Kawasaki, 2008)

  90. Symp. Z, "Material Science and Process Technologies for Advanced Nano-Electronic Devices" (Nagoya, Dec. 9-13, 2008) The IUMRS International Conference in Asia 2008 (IUMRS-ICA, 2008)   国際会議・シンポジウム オーガナイザ  

    2008   

      More details

    Symp. Z, "Material Science and Process Technologies for Advanced Nano-Electronic Devices" (Nagoya, Dec. 9-13, 2008) The IUMRS International Conference in Asia 2008 (IUMRS-ICA, 2008)

  91. Int. Symp. on Control of Semiconductor Interfaces-for Next Generation ULSI Process Integrations-(ISCSI; 5th 2007, 6th 2013)   国際会議プログラム委員  

    2007 - 2013   

      More details

    Int. Symp. on Control of Semiconductor Interfaces-for Next Generation ULSI Process Integrations-(ISCSI; 5th 2007, 6th 2013)

  92. Symp. "A: Amorphous and Polycrystalline Thin-Film Silicon Science and Technology" (San Francisco, April 9-13, 2007; 2008), Mat. Res. Soc. Spring Meeting   国際会議・シンポジウム オーガナイザ  

    2007 - 2008   

      More details

    Symp. "A: Amorphous and Polycrystalline Thin-Film Silicon Science and Technology" (San Francisco, April 9-13, 2007; 2008), Mat. Res. Soc. Spring Meeting

  93. 5th Int. Symp. on Control of Semiconductor Interfaces-for Next Generation ULSI Process Integrations- (ISCSI-V, Tokyo, 2007)   国際会議組織運営委員  

    2007   

      More details

    5th Int. Symp. on Control of Semiconductor Interfaces-for Next Generation ULSI Process Integrations- (ISCSI-V, Tokyo, 2007)

  94. 5th Int. Symp. on Control of Semiconductor Interfaces-for Next Generation ULSI Process Integrations-(ISCSI-V, Tokyo, 2007) Vice-chair   国際会議実行委員  

    2007   

      More details

    5th Int. Symp. on Control of Semiconductor Interfaces-for Next Generation ULSI Process Integrations-(ISCSI-V, Tokyo, 2007) Vice-chair

  95. IEICE Trans. on Electronics; E102-C, No. 6 (2019), E101-C, No. 5 (2018), E100-C, No. 5 (2017), E99-C, No. 5 (2016), E98-C, No. 5 (2015), E97-C, No. 5 (2014), E96-C, No. 5 (2013), E95-C, No.5 (2012), E94-C, No.5 (2011), E93-C, No.5 (2010), E92-C, No.5 (2009), E91-C, No.5 (2008), E90-C, No.5 (2007), E89-C, No.5 (2006): Special Section on Fundamental and Applicationof Advanced Semiconductor Devices, Ed. Commitee Member   Special Section on Fundamental and Applicationof Advanced Semiconductor Devices, Ed. Commitee Member  

    2006 - 2019   

  96. European Mat. Res. Soc. Spring Meeting: Symp. L "Characterization of High-k Dielectric Materials" (Nice, 2006)   国際会議プログラム委員  

    2006   

      More details

    European Mat. Res. Soc. Spring Meeting: Symp. L "Characterization of High-k Dielectric Materials" (Nice, 2006)

  97. Int. Symp on Dry Process Symp. (DPS; 2005 - 2009, 2011~ )   国際会議プログラム委員  

    2005   

      More details

    Int. Symp on Dry Process Symp. (DPS; 2005 - 2009, 2011~ )

  98. Int. Workshop on New Group IV Semiconductor an Nanoelectronics (2005 ~ )   国際会議プログラム委員  

    2005   

      More details

    Int. Workshop on New Group IV Semiconductor an Nanoelectronics (2005 ~ )

  99. Int. Conf. on Silicon Epitaxy and Heterostructures (ICSI; 4th 2005, 5th 2007, 6th 2009, 7th 2011(Co-chair), 8th 2013 (Chair))   国際会議プログラム委員  

    2005 - 2013   

      More details

    Int. Conf. on Silicon Epitaxy and Heterostructures (ICSI; 4th 2005, 5th 2007, 6th 2009, 7th 2011(Co-chair), 8th 2013 (Chair))

  100. Int. Meeting for Future of Electron Devices, Kansai (IMFEDK; 2005 - 2010)   国際会議プログラム委員  

    2005 - 2010   

      More details

    Int. Meeting for Future of Electron Devices, Kansai (IMFEDK; 2005 - 2010)

  101. IEICE Trans. on Electronics: Special Issue 2005-4EC, Ed. Commitee Member   Ed. Commitee Member  

    2005   

      More details

    IEICE Trans. on Electronics: Special Issue 2005-4EC, Ed. Commitee Member

  102. The Special Joint Symp. on "Evolution and Outlook of Oxide Nonvolatile Memories" (Tokyo, Dec. 11, 2005), Mat. Res. Soc. Japan   国際会議・シンポジウム オーガナイザ  

    2005   

      More details

    The Special Joint Symp. on "Evolution and Outlook of Oxide Nonvolatile Memories" (Tokyo, Dec. 11, 2005), Mat. Res. Soc. Japan

  103. IEICE Trans. on Electronics E87-C, No.1 (2004): Special Section on High-k Gate Dielectrics, Guest Editor   Guest Editor  

    2004   

      More details

    IEICE Trans. on Electronics E87-C, No.1 (2004): Special Section on High-k Gate Dielectrics, Guest Editor

  104. Int.Conf.on Polycrystalline Semiconductors (POLYSE; Potsdam, 2004)   国際会議諮問委員  

    2004   

      More details

    Int.Conf.on Polycrystalline Semiconductors (POLYSE; Potsdam, 2004)

  105. 一般社団法人 電気学会 システム集積プロセス調査専門委員会   委員  

    2003.3 - 2006.3   

      More details

    委員 (2003.3-2006.3)

  106. e-Journal of Surf. Sci. and Nanotechnology, Ed. Board Member(2003-)   Ed. Board Member  

    2003   

      More details

    e-Journal of Surf. Sci. and Nanotechnology, Ed. Board Member(2003-)

  107. Jpn. J. Appl. Phys. 50, No.4B (2011), 49, No.4B (2010), 48, No.4B (2009), 47, No.4B (2008), 46. No.4B (2007), 45. No.4B (2006), 44. No.4B (2005), 43. No.4B(2004), 42. No.4B (2003): Special Issue for Int. Conf. on Solid State Devices and Material, Ed. Commitee Member   Ed. Commitee Member  

    2003 - 2011   

      More details

    Jpn. J. Appl. Phys. 50, No.4B (2011), 49, No.4B (2010), 48, No.4B (2009), 47, No.4B (2008), 46. No.4B (2007), 45. No.4B (2006), 44. No.4B (2005), 43. No.4B(2004), 42. No.4B (2003): Special Issue for Int. Conf. on Solid State Devices and Material, Ed. Commitee Member

  108. 7th Int. Conf. on Atomically Controlled Surfaces, Interfaces and Nanostructures (ACSIN-7, Nara, 2003)   国際会議実行委員  

    2003   

      More details

    7th Int. Conf. on Atomically Controlled Surfaces, Interfaces and Nanostructures (ACSIN-7, Nara, 2003)

  109. 4th Int. Symp. on Control of Semiconductor Interfaces (ISCSI-4; Tokyo, 2002)   国際会議プログラム委員  

    2002   

      More details

    4th Int. Symp. on Control of Semiconductor Interfaces (ISCSI-4; Tokyo, 2002)

  110. 独立行政法人 日本学術振興会 半導体界面制御技術第154委員会   委員長(2013.4 - 2020.6), 企画幹事長(2008.4 - 2013.3), 企画副幹事長(2004.4 - 2008.3), 企画幹事(2001 - 2013.3)  

    2001.4 - 2020.6   

      More details

    半導体界面制御技術第154委員会 委員長(2013.4 - 2020.6), 企画幹事長(2008.4 - 2013.3), 企画副幹事長(2004.4 - 2008.3), 企画幹事(2001 - 2013.3)

  111. 公益社団法人 応用物理学会    代議員 (2001-2003年度, 2012/2013年度, 2015/2016年度)  

    2001 - 2016   

  112. Int. Workshop on Gate Insulator (IWGI; 2001, 2003)   国際会議プログラム委員  

    2001 - 2003   

      More details

    Int. Workshop on Gate Insulator (IWGI; 2001, 2003)

  113. Int. Conf. on Rapid Thermal Processing for Future Semiconductor Devices (RTP; Ise shima, 2001)   国際会議プログラム委員  

    2001   

      More details

    Int. Conf. on Rapid Thermal Processing for Future Semiconductor Devices (RTP; Ise shima, 2001)

  114. Jpn. J. Appl. Phys. 40, No.4B (2001): Special Issue for 2000 Int. Conf. on Solid State Devices and Material, Ed. Commitee Chairperson   Ed. Commitee Chairperson  

    2001   

      More details

    Jpn. J. Appl. Phys. 40, No.4B (2001): Special Issue for 2000 Int. Conf. on Solid State Devices and Material, Ed. Commitee Chairperson

  115. 8th Int. Conf. on the Formation of Semiconductor Interface (ICFSI-8; Sapporo, 2001)   国際会議プログラム委員  

    2001   

      More details

    8th Int. Conf. on the Formation of Semiconductor Interface (ICFSI-8; Sapporo, 2001)

  116. 一般社団法人 電気学会 グローバルインテグレーションプロセス調査専門委員会   委員  

    2000.3 - 2003.3   

      More details

    委員(2000.3-2003.3)

  117. Asia-Pacific Workshop on Fundamental and Application of Advanced Semiconductor Devices (AWAD; 2000 ~, 2012(Chair))   国際会議プログラム委員  

    2000   

      More details

    Asia-Pacific Workshop on Fundamental and Application of Advanced Semiconductor Devices (AWAD; 2000 ~, 2012(Chair))

  118. 公益社団法人 応用物理学会 シリコンテクノロジー分科会   幹事 (1999-2010年度, 常任幹事:2003/2004年度, 副幹事長2008/2009年度、幹事長:2009/2010年度)  

    1999.4 - 2010.3   

  119. Int. Workshop on Dielectric Thin Films (IWDTF; 1999, 2004, 2006) Vice-chair   国際会議プログラム委員  

    1999 - 2006   

      More details

    Int. Workshop on Dielectric Thin Films (IWDTF; 1999, 2004, 2006) Vice-chair

  120. Silicon Nanoelectronics Workshop(SNW; 1999 - 2005)   国際会議プログラム委員  

    1999 - 2005   

      More details

    Silicon Nanoelectronics Workshop(SNW; 1999 - 2005)

  121. Int. Joint Conf. on Si Epi. and Heterostructure(IJC-Si; Zao, 1999)   国際会議プログラム委員  

    1999   

      More details

    Int. Joint Conf. on Si Epi. and Heterostructure(IJC-Si; Zao, 1999)

  122. 3rd. Int. Symp. on Surface Science for Micro- and Nano-Device Fablication (ISSS-3; Tokyo, 1999)   国際会議プログラム委員  

    1999   

      More details

    3rd. Int. Symp. on Surface Science for Micro- and Nano-Device Fablication (ISSS-3; Tokyo, 1999)

  123. 一般社団法人 電子情報通信学会 シリコン材料・デバイス研究専門委員会   SDM研究専門委員会 専門委員  

    1998.5 - 2023.6   

      More details

    SDM研究専門委員会 専門員(SDM6月度研究会担当)
    平成10年5月~令和5年6月7日まで

  124. 独立行政法人 日本学術振興会 プラズマ材料科学第153委員会   委員  

    1998 - 2003.3   

  125. Jpn. J. Appl. Phys. Assoc. Editor (1998 - 2002)   Assoc. Editor  

    1998 - 2002   

      More details

    Jpn. J. Appl. Phys. Assoc. Editor (1998 - 2002)

  126. 公益社団法人 応用物理学会   第59回応用物理学会学術講演会 (1998年9月15-18日) 現地実行委員  

    1998   

  127. 1998 Int. Conf. on Solid State Devices and Materials (SSDM 1998, Hiroshima, 1998)   国際会議実行委員  

    1998   

      More details

    1998 Int. Conf. on Solid State Devices and Materials (SSDM 1998, Hiroshima, 1998)

  128. 一般社団法人 電気学会 プロセス・インテグレーション調査専門委員会   委員  

    1997.3 - 2000.3   

      More details

    委員 (1997.3-2000.3)

  129. 公益社団法人 応用物理学会 薄膜・表面物理分科会   幹事  

    1997 - 2018   

      More details

    幹事 (1997-2018年度, 常任幹事:1998/1999年度)

  130. Int. Conf. on Solid State Devices and Materials (SSDM; 1997 - 2012 , 2011(Vice-Chair), 2012(Chair))   国際会議プログラム委員  

    1997 - 2012   

      More details

    Int. Conf. on Solid State Devices and Materials (SSDM; 1997 - 2012 , 2011(Vice-Chair), 2012(Chair))

  131. Mat. Res. Soc. Spring Meeting: Symp. A "Amorphous and Polycrystalline Thin-Film Silicon Science and Technology" (San Fransicso, 1997, 1998)   国際会議プログラム委員  

    1997 - 1998   

      More details

    Mat. Res. Soc. Spring Meeting: Symp. A "Amorphous and Polycrystalline Thin-Film Silicon Science and Technology" (San Fransicso, 1997, 1998)

  132. 公益社団法人 応用物理学会 中国四国支部   幹事  

    1995.4 - 2010.5   

      More details

    幹事 (1995.4-2010.5)

  133. 独立行政法人 日本学術振興会 薄膜第131委員会   企画委員 (1993 - 2020.6), 庶務幹事(1999.4 - 2004.3)  

    1993 - 2020.6   

      More details

    薄膜第131委員会 企画委員 (1993 - 2020.6), 庶務幹事(1999.4 - 2004.3)

▼display all

Awards 6

  1. ISPlasma2012 Best Presentation Award

    2011.3   ISPlasma2012 Organizing Committee Chair  

     More details

    Country:Japan

  2. 応用物理学会 中国四国支部 貢献賞

    2010.7   (社)応用物理学会 中国四国支部  

     More details

    Country:Japan

  3. JSAP Fellow Award

    2009.9   The Japan Society of Applied Physics  

     More details

    Country:Japan

  4. Selete Achievement Award

    2004.5   Semiconductor Leading Edge Technologies, Inc.  

     More details

    Country:Japan

  5. Jpn. J. Appl. Phys. Editorial Contribution Award

    2003.4   The Japan Society of Applied Physics  

     More details

    Country:Japan

  6. Inoue Research Award for Young Scientists

    1987.2   Inoue Foundation for Science  

     More details

    Country:Japan

▼display all

 

Papers 285

  1. Formation of germanene with free-standing lattice constant Reviewed International coauthorship International journal

    J. Yuhara, D. Matsuba, M. Ono, A. Ohta, S. Miyazaki, M. Araidai, S. Takakura, M. Nakatake, and G. L. Lay

    Surface Science   Vol. 738   page: 122382 (6 pages)   2023.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.susc.2023.122382

  2. Alignment control of self-assembling Si quantum dots Reviewed International journal

    Y. Imai, R. Tsuji, K. Makihara, N. Taoka, A. Ohta, and S. Miyazaki

    Materials Science in Semiconductor Processing   Vol. 162   page: 107526 (5 pages)   2023.8

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.mssp.2023.107526

    Web of Science

  3. Layer transfer of ultrathin Ge crystal segregated on Al/Ge(111) structure Reviewed International journal

    K. Matsushita, A. Ohta, S. Shibayama, T. Tokunaga, N. Taoka, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 62 ( SG ) page: SG1007 (8 pages)   2023.6

     More details

    Authorship:Last author, Corresponding author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/acb65c

  4. Room Temperature Light Emission from Superatom-like Ge–Core/Si–Shell Quantum Dots Reviewed International coauthorship International journal

    K. Makihara, Y. Yamamoto, Y. Imai, N. Taoka, M. A. Schubert, B. Tillack, and S. Miyazaki

    Nanomaterials   Vol. 13 ( 9 ) page: 1475 (8 pages)   2023.4

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    OPEN ACCESS

    DOI: 10.3390/nano13091475

    Web of Science

  5. Formation of ultra-thin NiGe film with single crystalline phase and smooth surface Reviewed International journal

    S. Nishimura, N. Taoka, A. Ohta, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 62 ( SC ) page: SC1027 (6 pages)   2023.4

     More details

    Authorship:Last author, Corresponding author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/acac6f

  6. Evaluation of chemical structure and Si segregation of Al/Si(111) Reviewed International journal

    T. Sakai, A. Ohta, K. Matsushita, N. Taoka, K. Makihara and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 62 ( SC ) page: SC1059 (8 pages)   2023.4

     More details

    Authorship:Last author, Corresponding author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/acb1fd

  7. Characterization of magnesium channeled implantation layers in GaN(0001) Reviewed International journal

    A. Suyama, H. Kawanowa, H. Minagawa, J. Maekawa, S. Nagamachi, M. Aoki, A. Ohta, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 62 ( SC ) page: SC1080 (6 pages)   2023.4

     More details

    Authorship:Last author, Corresponding author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/acb951

  8. Effects of Cl Passivation on Al2O3/GaN Interface Properties Reviewed International journal

    T. Nagai, N. Taoka, A. Ohta, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 62 ( SA ) page: SA1002 (5 pages)   2023.1

     More details

    Authorship:Last author, Corresponding author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ac73d9

  9. Study on Electron Emission from Phosphorus δ-Doped Si-QDs/Undoped Si-QDs Multiple-Stacked Structures Reviewed International journal

    K. Makihara, T. Takemoto, S. Obayashi, A. Ohta, N. Taoka, and S. Miyazaki

    IEICE Transactions on Electronics   Vol. E105.C ( 10 ) page: 610 - 615   2022.10

     More details

    Authorship:Last author, Corresponding author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1587/transele.2021FUP0006

  10. Magnetic-Field Dependent Electron Transport of Fe3Si Nanodots Reviewed International journal

    J. Wu, K. Makihara, H. Zhang, N. Taoka, A. Ohta, and S. Miyazaki

    IEICE Transactions on Electronics   Vol. E105.C ( 10 ) page: 616 - 621   2022.10

     More details

    Authorship:Last author, Corresponding author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1587/transele.2021FUP0007

  11. 工学教育へ高まる期待 Reviewed

    宮﨑 誠一

    工学教育(J. of JSEE)   Vol. 70 ( 5 ) page: 5_1   2022.9

     More details

    Authorship:Lead author, Last author, Corresponding author   Language:Japanese   Publishing type:Research paper (scientific journal)  

    DOI: 10.4307/jsee.70.5_1

  12. Impact of substrate heating during Al deposition and post annealing on surface morphology, Al crystallinity, and Ge segregation in Al/Ge(111) structure Reviewed International journal

    K. Matsushita, A. Ohta, N. Taoka, S. Hayashi, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 61 ( SH ) page: SH1012 (9 pages)   2022.7

     More details

    Authorship:Last author, Corresponding author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ac5fbc

  13. Characterization of electronic charged states of high density self-aligned Si-based quantum dots evaluated with AFM/Kelvin probe technique Reviewed International journal

    Y. Imai, K. Makihara, N. Taoka, A. Ohta, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 61 ( SD ) page: SD1012 (5 pages)   2022.6

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ac61aa

  14. Study on silicidation reaction of Fe nanodots with SiH4 Reviewed International journal

    H. Furuhata, K. Makihara, Y. Shimura, S. Fujimori, Y. Imai, A. Ohta, N. Taoka, and S. Miyazaki

    Applied Physics Express   Vol. 15 ( 5 ) page: 055503 (4 pages)   2022.5

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1882-0786/ac6727

  15. Segregation control for ultrathin Ge layer in Al/Ge(111) system Reviewed International journal

    A. Ohta, M. Kobayashi, N. Taoka, M. Ikeda, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 61 ( SA ) page: SA1014 (7 pages)   2022.1

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ac19ff

  16. Effect of substrate temperature on plasma-enhanced self-assembling formation of high-density FePt nanodots Reviewed International journal

    S. Honda, K. Makihara, N. Taoka, H. Furuhata, A. Ohta, D. Oshima, T. Kato, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 61 ( SA ) page: SA1008 (5 pages)   2022.1

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ac2036

  17. Characterization of Light Emission Properties of Impurity Doped Ge/Si Core–Shell Quantum Dots Reviewed International journal

    S. Miyazaki, Y. Imai, and K. Makihara

    ECS Transactions   Vol. 109 ( 4 ) page: 335 - 341   2022

     More details

    Authorship:Lead author, Corresponding author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/10904.0335ecst

  18. Single germanene phase formed by segregation through Al(111) thin films on Ge(111) Reviewed International coauthorship International journal

    J. Yuhara, H. Muto, M. Araidai, M. Kobayashi, A. Ohta, S. Miyazaki, S. Takakura, M. Nakatake, and G. L. Lay

    2D Materials   Vol. 8 ( 4 ) page: 045039   2021.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/2053-1583/ac2bef

  19. Epitaxial growth of massively parallel germanium nanoribbons by segregation through Ag(1 1 0) thin films on Ge(1 1 0) Reviewed

    J. Yuhara, H. Shimazu, M. Kobayashi, A. Ohta, S. Miyazaki, S. Takakura, M. Nakatake, and G. L. Lay

    Appl. Surf. Sci.   Vol. 550   page: 149236 (7 pages)   2021.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.apsusc.2021.149236

  20. Surface flattening and Ge crystalline segregation of Ag/Ge structure by thermal anneal Reviewed

    A. Ohta, K. Yamada, H. Sugawa, N. Taoka, M. Ikeda, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 60 ( SB ) page: SBBK05 (6 pages)   2021.5

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/abdad0

  21. Energy band diagram for SiO2/Si system as evaluated from UPS analysis under vacuum ultraviolet with variable incident photon energy Reviewed

    A. Ohta, T. Imagawa, N. Taoka, M. Ikeda, K. Makihara and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 60 ( SA ) page: SAAC02 (6 pages)   2021.1

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/abb75b

  22. Impact of Boron Doping and H2 Annealing on Light Emission from Ge/Si Core-Shell Quantum Dots Invited Reviewed

    S. Miyazaki, and K. Makihara

    ECS Transactions   Vol. 104 ( 4 ) page: 105 - 112   2021

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/10404.0105ecst

  23. Effect of B-doping on photoluminescence properties of Si quantum dots with Ge core Reviewed

    K. Makihara, S. Fujimori, M. Ikeda, A. Ohta, and S. Miyazaki

    Materials Science in Semiconductor Processing   Vol. 120   page: 105250 (5 pages)   2020.12

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.mssp.2020.105250

    Web of Science

  24. Characterization of photoluminescence from Si quantum dots with B δ-doped Ge core Reviewed

    T. Maehara, S. Fujimori, M. Ikeda, A. Ohta, K. Makihara, and S. Miyazaki

    Materials Science in Semiconductor Processing   Vol. 119 ( 15 ) page: 105215 (4 pages)   2020.11

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.mssp.2020.105215

    Web of Science

  25. Electron Field Emission from Multiply-Stacked Si Quantum Dots Structures with Graphene Top-Electrode Reviewed

    T. Niibayashi, T. Takemoto, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    ECS Transactions   Vol. 98 ( 5 ) page: 429-434   2020.9

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/09805.0429ecst

  26. Crystallization of Ge Thin Films on Sapphire(0001) by Thermal Annealing Reviewed

    H. Sugawa, A. Ohta, M. Kobayashi, N. Taoka, M. Ikeda, K. Makihara, and S. Miyazaki

    ECS Transactions   Vol. 98 ( 5 ) page: 505-511   2020.9

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/09805.0505ecst

  27. Characterization of Magnetic-Field Dependent Electron Transport of Fe3Si Nanodots by Using a Magnetic AFM Probe Reviewed

    J. Wu, H. Zhang, H. Furuhata, K. Makihara, M. Ikeda, A. Ohta, and S. Miyazaki

    ECS Transactions   Vol. 98 ( 5 ) page: 493-498   2020.9

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/09805.0493ecst

  28. Complex dielectric function of Si oxide as evaluated from photoemission measurements Reviewed

    A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 59 ( SM ) page: SMMB04 (8 pages)   2020.7

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ab8c99

    Web of Science

  29. Formation of ultrathin segregated-Ge crystal on Al/Ge(111) surface Reviewed

    M. Kobayashi, A. Ohta, M. Kurosawa, M. Araidai, N. Taoka, T. Simizu, M. Ikeda, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 59 ( SG ) page: SGGK15 (6 pages)   2020.4

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ab69de

    Web of Science

  30. Comparative study of photoluminescence properties obtained from SiO2/GaN and Al2O3/GaN structures Reviewed

    N. Takada, N. Taoka, A. Ohta, T. Yamamoto, N. X. Truyen, H. Yamada, T. Takahashi, M. Ikeda, K. Makihara, M. Shimizu, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 58 ( SI ) page: SIIB22 (5 pages)   2019.8

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/ab26ac

    Web of Science

  31. Impact of surface pre-treatment on Pt-nanodot formation induced by remote H2-plasma exposure Reviewed

    S. Fujimori, K. Makihara, M. Ikeda, A. Ohta, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 58 ( SI ) page: SIIA15 (4 pages)   2019.8

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/ab23f9

    Web of Science

  32. Effect of H2-dilution in Si-cap formation on photoluminescence intensity of Si quantum dots with Ge core Reviewed

    S. Fujimori, R. Nagai, M. Ikeda, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 58 ( SI ) page: SIIA01 (4 pages)   2019.8

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/ab0c7a

    Web of Science

  33. Characterization of Electron Field Emission from Multiple-Stacking Si-Based Quantum Dots Reviewed

    Y. Futamura, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    IEICE Transactions on Electronics   Vol. E102.C ( 6 ) page: 458 - 461   2019.6

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1587/transele.2018FUP0007

    Web of Science

  34. Impact of remote plasma oxidation of a GaN surface on photoluminescence properties Reviewed

    N. Takada, N. Taoka, T. Yamamoto, A. Ohta, N. X. Truyen, H. Yamada, T. Takahashi, M. Ikeda, K. Makihara, M. Shimizu, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 58 ( SE ) page: SEEC02 (4 pages)   2019.6

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/ab09c9

    Web of Science

  35. Evaluation of the potential distribution in a multiple stacked Si quantum dots structure by hard X-ray photoelectron spectroscopy Reviewed

    Y. Futamura, Y. Nakashima, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 58 ( SA ) page: SAAE01 (4 pages)   2019.2

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/aaeb38

    Web of Science

  36. Photoemission-Based Characterization of Gate Dielectrics and Stack Interfaces Invited Reviewed

    S. Miyazaki, and A. Ohta

    ECS Transactions   Vol. 92 ( 4 ) page: 11 - 19   2019

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/09204.0011ecst

    Web of Science

  37. Characterization of electron charging and transport properties of Si-QDs with phosphorus doped Ge core Reviewed

    R. Nagai, K. Yamada, S. Fujimori, M. Ikeda, K. Makihara, A. Ohta, and S. Miyazaki

    Semiconductor Science and Technology   Vol. 33 ( 12 ) page: 124021   2018.12

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6641/aaebbc

    Web of Science

  38. Activation mechanism of TiOx passivating layer on crystalline Si Reviewed

    T. Mochizuki, K. Gotoh, A. Ohta, S. Ogura, Y. Kurokawa, S. Miyazaki, K. Fukutani, and N. Usami

    Applied Physics Express   Vol. 11 ( 10 ) page: 102301 (4 pages)   2018.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/APEX.11.102301

    Web of Science

  39. Energy band structure and electrical properties of Ga-oxide/GaN interface formed by remote oxygen plasma Reviewed

    T. Yamamoto, N. Taoka, A. Ohta, N. X. Truyen, H. Yamada, T. Takahashi, M. Ikeda, K. Makihara, O. Nakatsuka, M. Shimizu, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 57 ( 6S3 ) page: 06KA05 (5 pages)   2018.6

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.06KA05

    Web of Science

  40. Low-temperature formation of Ga-oxide/GaN interface with remote oxygen plasma and its interface properties Reviewed

    T. Yamamoto, N. Taoka, A. Ohta, N. X. Truyen, H. Yamada, T. Takahashi, M. Ikeda, K. Makihara, M. Shimizu, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 57 ( 6S2 ) page: 06JE01 (5 pages)   2018.6

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.06JE01

    Web of Science

  41. Interface properties of SiO2/GaN structures formed by chemical vapor deposition with remote oxygen plasma mixed with Ar or He Reviewed

    N. X. Truyen, N. Taoka, A. Ohta, K. Makihara, H. Yamada, T. Takahashi, M. Ikeda, M. Shimizu, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 57 ( 6S3 ) page: 06KA01 (7 pages)   2018.6

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.06KA01

    Web of Science

  42. Growth of two-dimensional Ge crystal by annealing of heteroepitaxial Ag/Ge(111) under N2 ambient Reviewed

    K. Ito, A. Ohta, M. Kurosawa, M. Araidai, M. Ikeda, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 57 ( 6S1 ) page: 06HD08 (5 pages)   2018.6

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.06HD08

    Web of Science

  43. Evaluation of resistive switching properties of Si-rich oxide embedded with Ti nanodots by applying constant voltage and current Reviewed

    A. Ohta, Y. Kato, M. Ikeda, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 57 ( 6S1 ) page: 06HD05 (4 pages)   2018.6

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.06HD05

    Web of Science

  44. Total photoelectron yield spectroscopy of energy distribution of electronic states density at GaN surface and SiO2/GaN interface Reviewed

    A. Ohta, N. X. Truyen, N. Fujimura, M. Ikeda, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 57 ( 6S3 ) page: 06KA08 (6 pages)   2018.6

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.06KA08

    Web of Science

  45. Direct evaluation of electrical dipole moment and oxygen density ratio at high-k dielectrics/SiO2 interface by X-ray photoelectron spectroscopy analysis Reviewed

    N. Fujimura, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 57 ( 4S ) page: 04FB07 (5 pages)   2018.4

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.04FB07

    Web of Science

  46. High thermal stability of abrupt SiO2/GaN interface with low interface state density Reviewed

    N. X. Truyen, N. Taoka, A. Ohta, K. Makihara, H. Yamada, T. Takahashi, M. Ikeda, M. Shimizu, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 57 ( 4S ) page: 04FG11 (5 pages)   2018.4

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.04FG11

    Web of Science

  47. Segregated SiGe ultrathin layer formation and surface planarization on epitaxial Ag(111) by annealing of Ag/SiGe(111) with different Ge/(Si + Ge) compositions Reviewed

    K. Ito, A. Ohta, M. Kurosawa, M. Araidai, M. Ikeda, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 57 ( 4S ) page: 04FJ05 (6 pages)   2018.4

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.04FJ05

    Web of Science

  48. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements Reviewed

    N. X. Truyen, A. Ohta, K. Makihara, M. Ikeda, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 57 ( 1S ) page: 01AD02 (5 pages)   2018.1

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.01AD02

    Web of Science

  49. Formation of Mn-germanide nanodots on ultrathin SiO2 induced by remote hydrogen plasma Reviewed

    Y. Wen, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 57 ( 1S ) page: 01AF05 (4 pages)   2018.1

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.01AF05

    Web of Science

  50. Electroluminescence of superatom-like Ge-core/Si-shell quantum dots by alternate field-effect-induced carrier injection Reviewed

    K. Makihara, M. Ikeda, N. Fujimura, K. Yamada, A. Ohta, and S. Miyazaki

    Applied Physics Express   Vol. 11 ( 1 ) page: 011305 (4 pages)   2018.1

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/APEX.11.011305

    Web of Science

  51. High Density Formation and Magnetoelectronic Transport Properties of Fe3Si Nanodots Reviewed

    H. Zhang, K. Makihara, M. Ikeda, A. Ohta, and S. Miyazaki

    ECS Transactions   Vol. 86 ( 7 ) page: 131 - 138   2018

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/08607.0131ecst

    Web of Science

  52. Impact of phosphorus doping to multiple-stacked Si quantum dots on electron emission properties Reviewed

    D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    Materials Science in Semiconductor Processing   Vol. 70   page: 183 - 187   2017.11

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.mssp.2016.12.015

    Web of Science

  53. Magnetoelectronic transport of double stack FePt nanodots Reviewed

    K. Makihara, T. Kawase, A. Ohta, M. Ikeda, and S. Miyazaki

    Appl. Phys. Lett   Vol. 111 ( 5 ) page: 052403 (4 pages)   2017.7

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4985603

    Web of Science

  54. Evaluation of energy distribution of filled defects of Si oxide thin films from total photoelectron yield spectroscopy Reviewed

    A. Ohta, M. Ikeda, K. Makihara, and S. Miyazakia

    Microelectronic Engineering   Vol. 178   page: 85 - 88   2017.6

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.mee.2017.05.001

    Web of Science

  55. Potential changes and chemical bonding features for Si-MOS structure as evaluated from HAXPES analysis Reviewed

    A. Ohta, H. Murakami, M. Ikeda, K. Makihara, E. Ikenaga, and S. Miyazaki

    Microelectronic Engineering   Vol. 178   page: 80 - 84   2017.6

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.mee.2017.05.002

    Web of Science

  56. Low-temperature formation of crystalline Si:H/Ge:H heterostructures by plasma-enhanced CVD in combination with Ni-nanodots seeding nucleation Reviewed

    Y. Lu, K. Makihara, D. Takeuchi, M. Ikeda, A. Ohta, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 56 ( 6S1 ) page: 06GG07 (4 pages)   2017.6

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.06GG07

    Web of Science

  57. Embedding of Ti Nanodots into SiOx and Its Impact on Resistance Switching Behaviors Reviewed

    Y. Kato, A. Ohta, M. Ikeda, K. Makihara, S. Miyazaki

    IEICE Transactions on Electronics   Vol. E100.C ( 5 ) page: 468 - 474   2017.5

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1587/transele.E100.C.468

    Web of Science

  58. Photoemission study on electrical dipole at SiO2/Si and HfO2/SiO2 interfaces Reviewed

    N. Fujimura, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 56 ( 4S ) page: 04CB04 (6 pages)   2017.4

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.04CB04

    Web of Science

  59. Effects of remote hydrogen plasma on chemical bonding features and electronic states of 4H-SiC(0001) surface Reviewed

    N. X. Truyen, A. Ohta, K. Makihara, M. Ikeda, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 56 ( 1S ) page: 01AF01 (5 pages)   2017.1

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.01AF01

    Web of Science

  60. High-density formation of Ta nanodot induced by remote hydrogen plasma Reviewed

    Y. Wang, D. Takeuchi, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 56 ( 1S ) page: 01AE01 (4 pages)   2017.1

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.01AE01

    Web of Science

  61. Characterization of Interfacial Dipoles at Dielectric Stacks by XPS Analysis Invited Reviewed

    S. Miyazaki, A. Ohta, and N. Fujimura

    ECS Transactions   Vol. 80 ( 1 ) page: 229 - 235   2017

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/08001.0229ecst

    Web of Science

  62. Photoemission Study of Gate Dielectrics on Gallium Nitride Invited Reviewed

    S. Miyazaki, N. X. Truyen, A. Ohta, and T. Yamamoto

    ECS Transactions   Vol. 79 ( 1 ) page: 119 - 127   2017

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/07901.0119ecst

    Web of Science

  63. Processing and Characterization of High Density Si/Ge Quantum Dots for Electroluminescent Devices Invited Reviewed

    S. Miyazaki, K. Yamada, K. Makihara, and M. Ikeda

    ECS Transactions   Vol. 80 ( 4 ) page: 167 - 172   2017

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/08004.0167ecst

    Web of Science

  64. Nano spin-diodes using FePt-NDs with huge on/off current ratio at room temperature Reviewed

    K. Makihara, T. Kato, Y. Kabeya, Y. Mitsuyuki, A. Ohta, D. Oshima, S. Iwata, Y. Darma, M. Ikeda, and S. Miyazaki

    Scientific Reports   Vol. 6   page: 33409 (7 pages)   2016.9

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1038/srep33409

  65. Evaluation of valence band top and electron affinity of SiO2 and Si-based semiconductors using X-ray photoelectron spectroscopy Reviewed

    N. Fujimura, A. Ohta, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 55 ( 8S2 ) page: 08PC06 (5 pages)   2016.8

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.55.08PC06

  66. Impact of embedded Mn nanodots on resistive switching characteristics of Si-rich oxides as measured in Ni-electrode metal–insulator–metal diodes Reviewed

    T. Arai, A. Ohta, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 55 ( 6S1 ) page: 06GH07 (5 pages)   2016.6

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.55.06GH07

  67. Bonding and Electron Energy-Level Alignment at Metal/TiO2 Interfaces: A Density Functional Theory Study Reviewed

    H. Chen, P. Li, N. Umezawa, H. Abe, J. Ye, K. Shiraishi, A. Ohta, and S. Miyazaki

    The Journal of Physical Chemistry   Vol. 120 ( 10 ) page: 5549 - 5556   2016.3

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1021/acs.jpcc.5b12681

  68. Evaluation of field emission properties from multiple-stacked Si quantum dots Reviewed

    D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    Thin Solid Films   Vol. 602   page: 68 - 71   2016.3

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2015.10.070

  69. Study on electroluminescence from multiply-stacking valency controlled Si quantum dots Reviewed

    T. Yamada, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    Thin Solid Films   Vol. 602   page: 48 - 51   2016.3

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2015.10.007

  70. Photoluminescence study of high density Si quantum dots with Ge core Reviewed

    K. Kondo, K. Makihara, M. Ikeda, and S. Miyazaki

    Journal of Applied Physics   Vol. 119 ( 3 ) page: 033103 (5 pages)   2016.1

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4940348

  71. Formation and characterization of high-density FeSi nanodots on SiO2 induced by remote H2 plasma Reviewed

    H. Zhang, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 55 ( 1S ) page: 01AE20 (4 pages)   2016.1

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.55.01AE20

  72. The interface analysis of GaN grown on 0° off 6H-SiC with an ultra-thin buffer layer Reviewed

    Z. Sun, A. Ohta, S. Miyazaki, K. Nagamatsu, H. Lee, M. Olsson, Z. Ye, M. Deki, Y. Honda, and H. Amano

    Jpn. J. Appl. Phys.   Vol. 55 ( 1 ) page: 010303 (3 pages)   2016.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.55.010303

  73. Evaluation of Dielectric Function of Thermally-grown SiO2 and GeO2 from Energy Loss Signals for XPS Core-line Photoelectrons Reviewed

    T. Yamamoto, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki

    ECS Transactions   Vol. 75 ( 8 ) page: 777 - 783   2016

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/07508.0777ecst

  74. Processing and characterization of Si/Ge quantum dots Reviewed

    S. Miyazaki, K. Makihara, A. Ohta, and M. Ikeda

    2016 IEEE International Electron Devices Meeting (IEDM)     page: 826 (33.2.1) - 830 (33.2.4)   2016

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1109/IEDM.2016.7838532

  75. Effect of Ge Core Size on Photoluminescence from Si Quantum Dots with Ge Core Reviewed

    K. Yamada, K. Kondo, K. Makihara, M. Ikeda, A. Ohta, and S. Miyazaki

    ECS Transactions   Vol. 75 ( 8 ) page: 695 - 700   2016

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/07508.0695ecst

  76. High Density Formation of FePt Alloy Nanodots Induced by Remote Hydrogen Plasma and Characterization of their Magnetic Properties Reviewed

    R. Fukuoka, K. Makihara, H. Zhang, A. Ohta, T. Kato, S. Iwata, M. Ikeda, and S. Miyazaki

    Transactions of the Materials Research Society of Japan   Vol. 40 ( 4 ) page: 347-350   2015.12

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.14723/tmrsj.40.347

  77. Electronic defect states in thermally-grown SiO2/4H-SiC structure measured by total photoelectron yield spectroscopy Reviewed

    A. Ohta, K. Makihara, and S. Miyazaki

    Microelectronic Engineering   Vol. 147   page: 264-268   2015.11

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.mee.2015.04.093

  78. Progress in determination method for ultrathin Si-based oxide bandgaps from analysis of energy loss signals for photoelectrons Reviewed

    A. Ohta, H. Murakami, K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 54 ( 6S1 ) page: 06FH08 (5 pages)   2015.6

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.54.06FH08

  79. Resistance-Switching Characteristics of Si-rich Oxide Evaluated by Using Ni Nanodots as Electrodes in Conductive AFM Measurements Reviewed

    A. Ohta, C. Liu, T. Arai, D. Takeuchi, H. Zhang, K. Makihara, and S. Miyazaki

    IEICE Transactions on Electronics   Vol. E98.C ( 5 ) page: 406-410   2015.5

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1587/transele.E98.C.406

  80. High-Resolution Photoemission Study of High-k Dielectric Bilayer Stack on Ge(100) Invited Reviewed

    S. Miyazaki, and A. Ohta

    ECS Transactions   Vol. 69 ( 10 ) page: 165-170   2015

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/06910.0165ecst

  81. Resistive Switching Characteristics of Si-Rich Oxides with Embedding Ti Nanodots Reviewed

    Y. Kato, T. Arai, A. Ohta, K. Makihara, and S. Miyazaki

    ECS Transactions   Vol. 69 ( 10 ) page: 291-298   2015

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/06910.0291ecst

  82. Photoemission Study on Chemical Bonding Features and Electronic Defect States of Thermally-Grown SiO2/4H-SiC Structure Reviewed

    H. Watanabe, A. Ohta, K. Makihara, and S. Miyazaki

    ECS Transactions   Vol. 69 ( 10 ) page: 179-186   2015

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/06910.0179ecst

  83. Application of remote hydrogen plasma to selective processing for Ge-based devices: Crystallization, etching, and metallization Reviewed

    K. Makihara, M. Ikeda, T. Okada, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 53 ( 11S ) page: 11RA02 (4 pages)   2014.11

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.53.11RA02

  84. Effect of electric field concentration using nanopeak structures on the current–voltage characteristics of resistive switching memory Reviewed

    S. Otsuka, T. Shimizu, S. Shingubara, K. Makihara, S. Miyazaki, A. Yamasaki, Y. Tanimoto, and K. Takase

    AIP Advances   Vol. 4 ( 8 ) page: 087110 (7 pages)   2014.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4892823

  85. Selective Growth of Self-Assembling Si and SiGe Quantum Dots Reviewed

    K. Makihara, M. Ikeda, and S. Miyazaki

    IEICE Transactions on Electronics   Vol. E97.C ( 5 ) page: 393-396   2014.5

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1587/transele.E97.C.393

  86. High-Sensitive Detection of Electronic Emission through Si-Nanocrystals/Si-Nanocolumnar Structures by Conducting-Probe Atomic Force Microscopy Reviewed

    D. Takeuchi, K. Makihara, M. Ikeda, S. Miyazaki, H. Kaki, and T. Hayashi

    IEICE Transactions on Electronics   Vol. E97.C ( 5 ) page: 397-400   2014.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1587/transele.E97.C.397

  87. Pre-Amorphization and Low-Temperature Implantation for Efficient Activation of Implanted As in Ge(100) Reviewed

    H. Murakami, S. Hamada, T. Ono, K. Hashimoto, A. Ohta, H. Hanafusa, S. Higashi, and S. Miyazaki

    ECS Transactions   Vol. 64 ( 6 ) page: 423-429   2014

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/06406.0423ecst

  88. Photoluminescence Study of Si Quantum Dots with Ge Core Reviewed

    K. Makihara, K. Kondo, M. Ikeda, A. Ohta, and S. Miyazaki

    ECS Transactions   Vol. 64 ( 6 ) page: 365-370   2014

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/06406.0365ecst

  89. Characterization of Chemical Bonding Features and Interfacial Reactions in Ge-MIS Structure with HfO2/TaGexOy Dielectric Stack Reviewed

    A. Ohta, H. Murakami, K. Hashimoto, K. Makihara, and S. Miyazaki

    ECS Transactions   Vol. 64 ( 6 ) page: 241-248   2014

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/06406.0241ecst

  90. Characterization of Electron Emission from High Density Self-aligned Si-based Quantum Dots by Conducting-Probe Atomic Force Microscopy Reviewed

    D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    ECS Transactions   Vol. 64 ( 6 ) page: 923-928   2014

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/06406.0923ecst

  91. Characterization of Resistive Switching Behaviors of RF Sputtered Si Oxide Resistive Random Access Memories with Ti-Based Electrodes Reviewed

    A. Ohta, M. Fukusima, K. Makihara, H. Murakami, S. Higashi, and S. Miyazaki

    Jpn. J. Appl. Phys   Vol. 52 ( 11S ) page: 11NJ06 (5 pages)   2013.11

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.11NJ06

  92. Highly-Crystallized Ge:H Film Growth from GeH4 Very High Frequency Inductively-Coupled Plasma: Crystalline Nucleation Initiated by Ni Nanodots Reviewed

    K. Makihara, J. Gao, K. Sakaike, S. Hayashi, H. Deki, M. Ikeda, S. Higashi, and S. Miyazaki

    Jpn. J. Appl. Phys   Vol. 52 ( 11S ) page: 11NA04 (3 pages)   2013.11

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.11NA04

  93. Temporal Changes of Charge Distribution in High Density Self-aligned Si-based Quantum Dots as Evaluated by AFM/KFM Reviewed

    N. Tsunekawa K. Makihara, M. Ikeda, and S. Miyazaki

    Trans. of MRS-J   Vol. 38 ( 3 ) page: 393 - 396   2013.9

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.14723/tmrsj.38.393

  94. XPS Study of Energy Band Alignment between Hf-La Oxides and Si(100) Reviewed

    A. Ohta, H. Murakami, S. Higashi, and S. Miyazaki

    Trans. of MRS-J   Vol. 38 ( 3 ) page: 353 - 357   2013.9

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.14723/tmrsj.38.353

  95. High Density Formation of Iron Nanodots on SiO2 Induced by Remote Hydrogen Plasma Reviewed

    H. Zhang, R. Fukuoka, Y. Kabeya, K. Makihara, and S. Miyazaki

    Advanced Materials Research   Vol. 750-752   page: 1011 - 1015   2013.8

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.4028/www.scientific.net/AMR.750-752.1011

  96. Photoexcited Carrier Transfer in a NiSi-Nanodots/Si-Quantum-Dots Hybrid Floating Gate in MOS Structures Reviewed

    M. Ikeda, K. Makihara, and S. Miyazaki

    IEICE Transactions on Electronics   Vol. E96-C ( 5 ) page: 694 - 698   2013.5

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1587/transele.E96.C.694

  97. Control of Interfacial Reaction of HfO2/Ge Structure by Insertion of Ta Oxide Layer Reviewed

    K. Hashimoto, A. Ohta, H. Murakami, S. Higashi, and S. Miyazaki

    IEICE Transactions on Electronics   Vol. E96-C ( 5 ) page: 674 - 679   2013.5

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1587/transele.E96.C.674

  98. X-ray Photoemission Study of SiO2/Si/Si0.55Ge0.45/Si Heterostructures Reviewed

    A. Ohta. K. Makihara, S. Miyazaki, M. Sakuraba, and J. Murota

    IEICE Transactions on Electronics   Vol. E96-C ( 5 ) page: 680 - 685   2013.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1587/transele.E96.C.680

  99. Evaluation of Chemical Composition and Bonding Features of Pt/SiOx/Pt MIM Diodes and Its Impact on Resistance Switching Behavior Reviewed

    A. Ohta, K. Makihara, M. Ikeda, H. Murakamis, S. Higashi, and S. Miyazaki

    IEICE Transactions on Electronics   Vol. E96-C ( 5 ) page: 702 - 707   2013.5

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1587/transele.E96.C.702

  100. Characterization of Resistive Switching of Pt/Si-Rich Oxide/TiN System Reviewed

    M. Fukushima, A. Ohta, K. Makihara, and S. Miyazaki

    IEICE Transactions on Electronics   Vol. E96-C ( 5 ) page: 708 - 713   2013.5

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1587/transele.E96.C.708

  101. Characterization of Local Electronic Transport through Ultrathin Au/Highly-Dense Si Nanocolumnar Structures by Conducting-Probe Atomic Force Microscopy Reviewed

    D. Takeuchi, K. Makihara, M. Ikeda, S. Miyazaki, H. Kaki, and T. Hayashi

    IEICE Transactions on Electronics   Vol. E96-C ( 5 ) page: 718 - 721   2013.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1587/transele.E96.C.718

  102. Characterization of Electroluminescence from One-dimensionally Self-Aligned Si-based Quantum Dots Reviewed

    H. Takami, K. Makihara, M. Ikeda, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 52 ( 4S ) page: 04CG08 (4 pages)   2013.4

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.04CG08

  103. Determination of Energy Band Alignment in Ultrathin Hf-based Oxide/Pt System Reviewed

    A. Ohta, H. Murakami, S. Higashi, and S. Miyazaki

    Journal of Physics: Conference Series   Vol. 417 ( 15th International Conference on Thin Films (ICTF-15) 8–11 November 2011, Kyoto, Japan ) page: 012012 (6 pages)   2013.3

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1742-6596/417/1/012012

  104. Characterization of Ultrathin Ta-oxide Films Formed on Ge(100) by ALD and Layer-by-Layer Methods Reviewed

    K. Mishima, H. Murakami, A. Ohta, S. K. Sahari, T. Fujioka, S. Higashi, and S. Miyazaki

    Journal of Physics: Conference Series   Vol. 417 ( 15th International Conference on Thin Films (ICTF-15) 8–11 November 2011, Kyoto, Japan ) page: 012013 (6 pages)   2013.3

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1742-6596/417/1/012013

  105. Kinetics of thermally oxidation of Ge(100) surface Reviewed

    S. K. Sahari, A. Ohta, M. Matsui, K. Mishima, H. Murakami, S. Higashi, and S. Miyazaki

    Journal of Physics: Conference Series   Vol. 417 ( 15th International Conference on Thin Films (ICTF-15) 8–11 November 2011, Kyoto, Japan ) page: 012014 (6 pages)   2013.3

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1742-6596/417/1/012014

  106. Formation and Characterization of Hybrid Nanodots Floating Gate for Optoelectronic Application Reviewed

    S. Miyazaki

    MRS Online Proceedings Library   Vol. 1510 ( 2 )   2013.2

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1557/opl.2013.272

  107. Resistive Switching Properties of SiOx/TiO2 Multi-Stack in Ti-electrode MIM Diodes Reviewed

    A. Ohta, K. Makihara, M. Fukusima, H. Murakami, S. Higashi, and S. Miyazaki

    ECS Transactions   Vol. 58 ( 9 ) page: 293 - 300   2013

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/05809.0293ecst

  108. Study on Charge Storage and Optical Response of Hybrid Nanodots Floating Gate MOS Devices for Their Optoelectronic Application Invited Reviewed

    S. Miyazaki, M. Ikeda, and K. Makihara

    ECS Transactions   Vol. 58 ( 9 ) page: 231 - 237   2013

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/05809.0231ecst

  109. Study of electron transport characteristics through self-aligned Si-based quantum dots Reviewed

    K. Makihara, M. Ikeda, and S. Miyazaki

    J. Appl. Phys.   Vol. 112 ( 10 ) page: 104301 (5 pages)   2012.11

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4766383

  110. Evaluation of Charge Trapping Properties of Microcrystalline Germanium Thin Films by Kelvin Force Microscopy Reviewed

    K. Makihara, H. Deki, M. Ikeda, and S. Miyazaki

    Journal of Non-Crystalline Solids   Vol. 358 ( 17 ) page: 2086-2089   2012.9

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.jnoncrysol.2011.12.035

  111. Evaluation of Chemical Bonding Features and Resistance Switching Behaviors of Ultrathin Si Oxide Dielectric Sandwiched Between Pt Electrodes Reviewed

    A. Ohta, Y. Goto, S. Nishigaki, H. Murakami, S. Higashi, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 51 ( 6S ) page: 06FF02 (6 pages)   2012.6

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.51.06FF02

  112. Characterization of Resistance-Switching of Si Oxide Dielectrics Prepared by RF Sputtering Reviewed

    A. Ohta, Y. Goto, S. Nishigaki, G. Wei, H. Murakami, S. Higashi, and S. Miyazaki

    IEICE Transactions on Electronics   Vol. E95.C ( 5 ) page: 879-884   2012.5

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1587/transele.E95.C.879

  113. Electroluminescence from One-dimensionally Self-Aligned Si-based Quantum Dots with High Areal Dot Density Reviewed

    K. Makihara, H. Deki, M Ikeda, and S, Miyazaki

    Jpn. J. Appl. Phys   Vol. 51 ( 4S ) page: 04DG08 (5 pages)   2012.4

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.51.04DG08

  114. Control of Schottky Barrier Height at Al/p-Ge Junctions by Ultrathin Layer Insertion Reviewed

    A. Ohta, M. Matsui, H. Murakami, S. Higashi, and S. Miyazaki

    ECS Transactions   Vol. 50 ( 9 ) page: 449-457   2012

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/05009.0449ecst

  115. Characterization of Resistance-Switching Properties of SiOx Films Using Pt Nanodots Electrodes Reviewed

    K. Makihara, M. Fukushima, A. Ohta, M. Ikeda, and S. Miyazaki

    ECS Transactions   Vol. 50 ( 9 ) page: 459-464   2012

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/05009.0459ecst

  116. Evaluation of Chemical Structure and Resistance Switching Characteristics of Undoped Titanium Oxide and Titanium–Yttrium Mixed Oxide Reviewed International journal

    A. Ohta, Y. Goto, G. Wei, H. Murakami, S. Higashi, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 50 ( 10S ) page: 10PH02 (6 pages)   2011.10

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.50.10PH02

  117. X-ray Photoelectron Spectroscopy Study of Interfacial Reactions between Metal and Ultrathin Ge Oxide Reviewed International journal

    A. Ohta, T. Fujioka, H. Murakami, S. Higashi, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 50 ( 10S ) page: 10PE01 (6 pages)   2011.10

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.50.10PE01

  118. Formation of High-Density Pt Nanodots on SiO2 Induced by Millisecond Rapid Thermal Annealing Using Thermal Plasma Jet for Floating Gate Memory Reviewed International journal

    K. Makihara, K. Matsumoto, M. Yamane, T. Okada, N. Morisawa, M. Ikeda, S. Higashi, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 50 ( 8S2 ) page: 08KE06 (4pages)   2011.8

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.50.08KE06

  119. Characterization of chemical bonding features at metal/GeO2 Interfaces by X-ray photoelectron spectroscopy Reviewed International journal

    M. Matsui, H. Murakami, T. Fujioka, A. Ohta, S. Higashi, and S. Miyazaki

    Microelectronic Engineering   Vol. 88 ( 7 ) page: 1549-1552   2011.7

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.mee.2011.03.032

  120. Impact of insertion of ultrathin TaOx layer at the Pt/TiO2 interface on resistive switching characteristics Reviewed International journal

    G. Wei, H. Murakami, T. Fujioka, A. Ohta, Y. Goto, S. Higashi, and S. Miyazaki

    Microelectronic Engineering   Vol. 88 ( 7 ) page: 1152-1154   2011.7

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.mee.2011.03.114

  121. The Impact of Y Addition into TiO2 on Electronic States and Resistive Switching Characteristics Reviewed International journal

    A. Ohta, Y. Goto, M. F. Kazalman, G. Wei, H. Murakami, S. Higashi, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 50 ( 6S ) page: 06GG01 (5 pages)   2011.6

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.50.06GG01

  122. High-density formation of Ge quantum dots on SiO2 Reviewed International journal

    K. Makihara, M. Ikeda, A. Ohta, S. Takeuchi, Y. Shimura, S. Zaima, and S. Miyazaki

    Solid-State Electronics   Vol. 60 ( 1 ) page: 65-69   2011.6

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.sse.2011.01.035

  123. Characterization of Mg Diffusion into HfO2/SiO2/Si(100) Stacked Structures and Its Impact on Detect State Densities Reviewed International journal

    A. Ohta, D. Kanme, H. Murakami, S. Higashi, and S. Miyazaki

    IEICE Transactions on Electronics   Vol. E94.C ( 5 ) page: 717-723   2011.5

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1587/transele.E94.C.717

  124. Impact of Annealing Ambience on Resistive Switching in Pt/TiO2/Pt Structure Reviewed International journal

    G. Wei, Y. Goto, A. Ohta, K. Makihara, H. Murakami, S. Higashi, and S. Miyazaki

    IEICE Transactions on Electronics   Vol. E94.C ( 5 ) page: 699-704   2011.5

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1587/transele.E94.C.699

  125. Study on Collective Electron Motion in Si-Nano Dot Floating Gate MOS Capacitor Reviewed International journal

    M. Muraguchi, Y. Sakurai, Y. Takada, S. Nomura, K. Shiraishi, M. Ikeda, K. Makihara, S. Miyazaki, Y. Shigeta, and T. Endoh

    IEICE Transactions on Electronics   Vol. E94.C ( 5 ) page: 730-736   2011.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1587/transele.E94.C.730

  126. Activation of As Atoms in Ultrashallow Junction during Milli- and Microsecond Annealing Induced by Thermal-Plasma-Jet Irradiation Reviewed International journal

    K. Matsumoto, A. Ohta, S. Miyazaki, and S. Higashi

    Jpn. J. Appl. Phys.   Vol. 50 ( 4S ) page: 04DA07 (4 pages)   2011.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.50.04DA07

  127. Native Oxidation Growth on Ge(111) and (100) Surfaces Reviewed International journal

    S. K. Sahari, H. Murakami, T. Fujioka, T. Bando, A. Ohta, K. Makihara, S. Higashi, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 50 ( 4S ) page: 04DA12 (4 pages)   2011.4

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.50.04DA12

  128. Collective Tunneling Model in Charge-Trap-Type Nonvolatile Memory Cell Reviewed International journal

    M. Muraguchi, Y. Sakurai, Y. Takada, Y. Shigeta, M. Ikeda, K. Makihara, S. Miyazaki, S. Nomura, K. Shiraishi, and T. Endoh

    Jpn. J. Appl. Phys.   Vol. 50 ( 4S ) page: 04DD04 (4 pages)   2011.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.50.04DD04

  129. Application of Thermal Plasma Jet Irradiation to Crystallization and Gate Insulator Improvement for High-Performance Thin-Film Transistor Fabrication Reviewed International journal

    S. Higashi, S. Hayashi, Y. Hiroshige, Y. Nishida, H. Murakami, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 50 ( 3S ) page: 03CB10 (8 pages)   2011.3

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.50.03CB10

  130. Optical Response of Si-Quantum-Dots/NiSi-Nanodots Stack Hybrid Floating Gate in MOS Structures Reviewed International journal

    N. Morisawa, M. Ikeda, K. Makihara, and S. Miyazaki

    Key Engineering Materials   Vol. 470   page: 135-139   2011.2

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.4028/www.scientific.net/KEM.470.135

  131. Formation and Characterization of Silicon-Quantum-Dots/Metal-Silicide-Nanodots Hybrid Stack and its Application to Floating Gate Functional Devices Invited Reviewed International journal

    S. Miyazaki

    ECS Transactions   Vol. 41 ( 7 ) page: 93-98   2011

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/1.3633288

  132. Importance of Electronic State of Two-Dimensional Electron Gas for Electron Injection Process in Nano-Electronic Devices Reviewed International journal

    M. Muraguchi, T. Endoh, Y. Takada, Y. Sakurai, S. Nomura, K. Shiraishi, M. Ikeda, K. Makihara, S. Miyazaki, and Y. Shigeta

    Physica E: Low-dimensional Systems and Nanostructures   Vol. 42 ( 10 ) page: 2602 - 2605   2010.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.physe.2009.12.025

  133. Formation of Cobalt and Cobalt-silicide Nanodots on Ultrathin SiO2 Induced by Remote Hydrogen Plasma Reviewed International journal

    A. Kawanami, K. Makihara, M. Ikeda, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 49 ( 8S1 ) page: 08JA04 (4 pages)   2010.8

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.49.08JA04

  134. Contribution of Carbon to Growth of Boron-Containing Cluster in Heavily Boron-Doped Silicon Reviewed International journal

    H. Itokawa, A. Ohta, M. Ikeda, I. Mizushima, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 49 ( 8R ) page: 081301 (5 pages)   2010.8

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.49.081301

  135. Formation of High Crystallinity Silicon Films by High Speed Scanning of Melting Region Formed by Atmospheric Pressure DC Arc Discharge Micro-Thermal-Plasma-Jet and Its Application to Thin Film Transistor Fabrication Reviewed International journal

    S. Hayashi, S. Higashi, H. Murakami and S. Miyazaki

    Applied Physics Express   Vol. 3 ( 6 ) page: 061401 (3 pages)   2010.6

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/APEX.3.061401

  136. Characterization of Electronic Charged States of Impurity Doped Si Quantum Dots Using Atomic Force Microsope/Kelvin Probe Technique Reviewed International journal

    K. Makihara, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 49 ( 6R ) page: 065002 (4 pages)   2010.6

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.49.065002

  137. Random Telegraph Signals in Two-Dimensional Array of Si Quantum Dots Reviewed International journal

    K. Makihara, M. Ikeda, A. Kawanami and S. Miyazaki

    IEICE Transactions on Electronics   Vol. E93.C ( 5 ) page: 569 - 572   2010.5

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1587/transele.E93.C.569

  138. Activation of B and As in Ultrashallow Junction During Millisecond Annealing Induced by Thermal Plasma Jet Irradiation Reviewed International journal

    K. Matsumoto, S. Higashi, H. Murakami, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 49 ( 4S ) page: 04DA02 (4 pages)   2010.4

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.49.04DA02

  139. Effect of Chemical Composition of SiOx Films on Rapid Formation of Si Nanocrystals Induced by Thermal Plasma Jet Irradiation Reviewed International journal

    T. Okada, S. Higashi, H. Kaku, K. Makihara, H. Furukawa, Y. Hiroshige and S. Miyazaki

    Phys. Status Solidi C   Vol. 7 ( 3-4 ) page: 732 - 734   2010.4

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/pssc.200982804

  140. Light-Induced Carrier Transfer in NiSi-Nanodots/Si-Quantum-Dots Hybrid Floating Gate in Metal–Oxide–Semiconductor Structures Reviewed International journal

    N. Morisawa, M. Ikeda, S. Nakanishi, A. Kawanami, K. Makihara and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 49 ( 4S ) page: 04DJ04 (4 pages)   2010.4

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.49.04DJ04

  141. Characterization of Microcrystalline Silicon Thin Film Transistors Fabricated by Thermal Plasma Jet Crystallization Technique Reviewed International journal

    S. Higashi, K. Sugakawa, H. Kaku, T. Okada, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 49 ( 3S ) page: 03CA08 (4 pages)   2010.3

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    The electrical characteristics of thin-film transistors (TFTs) fabricated by thermal plasma jet (TPJ)-crystallized microcrystalline Si (µc-Si) films have been investigated. Amorphous Si (a-Si) films were crystallized with the TPJ under the scanning speed (v) of 350 to 550 mm/s, and µc-Si TFTs were successfully fabricated with a 300 °C process. By reducing v, µFE increases from 3.2 to 17.1 cm2 V-1 s-1, and Vth and S decrease from 9.2 to 5.2 V and 1.3 to 0.6 V/decade, respectively. The variations of µFE, Vth, and S were kept within small values of 1.06 (±4.4%), 0.14 (±1.1%), and 0.04 (±4.0%), respectively. The µc-Si is formed with ∼20-nm-sized randomly oriented small grains, and this isotropic nature results in very small variation of TFT performance. With decreasing v, the fraction of nano sized grains and disordered bonds at the grain boundary decreases, which results in improved TFT performance.

    DOI: 10.1143/JJAP.49.03CA08

  142. Formation Mechanism of Metal nanodots Induced by Remote Plasma Exposure Reviewed International journal

    K. Makihara, K. Shimanoe, A. Kawanami, M. Ikeda, S. Higashi and S. Miyazaki

    Journal of Optoelectronics and Advanced Materials   Vol. 12 ( 3 ) page: 626 - 630   2010.3

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

  143. Anomalous temperature dependence of electron tunneling between a two-dimensional electron gas and Si dots Reviewed International journal

    Y. Sakurai, S. Nomura, Y. Takada, J. Iwata, K. Shiraishi, M. Muraguchi, T. Endoh, Y. Shigeta, M. Ikeda, K. Makihara, and S. Miyazaki

    Physica E: Low-dimensional Systems and Nanostructures   Vol. 42 ( 4 ) page: 918 - 921   2010.2

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.physe.2009.11.120

  144. Formation and Characterization of Hybrid Nanodot Stack Structure for Floating Gate Application Reviewed International journal

    S. Miyazaki, K. Makihara, and M. Ikeda

    Thin Solid Films   Vol. 518 ( 6 ) page: S30 - S34   2010.1

     More details

    Authorship:Lead author, Corresponding author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2009.10.049

  145. Temperature Dependence of Electron Tunneling between Two Dimensional Electron Gas and Si Quantum Dots Reviewed International journal

    Y. Sakurai, J. Iwata, M. Muraguchi, Y. Shigeta, Y. Takada, S. Nomura, T. Endoh, S. Saito, K. Shiraishi, M. Ikeda, K. Makihara, and S. Miyazaki

      Vol. 49 ( 1R ) page: 014001 (4 pages)   2010.1

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    Quantum mechanical electron tunneling has potential applications in both science and technology, such as flash memories in modern LSI technologies and electron transport chains in biosystems. Although it is known that one-dimensional quantum electron tunneling lacks temperature dependence, the behavior of electron tunneling between different dimensional systems is still an open question. Here, we investigated the electron tunneling between a two-dimensional electron gas (2DEG) and zero-dimensional Si quantum dots and discovered an unexpected temperature dependence: At high temperature, the gate voltage necessary for electron injection from 2DEG to Si quantum dots becomes markedly small. This unusual tunneling behavior was phenomenologically explained by considering the geometrical matching of wave functions between different dimensional systems. We assumed that electron tunneling would occur within a finite experimental measurement time. Then, the observed electron tunneling is explained only by the contributions of wave packets below the quantum dot with a finite lifetime rather than the ordinary thermal excited states of 2DEG.

    DOI: 10.1143/JJAP.49.014001

  146. Formation of High Density Metal Silicide Nanodots on Ultrathin SiO2 for Floating Gate Memory Application Reviewed International journal

    S. Miyazaki, M. Ikeda, K. Makihara, K. Shimanoe and R. Matsumoto

    J. of Materials Science Forum   Vol. 638-642   page: 1725 - 1730   2010.1

     More details

    Authorship:Lead author, Corresponding author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.4028/www.scientific.net/MSF.638-642.1725

  147. Characterization of Interfaces between Chemically Cleaned or Thermally Oxidized Germanium and Metals Reviewed International journal

    H. Murakami, T. Fujioka, A. Ohta, T. Bando, S. Higashi and S. Miyazaki

    ECS Trans.   Vol. 33 ( 6 ) page: 253 - 262   2010

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/1.3487556

  148. Self-Align Formation of Si Quantum Dots Reviewed International journal

    K. Makihara, M. Ikeda, H. Deki, A. Ohta and S. Miyazaki

    ECS Trans.   Vol. 33 ( 6 ) page: 661 - 667   2010

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/1.3487596

  149. Formation of Pseudo-Expitaxial Ge Films on Si(100) by Droplet of Ge Microliquid Reviewed International journal

    T. Matsumoto, S. Higashi, K. Makihara, M. Akazawa and S. Miyazaki

    ECS Trans.   Vol. 33 ( 6 ) page: 165 - 170   2010

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/1.3487545

  150. Characterization of Interfacial Reaction and Chemical Bonding Features of LaOx/HfO2 Stack Structure Formed on Thermally-grown SiO2/Si(100) Reviewed International journal

    A. Ohta, D. Kanme, H. Murakami, S. Higashi, and S. Miyazaki

    Microelectronic Engineering   Vol. 86 ( 7-9 ) page: 1650 - 1653   2009.7

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.mee.2009.03.114

  151. Electron Charged States of Pt-silicide Nanodots as Evaluated by Using an AFM/Kelvin Probe Technique Reviewed International journal

    K. Makihara, K. Shimanoe, M. Ikeda, A. Ohta, S. Higashi, and S. Miyazaki

    Transactions of the Materials Research Society of Japan   Vol. 34 ( 2 ) page: 309 - 312   2009.6

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.14723/tmrsj.34.309

  152. Photoemission study of fully silicided Pd2Si gates with interface modification induced by dopants Reviewed International journal

    T. Hosoi, A. Ohta, S. Miyazaki, H. Shiraish, K. Shibahara

    Appl. Phys. Lett.   Vol. 94 ( 19 ) page: 192102 (3 pages)   2009.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.3133337

  153. Formation of Pd Nanodots Induced by Remote Hydrogen Plasma and Its Application to Floating Gate MOS Memories Reviewed International journal

    K. Shimanoe K. Makihara, M. Ikeda, and S. Miyazaki

    IEICE Transactions on Electronics   Vol. E92.C ( 5 ) page: 616 - 619   2009.5

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1587/transele.E92.C.616

  154. Electroluminescence from Si Quantum Dots/SiO2 Multilayers with Ultrathin Oxide Layers due to Bipolar Injection Reviewed International journal

    J. Xu, K. Makihara, H. Dek, and S. Miyazaki

    Solid State Communications   Vol. 149 ( 19-20 ) page: 739 - 742   2009.5

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.ssc.2009.03.013

  155. Effective-Work-Function Control by Varying the TiN Thickness in Poly-Si/TiN Gate Electrodes for Scaled High-k CMOSFETs Reviewed International journal

    M. Kadoshima, T. Matsuki, S. Miyazaki, K. Shiraishi, T. Chikyo, K. Yamada, T. Aoyama, Y. Nara, and Y. Ohji

    IEEE Electron Device Letters   Vol. 30 ( 5 ) page: 466 - 468   2009.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1109/LED.2009.2016585

  156. Formation of Metal Silicide Nanodots on Ultrathin SiO2 for Floating Gate Application Reviewed International journal

    S. Miyazaki, M. Ikeda, K. Makihara, K. Shimanoe and R. Matsumoto

    Solid State Phenomena   Vol. 154   page: 95 - 100   2009.4

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    We demonstrated a new fabrication method of Pt- and Ni-silicide nanodots with an areal density of the order of ~1011 cm-2 on SiO2 through the process steps of ultrathin metal film deposition on pre-grown Si-QDs and subsequent remote H2 plasma treatments at room temperature.
    Verification of electrical separation among silicide nanodots was made by measuring surface potential changes due to electron injection and extraction using an AFM/Kelvin probe technique.
    Photoemission measurements confirm a deeper potential well of silicide nanodots than Si-QDs and a resultant superior charge retention was also verified by surface potential measurements after charging to and discharging. Also, the advantage in many electron storage per silicide nanodot was demonstrated in C-V characteristics of MIS capacitors with silicide nanodots FGs.

    DOI: 10.4028/www.scientific.net/SSP.154.95

  157. Millisecond Rapid Thermal Annealing of Si Wafer Induced by High-Power-Density Thermal Plasma Jet Irradiation and Its Application to Ultrashallow Junction Formation Reviewed International journal

    H. Furukawa, S. Higashi, T. Okada, H. Murakami, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 48 ( 4S ) page: 04C011 (4 pages)   2009.4

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    We have investigated the generation of high-power-density thermal plasma jet (TPJ) as a heat source for the annealing of a Si wafer surface in a millisecond period and the formation of an ultrashallow junction. The power density of DC arc discharge thermal plasma jet markedly increased from 11.0 to 32.3 kW/cm2 with increasing spacing between an anode and a cathode (ES) from 1.0 to 3.0 mm. The increase in TPJ power density with ES was mainly due to the increase in plasma temperature from about 16000 to 23000 K. By applying this high-power-density TPJ, the Si wafer was heated by more than 700 K within 10 ms. Using this annealing technique, we demonstrated the dopant activation of an arsenic-implanted Si wafer and successfully obtained a low sheet resistance of 262 Ω/sq at an annealing temperature as high as 1207 K without a significantly enhanced diffusion of the implantation profile.

    DOI: 10.1143/JJAP.48.04C011

  158. Temperature Dependence of Capacitance of Si Quantum Dot Floating Gate MOS Capacitor Reviewed International journal

    Y. Sakurai, S. Nomura, K. Shiraishi, M. Ikeda, K. Makihara, and S. Miyazaki

    Journal of Physics: Conference Series   Vol. 150 ( Electronic Quantum Transport ) page: 022071 (4 pages)   2009.3

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1742-6596/150/2/022071

  159. Surface Potential Changes Induced by Physisorption of Si-tagged Protein A on HF-last Si(100) and Thermally Grown SiO2 surfaces Reviewed International journal

    S. Mahboob, K. Makihara, A. Ohta, S. Higashi, Y. Hata, A. Kuroda, and S. Miyazaki

    ECS Trans.   Vol. 19 ( 22 ) page: 35 - 43   2009

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/1.3245167

  160. Charge Storage Characteristics of Hybrid Nanodots Floating Gate Reviewed International journal

    S. Miyazaki, K. Makihara, and M. Ikeda

    ECS Trans.   Vol. 25 ( 7 ) page: 433 - 439   2009

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/1.3203980

  161. Physics of Nano-contact between Si Quantum Dots and Inversion Layer Reviewed International journal

    Y. Sakurai, S. Nomura, Y. Takada, J. Iwata, K. Shiraishi, M. Muraguchi, T. Endoh, Y. Shigeta, M. Ikeda, and K. Makihara

    ECS Trans.   Vol. 25 ( 7 ) page: 463 - 469   2009

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/1.3203984

  162. The Influence of Defects and Impurities on Electrical Properties of High-k Dielectrics Reviewed International coauthorship International journal

    J. Dąbrowski, S. Miyazaki, S. Inumiya, G. Kozłowski, G. Lippert, G. Łupina, Y. Nara, H. J. Müssig, A. Ohta, and Y. Pei

    Materials Science Forum   Vol. 608   page: 55 - 109   2008.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Electrical properties of thin high-k dielectric films are influenced (or even governed)
    by the presence of macroscopic, microscopic and atomic-size defects. For most applications,
    a structurally perfect dielectric material with moderate parameters would have sufficiently
    low leakage and sufficiently long lifetime. But defects open new paths for carrier
    transport, increasing the currents by orders of magnitude, causing instabilities due to charge
    trapping, and promoting the formation of defects responsible for electrical breakdown events
    and for the failure of the film. We discuss how currents flow across the gate stack and how
    damage is created in the material. We also illustrate the contemporary basic knowledge on
    hazardous defects (including certain impurities) in high-k dielectrics using the example of a
    family of materials based on Pr oxides. As an example of the influence of stoichiometry on
    the electrical parameters of the dielectric, we analyze the effect of nitrogen incorporation into
    ultrathin Hf silicate films.

    DOI: 10.4028/www.scientific.net/MSF.608.55

  163. Impact of impurity Doping into Si Quantum Dots with Ge Core on Their Electrical Charging Characteristics Reviewed International journal

    K. Makihara, M. Ikeda, S. Higashi, and S. Miyazaki

    Thin Solid Films   Vol. 517 ( 1 ) page: 306 - 308   2008.11

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2008.08.103

  164. Low Temperature High-rate Growth of Crystalline Ge Films on Quartz and Crystalline Si Substrates from VHF Inductively-Coupled Plasma of GeH4 Reviewed International journal

    T. Sakata, K. Makihara, H. Deki, S. Higashi, and S. Miyazaki

    Thin Solid Films   Vol. 517 ( 1 ) page: 216 - 218   2008.11

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2008.08.027

  165. Control of Electronic Charged States of Si-Based Quantum Dots for Floating Gate Application Reviewed International journal

    S. Miyazaki, K. Makihara, and M. Ikeda

    Thin Solid Films   Vol. 517 ( 1 ) page: 41 - 44   2008.11

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2008.08.101

  166. Formation of Low-Defect-Concentration Polycrystalline Silicon Films by Thermal Plasma Jet Crystallization Technique Reviewed International journal

    T. Yorimoto, S. Higashi, H. Kaku, T. Okada, H. Murakami, S. Miyazaki, T. Matsui, A. Masuda, and M. Kondo

    Jpn. J. Appl. Phys.   Vol. 47 ( 8S2 ) page: 6949 - 6952   2008.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Defect concentration in polycrystalline silicon (poly-Si) films formed by thermal plasma jet (TPJ) annealing and excimer laser annealing (ELA) has been investigated on basis of the electrical property and spin density (Ns). Phosphorus-doped Si films with an average concentration of 4.3 ×1017 cm-3 and crystallized by TPJ annealing showed electrical conductivity (σ) values of 2.0 ×10-3–7.8 ×10-2 S/cm, whereas ELA Si films show much lower σ values of (1.6–4.5) ×10-6 S/cm regardless of irradiated laser energy density. Ns values in TPJ annealed Si films were (2.3–4.5) ×1017 cm-3, which are roughly one order of magnitude lower than those of ELA films. These results indicate that dangling bonds in crystallized films are the predominant traps and they strongly govern the electrical property. TPJ crystallization offers the possibility of fabricating poly-Si films with a low defect concentration presumably owing to the much lower cooling rate (∼105 K/s) during crystalline growth than that of ELA (∼1010 K/s). By treating TPJ annealed films with hydrogen plasma for 10 min at 250 °C, a defect density as low as 5.0 ×1016 cm-3 is achieved.

    DOI: 10.1143/JJAP.47.6949

  167. Interface Properties and Effective Work Function of Sb-Predoped Fully Silicided NiSi Gate Reviewed International journal

    T. Hosoi, K. Sano, A. Ohta, K. Makihara, H. Kaku, S. Miyazaki, and K. Shibahara

    Surface and Interface Analysis   Vol. 40 ( 6-7 ) page: 1126 - 1130   2008.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/sia.2827

  168. Progress on Charge Distribution in Multiply-Stacked Si Quantum Dots/SiO2 Structure as Evaluated by AFM/KFM Reviewed International journal

    K. Makihara, M. Ikeda, S. Higashi, and S. Miyazaki

    IEICE Transactions on Electronics   Vol. E91.C ( 5 ) page: 712 - 715   2008.5

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1093/ietele/e91-c.5.712

  169. Cathode Electron Injection Breakdown Model and Time Dependent Dielectric Breakdown Lifetime Prediction in High-k/Metal Gate Stack p-Type Metal–Oxide–Silicon Field Effect Transistors Reviewed International journal

    M. Sato, C. Tamura, K. Yamabe, K. Shiraishi, S. Miyazaki, K. Yamada, R. Hasunuma, T. Aoyama, Y. Nara, and Y. Ohji

    Jpn. J. Appl. Phys.   Vol. 47 ( 5R ) page: 3326 - 3331   2008.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    We have investigated the time dependent dielectric breakdown (TDDB) for a high-k/metal gate p-type metal–oxide–semiconductor field effect transistors (pMOSFETs) under inversion stress. We have found that electrons, injected from the cathode, are minority carriers in the gate leakage current and play an important role in determining TDDB lifetime and that the existence of oxygen vacancies in HfSiON, decide the electron current mechanism in HfSiON. Since electrons from the cathode flow as a tunneling current with the effective barrier height determined by the energy level of the oxygen vacancies in the HfSiON, electron current is strongly dependent on the effective work function of the metal gate. That implies that a higher work function should be effective to suppress of electron current, due to the elevated barrier height for electrons. Therefore, the formation of a high work function metal gate is essential, not only for low threshold voltage of pMOSFETs but also to achieve long TDDB lifetimes.

    DOI: 10.1143/JJAP.47.3326

  170. Effect of He Addition on the Heating Characteristics of Substrate Surface Irradiated by Ar Thermal Plasma Jet Reviewed International journal

    T. Okada, S. Higashi, H. Kaku, N. Koba, H. Murakami, and S. Miyazaki

    Thin Solid Films   Vol. 516 ( 11 ) page: 3680 - 3683   2008.4

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2007.08.090

  171. Crystallization of Amorphous Ge Films Induced by Semiconductor Diode Laser Annealing Reviewed International journal

    K. Sakaike, S. Higashi, H. Murakami, and S. Miyazaki

    Thin Solid Films   Vol. 516 ( 11 ) page: 3595 - 3600   2008.4

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2007.08.028

  172. Nucleation Study of Hydrogenated Microcrystalline Silicon (μc-Si:H) Films Deposited by VHF-ICP Reviewed International journal

    T. Karakawa, S. Higashi, H. Murakami, and S. Miyazaki

    Thin Solid Films   Vol. 516 ( 11 ) page: 3497 - 3501   2008.4

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2007.08.087

  173. Characterization of Multistep Electron Charging and Discharging of a Silicon Quantum Dots Floating Gate by Applying Pulsed Gate Biases Reviewed International journal

    R. Matsumoto, M. Ikeda, S. Higashi, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 47 ( 4S ) page: 3103 - 3106   2008.4

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.47.3103

  174. Self-Assembling Formation of Ni Nanodots on SiO2 Induced by Remote H2 Plasma Treatment and Their Electrical Charging Characteristics Reviewed International journal

    K. Makihara, K. Shimanoe, M. Ikeda, S. Higashi, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 47 ( 4S ) page: 3099 - 3102   2008.4

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    We fabricated nanometer-scale Ni dots and NiSi dots on an ultrathin SiO2 layer using remote H2 plasma and demonstrated the feasibility of remote H2 plasma treatment for controlling the areal density of the dots. 1.8-nm-thick-Ni/SiO2 and Ni/Si-quantum dots (QDs)/SiO2 layer were treated with remote H2 plasma generated by the inductive coupling between an external single-turn antenna and a 60 MHz generator. When a Ni/SiO2 was treated with remote H2 plasma at room temperature, Ni nanodot density could be controlled in the range of 109 to 1012 cm-2 by adjusting the plasma conditions. After the remote H2 plasma treatment of the Ni/Si-QDs, the formation of electrically isolated NiSi dots with an areal density of ∼1011 cm-2 was confirmed. These results imply that hydrogen radicals generated in H2 plasma play an important role in improving surface diffusion caused by energy reduction at the Ni/SiO2 interface. The surface potential of the Ni nanodots changes stepwise with the tip bias. This is due to the multistep electron injection into and extraction of Ni nanodots. The minimum tip biases for electron injection into Ni nanodots, NiSi dots and Si-QDs were -0.2, -0.7, and -1.0 V, respectively. This reflected the difference in electron affinity among Ni, NiSi and Si.

    DOI: 10.1143/JJAP.47.3099

  175. Comprehensive Analysis of Positive and Negative Bias Temperature Instabilities in High-k/Metal Gate Stack Metal–Oxide–Silicon Field Effect Transistors with Equivalent Oxide Thickness Scaling to Sub-1 nm Reviewed International journal

    M. Sato, K. Yamabe, K. Shiraishi, S. Miyazaki, K. Yamada, C. Tamura, R. Hasunuma, S. Inumiya, T. Aoyama, Y. Nara, and Y. Ohji

    Jpn. J. Appl. Phys.   Vol. 47 ( 4S ) page: 2354 - 2359   2008.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    We have undertaken a comprehensive analysis of the positive bias temperature instability (PBTI) and negative bias temperature instability (NBTI) reliabilities of high-k/metal gate stacks. In the case of PBTI, electron traps constituted the main factor in drain current degradation resulting in an initial jump in threshold voltage shift due to fast transient electron traps, which depended only on stress voltage, because of the formation of positive oxygen vacancies near the cathode. However, in the case of NBTI, both interface state degradation (including interface hole traps) and hole traps in bulk HfSiON should be considered. We have clarified that the interface layer quality is related to not only the high transconductance but also the hole traps. The use of a high-quality interfacial layer, such as a wet oxide interface, represents a promising solution for the improvement of NBTI lifetime.

    DOI: 10.1143/JJAP.47.2354

  176. In-situ Measurement of Temperature Variation in Si Wafer during Millisecond Rapid Thermal Annealing Induced by Thermal Plasma Jet Irradiation Reviewed International journal

    H. Furukawa, S. Higashi, T. Okada, H. Kaku, H. Murakami, and S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 47 ( 4S ) page: 2460 - 2463   2008.4

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    An in-situ measurement technique for the temperature profile of a Si wafer during millisecond rapid thermal annealing has been developed. By analyzing the oscillation observed in transient reflectivity of the Si wafer during annealing, we obtain a transient temperature profile with a millisecond time resolution. Since this measurement is based on optical interference, a highly sensitive temperature measurement with an accuracy of 2 K is expected. Using this measurement technique, we controlled Si wafer surface temperature during thermal plasma jet irradiation with the heating and cooling rates in the order of 104–105 K/s.

    DOI: 10.1143/JJAP.47.2460

  177. Growth of Si crystalline in SiOx films induced by millisecond rapid thermal annealing using thermal plasma jet Reviewed International journal

    T. Okada, S. Higashi, H. Kaku, T. Yorimoto, H. Murakami, and S. Miyazaki

    Solid-State Electronics   Vol. 52 ( 3 ) page: 377 - 380   2008.3

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.sse.2007.10.007

  178. Nucleation Control for High Density Formation of Si-based Quantum Dots on Ultrathin SiO2 Reviewed International journal

    K. Makihara, A. Kawanami, M. Ikeda, S. Higashi, and S. Miyazaki

    ECS Trans.   Vol. 16 ( 10 ) page: 255 - 260   2008

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/1.2986779

  179. In-situ Monitoring of Si Wafer Temperature during Millisecond Rapid Thermal Annealing Reviewed International journal

    H. Furukawa, S. Higashi, T. Okada, H. Kaku, H. Murakami, and S. Miyazaki

    ECS Trans.   Vol. 13 ( 1 ) page: 31 - 36   2008

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/1.2911482

  180. Formation of Si Nanocrystals in SiOx Films Induced by Thermal Plasma Jet Annealing and Its Application to Floating Gate Memory Reviewed International journal

    T. Okada, S. Higashi, H. Kaku, H. Furukawa, and S. Miyazaki

    ECS Trans.   Vol. 16 ( 9 ) page: 177 - 182   2008

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/1.2980548

  181. Effect of Annealing on Electronic Characteristics of HfSiON Films fabricated by Damascene Gate Process Reviewed International journal

    K. Yamabe, K. Murata, T. Hayashi, T C. Tamura, M. Sato, A. Uedono, K. Shiraishi, N. Umezawa, T. Chikyow, H. Watanabe, Y. Nara, Y. Ohji, S. Miyazaki, K. Yamada, and R. Hasunuma

    ECS Trans.   Vol. 16 ( 5 ) page: 521 - 526   2008

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/1.2981633

  182. Theory of Metal/Dielectric Interfaces -Breakdown of Schottky Barrier Limits- Reviewed International journal

    K. Shiraishi, T. Nakayama, T. Nakaoka, A. Ohta, and S. Miyazaki

    ECS Trans.   Vol. 13 ( 2 ) page: 21 - 27   2008

     More details

    Authorship:Last author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/1.2908612

  183. Photoemission Study of Metal/HfSiON Gate Stack Reviewed International journal

    S. Miyazaki, H. Yoshinaga, A. Ohta, Y. Akasaka, K. Shiraishi, K. Yamada, S. Inumiya, M. Kadoshima, and Y. Nara

    ECS Trans.   Vol. 13 ( 2 ) page: 67 - 73   2008

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/1.2908618

  184. Practical dual-metal-gate dual-high-k CMOS integration technology for hp 32 nm LSTP utilizing process-friendly TiAlN metal gate

    M. Kadoshima, T. Matsuki, M. Sato, T. Aminaka, E. Kurosawa, A. Ohta, H. Yoshinaga, S. Miyazaki, K. Shiraishi, K. Yamabe, K. Yamada, T. Aoyama, Y. Nara, Y. Ohji

    International Electron Device Meeting 2007 (IEDM)(Washington DC)   Vol. 20.4   page: 531-534   2007.12

     More details

    Language:English  

  185. Performance Improvement of HfAlOxN n-Channel Metal–Oxide–Semiconductor Field-Effect Transistors by Controlling the Bonding Configuration of Nitrogen Atoms Coordinated to Hf Atoms Reviewed

    K. Iwamoto, T. Nishimura1, A. Ohta2, K. Tominaga, T. Nabatame, S. Miyazaki2, and A. Toriumi1,3

    Jpn. J. Appl. Phys.   Vol. 46   page: 7666-7670   2007.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    A high-temperature oxygen annealing (HiTOA) process has been developed to recover the degradation of the electrical characteristics due to the nitrogen incorporation into the HfAlOx film. The HiTOA process was carried out after the introduction of the nitrogen atoms. This process affected the bonding configuration of the nitrogen atom coordinated to the hafnium atom, and reconverted the nitrogen atom into an oxygen one. Therefore, this substitution markedly recovered the gate leakage current through the HfAlOxN film with a slight increase in the equivalent oxide thickness. Additionally, it significantly improved the effective mobility of n+ polycrystalline silicon (poly-Si) gate n-channel metal–oxide–semiconductor field-effect transistors (nMOSFETs).

  186. High Efficiency Dopant Activation Induced by Thermal Plasma Jet Crystallization of Heavily-Phosphorus-Doped Amorphous Si Films

    H. Kaku, S. Higashi, H. Furukawa, T. Okada, T. Yorimoto, H. Murakami, S. Miyazaki

    5th International Symposium on Control of Semiconductor Interfaces (ISCSI-V)(Tokyo)   Vol. OA1   page: 51-52   2007.11

     More details

    Language:English  

  187. Formation of Low-Defect-Concentration Polycrystalline Si Films by Thermal Plasma Jet Crystallization Technique

    T. Yorimoto, S. Higashi, H. Kaku, T. Okada, H. Murakami, S. Miyazaki, T. Matsui, A. Masuda, M. Kondo

    2007 Int. Symposium on Dry Process (DPS2007)(Tokyo)   Vol. 8-03   page: 157-158   2007.11

     More details

    Language:English  

  188. The Impact of Post Deposition NH3-Anneal on La Oxide Films Formed by MOCVD Using La(DPM)3

    R. Yougauchi, A. Ohta, Y. Munetaka, H. Murakami, S. Higashi, S. Miyazaki

    Fifth International Symposium on Control of Semiconductor Interfaces, (ISCSI-V)(Tokyo)   Vol. OA3-8   page: 227-228   2007.11

     More details

    Language:English  

  189. Charge Injection Characteristics of NiSi-Dots/Silicon-Quantum-Dots Stacked Floating Gate in MOS Capacitors

    M. Ikeda, R. Matsumoto, K. Shimanoe, T. Okada, K. Makihara, S. Higashi, S. Miyazaki

    3rd International Workshop in New Group IV Semiconductor Nanoelectronics (SiGe(C)2007)(Sendai)   Vol. P-08   page: 35-36   2007.11

     More details

    Language:English  

  190. High Rate Growth of Crystalline Ge Films at Low Temperatures by Controlling 60MHz Inductively-Coupled Plasma of H2-diluted GeH4

    S. Miyazaki, T. Sakata, K. Makihara, M. Ikeda

    3rd International Workshop in New Group IV Semiconductor Nanoelectronics (SiGe(C)2007)(Sendai)   Vol. P-07   page: 33-34   2007.11

     More details

    Language:English  

  191. Formation of PtSi Nanodots Induced by Remote H2 Plasma

    K. Shimanoe, K. Makihara, A. Ohta, M. Ikeda, S. Higashi, S. Miyazaki

    3rd International Workshop in New Group IV Semiconductor Nanoelectronics (SiGe(C)2007), Sendai   Vol. P-09   page: 37-38   2007.11

     More details

    Language:English  

  192. Impact of Low Temperature Anneal on Effective Work Function and Chemical Bonding Features for Ru/HfSiON/SiON Gate Stack

    A. Ohta, H. Yoshinaga, H. Murakami, S. Higashi, S. Miyazaki, M. Kadoshima, Y. Nara

    Fifth International Symposium on Control of Semiconductor Interfaces (ISCSI-V)(Tokyo)   Vol. OA3-1   page: 215-216   2007.11

     More details

    Language:English  

  193. Evaluation of Effective Work Function in Ru/HfSiON/SiO2 Gate Stack Structures – Thickness Dependence in Bottom SiO2 layer

    H. Yoshinaga, A. Ohta, H. Murakami, S. Higashi, S. Miyazaki, M. Kadoshima, Y. Nara,

    Fifth International Symposium on Control of Semiconductor Interfaces (ISCSI-V)(Tokyo)   Vol. P.-47   page: 181-182   2007.11

     More details

    Language:English  

  194. High Rate Growth of Highly-Crystallized Ge Films on Quartzfrom VHF Inductively-Coupled Plasma of GeH4 + H2 Reviewed

    T. Sakata, K. Makihara, H. Deki, S. Higashi and S. Miyazaki

    Materials Science Forum   Vol. 561-565   page: 1209-1212   2007.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    We have studied uniform growth of crystalline Ge films on quartz plate from VHF(60MHz)-ICP of 10% GeH4 diluted with H2 in the temperature range from 150 to 350ºC. By
    optimizing total gas flow rate, gas pressure, VHF power and antenna-substrate distance, the growth
    rate as high as 7.4nm/s was obtained at 150ºC and increased gradually up to ~7.9nm/s at 350ºC. The
    crystallinity, which was evaluated by Raman scattering measurements as an integrated intensity ratio
    of TO phonons in crystalline phase to those in disordered phase, reached a value as high as ~93 % at350ºC, but degraded down to 64% at 150ºC as a result of the formation of a 60~70nm-thick amorphous incubation (A. I.) layer on quartz. By applying a two-step deposition method at 150ºC, in which the GeH4 concentration was selected to be 0.6% for the crystalline nucleation in the first 10s
    deposition, being as thin as 10nm in thickness, and then changed to 10% GeH4 for the high rate growth, the crystallinity was improved to 78% with keeping an effective growth rate as high as 7.5nm/s, because of a significant increase in the growth rate after the crystalline nucleation.

  195. Characterization of Electronic Charged States of Nickel Silicide Nanodots Using AFM/ Kelvin Probe Technique Reviewed

    R. Nishihara, K. Makihara, Y. Kawaguchi, M. Ikeda,H. Murakami, S. Higashi and S. Miyazaki

    Materials Science Forum   Vol. 561-565   page: 1213-1216   2007.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    We have formed high density nanodots of nickel silicide (NiSi) on ultrathin SiO2 and characterized their electronic charged states by using an AFM/Kelvin probe technique. Si quantum dots (Si-QDs) with an areal dot density of ~2.5x1011cm-2 were self-assembled on
    ~3.6nm-thick thermally-grown SiO2 by controlling the early stages of LPCVD using pure SiH4 gas. Subsequently, electron beam evaporation of Ni was carried out as thin as ~1.7nm in equivalent thickness at room temperature and followed by 300ºC anneal for 5min in vacuum.
    XPS and AFM measurements confirm the formation of NiSi dots with an average dot height of ~8nm. After removal of Ni residue on SiO2 by a dilute HCl solution, bias conditions required for electron charging to NiSi dots were compared with those to pure Si-QDs dots and Ni dots.
    The surface potential changes stepwise with respect to the tip bias due to multistep electron injection and extraction of NiSi nanodots. In addition, it is confirmed that charge retention characteristics of NiSi dots are superior to those of Si-QDs with the almost same size.

  196. Self-Assembling Formation of Ninanodots on SiO2 Induced by Remote H2-Plasma Treatment and Their Electrical Charging Characteristics

    K. Makihara, K. Shimanoe, M. Ikeda, S. Higashi, S. Miyazaki

    2007 International Conference on Solid State Devices and Materials (SSDM2007)(Tsukuba)   Vol. I-8-1   page: 1108-1109   2007.9

     More details

    Language:English  

  197. In-situ Measurement of Temperature Variation in Si Wafer During Millisecond Rapid Thermal Annealing Induced by Thermal Plasma Jet Irradiation

    H. Furukawa, S. Higashi, T. Okada, H. Kaku, H. Murakami, S. Miyazaki

    Solid State Device and Materials (SSDM2007)(Tsukuba)   Vol. P-1-27L   page: 376-377   2007.9

     More details

    Language:English  

  198. Characterization of Multistep Electron Charging and Discharging of Silicon-Quantum-Dots Floating Gate by Applying Pulsed Gate Biases

    R. Matsumoto, M. Ikeda, S. Higashi, S. Miyazaki

    2007 International Conference on Solid State Devices and Materials (SSDM2007)(Tsukuba)   Vol. I-8-3   page: 1112-1113   2007.9

     More details

    Language:English  

  199. Electroluminescence from Multiple-Stacked Structures of Impurity Doped Si Quantum Dots

    K. Okuyama, K. Makihara, M. Ikeda, S. Higashi, S. Miyazaki

    2007 International Conference on Solid State Devices and Materials (SSDM2007)(Tsukuba)   Vol. E-1-4   page: 106-107   2007.9

     More details

    Language:English  

  200. Dopant Activation Induced by Thermal Plasma Jet Crystallization of Heavily-Phosphorus-Doped Amorphous Si films

    H. Kaku, S. Higashi, H. Furukawa, T. Okada, T. Yorimoto, H. Murakami, S. Miyazaki

    The Fourteenth International Workshop on ACTIVE-MATRIX FLATPANEL DISPLAY AND DEVICES(Awaji)   Vol. 3-3   page: 33-36   2007.7

     More details

    Language:English  

  201. Progress on Charge Distribution in Multiply-Stacked Si Quantum Dots/SiO2 Structure as Evaluated by AFM/KFM

    K. Makihara, M. Ikeda, S. Higashi, S. Miyazaki

    2007 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2007) (Korea)   Vol. J-R22W   page: 251-254   2007.6

     More details

    Language:English  

  202. Phosphorus Doping to Si Quantum Dots for Floating Gate Application

    K. Makihara, M. Ikeda, A. Ohta, H. Murakami, R. Matsumoto, E. Ikenaga, M. Kobata, J. Kim, S. Higashi, S. Miyazaki

    2007 Silicon Nanoelectronics Workshop(Kyoto)   Vol. 5-3   page: 161-162   2007.6

     More details

    Language:English  

  203. Fermi-level pinning position modulation by Al-containing metal gate for cost-effective dual-metal/dual-high-k CMOS

    M. Kadoshima, Y. Sugita, K. Shiraishi, H. Watanabe, A. Ohta, S. Miyazaki, K. Nakajima, T. Chikyow, K. Yamada, T. Aminaka, E. Kurosawa, T. Matsuki, T. Aoyama, Y. Nara, Y. Ohji

    The 2008 Symposium on VLSI Technology(Kyoto)   Vol. 5A-1   page: 66-67   2007.6

     More details

    Language:English  

  204. Characterization of Chemical Bonding Features and Defect State Density in HfSiOxNy/SiO2 Gate Stack

    A. Ohta, Y. Munetaka, A. Tsugou, K. Makihara, H. Murakami, S. Higashi, S. Miyazaki, S. Inumiya, Y. Nara

    15th biannual Conference on Insulating Films on Semiconductors 2007 (INFOS2007)(Greece)   Vol. session7 7.36   page: 251-254   2007.6

     More details

    Language:English  

  205. Impact of Boron Doping to Si Quantum Dots on Light Emission Properties

    K. Okuyama, K. Makihara, A. Ohta, H. Murakami, M. Ikeda, S. Higashi, S. Miyazaki

    2007 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2007) (Korea)   Vol. J-R23M   page: 135-138   2007.6

     More details

    Language:English  

  206. Hafnium 4f Core-level Shifts Caused by Nitrogen Incorporation in Hf-based High-k Gate Dielectrics Reviewed

    N. Umezawa1, K. Shiraishi2,1, S. Miyazaki3, T. Ohno1, T. Chikyow1, K. Yamada4,1, and Y. Nara5

    Jpn. J. Appl. Phys.   Vol. 46   page: 3507-3509   2007.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Hafnium (Hf) 4f core-level binding energy shifts caused by Hf–N bond formation in hafnium oxynitride (HfOxNy) have been studied by first-principles calculations. Our computational results clearly showed that the Hf 4f core-level binding energies are reduced by 0.36 and 0.65 eV for Hf–N and N–Hf–N bond formation, respectively. These results are in good agreement with chemical shifts observed in the X-ray photoelectron spectroscopy (XPS) of HfOxNy films deposited on a Si(100) substrate. In the present work, we demonstrate that the first-principles calculation is a useful tool to clarify chemical environments of Hf-based high-k gate dielectrics.

  207. Impact of impurity Doping into Si Quantum Dots with Ge Core on Their Electrical Charging Characteristics

    K. Makihara, M. Ikeda, S. Higashi, S. Miyazaki

    5th International Conference on Silicon Epitaxy and Heterostructures(France)   Vol. 22P 2-15   page: 313-314   2007.5

     More details

    Language:English  

  208. Characterization of Metal/High-k Structures Using Monoenergetic Positron Beams Reviewed

    Akira Uedono, Tatsuya Naito, Takashi Otsuka, Kenichi Ito, Kenji Shiraishi, Kikuo Yamabe, Seiichi Miyazaki1, Heiji Watanabe2, Naoto Umezawa3, Toyohiro Chikyow3, Toshiyuki Ohdaira4, Ryoichi Suzuki4, Yasushi Akasaka5,, Satoshi Kamiyama5, Yasuo Nara5, and Keisaku Yamada6

    Jpn. J. Appl. Phys.   Vol. 46   page: 3214-3218   2007.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    The impact of TiN deposition on thin high-k (HfO2 and HfSiON) films formed on Si substrates was studied using monoenergetic positron beams. For the predeposition sample, the positrons implanted into Si diffuse toward the high-k/Si interface under the influence of the electric field, suggesting the presence of negative charges in the high-k films. After TiN was deposited on HfO2, positive charges were introduced at the TiN/HfO2 interface, which were associated with the incorporation of nitride into HfO2, resulting in the formation of positively charged oxygen vacancies (VOs). From the isochronal annealing experiments for TiN/HfSiON/Si, it was found that positively charged defects (such as VO) were introduced into HfSiON after annealing at 700–900 °C. These defects were introduced by the interaction between TiN and HfSiON, resulting in the formation of polycrystalline TiO2 at the interface. The positively charged defects were annealed out at 1100 °C, but the dielectric properties of HfSiON degraded.

  209. Low Temperature High-rate Growth of Crystalline Ge Films on Quartz and Crystalline Si Substrates from VHF Inductively-Coupled Plasma of GeH4

    T. Sakata, K. Makihara, H. Deki, S. Higashi, S. Miyazaki

    5th International Conference on Silicon Epitaxy and Heterostructures (ICSI-5)(France)   Vol. 21P1-7   page: 214-215   2007.5

     More details

    Language:English  

  210. Light Emitting Diode with MOS Structures Containing Multiple-Stacked Si Quantum Dots Reviewed

    J. Xu, K. Makihara, H. Deki, Y. Kawaguchi, H. Murakami, S. Higashi and S. Miyazaki

    Solid State Phenomena   Vol. 121-123   page: 557-560   2007.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Light emitting diode with MOS structures containing multiple-stacked Si quantum dots(QDs)/SiO2 was fabricated and the visible-infrared light emission was observed a room temperature when the negative gate bias exceeded the threshold voltage. The luminescence intensity was
    increased linearly with increasing the injected current density. The possible luminescence mechanism was briefly discussed and the delta P doping was performed to obtain the doped Si QDsand the improvement of EL intensity was demonstrated.

  211. Luminescence Study of Multiply-Stacked Structures Consisting of Impurity-Doped Si Quantum Dots and Ultrathin SiO2

    K. Makihara, Y. Kawaguchi, M. Ikeda, S. Higashi, S. Miyazaki,

    The 2007 International Meeting for Future of Electron Devices, Kansai (IMFEDK)(Osaka)   Vol. PB-5   page: 121-122   2007.4

     More details

    Language:English  

  212. Guiding Principle of Energy Level Controllability of Silicon Dangling Bonds in HfSiON Reviewed

    Naoto Umezawa, Kenji Shiraishi1, Seiichi Miyazaki2, Akira Uedono1, Yasushi Akasaka3, Seiji Inumiya3, Ryu Hasunuma1, Kikuo Yamabe1, Hiroyoshi Momida4, Takahisa Ohno4, Kenji Ohmori, Toyohiro Chikyow, Yasuo Nara3, and Keisaku Yamada5

    Jpn. J. Appl. Phys.   Vol. 46   page: 1891-1894   2007.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Silicon dangling bonds (Si-DBs) in HfSiOx have been studied using first-principles calculations. Interestingly, our computational result revealed that the Si-DB-related gap state in HfSiOx locates in a much lower energy region than that in SiOx. This is because Hf atoms enhance the ionic character of the HfSiOx film, which in turn induces a positive charge at the Si site. We consider that the low-lying Si-DB level, which is now very near the N 2p state, contributes to the formation of strong Si–N bonds in HfSiON. The lower shift of the Si-DB level upon cation metal inclusion can be useful information not only for improving the electric properties of high-k gate stacks but also for developing prominent silicon–oxide–nitride–oxide–silicon (SONOS) nonvolatile memories where controllability of the charge trap level is a crucial issue.

  213. Melting and Solidification of Microcrystalline Si Films Induced by Semiconductor Diode Laser Irradiation Reviewed

    Kohei Sakaike, Seiichiro Higashi, Hirotaka Kaku, Hideki Murakami, and Seiichi Miyazaki

    Jpn. J. Appl. Phys.   Vol. 46   page: 1276-1279   2007.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Rapid thermal annealing of microcrystalline Si (µc-Si) films induced by cw semiconductor diode laser (SDL) irradiation has been investigated. Owing to the higher absorption coefficient of µc-Si than that of amorphous Si (a-Si), 1.2-µm-thick µc-Si films are melted and recrystallized within 3 ms, whereas no phase transformation of a-Si films is observed under the same annealing condition. The annealed Si films show a high crystalline volume fraction of 97% and [111] preferential orientation. Characteristic triangle surface structures aligned to the laser scanning direction, which suggests that the lateral solidification from molten Si is observed.

  214. Ultrarapid Thermal Annealing Induced by DC Arc Discharge Plasma Jet Its Application

    S. Higashi, H. Kaku, T. Okada, H. Murakami, S. Miyazaki

    5th International Symposium Nanotechnology (JAPAN NANO 2007)(Tokyo)   Vol. P3-2   page: 144-145   2007.2

     More details

    Language:English  

  215. Comparison of Defect Densities in Excimer Laser and Thermal Plasma Jet Crystallized Si Films

    S. Higashi, T. Yorimoto, T. Okada, H. Kaku, H. Murakami, S. Miyazaki

    3rd International TFT Conference(Italy)   Vol. P21   page: 204-207   2007.1

     More details

    Language:English  

  216. Growth of Si Crystalline in SiOx Films Induced by Millisecond Rapid Thermal Annealing Using Thermal Plasma Jet

    T. Okada, S. Higashi, H. Kaku, T. Yorimoto, H.Murakami, S. Miyazaki

    3rd International TFT Conference(Italy)   Vol. 5a.3   page: 82-85   2007.1

     More details

    Language:English  

  217. Comparison of Defect Densities in Excimer Laser and Thermal Plasma Jet Crystallized Si Films, 3rd International TFT Conference, Rome, Italy, Jan.

    S. Higashi, T. Yorimoto, T. Okada, H. Kaku, H. Murakami, S. Miyazaki,

    3rd International TFT Conference, Rome, Italy, Jan. 25-26, 2007, P21, pp     page: 204-207.   2007.1

     More details

    Language:English  

  218. Theoretical Studies on Metal/High-k Gate Stacks

    K. Shiraishi, Y. Akasaka, G. Nakamura, T. Nakayama, S. Miyazaki, H. Watanabe, A. Ohta, K. Ohmori, T. Chikyow, Y. Nara, K. Yamabe, K. Yamada

    ECS Trans.   Vol. 6 ( 1 ) page: 191-204   2007

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  219. Melting and Solidification of Microcrystalline Si Films Induced by Semiconductor Diode Laser Irradiation

    K. Sakaike, S. Higashi, H. Kaku, H. Murakami, S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 46 ( 3B ) page: 1276-1279   2007

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  220. Control of Substrate Surface Temperature in Millisecond Annealing Technique Using Thermal Plasma Jet

    T. Okada, S. Higashi, H. Kaku, N. Koba, H. Murakami, S. Miyazaki

    Thin Solid Films   Vol. 515   page: 4897-4900   2007

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  221. Electrical Characteristics of Lightly-Doped Si Films Crystallized by Thermal Plasma Jet Irradiation

    T. Yorimoto, S. Higashi, H. Kaku, T. Okada, H. Murakami, S. Miyazaki, M. Maki, T. Sameshima

    Trans. of MRS-J   Vol. 32 ( 2 ) page: 465-468   2007

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  222. Performance Improvement of HfAlOxN n-Channel Metal-Oxide-Semiconductor Field-Effect Transistors by Controlling the Bonding Configuration of Nitrogen Atoms Coordinated to Hf Atoms

    K. Iwamoto, T. Nishimura, A. Ohta, K. Tominaga, T. Nabatame, S. Miyazaki, A. Toriumi

    Jpn. J. Appl. Phys.   Vol. 46 ( 12 ) page: 7666-7670   2007

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  223. Vacancy-fluorine complexes and their impact on the properties of metal-oxide transistors with high-k gate dielectrics studied using monoenergetic positron beams

    A. Uedono, S. Inumiya, T. Matsuki, T. Aoyama, Y. Nara, S. Ishibashi, T. Ohdaira, R. Suzuki, S. Miyazaki, K. Yamada

    J. Appl. Phys   Vol. 102   page: 054511-1 – 054511-7   2007

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  224. Light Emitting Diode with MOS Structures Containing Multiple-Stacked Si Quantum Dots

    J. Xu, K. Makihara, H. Deki, Y. Kawaguchi, H. Murakami, S. Higashi, S. Miyazaki

    Solid State Phenomena   Vol. 121-123   page: 557-560   2007

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  225. Improvement in Fermi-Level Pinning of p-MOS Metal Gate Electodes on HfSiON by Employing Ru Gate Electrodes

    M. Kadoshima, Y. Suginta, K. Shiraishi, H. Watanabe, A. Ohta, S. Miyazaki, K. Nakajima, T. Chikyow, K. Yamada, T. Aminaka, E. Kurosawa, T. Matsuki, T. Aoyama, Y. Nara, Y. Ohji

    ECS Trans.   Vol. 11 ( 4 ) page: 169-180   2007

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  226. Characterization of Chemical Bonding Features and Defect State Density in HfSiOxNy/SiO2 Gate Stack

    A. Ohta, Y. Munetaka, A. Tsugou, K. Makihara, H. Murakami, S. Higashi, S. Miyazaki, S. Inumiya, Y. Nara

    Microelec. Eng.   Vol. 84   page: 2386-2389   2007

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  227. Characterization of Electronic Charged States of Si-Based Quantum Dots for Floating Gate Application

    S. Miyazaki, M. Ikeda, K. Makihara

    ECS Trans.   Vol. 11 ( 6 ) page: 233-243   2007

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  228. Characterization of Electronic Charged States of Nickel Silicide Nanodots Using AFM/Kelvin Probe Technique

    R. Nishihara, K. Makihara, Y. Kawaguchi, M. Ikeda, H. Murakami, S. Higashi, S. Miyazaki

    Materials Science Forum   Vol. 561-565   page: 1213-1216   2007

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  229. Vacancy-Type Defects in MOSFETs with High-k Gate Dielectrics Probed by Monoenergetic Positron Beams

    A. Uedono, R. Hasumuma, K. Shiraishi, K. Yamabe, S. Inumiya, Y. Akasaka, S. Kamiyama, T. Matsuki, T. Aoyama, Y. Nara, S. Miyazaki, H. Watanab, N. Umezawa, T. Chikyow, S. Ishibashi, T. Ohdaira, R. Suzuki, K. Yamada

    ECS Trans.   Vol. 11 ( 4 ) page: 81-90   2007

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  230. Role of the Ionicity in Defect Formation in Hf-based Dielectrics

    N. Umezawa, K. Shiraishi, S. Miyazaki, A. Uedono, Y. Akasaka, S. Inumiya, A. Oshiyama, R. Hasunuma, K. Yamabe, H. Momida, T. Ohno, K. Ohmori, T. Chikyow, Y. Nara, K. Yamada

    ECS Trans.   Vol. 11 ( 4 ) page: 199-211   2007

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  231. Tight distribution of dielectric characteristics of HfSiON in metal gate devices

    R. Hasumuma, T. Naito, C. Tamura, A. Uedono, K. Shiraishi, N. Umezawa, T. Chikyow, S. Inumiya, M. Sato, Y. Tamura, H. Watanabe, Y. Nara, Y. Ohji, S. Miyazaki, K. Yamada, K. Yamabe

    ECS Trans.   Vol. 11 ( 4 ) page: 3-11   2007

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  232. Introduction of defects into HfO2 gate dielectrics by metal-gate deposition studied using x-ray photoelectron spectroscopy and positron annihilation

    1. A. Uedono, T. Naito, T. Otsuka, K. Shiraishi, K. Yamabe, S. Miyazaki, H. Watanabe

    J. Appl. Phys.   Vol. 100   page: 064501-1 – 064501-5   2006

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  233. Photoemission Study of Ultrathin HfSiON/Si(100) Systems

    A. Ohta, H. Nakagawa, H. Murakami, S. Higashi, S. Miyazaki, S. Inumiya, Y. Nara

    Trans. of the Mat. Res. Soc. of Japan   Vol. 31 ( 1 ) page: 125-128   2006

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  234. Photoemission Study of Ultrathin GeO2/Ge Heterostructures Formed by UV-O3 Oxidation

    A. Ohta, H. Murakami, S. Higashi, S. Miyazaki

    Jour. of Sur. Sci. and Nanotech.   Vol. 4   page: 174-179   2006

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  235. Impact of Nitrogen Incorporation into Yittrium Oxide on Chemical Bonding Features and Electrical Properties

    H. Abe, H. Nakagawa, M. Taira, A. Ohta, S. Higashi, S. Miyazaki

    Trans. of the Mat. Res. Soc. of Japan   Vol. 31 ( 1 ) page: 157-160   2006

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  236. Nitridation of Ge(100) Surfaces by Vacuum-ultra violet (VUV) Irradiation in NH3 Ambience

    H. Nakagawa, A. Ohta, M. Taira, H. Abe, H. Murakami, S. Higashi, S. Miyazaki

    Trans. of the Mat. Res. Soc. of Japan   Vol. 31 ( 1 ) page: 153-156   2006

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  237. Influence of thermal annealing on defect states and chemical structures in ultrathin Al2O3/SiN/poly-Si

    M. Taira, A. Ohta, H. Nakagawa, S. Miyazaki, K. Yoneda, M. Horikawa, K. Koyama

    Trans. of the Mat. Res. Soc. of Japan   Vol. 31 ( 1 ) page: 149-152   2006

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  238. Characterization of FUSI-PtSi Formed on Ultrathin HfO2/Si(100) by Photoelectron Spectroscopy

    Y. Munetaka, F. Takeno, A. Ohta, H. Murakami, S. Higashi, S. Miyazaki

    Trans. of the Mat. Res. Soc. of Japan   Vol. 31 ( 1 ) page: 145-148   2006

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  239. Depth Profiling of Chemical and Electronic Structures and Defects of Ultrathin HfSiON on Si(100)

    S. Miyazaki, A. Ohta, S. Inumiya, Y. Nara, K. Yamada

    ECS Trans.   Vol. 3 ( 3 ) page: 171-180   2006

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  240. Physics of Metal/High-k Interfaces

    T. Nakayama, K. Shiraishi, S. Miyazaki, Y. Akasaka, K. Torii, P. Ahmet, K. Ohmori, N. Umezawa, H. Watanabe, T. Chikyow, Y. Nara, A. Ohta, H. Iwai, K. Yamada, T. Nakaoka

    Physics of Metal/High-k Interfaces   Vol. 3 ( 3 ) page: 129-140   2006

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  241. Multistep Electron Charging to and Discharging from Silicon-Quantum-Dots Floating Gate in nMOSFETs

    T. Nagai, M. Ikeda, Y. Shimizu, S. Higashi, S. Miyazaki

    Trans. of MRS-J   Vol. 31 ( 1 ) page: 137-140   2006

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  242. Study of Charged States of Si Quantum Dots with Ge Core

    K. Makihara, M. Ikeda, S. Higashi, S. Miyazaki

    ECS Trans.   Vol. 3 ( 7 ) page: 257-262   2006

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  243. Characterization of Electronic Charged States of Si-Based Quantum Dots and Their Application to Floating Gate Memories

    S. Miyazaki, M. Ikeda and K. Makihara

    ECS Trans.   Vol. 2 ( 1 ) page: 157-164   2006

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  244. Fabrication of Multiply-Stacked Si Quantum Dots for Floating Gate MOS Devices

    K. Makihara, M. Ikeda, T. Nagai, H. Murakami, S. Higashi, S. Miyazaki

    Trans. of MRS-J   Vol. 31 ( 1 ) page: 133-136   2006

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  245. Decay Characteristics of Electronic Charged States of Si Quantum Dots as Evaluated by an AFM/Kelvin Probe Technique

    J. Nishitani, K. Makihara, M. Ikeda, H. Murakami, S. Higashi, S. Miyazaki

    Thin Solid Films   Vol. 508 ( 1-2 ) page: 190-194   2006

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  246. Characterization of Electronic Charged States of P-doped Si Quantum Dots Using AFM/Kelvin Probe

    K. Makihara, J. Xu, M. Ikeda, H. Murakami, S. Higashi, S. Miyazaki

    Thin Solid Films   Vol. 508 ( 1-2 ) page: 186-189   2006

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  247. Characterization of HfSiON gate dielectrics using monoenergetic positron beams

    1. A. Uedono, K. Ikeuchi, T. Otsuka, K. Shiraishi, K. Yamabe, S. Miyazaki, N. Umezawa, A. Hamid, T. Chikyow

    J. Appl. Phys.   Vol. 99   page: 054507-1 – 054507-6   2006

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  248. An Unfavorable Effect of Nitrogen Incorporation on Reduction in the Oxygen Vacancy Formation Energy

    N. Umezawa, K. Shiraishi, Y. Akasaka, S. Inumiya, A. Uedono, S. Miyazaki, T. Chikyow, T.Ohno, Y. Nara, K. Yamada

    Trans. of the Mat. Res. Soc. of Japan   Vol. 31 ( 1 ) page: 129-132   2006

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  249. Crystallization of Si in Millisecond Time Domain Induced by Thermal Plasma Jet Irradiation

    S. Higashi, H. Kaku, T. Okada, H. Murakami, S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 45 ( 5B ) page: 4313-4320   2006

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  250. Analysis of Transient Temperature Profile During Thermal Plasma Jet Annealing of Si Films on Quartz Substrate

    T. Okada, S. Higashi, H. Kaku, N. Koba, H. Murakami, S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 45 ( 5B ) page: 4355-4357   2006

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  251. In-Situ Observation of Rapid Crystalline Growth Induced by Excimer Laser Irradiation to Ge/Si Stacked Structure

    A. Yamashita, Y. Okamoto, S. Higashi, S. Miyazaki, H. Watakabe, T. Sameshima

    Thin Solid Films   Vol. 508   page: 53-56   2006

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  252. High-rate Growth of Highly-crystallized Si Films from VHF Inductively-Coupled Plasma CVD

    N. Kosku, S. Miyazaki

    Thin Solid Films   Vol. 511-512   page: 265-270   2006

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  253. The Application of Very High Frequency Inductively-coupled Plasma to High-Rate Growth of Microcrystalline Silicon Films

    N. Kosku, S. Miyazaki

    J. Non-Cryst. Solid   Vol. 352   page: 911-914   2006

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  254. Growth of Crystallized Ge Films from VHF-Inductively Coupled Plasma of H2-Diluted GeH4

    T. Sakata, K. Makihara, S. Higashi, S. Miyazaki

    Thin Solid Films   Vol. 515 ( 12 ) page: 4971-4974   2006

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  255. Control of the Nucleation Density of Si Quantum Dots by Remote Hydrogen Plasma Treatment

    K. Makihara, H. Deki, H. Murakami, S. Higasi, S. Miyazaki

    Appl. Surf. Sci.   Vol. 244 ( 1-4 ) page: 75-78   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  256. Crystallization of Si Films on Glass Substrate Using Thermal Plasma Jet

    S. Higashi, H. Kaku, H. Taniguchi, H. Murakami, S. Miyazaki

    Thin Solid Films   Vol. 487   page: 122-125   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  257. Crystallization of Si Films on Glass Substrate Using Thermal Plasma Jet

    S. Higashi, H. Kaku, H. Taniguchi, H. Murakami, S. Miyazaki

    Thin Solid Films   Vol. 487   page: 122-125   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  258. High-Rate Growth of Highly-Crystallized Si Films from VHF Inductively-Coupled Plasma CVD

    N. Kosku, S. Miyazaki

    Trans. of MRS-J   Vol. 30 ( 1 ) page: 279-282   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  259. Fabrication of Polycrystalline Si Thin Film Transistor Using Plasma Jet Crystalliztion Technique

    H. Kaku, S. Higashi, S. Miyazaki, M. Asami, H. Watakabe, N. Andoh, T. Sameshima

    Trans. of MRS-J   Vol. 30 ( 1 ) page: 283-286   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  260. Application of Plasma Jet Crystallization Technique to Fabrication of Thin-Film Transistor

    S. Higashi, H. Kaku, H. Murakami, S. Miyazaki, H. Watakabe, N. Ando, T. Sameshima

    Jpn. J. Appl. Phys.   Vol. 44 ( 3 ) page: L108-L110   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  261. Influence of Substrate dc Bias on Crystallinity of Silicon Films Grown at a High Rate from Inductively-coupled Plasma CVD

    N. Kosku, H. Murakami, S. Higashi, S. Miyazaki

    Appl. Surf. Sci.   Vol. 244 ( 1-4 ) page: 39-42   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  262. A New Crystallization Technique of Si Films on Glass Substrate Using Thermal Plasma Jet

    H. Kaku, S. Higashi, H. Taniguchi, H. Murakami, S. Miyazaki

    Appl. Surf. Sci.   Vol. 244 ( 1-4 ) page: 8-11   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  263. Characterization of Germanium Nanocrystallites Grown on SiO2 by a Conductive AFM Probe Technique

    K. Makihara, Y. Okamoto, H. Murakami, S. Higashi, S. Miyazaki

    IEICE Trans. on Electronics   Vol. E88-C ( 4 ) page: 705-708   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  264. Formation of Microcrystalline Germanium (mc-Ge:H) Films From Inductively-Coupled Plasma CVD

    Y. Okamoto, K. Makihara, H. Murakami, S. Higasi, S. Miyazaki

    Appl. Surf. Sci.   Vol. 244 ( 1-4 ) page: 12-15   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  265. Electrical Characterization of HfAlOx/SiON Dielectric Gate Capacitors

    Y. Pei, S. Nagamachi, H. Murakami, S. Higashi, S. Miyazaki, T. Kawahara, K. Torii

    Trans. of the Mat. Res. Soc. of Japan   Vol. 30 ( 1 ) page: 205-208   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  266. Analysis of Leakage Current through Al/HfAlOx/SiONx/Si(100) MOS Capacitors

    S. Nagamachi, A. Ohta, F. Takeno, H. Nakagawa, H. Murakami, S. Miyazaki, T. Kawahara, K. Torii

    Trans. of the Mat. Res. Soc. of Japan   Vol. 30 ( 1 ) page: 197-200   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  267. The Role of Oxygen-related Defects on the Reliabilities of HfO2-based High-k Gate Insulators

    K. Torii, K. Shiraishi, S. Miyazaki, K. Yamabe, M. Boero, T. Chikyow, K. Yamada, H. Kitajima, T. Arikado

    Trans. of the Mat. Res. Soc. of Japan   Vol. 30 ( 1 ) page: 191-195   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  268. Impact of Rapid Thermal Anneal on ALCVD-Al2O3/Si3N4/Si(100) Stack Structures-Photoelectron Spectroscopy

    F. Takeno, A. Ohta, S. Miyazaki, K. Komeda, M. Horikawa, K. Koyama

    Trans. of the Mat. Res. Soc. of Japan   Vol. 30 ( 1 ) page: 213-217   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  269. Characterization of Charge Trapping and Dielectric Breakdown of HfAlOX/SiON Dielectric Gate Stack

    Y. Pei, S. Nagamachi, H. Murakami, S. Higashi, S. Miyazaki, T. Kawahara, K. Torii, Y. Nara

    ECS Trans.   Vol. 1 ( 1 ) page: 163-172   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  270. Characterization of Aluminum-Oxynitride Stacked Gate Dielectrics Prepared by a Layer-by-Layer Process of Chemical Vapor Deposition and Rapid Thermal Nitridation

    H. Murakami, W. Mizubayashi, H. Yokoi, A. Suyama, S. Miyazaki

    IEICE Trans. on Electronics   Vol. E88-C ( 4 ) page: 640-645   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  271. Characterization of Atom Diffusion in Polycrystalline Si/SiGe/Si Stacked Gate

    H. Murakami, Y. Moriwaki, M. Fujitake, D. Azuma, S. Higashi, S. Miyazaki

    IEICE Trans. on Electronics   Vol. E88-C ( 4 ) page: 646-650   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  272. Impact of Rapid Thermal Anneal on ALCVD-Al2O3/Si3N4/Si(100) Stack Structures-Photoelectron Spectroscopy

    F. Takeno, A. Ohta, S. Miyazaki, K. Komeda, M. Horikawa, K. Koyama

    Trans. of the Mat. Res. Soc. of Japan   Vol. 30 ( 1 ) page: 213-217   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  273. Analysis of Leakage Current through Al/HfAlOx/SiONx/Si(100) MOS Capacitors

    S. Nagamachi, A. Ohta, F. Takeno, H. Nakagawa, H. Murakami, S. Miyazaki, T. Kawahara, K. Torii

    Trans. of the Mat. Res. Soc. of Japan   Vol. 30 ( 1 ) page: 197-200   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  274. Characterization of Electronic Charged States of Silicon Nanocrystals as a Floating Gate in MOS Structures

    S. Miyazaki, T. Shibaguchi, M. Ikeda

    Mat. Res. Soc. Symp. Proc.   Vol. 830   page: 249-254   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  275. Charging and Discharging Characteristics of Stacked Floating Gates of Silicon Quantum Dots

    T. Shibaguchi, M. Ikeda, H. Murakami, S. Miyazaki

    IEICE Trans. on Electronics   Vol. E88-C ( 4 ) page: 709-712   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  276. Influence of Thermal Annealing on Compositional Mixing and Crystallinity of Highly-Selective Grown Si Dots with Ge Core

    Y. Darma, Hideki Murakami, S. Miyazaki

    Appl. Surf. Sci.   Vol. 224   page: 156-159   2004

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  277. New Analytical Modeling for Photoinduced Discharge Characteristics of Photoreceptors

    A. Teshima, S. Miyazaki,

    Jpn. J. Appl. Phys.   Vol. 43 ( 8A ) page: 5129-5133   2004

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  278. Electrical Characterization of Ge Microcrystallites by Atomic Force Microscopy Using a Conducting Probe

    K. Makihara, Y. Okamoto, H. Nakagawa, M. Ikeda, H. Murakami, S. Higashi, S. Miyazaki

    Thin Solid Films   Vol. 457   page: 103-108   2004

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  279. Analysis of Soft Breakdown of 2.6-4.9nm-Thick Gate Oxides

    W. Mizubayashi, S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 43 ( 10 ) page: 6925-6929   2004

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  280. Statistical Analysis of Soft and Hard Breakdown in 1.9-4.8nm-thick Gate Oxides, IEEE Electron Device Lett.

    W. Mizubayashi, Y. Yoshida, H. Murakami, S. Miyazaki, M. Hirose

    IEEE Electron Device Lett   Vol. 25 ( 5 ) page: 305-307   2004

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  281. Praseodymium silicate formed by postdeposition high-temperature annealing

    A. Sakai, S. Sakashita, M. Sakashita, S. Zaima, S. Miyazaki

    Appl. Phys. Lett.   Vol. 85 ( 22 ) page: 5322-5324   2004

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  282. Impact of Rapid Thermal O2 Anneal on Dielectric Stack Structures of Hafnium Aluminate and Silicon Dioxide Formed on Si(100)

    A. Ohta, H. Nakagawa, H. Murakami, S. Higashi, T, Kawahara, K. Torii, S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 43 ( 11B ) page: 7831-7836   2004

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  283. Photoelectron Spectroscopy of ultrathin yttrium oxide films on Si(100)

    A. Ohta, M. Yamaoka, S. Miyazaki

    Microelec. Eng.   Vol. 72   page: 154-159   2004

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  284. Characterization of Interfacial Oxide Layers in Heterostructures of Hafnium Oxides Formed on NH3-nitrided Si(100)

    H. Nakagawa, A. Ohta, F. Takeno, S. Nagamachi, H. Murakami, S. Higashi, S. Miyazaki

    Jpn. J. Appl. Phys.   Vol. 43 ( 11B ) page: 7890-7894   2004

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  285. Influence of Boron and Fluorine Incorporation on the Network Structure of Ultrathin SiO2 Reviewed

    S. Miyazaki, K. Morino and M. Hirose

    Solid State Phenomena   Vol. 76-77   page: 149-152   2001

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    Incorporation of boron and fluorine atoms in to an ultrathin SiO2 layer during a p+-polySi gate fabrication process with BF2+ or B+ implantation and its influence on the SiO2 bonding network have been studied by FT-IR and XPS in conjunction with SiO2 thinning by dilute HF etching. The analysis of F1s core spectrum measured at each SiO2 thinning step shows that fluorine atoms pile up in the SiO2 network near the SiO2/Si interface in bonding forms of mainly F-SiO3 or F-BO3 units and partly F-O units. Also, boron pile-up near the interface has been confirmed from the depth profiling of the IR absorption bands due to B-F and B-O bonds. The thickness dependence of the LO phonon frequency for the oxides with B or B/F incorporation indicates that not only fluorine atoms but also three-coordinate boron atoms in the interfacial region relax built-in compressive stress in the oxide network near the interface

▼display all

Books 12

  1. 化学便覧 第7版 応用化学編

    宮崎誠一( Role: Joint author)

    日本化学会編,丸善出版(株)  2014 

     More details

    Language:Japanese

    Ⅱ基礎的化学技術/材料,7章 電子・光材料プロセス技術
    7.3.2 CVD技術

  2. 薄膜工学(第2版)分担執筆 第2章3節「化学気相成長法」

    宮崎誠一( Role: Sole author)

    丸善出版  2011.6 

     More details

    Language:Japanese

  3. マイクロ・ナノ領域の超精密技術第3章2節「半導体デバイス」ⅠSi系(極微細化の観点を中心にして)

    宮崎誠一( Role: Sole author)

    オーム社  2011.3 

     More details

    Language:Japanese

  4. 実用薄膜プロセス―機能創製・応用展開― 第1編「創製技術」第5章「CVD」

    宮崎誠一( Role: Sole author)

    技術教育出版社  2009 

     More details

    Language:Japanese

  5. 次世代半導体メモリの最新技術 第6章

    ( Role: Joint author)

    シーエムシー出版  2009 

     More details

    Language:Japanese

    シリコン系ナノ構造集積と機能メモリデバイス開発

  6. 次世代半導体メモリの最新技術 第6章分担執筆:「シリコン系ナノ構造集積と機能メモリデバイス開発」

    ( Role: Sole author)

    シーエムシー出版  2009 

     More details

    Language:Japanese

  7. プラズマ・核融合学会誌85(3) 熱プラズマによるアモルファスシリコンの結晶化,「講座 熱流を伴う反応性プラズマを用いた材料合成プロセス 3.結晶化・相変化制御への応用」

    東 清一郎, 宮崎 誠一( Role: Joint author)

    プラズマ・核融合学会  2009 

     More details

    Language:Japanese

  8. 実用薄膜プロセス―機能創製・応用展開― 第1編「創製技術」第5章「CVD」

    ( Role: Sole author)

    技術教育出版社  2009 

     More details

    Language:Japanese

  9. 究極のかたちをつくる 第1章分担執筆:「ナノサイズのかたちをつくる」

    ( Role: Sole author)

    日刊工業新聞社  2009 

     More details

    Language:Japanese

  10. プラズマ・核融合学会誌 熱プラズマによるアモルファスシリコンの結晶化,「講座 熱流を伴う反応性プラズマを用いた材料合成プロセス 3.結晶化・相変化制御への応用」

    東 清一郎, 宮崎 誠一( Role: Joint author)

    2009 

     More details

    Language:Japanese

  11. 薄膜ハンドブック 第II編 第1章 1.3.4 CVD(編集・分担執筆 )

    宮崎 誠一( Role: Sole author)

    Ohmsha  2008 

     More details

    Language:Japanese

  12. 表面科学の基礎と応用(第3編、第1章・第2節)

    ( Role: Joint author)

    エヌ・ティー・エス  2004 

     More details

    Language:Japanese

    Siの熱酸化機構、Si表面の熱酸化、Si酸化膜の構造、極薄Si酸化膜およびSi/SiO2界面の分析

▼display all

Presentations 866

  1. Changes of Crystalline Phase in HfZr-oxide Due to Ni Electrode Formation International conference

    Y. Sano, N. Taoka, A. Ohta, K. Makihara, and S. Miyazaki

    2023 International Workshop on DIELECTRIC THIN FILMS FOR FUTURE ELECTRON DEVICES: SCIENCE AND TECHNOLOGY (IWDTF 2023)  2023.10.24 

     More details

    Event date: 2023.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Ishikawa   Country:Japan  

    S3-4

  2. Evaluation of Electronic States of β-Ga2O3 Surface by Photoemission Spectroscopy International conference

    A. Ohta, N. Taoka, K. Makihara, and S. Miyazaki

    2023 International Workshop on DIELECTRIC THIN FILMS FOR FUTURE ELECTRON DEVICES: SCIENCE AND TECHNOLOGY (IWDTF 2023)  2023.10.24 

     More details

    Event date: 2023.10

    Language:English   Presentation type:Poster presentation  

    Venue:Ishikawa   Country:Japan  

    P-30

  3. Formation and Characterization of Fe-Silicide Nanodots for Optoelectronic Application Invited International conference

    S. Miyazaki, and K. Makihara

    244th ECS Meeting  2023.10.11 

     More details

    Event date: 2023.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Gothenburg   Country:Sweden  

    G02-1542

  4. Zr/Hf多重積層構造の後酸化および電極形成後熱処理がHfZr酸化物の結晶構造に与える影響

    佐野 友之輔、田岡 紀之、大田 晃生、牧原 克典、宮﨑 誠一

    第84回応用物理学会秋季学術講演会  2023.9.23 

     More details

    Event date: 2023.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:熊本城ホールほか3会場   Country:Japan  

    23p-A303-3

  5. SiO2上へのNiシリサイドナノシート形成におけるH2O2溶液処理の効果

    木村 圭佑、田岡 紀之、大田 晃生、牧原 克典、宮﨑 誠一

    第84回応用物理学会秋季学術講演会  2023.9.23 

     More details

    Event date: 2023.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:熊本城ホールほか3会場   Country:Japan  

    23p-A602-15

  6. Al/Si0.2Ge0.8(111)上に偏析したSiおよびGeの光電子分光分析 International coauthorship

    酒井 大希、大田 晃生、田岡 紀之、牧原 牧原、山本 裕司、宮﨑 誠一

    第84回応用物理学会秋季学術講演会  2023.9.23 

     More details

    Event date: 2023.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:熊本城ホールほか3会場   Country:Japan  

    23p-A602-14

  7. 熱酸化SiO2上へ自己組織化形成したSi量子ドットの成長機構

    白 鍾銀、今井 友貴、辻 綾哉、牧原 克典、宮﨑 誠一

    第84回応用物理学会秋季学術講演会  2023.9.20 

     More details

    Event date: 2023.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:熊本城ホールほか3会場   Country:Japan  

    20a-B202-7

  8. 一次元縦積み連結Si量子ドットの形成と局所帯電特性評価 International coauthorship

    今井 友貴、牧原 克典、山本 裕司、W. Wei-Chen、S. M. Andreas、白 鍾銀、辻 綾哉、宮﨑 誠一

    第84回応用物理学会秋季学術講演会  2023.9.20 

     More details

    Event date: 2023.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:熊本城ホールほか3会場   Country:Japan  

    20a-B202-9

  9. 極細SiO2 ラインパターン上へのSi 量子ドットの自己組織化形成

    辻 綾哉、今井 友貴、白 鍾銀、牧原 克典、宮﨑 誠一

    第84回応用物理学会秋季学術講演会  2023.9.20 

     More details

    Event date: 2023.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:熊本城ホールほか3会場   Country:Japan  

    20a-B202-8

  10. Evaluation of Si and Ge Segregation from Si0.2Ge0.8(111) through Al and Ag Layer International coauthorship International conference

    T. Sakai, A. Ohta, N. Taoka, J. Yuhara, K. Makihara, Y. Yamamoto, Wei-chen Wen, and S. Miyazaki

    2023 International Conference on Solid State Devices and Materials (SSDM 2023)  2023.9.7 

     More details

    Event date: 2023.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Congress Center   Country:Japan  

    [A-3-03

  11. Formation of Ultra-thin Nickel Silicide on SiO2 Using a-Si/Ni/a-Si Structures for Oxidation Control International conference

    K. Kimura, N. Taoka, A. Ohta, K. Makihara, and S. Miyazaki

    2023 International Conference on Solid State Devices and Materials (SSDM 2023)  2023.9.7 

     More details

    Event date: 2023.9

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya Congress Center   Country:Japan  

    PS-11-15

  12. Impact of Dot Size on Electron Emission from Multiple-Stacked Si-QDs International conference

    K. Makihara, S. Obayashi, Y. Imai, N. Taoka, and S. Miyazaki

    2023 International Conference on Solid State Devices and Materials (SSDM 2023)  2023.9.7 

     More details

    Event date: 2023.9

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya Congress Center   Country:Japan  

    PS-11-02

  13. Formation of Ultra-thin Nickel Silicide on SiO2 Using a-Si/Ni/a-Si Structures for Oxidation Control International conference

    K. Kimura, N. Taoka, A. Ohta, K. Makihara, and S. Miyazaki

    2023 International Conference on Solid State Devices and Materials (SSDM 2023)  2023.9.7 

     More details

    Event date: 2023.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Congress Center   Country:Japan  

    SO-PS-11-15

  14. Impact of Dot Size on Electron Emission from Multiple-Stacked Si-QDs International conference

    K. Makihara, S. Obayashi, Y. Imai, N. Taoka, and S. Miyazaki

    2023 International Conference on Solid State Devices and Materials (SSDM 2023)  2023.9.7 

     More details

    Event date: 2023.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Congress Center   Country:Japan  

    SO-PS-11-02

  15. Impact of O2 annealing on Chemical States of Mg doped GaN(0001) Surface International conference

    Z. Zhou, A. Ohta, X. Tian, N. Taoka, K. Makihara, and S. Miyazaki

    2023 International Conference on Solid State Devices and Materials (SSDM 2023)  2023.9.6 

     More details

    Event date: 2023.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Congress Center   Country:Japan  

    [N-1-05

  16. Si Diffusion Control by Inserting SiO2 Layer at Hf-Oxide/Si Interface for Transforming Hf-Oxide Crystalline Phase International conference

    Y. Sano, W. Yasuda, N. Taoka, A. Ohta, K. Makihara, and S. Miyazaki

    2023 International Conference on Solid State Devices and Materials (SSDM 2023)  2023.9.8 

     More details

    Event date: 2023.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Congress Center   Country:Japan  

    M-7-03

  17. Formation of b–FeSi2 NDs by SiH4–Exposure to Fe–NDs International conference

    H. Saito, K. Makihara, N. Taoka, and S. Miyazaki

    2023 International Conference on Solid State Devices and Materials (SSDM 2023)  2023.9.8 

     More details

    Event date: 2023.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Congress Center   Country:Japan  

    [M-7-02

  18. Formation of One-Dimensionally Self-Aligned Si-QDs and Their Local Electron Charging Properties International coauthorship International conference

    Y. Imai, K. Makihara, Y. Yamamoto, Wei-Chen Wen, M. A. Schubert, J. Baek, R. Tsuji, N. Taoka, A. Ohta, and S. Miyazaki

    2023 International Conference on Solid State Devices and Materials (SSDM 2023)  2023.9.7 

     More details

    Event date: 2023.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Congress Center   Country:Japan  

    [M-3-04

  19. Self-Assembling Formation of Si-QDs on SiO2 Line-Patterns International conference

    R. Tsuji, Y. Imai, J. Baek, K. Makihara, and S. Miyazaki

    2023 International Conference on Solid State Devices and Materials (SSDM 2023)  2023.9.7 

     More details

    Event date: 2023.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya Congress Center   Country:Japan  

    M-3-03

  20. Electron Emission Properties of 3-Dimensional Self-Ordered SiGe Nanodots International coauthorship International conference

    L. Li, K. Makihara, Y. Yamamoto, H. Yagi, N. Taoka, B. Tillack, and S. Miyazaki

    2023 Asia-Pacific Workshop on Advanced Semiconductor Devices (AWAD 2023)   2023.7.11 

     More details

    Event date: 2023.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tokyo Institute of Technology, Yokohama   Country:Japan  

    O-15

  21. Formation and Characterization of Impurity-Doped Ge/Si Core-Shell Quantum Dots Invited International conference

    S. Miyazaki

    International Conference on PROCESSING & MANUFACTURING OF ADVANCED MATERIALS Processing, Fabrication, Properties, Applications (Thermec'2023)  2023.7.6 

     More details

    Event date: 2023.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Vienna   Country:Austria  

    J8 July-06 15:00 (SESSION-J)

  22. Impact of SiH4 exposure to Fe-NDs on silicidation reaction

    2023.6.26 

     More details

    Event date: 2023.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  23. Evaluations of Crystalline Structures and Ferroelectricity of Zr/Hf-Multilayer Structures Formed by Thermal Oxidization

    2023.6.26 

     More details

    Event date: 2023.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  24. Electron Emission Properties of Multiple-Stacked SiGe-Nanodots/Si Structures International coauthorship International conference

    K. Makihara, Y. Yamamoto, H. Yagi, L. Li, N. Taoka, B. Tillack, and S. Miyazaki

    Interational Conference on Silicon Epitaxy and Heterostructures International SiGe Technology and Device Meeting (ICSI-ISTDM 2023)  2023.5.24 

     More details

    Event date: 2023.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Como   Country:Italy  

    11:50 – 12:05 (Multilayer Systems)

  25. Formation and luminescence studies of Ge/Si core-shell quantum dots Invited International conference

    S. Miyazaki, K. Makihara, and Y. Imai

    2023 International Conference on Semiconductor Technology for Ultra Large Scale Integrated Circuits and Thin Film Transistors (ULSIC VS TFT 8)  2023.5.15 

     More details

    Event date: 2023.5

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Grand Park Hotel Otaru (Sapporo)   Country:Japan  

    09:20 – 09:45 (Session: Semiconductor Materials)

  26. Light-emission Properties of High-density Superatom-like Ge-core/Si-shell Quantum Dots International coauthorship International conference

    K. Makihara, Y. Yamamoto, Y. Imai, N. Taoka, M. A. Schubert, B. Tillack, and S. Miyazaki

    The 6th International Conference on Electronics, Communications and Control Engineering (ICECC 2023)  2023.3.25 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Fukuoka Institute of Technology, Fukuoka   Country:Japan  

  27. Formation of SiO2 Layer on SiGe/Si Nano-structures using Plasma-enhanced Atomic Layer Deposition

    J. Cai, N. Taoka, K. Makihara, A. Ohta, and S. Miyazaki

    第70回応用物理学会春季学術講演会  2023.3.15 

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:ハイブリッド開催(上智大学 四谷キャンパス+オンライン)   Country:Japan  

    15p-PA06-2

  28. Reduced-Pressure CVDにより形成したGeコアSi量子ドットの構造評価と室温発光特性評価 International coauthorship

    牧原 克典、Yamamoto Yuji、Schubert Markus Andreas、田岡 紀之、Tillack Bernd、宮﨑 誠一

    第70回応用物理学会春季学術講演会  2023.3.17 

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ハイブリッド開催(上智大学 四谷キャンパス+オンライン)   Country:Japan  

    17p-D221-1

  29. AFM/KFMによる熱酸化SOI基板上に自己組織化形成したSi量子ドットの局所帯電特性評価 International coauthorship

    今井 友貴、牧原 克典、山本 裕司、Wen Wei-Chen、田岡 紀之、大田 晃生、宮﨑 誠一

    第70回応用物理学会春季学術講演会  2023.3.17 

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ハイブリッド開催(上智大学 四谷キャンパス+オンライン)   Country:Japan  

    17p-B414-7

  30. Fe ナノドットへの SiH4照射によるβ-FeSi2ナノドットの高密度形成

    斎藤 陽斗、牧原 克典、王 子璐、田岡 紀之、大田 晃生、宮﨑 誠一

    第70回応用物理学会春季学術講演会  2023.3.16 

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:ハイブリッド開催(上智大学 四谷キャンパス+オンライン)   Country:Japan  

    16p-PA03-2

  31. Fe超薄膜へのSiH4照射によるシリサイド化反応制御

    斎藤 陽斗、牧原 克典、王 子璐、田岡 紀之、大田 晃生、宮﨑 誠一

    第70回応用物理学会春季学術講演会  2023.3.16 

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:ハイブリッド開催(上智大学 四谷キャンパス+オンライン)   Country:Japan  

    16p-PA03-3

  32. Al/Si0.2Ge0.8(111)構造の熱処理によるSiおよびGeの表面偏析 International coauthorship

    酒井 大希、松下 圭吾、大田 晃生、田岡 紀之、牧原 克典、山本 裕司、宮﨑 誠一

    第70回応用物理学会春季学術講演会  2023.3.16 

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ハイブリッド開催(上智大学 四谷キャンパス+オンライン)   Country:Japan  

    16a-D511-8

  33. SiO2上への極薄ニッケルシリサイド膜形成―Si/Ni/Si初期構造における膜厚依存性―

    木村 圭佑、田岡 紀之、西村 駿介、大田 晃生、牧原 克典、宮﨑 誠一

    第70回応用物理学会春季学術講演会  2023.3.16 

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ハイブリッド開催(上智大学 四谷キャンパス+オンライン)   Country:Japan  

    16a-D511-10

  34. Impact of O2 Annealing on Electronic States of p-type GaN(0001) Surface International conference

    Z. Zhou, X. Tian, A. Ohta, N. Taoka, K. Makihara, and S. Miyazaki

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides Nanomaterials / 16th International Conference on Plasma-Nano Technology and Science (ISPlasma 2023 / IC-PLANTS 2023)  2023.3.7 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gihu Univ.   Country:Japan  

    07P-P2-36

  35. Characterization of Light Emission Properties of Superatom-like Ge-core/Si-shell Quantum Dots International coauthorship International conference

    K. Makihara, Y. Yamamoto, Y. Imai, N. Taoka, M. A. Schubert, B. Tillack, and S. Miyazaki

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides Nanomaterials / 16th International Conference on Plasma-Nano Technology and Science (ISPlasma 2023 / IC-PLANTS 2023)  2023.3.6 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gihu Univ.   Country:Japan  

    06P-P3-46

  36. Electroluminescence from High Density Ge/Si Quantum Dots on Sub-micron Patterned Si Wires International conference

    Y. Imai, K. Makihara, N. Taoka, A. Ohta, and S. Miyazaki

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides Nanomaterials / 16th International Conference on Plasma-Nano Technology and Science (ISPlasma 2023 / IC-PLANTS 2023)  2023.3.6 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Venue:Gihu Univ.   Country:Japan  

    06P-P3-45

  37. Study on Electronic Charged States of Ultrahigh Density Self-aligned Si-based Quantum Dots Evaluated with AFM/Kelvin Probe Technique

    2023.2.3 

     More details

    Event date: 2023.2

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  38. Control of Surface Flatness and Crystallinity of Al/Si(111) Structure and Formation of Ultrathin Si Layer by Segregation

    2023.2.3 

     More details

    Event date: 2023.2

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  39. Effect of Thickness of Thin Nickel Silicide Films Formed on SiO2 on Surface Morphology and Crystalline Phases

    2023.2.3 

     More details

    Event date: 2023.2

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  40. Formation of NiGe Thin Film on SiO2 and Its Electrical and Electronic Properties

    2023.2.3 

     More details

    Event date: 2023.2

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  41. Study on Device Process for Ultrathin Ge Crystal Formed by Segregation Using Metal-Ge Eutectic Reaction

    2023.2.3 

     More details

    Event date: 2023.2

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  42. Structural and Light-emission Properties of High-density Superatom-like Ge-core/Si-shell Quantum Dots International coauthorship

    2023.2.3 

     More details

    Event date: 2023.2

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  43. Ultrathin Si Segregated Layer Formation on Al/Si(111) International conference

    T. Sakai, A. Ohta, K. Matsushita, N. Taoka, K. Makihara, and S. Miyazaki

    13th International WorkShop on New Group IV Semiconductor Nanoelectronics  2023.1.24 

     More details

    Event date: 2023.1

    Language:English   Presentation type:Poster presentation  

    Venue:Tohoku University, Sendai   Country:Japan  

    P-09

  44. Formation of Fe3Si Nanodots and Characterization of Their Magnetoelectronic Transport Properties International conference

    J. Wu, H. Zhang, K. Makihara, N. Taoka, and S. Miyazaki

    13th International WorkShop on New Group IV Semiconductor Nanoelectronics  2023.1.23 

     More details

    Event date: 2023.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tohoku University, Sendai   Country:Japan  

    O-03

  45. Electronic Charged States of High Density Self-aligned Si-based Quantum Dots as Evaluated by Using an AFM/Kelvin Probe Technique International conference

    Y. Imai, K. Makihara, N. Taoka, A. Ohta, and S. Miyazaki

    13th International WorkShop on New Group IV Semiconductor Nanoelectronics  2023.1.24 

     More details

    Event date: 2023.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tohoku University, Sendai   Country:Japan  

    O-04

  46. Change of Surface Morphology, Chemical Bonding Features and Crystalline Phases of Ultra-thin NixSi1-x Layers Due to Thinning International conference

    K. Kimura, N. Taoka, S. Nishimura, A. Ohta, K. Makihara, and S. Miyazaki

    13th International WorkShop on New Group IV Semiconductor Nanoelectronics  2023.1.24 

     More details

    Event date: 2023.1

    Language:English   Presentation type:Poster presentation  

    Venue:Tohoku University, Sendai   Country:Japan  

    P-03

  47. Formation of Fe-silicide-NDs and Characterization of Their PL Properties International conference

    H. Saito, K. Makihara, Y. Hara, S. Fujimori, Y. Imai, N. Taoka, A. Ohta, and S. Miyazaki

    13th International WorkShop on New Group IV Semiconductor Nanoelectronics  2023.1.24 

     More details

    Event date: 2023.1

    Language:English   Presentation type:Poster presentation  

    Venue:Tohoku University, Sendai   Country:Japan  

    P-06

  48. Si酸化膜上に形成したニッケルシリサイド層の 膜厚が結晶相に与える影響

    木村 圭佑、田岡 紀之、大田 晃生、牧原 克典、宮﨑 誠一

    名古屋大学シンクロトロン光研究センターシンポジウム(2022)  2023.1.16 

     More details

    Event date: 2023.1

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学 野依学術記念交流館   Country:Japan  

    18

  49. Al/Ge(111)上に偏析したGe薄膜の化学結合状態分析

    松下 圭吾、大田 晃生、田岡 紀之、牧原 克典、宮﨑 誠一

    名古屋大学シンクロトロン光研究センターシンポジウム(2022)  2023.1.16 

     More details

    Event date: 2023.1

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋大学 野依学術記念交流館   Country:Japan  

    13

  50. SiH4照射によるFeナノドットのシリサイド化反応過程および発光特性の評価

    斎藤 陽斗、牧原 克典、大田 晃生、田岡 紀之、宮﨑 誠一

    第22回日本表面真空学会中部支部学術講演会  2022.12.17 

     More details

    Event date: 2022.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋工業大学   Country:Japan  

    5

  51. Fabrication and Characterization of Ge/Si Core-Shell Quantum Dots for Light Emission Devices Invited International conference

    K. Makihara, and S. Miyazaki

    Symposium on Light Emission and Photonics of Group IV Semiconductor Nanostructures (LPGN)  2022.12.14 

     More details

    Event date: 2022.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Nagoya University   Country:Japan  

    16:45-17:20

  52. SiO2上へのニッケルシリサイド超薄膜形成におけるSiキャップ層の効果

    木村 圭佑、田岡 紀之、西村 駿介、大田 晃生、牧原 克典、宮﨑 誠一

    第9回応用物理学会名古屋大学スチューデントチャプター東海地区学術講演会  2022.12.10 

     More details

    Event date: 2022.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学 VBL   Country:Japan  

    A7

  53. 熱処理によるAl(111)上のSi表面偏析制御

    酒井 大希、松下 圭吾、大田 晃生、田岡 紀之、牧原 克典、宮﨑 誠一

    第9回応用物理学会名古屋大学スチューデントチャプター東海地区学術講演会  2022.12.10 

     More details

    Event date: 2022.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学 VBL   Country:Japan  

    A11

  54. Si量子ドットの規則配列制御

    辻 綾哉、今井 友貴、牧原 克典、田岡 紀之、大田 晃生、宮崎 誠一

    第9回応用物理学会名古屋大学スチューデントチャプター東海地区学術講演会  2022.12.10 

     More details

    Event date: 2022.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学 VBL   Country:Japan  

    A9

  55. Feシリサイドナノドットの室温PL特性および化学結合状態評価

    斎藤 陽斗、牧原 克典、大田 晃生、田岡 紀之、宮﨑 誠一

    第9回応用物理学会名古屋大学スチューデントチャプター東海地区学術講演会  2022.12.10 

     More details

    Event date: 2022.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学 VBL   Country:Japan  

    A8

  56. Control of Surface Flatness and Ge Segregation on Metal/Ge Structure Toward Ultrathin and Two-dimensional Ge Crystal Growth Invited

    大田 晃生、宮﨑 誠一

    2022年度 ナノ構造・物性-ナノ機能・応用部会合同シンポジウム  2022.12.10 

     More details

    Event date: 2022.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:彦根勤労福祉会館「たちばな」   Country:Japan  

  57. Alignment Control of Si-based Quantum Dots International conference

    Y. Imai, K. Makihara, N. Taoka, and S. Miyazaki

    The 3rd International Workshop on Advanced Nanomaterials for Future Electron Devices 2022 (IWAN2022)  2022.11.28 

     More details

    Event date: 2022.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Frankfurt (Oder)   Country:Germany  

    S1-2

  58. High-Density Formation of Fe-Silicide Nanodots and Their Magnetic-Field Dependent Electron Transport Properties International conference

    J. Wu, K. Makihara, N. Taoka, and S. Miyazaki

    The 3rd International Workshop on Advanced Nanomaterials for Future Electron Devices 2022 (IWAN2022)  2022.11.28 

     More details

    Event date: 2022.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Frankfurt (Oder)   Country:Germany  

    S1-1

  59. Crystalline Phase Control of Hf-oxide Layer due to Si Surface Orientations International conference

    W. Yasuda, N. Taoka, A. Ohta, K. Makihara, and S. Miyazaki

    The 43rd International Symposium on Dry Process (DPS2022)  2022.11.25 

     More details

    Event date: 2022.11

    Language:English   Presentation type:Poster presentation  

    Venue:Osaka International Convention Center   Country:Japan  

    P-31

  60. Layer Transfer of Ultrathin Ge Layer Segregated on Al/Ge(111) International conference

    K. Matsushita, A. Ohta, N. Taoka, K. Makihara, and S. Miyazaki

    35th International Microprocesses and Nanotechnology Conference (MNC 2022)  2022.11.10 

     More details

    Event date: 2022.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:JR Hotel Clement Tokushima   Country:Japan  

    10D-1-2

  61. Characterization of Light Emission Properties of Impurity Doped Ge/Si Core-Shell Quantum Dots Invited International conference

    S. Miyazaki, Y. Imai, and K. Makihara

    242nd ECS Meeting (Symposium G03 - SiGe, Ge, and Related Materials: Materials, Processing, and Devices 10)  2022.10.13 

     More details

    Event date: 2022.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Atlanta, GA   Country:United States  

    G03-1234 (オンデマンド配信、招待講演)

  62. Characterization of Magnesium Channeled Implantation Layers in GaN(0001) International conference

    A. Suyama, H. Kawanowa, H. Minagawa, J. Maekawa, S. Nagamachi, M. Aoki, A. Ohta, K. Makihara, S. Miyazaki

    2022 International Conference on Solid State Devices and Materials (SSDM 2022)  2022.9.27 

     More details

    Event date: 2022.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:hybrid format (Makuhari Messe, Chiba)   Country:Japan  

    J-1-02

  63. Formation of Ultra-thin NiGe film with Mono-crystalline Phase and Smooth Surface International conference

    S. Nishimura, N. Taoka, A. Ohta, K. Makihara, and S. Miyazaki

    2022 International Conference on Solid State Devices and Materials (SSDM 2022)  2022.9.28 

     More details

    Event date: 2022.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:hybrid format (Makuhari Messe, Chiba)   Country:Japan  

    B-6-06

  64. Evaluation of Chemical Structure and Si Segregation of Al/Si(111) International conference

    T. Sakai, A. Ohta, K. Matsushita, N. Taoka, K. Makihara, and S. Miyazaki

    2022 International Conference on Solid State Devices and Materials (SSDM 2022)  2022.9.29 

     More details

    Event date: 2022.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:hybrid format (Makuhari Messe, Chiba)   Country:Japan  

    B-8-02

  65. 絶縁膜/GaN 界面の化学・電子状態評価-光電子分光分析からの知見 Invited

    宮﨑 誠一、大田 晃生

    第83回応用物理学会秋季学術講演会シンポジウム「ワイドバンドギャップ半導体MOS界面科学の最前線」  2022.9.21 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:ハイブリッド開催(東北大学 川内北キャンパス+オンライン)   Country:Japan  

    21p-M206-5

  66. Electron Emission Proprties of Multiple-Stacked SiGe-Nanodots/Si Structures

    S. Qiu, K. Makihara, N. Taoka, A. Ohta, and S. Miyazaki

    第83回応用物理学会秋季学術講演会  2022.9.23 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ハイブリッド開催(東北大学 川内北キャンパス+オンライン)   Country:Japan  

    23p-B101-7

  67. Al/Ge(111)構造上に偏析した極薄Ge結晶層の転写

    松下 圭吾、大田 晃生、柴山 茂久、田岡 紀之、牧原 克典、宮﨑 誠一

    第83回応用物理学会秋季学術講演会  2022.9.21 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ハイブリッド開催(東北大学 川内北キャンパス+オンライン)   Country:Japan  

    21p-A106-14

  68. ニッケルシリサイド超薄膜形成におけるSiキャップ層の効果

    木村 圭佑、田岡 紀之、西村 駿介、大田 晃生、牧原 克典、宮﨑 誠一

    第83回応用物理学会秋季学術講演会  2022.9.21 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ハイブリッド開催(東北大学 川内北キャンパス+オンライン)   Country:Japan  

    21p-A106-15

  69. 単一結晶相を有する Ni-Germanide 極薄膜の電気特性および電子状態

    西村 駿介、田岡 紀之、大田 晃生、牧原 克典、宮﨑 誠一

    第83回応用物理学会秋季学術講演会  2022.9.21 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ハイブリッド開催(東北大学 川内北キャンパス+オンライン)   Country:Japan  

    21p-A106-16

  70. 高温短時間熱処理による極薄SiO2上に形成したa-Si膜の結晶化

    今井 友貴、牧原 克典、田岡 紀之、大田 晃生、宮﨑 誠一

    第83回応用物理学会秋季学術講演会  2022.9.21 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ハイブリッド開催(東北大学 川内北キャンパス+オンライン)   Country:Japan  

    21a-C206-6

  71. FePtナノ構造の帯磁特性評価

    武 嘉麟、牧原 克典、田岡 紀之、大田 晃生、宮﨑 誠一

    第83回応用物理学会秋季学術講演会  2022.9.23 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ハイブリッド開催(東北大学 川内北キャンパス+オンライン)   Country:Japan  

    23a-A205-12

  72. Feシリサイドドットの室温PL特性―ドットサイズ依存性

    斎藤 陽斗、牧原 克典、田岡 紀之、大田 晃生、宮﨑 誠一

    第83回応用物理学会秋季学術講演会  2022.9.20 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ハイブリッド開催(東北大学 川内北キャンパス+オンライン)   Country:Japan  

    20a-A406-1

  73. 第一原理計算によるSiO2/GaN界面の中間層の研究

    服部 柊人、押山 淳、白石 賢二、宮﨑 誠一、渡部 平司、上野 勝典、田中 亮、近藤 剣、高島 信也、江戸 雅晴

    第83回応用物理学会秋季学術講演会  2022.9.22 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ハイブリッド開催(東北大学 川内北キャンパス+オンライン)   Country:Japan  

    22p-B204-3

  74. Surface Modification and Wafer Bonding of Ultrathin Ge Segregated Layer formed on Al/Ge(111) International conference

    K. Matsushita, A. Ohta, N. Taoka, K. Makihara, and S. Miyazaki

    The 9th International Symposium on Control of Semiconductor Interfaces (ISCSI-IX)  2022.9.7 

     More details

    Event date: 2022.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University   Country:Japan  

    WP1-3

  75. Formation of Ultra-thin Nickel Silicide Layer on SiO2 and Control of Crystalline Phase and Surface Roughness International conference

    K. Kimura, S. Nishimura, N. Taoka, A. Ohta, K. Makihara, and S. Miyazaki

    The 9th International Symposium on Control of Semiconductor Interfaces (ISCSI-IX)  2022.9.5 

     More details

    Event date: 2022.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University   Country:Japan  

    MP1-5

  76. Structural and Light-emission Properties of High–density Superatom–like Ge–core/Si–shell Quantum Dots International coauthorship International conference

    K. Makihara, Y. Yamamoto, Y. Imai, N. Taoka, M. A. Schubert, B. Tillack, and S. Miyazaki

    The 9th International Symposium on Control of Semiconductor Interfaces (ISCSI-IX)  2022.9.5 

     More details

    Event date: 2022.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University   Country:Japan  

    MP2-14

  77. Alignment Control of Self-Assembling Si Quantum Dots International conference

    Y. Imai, R. Tsuji, K. Makihara, N. Taoka, A. Ohta, S. Miyazaki

    The 9th International Symposium on Control of Semiconductor Interfaces (ISCSI-IX)  2022.9.6 

     More details

    Event date: 2022.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University   Country:Japan  

    TuA2-4

  78. Dot Size Dependence of Electron Emission from Si-QDs Multiple-Stacked Structures International conference

    S. Obayashi, K. Makihara, N. Taoka, A. Ohta, and S. Miyazaki

    The 9th International Symposium on Control of Semiconductor Interfaces (ISCSI-IX)  2022.9.6 

     More details

    Event date: 2022.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University   Country:Japan  

    TuA2-5

  79. High-Density Formation and Characterization of Fe-Silicide Nanodots on SiO2 International conference

    J. Wu, H. Zhang, K. Makihara, N. Taoka, A. Ohta, and S. Miyazaki

    29th International Conference on Amorphous & Nanocrystaline Ssemiconductors (ICANS 29)  2022.8.24 

     More details

    Event date: 2022.8

    Language:English   Presentation type:Poster presentation  

    Venue:Nanjing University   Country:China  

    5597

  80. Study on Photoluminescence Properties of Fe-silicide-NDs International conference

    H. Saito, K. Makihara, Y. Hara, S. Fujimori, Y. Imai, N. Taoka, A. Ohta, and S. Miyazaki

    The 6th Asia-Pacific Conference on Semiconducting Silicides and Related Materials, 2022 (APAC-Silicide 2022)  2022.7.31 

     More details

    Event date: 2022.7 - 2022.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン開催   Country:Japan  

    Sun-p-O18

  81. [チュートリアル]組成・状態評価 Invited

    宮﨑 誠一

    日本学術振興会 R025先進薄膜界面機能創成委員会 リトリート学習会  2022.7.30 

     More details

    Event date: 2022.7

    Language:Japanese   Presentation type:Public lecture, seminar, tutorial, course, or other speech  

    Venue:オンライン開催   Country:Japan  

  82. Evaluation of Chemical and Electronic States of Mg-doped GaN(0001) Surfaces International conference

    X. Tian. W. Liu, A. Ohta, N. Taoka, K. Makihara, S. Miyazaki

    2022 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2022)  2022.7.8 

     More details

    Event date: 2022.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン開催   Country:Korea, Republic of  

    B6-5

  83. Two-Dimensional Ge Crystal Growth by Ge Surface Segregation of Metal/Ge Stack Invited International conference

    A. Ohta and S. Miyazaki

    2022 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2022)  2022.7.7 

     More details

    Event date: 2022.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:オンライン開催   Country:Korea, Republic of  

    A3-1

  84. Formation of Ultra-Thin Nickel Silicide Layer with controlled Surface Morphology and Crystalline Phase on SiO2

    2022.6.21 

     More details

    Event date: 2022.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  85. Formation of Al(111) Thin Layer on Si(111) and Control of Surface Si Segregation by Thermal Annealing

    2022.6.21 

     More details

    Event date: 2022.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  86. Impacts of Surface Orientation of Si Substrate on Crystalline Structures and Chemical Composition of Hf-oxide Layers Formed by Post Oxidation

    2022.6.21 

     More details

    Event date: 2022.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  87. SiO₂上へのニッケルシリサイド超薄膜の形成と化学結合状態分析

    木村 圭佑、西村 駿介、田岡 紀之、大田 晃生、牧原 克典、宮﨑 誠一

    第69回応用物理学会春季学術講演会  2022.3.26 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:ハイブリッド開催(青山学院大学 相模原キャンパス+オンライン)   Country:Japan  

    講演No. 26a-P05-2

  88. Si量子ドットの一次元配列制御

    辻 綾哉、今井 友貴、牧原 克典、田岡 紀之、大田 晃生、宮崎 誠一

    第69回応用物理学会春季学術講演会  2022.3.23 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:ハイブリッド開催(青山学院大学 相模原キャンパス+オンライン)   Country:Japan  

    講演No. 23p-P11-1

  89. HCl前洗浄したAl2O3/GaN界面の高温電気的特性

    長井 大誠、田岡 紀之、大田 晃生、牧原 克典、宮﨑 誠一

    第69回応用物理学会春季学術講演会  2022.3.24 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ハイブリッド開催(青山学院大学 相模原キャンパス+オンライン)   Country:Japan  

    講演No. 24a-E302-8

  90. Feシリサイドドットの発光特性評価

    古幡 裕志、斎藤 陽斗、牧原 克典、大田 晃生、田岡 紀之、宮﨑 誠一

    第69回応用物理学会春季学術講演会  2022.3.24 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ハイブリッド開催(青山学院大学 相模原キャンパス+オンライン)   Country:Japan  

    講演No. 24p-E103-4

  91. Si量子ドット多重集積構造からの電界電子放出―ドットサイズ依存性

    尾林 秀治、牧原 克典、田岡 紀之、大田 晃生、宮﨑 誠一

    第69回応用物理学会春季学術講演会  2022.3.24 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ハイブリッド開催(青山学院大学 相模原キャンパス+オンライン)   Country:Japan  

    講演No. 24p-F408-5

  92. SiO2上に形成したNiGe超薄膜の表面形態と結晶相制御

    西村 駿介、田岡 紀之、大田 晃生、牧原 克典、宮﨑 誠一

    第69回応用物理学会春季学術講演会  2022.3.25 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:ハイブリッド開催(青山学院大学 相模原キャンパス+オンライン)   Country:Japan  

    講演No. 25p-D114-2

  93. Al/Si(111)上に表面偏析したSiの光電子分光分析

    酒井 大希、松下 圭吾、大田 晃生、田岡 紀之、牧原 克典、宮﨑 誠一

    第69回応用物理学会春季学術講演会  2022.3.26 

     More details

    Event date: 2022.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:ハイブリッド開催(青山学院大学 相模原キャンパス+オンライン)   Country:Japan  

    講演No. 26a-P05-1

  94. Effects of Cl passivation on Al2O3/GaN interface properties International conference

    T. Nagai, N. Taoka, A. Ohta, K. Makihara, and S. Miyazaki

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides Nanomaterials / 15th International Conference on Plasma-Nano Technology and Science (ISPlasma 2022 / IC-PLANTS 2022)  2022.3.9 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:オンライン開催   Country:Japan  

    講演No. 09aC02O (1115)

  95. Suppression of Ga Diffusion by Interfacial Barrier Layer in AlSiO/p-GaN International conference

    X. Tian, W. Liu, A. Ohta, N. Taoka, K. Makihara, T. Narita, and S. Miyazaki

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides Nanomaterials / 15th International Conference on Plasma-Nano Technology and Science (ISPlasma 2022 / IC-PLANTS 2022)  2022.3.9 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Poster presentation  

    Venue:オンライン開催   Country:Japan  

    講演No. 09P-12 (1187)

  96. Photoemission Study of Mg doped GaN(0001) Surfaces International conference

    W. Liu, X. Tian, A. Ohta, N. Taoka, K. Makihara, and S. Miyazaki

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides Nanomaterials / 15th International Conference on Plasma-Nano Technology and Science (ISPlasma 2022 / IC-PLANTS 2022)  2022.3.9 

     More details

    Event date: 2022.3

    Language:English   Presentation type:Poster presentation  

    Venue:オンライン開催   Country:Japan  

    講演No. 09P-13 (2000)

  97. Effects of HCl cleaning on Al2O3/GaN interface and electrical properties

    2022.1.28 

     More details

    Event date: 2022.1

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  98. Controls of Crystallinity and Surface Flatness of Al/Ge(111) by Substrate Heating and Ge Surface Segregation by Annealing

    2022.1.28 

     More details

    Event date: 2022.1

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  99. Fe ナノドットへのSiH4 照射による Fe シリサイドナノドットの高密度・一括形成と室温PL 特性評価

    斎藤 陽斗、古幡 裕志、牧原 克典、志村 洋介、大田 晃生、田岡 紀之、宮﨑 誠一

    第21回日本表面真空学会中部支部学術講演会(若手講演会)  2021.12.18  日本表面真空学会中部支部

     More details

    Event date: 2021.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:オンライン開催   Country:Japan  

    11:15 - 11:30 2

  100. AFM/KFM による超高密度一次元連結 Si 系量子ドットの局所帯電電荷分布計測

    今井 友貴、牧原 克典、田岡 紀之、大田 晃生、宮﨑 誠一

    第21回日本表面真空学会中部支部学術講演会(若手講演会)  2021.12.18  日本表面真空学会中部支部

     More details

    Event date: 2021.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:オンライン開催   Country:Japan  

    11:30 - 11:45 3

  101. Electroluminescence Study of Si Quantum Dots with Ge Core Invited

    2021年度ナノ構造・物性-ナノ機能・応用部会合同シンポジウム  2021.12.1  ナノ学会

     More details

    Event date: 2021.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:ハイブリット開催(対面(松江テルサ(島根県松江市))、オンライン併用)   Country:Japan  

    12/1 13:30-14:10

  102. Impact of Substrate Heating on Surface Flattening and Ge Segregation of Al/Ge(111) International conference

    K. Matsushita, A. Ohta, N. Taoka, S. Hayashi, K. Makihara, and S. Miyazaki

    2021 International Workshop on DIELECTRIC THIN FILMS FOR FUTURE ELECTRON DEVICES: SCIENCE AND TECHNOLOGY (2021 IWDTF)  2021.11.14 

     More details

    Event date: 2021.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:On-line virtual   Country:Japan  

  103. Roles for Si, Oxygen atoms and Oxygen Vacancy in Crystalline Phase Stabilization of HfZr-oxide Layer International conference

    N. Taoka, R. Hasegawa, A. Ohta, K. Makihara, and S. Miyazaki

    2021 International Workshop on DIELECTRIC THIN FILMS FOR FUTURE ELECTRON DEVICES: SCIENCE AND TECHNOLOGY (2021 IWDTF)  2021.11.15 

     More details

    Event date: 2021.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:On-line virtual   Country:Japan  

  104. Characterization of Electronic Charged States of High Density Self-aligned Si-based Quantum Dots Evaluated with AFM/Kelvin Probe Technique International conference

    Y. Imai, K. Makihara, A. Ohta, N. Taoka, and S. Miyazaki

    34th International Microprocesses and Nanotechnology Conference (MNC 2021)  2021.10.27 

     More details

    Event date: 2021.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Online and On-Demand Conference   Country:Japan  

  105. Study on Silicidation Reaction of Fe-NDs with SiH4 International conference

    H. Furuhata, K. Makihara, A. Ohta, N. Taoka, and S. Miyazaki

    34th International Microprocesses and Nanotechnology Conference (MNC 2021)  2021.10.26 

     More details

    Event date: 2021.10

    Language:English   Presentation type:Poster presentation  

    Venue:Online and On-Demand Conference   Country:Japan  

  106. Impact of Boron Doping and H2 Annealing on Light Emission from Ge/Si Core-Shell Quantum Dots Invited International conference

    S. Miyazaki, K. Makihara

    240th ECS Meeting (Symposium G02 - Semiconductor Process Integration 12)  2021.10.14 

     More details

    Event date: 2021.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Digital Platform   Country:United States  

  107. Remote Hydrogen Plasma-Assisted Formation and Characterization of High-Density Fe-Silicide Nanodots International conference

    J. Wu, Z. He, H. Furuhata, A. Ohta, N. Taoka, K. Makihara, and S. Miyazaki

    The 2nd International Workshop on Advanced Nanomaterials for Future Electron Devices 2021 (IWAN2021)  2021.9.24 

     More details

    Event date: 2021.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:ALL-VIRTUAL conference   Country:Japan  

  108. High Density Formation and Light Emission Characterization of Si Quantum Dots with Ge Core Invited International conference

    K. Makihara, and S. Miyazaki

    The 2nd International Workshop on Advanced Nanomaterials for Future Electron Devices 2021 (IWAN2021)  2021.9.24 

     More details

    Event date: 2021.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:ALL-VIRTUAL conference   Country:Japan  

  109. Study on Silicidation Reaction of Fe-NDs with SiH4 for Light Emission Devices International conference

    H. Furuhata, K. Makihara, A. Ohta, N. Taoka, and S. Miyazaki

    The 2nd International Workshop on Advanced Nanomaterials for Future Electron Devices 2021 (IWAN2021)  2021.9.24 

     More details

    Event date: 2021.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:ALL-VIRTUAL conference   Country:Japan  

  110. 後酸化によって形成したHf酸化物の結晶構造に基板面方位が与える影響

    安田 航、田岡 紀之、大田 晃生、牧原 克典、宮﨑 誠一

    第82回応用物理学会秋季学術講演会  2021.9.12 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン開催   Country:Japan  

    講演番号:12p-N301-13

  111. 高密度FeナノドットへのSiH4照射によるシリサイド化反応制御

    古幡 裕志、牧原 克典、大田 晃生、田岡 紀之、宮﨑 誠一

    第82回応用物理学会秋季学術講演会  2021.9.10 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン開催   Country:Japan  

    講演番号:10p-N302-10

  112. AFM/KFMによる超高密度一次元連結Si系量子ドットの局所帯電電荷計測

    今井 友貴、牧原 克典、田岡 紀之、大田 晃生、宮﨑 誠一

    第82回応用物理学会秋季学術講演会  2021.9.10 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン開催   Country:Japan  

    講演番号:10p-N304-5

  113. 基板加熱がAl/Ge(111)構造の表面平坦化とGe偏析に及ぼす影響

    松下 圭吾、大田 晃生、田岡 紀之、林 将平、牧原 克典、宮﨑 誠一

    第82回応用物理学会秋季学術講演会  2021.9.10 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン開催   Country:Japan  

    講演番号:10p-N202-18

  114. Si量子ドット多重集積構造へのP添加による内部ポテンシャル変調と電子放出特性評価

    尾林 秀治、牧原 克典、竹本 竜也、田岡 紀之、大田 晃生、宮﨑 誠一

    第82回応用物理学会秋季学術講演会  2021.9.13 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン開催   Country:Japan  

    講演番号:13p-N323-5

  115. Magnetic-Field Dependent Electron Transport of Fe3Si Nanodots International coauthorship

    J. Wu, K. Makihara, H. Zhang, H. Furuhata, N. Taoka, A. Ohta, and S. Miyazaki

    2021.9.23 

     More details

    Event date: 2021.9

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  116. High Density Formation of Fe-based Silicide Nanodots Induced by Remote H2 Plasma International coauthorship

    Z. He, J. Wu, K. Makihara, H. Zhang, H. Furuhata, N. Taoka, A. Ohta, and S. Miyazaki

    2021.9.23 

     More details

    Event date: 2021.9

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  117. Study on Electron Emission from Phosphorus delta-Doped Si-QDs/Undoped Si-QDs Multiple-Stacked Structures International conference

    K. Makihara, T. Takemoto, S. Obayashi, A. Ohta, N. Taoka, and S. Miyazaki

    2021 International Conference on Solid State Devices and Materials (SSDM 2021)  2021.9.7 

     More details

    Event date: 2021.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:All-VIRTUAL conference   Country:Japan  

  118. Magnetic-Field Dependent Electron Transport of Fe3Si Nanodots International coauthorship International conference

    J. Wu. K. Makihara, H. Zhang, N. Taoka, A. Ohta, and S. Miyazaki

    2021 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2021)  2021.8.26 

     More details

    Event date: 2021.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:VIRTUAL conference   Country:Japan  

  119. [チュートリアル]組成・状態評価 Invited

    宮﨑 誠一

    日本学術振興会 R025先進薄膜界面機能創成委員会 リトリート学習会  2021.7.31 

     More details

    Event date: 2021.7

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:オンライン開催   Country:Japan  

  120. Fabrication and Characterization of Multiple Stack Si/Ge Quantum Dots for Light/Electron Emission Devices Invited International conference

    S. Miyazaki

    13th International Conference And Expo On Nanotechnology & Nanomaterials (iNanotech 2021)  2021.7.13 

     More details

    Event date: 2021.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Spain  

  121. Formation and Thickness Control of Ultrathin Ge Layer on Al and Ag/(111) Structures by Thermal Anneal

    2021.6.22 

     More details

    Event date: 2021.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  122. Photoemission-based Characterization of Interface Dipoles and Defect States for Gate Dielectrics Invited International conference

    S. Miyazaki

    11th International Conference on Processing and Manufacturing of Advanced Materials (Thermec'2021)  2021.6 

     More details

    Event date: 2021.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Virtual Conference   Country:Austria  

  123. ナノドットによる量子物性制御デバイスの開発 Invited

    牧原 克典、宮﨑 誠一

    放射線科学とその応用第186委員会 第38回研究会  2021.5.18  日本学術振興会産学協力研究委員会 放射線科学とその応用第186委員会

     More details

    Event date: 2021.5

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:オンライン開催   Country:Japan  

  124. HCl前洗浄がAl2O3/GaN界面特性に与える影響

    長井 大誠、田岡 紀之、大田 晃生、池田 弥央、牧原 克典、宮﨑 誠一

    第68回応用物理学会春季学術講演会  2021.3.16 

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン開催   Country:Japan  

  125. XANAMにより測定したGe量子ドット像のX線エネルギー依存性

    鈴木 秀士、向井 慎吾、田 旺帝、野村 昌治、藤森 俊太郎、池田 弥央、牧原 克典、宮﨑 誠一、朝倉 清高

    第68回応用物理学会春季学術講演会  2021.3.17 

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:オンライン開催   Country:Japan  

  126. XANAMによるSi-Ge量子ドットにおけるX線誘起力変化の調査

    鈴木 秀士、向井 慎吾、田 旺帝、野村 昌治、藤森 俊太郎、池田 弥央、牧原 克典、宮﨑 誠一、朝倉 清高

    第68回応用物理学会春季学術講演会  2021.3.16 

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン開催   Country:Japan  

  127. リモート水素プラズマ支援FePt合金ナノドット自己組織化形成プロセスにおける基板温度が磁化特性に与える影響

    本田 俊輔、古幡 裕志、大田 晃生、池田 弥央、大島 大輝、加藤 剛志、牧原 克典、宮﨑 誠一

    第68回応用物理学会春季学術講演会  2021.3.19 

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン開催   Country:Japan  

  128. 低温水素アニール処理がGeコアSi量子ドットのPL特性に及ぼす影響

    前原 拓哉、池田 弥央、大田 晃生、牧原 克典、宮﨑 誠一

    第68回応用物理学会春季学術講演会  2021.3.17 

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン開催   Country:Japan  

  129. Segregation Control for Ultrathin Ge Layer in Al/Ge(111) system International conference

    A. Ohta, M. Kobayashi, N. Taoka, M. Ikeda, K. Makihara, and S. Miyazaki

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides Nanomaterials / 14th International Conference on Plasma-Nano Technology and Science (ISPlasma 2021 / IC-PLANTS 2021)  2021.3.10 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  130. Influence of Substrate Temperature on Plasma-Enhanced Self-Assembling Formation of High Density FePt-Nanodot International conference

    S. Honda, K. Makihara, H. Furuhata, A. Ohta, M. Ikeda, T. Kato, D. Oshima, and S. Miyazaki

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides Nanomaterials / 14th International Conference on Plasma-Nano Technology and Science (ISPlasma 2021 / IC-PLANTS 2021)  2021.3.9 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  131. High-Density Formation of FeSi2 Nanodots on Ultrathin SiO2 Induced by Remote Hydrogen Plasma International conference

    H. Zhixue, H, Zhang, A. Ohta, M. Ikeda, N. Taoka, K. Makihara, and S. Miyazaki

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides Nanomaterials / 14th International Conference on Plasma-Nano Technology and Science (ISPlasma 2021 / IC-PLANTS 2021)  2021.3.9 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  132. Magnetoelectronic Transport Characteristics of Fe3Si Nanodots on Ultrathin SiO2 Induced by Remote Hydrogen Plasma International conference

    W. Jialin, H. Zhang, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides Nanomaterials / 14th International Conference on Plasma-Nano Technology and Science (ISPlasma 2021 / IC-PLANTS 2021)  2021.3.9 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  133. Characterization of Electron Field Emission from Phosphorus δ-Doped Si-QDs/Undoped Si-QDs Multiple-Stacked Structures International conference

    T. Takemoto, T. Niibayashi, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides Nanomaterials / 14th International Conference on Plasma-Nano Technology and Science (ISPlasma 2021 / IC-PLANTS 2021)  2021.3.9 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  134. [チュートリアル]組成・状態評価 Invited

    宮﨑 誠一

    日本学術振興会 R025先進薄膜界面機能創成委員会 リトリート学習会  2021.3.6 

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:オンライン開催   Country:Japan  

  135. Impacts of Thermal Oxidation Process of Hf/Zr Stacks on Crystalline Phases and Ferroelectric Property

    R. Hasegawa, N. Taoka, A. Ohta, K. Makihara, M. Ikeda, and S. Miyazaki

    2021.1.22 

     More details

    Event date: 2021.1

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  136. Solid Phase Crystallization of Amorphous Ge Thin Films on Sapphire(0001)

    H. Sugawa, A. Ohta, N. Taoka, M. Ikeda, K. Makihara, and S. Miyazaki

    2021.1.22 

     More details

    Event date: 2021.1

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  137. Growth of Ultrathin Ge Crystal Layer by Surface Segregation and Flattening of Ag/Ge Structure

    A. Ohta, K. Yamada, H. Sugawa, N. Taoka, M. Ikeda, K. Makihara, and S. Miyazaki

    2021.1.22 

     More details

    Event date: 2021.1

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  138. グラフェン電極を用いたSi量子ドット多重集積構造からの電子放出特性評価

    新林 智文、牧原 克典、大田 晃生、池田 弥央、宮﨑 誠一

    第20回日本表面真空学会中部支部学術講演会  2020.12.19  日本表面真空学会中部支部

     More details

    Event date: 2020.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン開催   Country:Japan  

  139. Electron Field Emission from Multiply-Stacked Si Quantum Dots Structures with Graphene Top-Electrode International conference

    Tomofumi Niibayashi, Tatsuya Takemoto, Katsunori Makihara, Akio Ohta, Mitsuhisa Ikeda, and Seiichi Miyazaki

    PRiME 2020 (238th Meeting of The Electrochemical Society (ECS)) 

     More details

    Event date: 2020.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:All-VIRTUAL conference   Country:United States  

  140. Crystallization of Ge Thin Films on Sapphire(0001) by Thermal Annealing International conference

    Hibiki Sugawa, Akio Ohta, Masato Kobayashi, Noriyuki Taoka, Mitsuhisa Ikeda, Katsunori Makihara and Seiichi Miyazaki

    PRiME 2020 (238th Meeting of The Electrochemical Society (ECS)) 

     More details

    Event date: 2020.10

    Language:English   Presentation type:Poster presentation  

    Venue:All-VIRTUAL conference   Country:United States  

  141. Characterization of Magnetic-Field Dependent Electron Transport of Fe3Si Nanodots by Using a Magnetic AFM Probe International conference

    Jialin Wu, Hai Zhang, Hiroshi Furuhata, Katsunori Makihara, Mitsuhisa Ikeda, Akio Ohta and Seiichi Miyazaki

    PRiME 2020 (238th Meeting of The Electrochemical Society (ECS)) 

     More details

    Event date: 2020.10

    Language:English   Presentation type:Poster presentation  

    Venue:All-VIRTUAL conference   Country:United States  

  142. Growth of Ultrathin Ge Crystal Layer by Surface Segregation and Flattening of Ag/Ge Structure International conference

    Akio Ohta, Kenzou Yamada, Hibiki Sugawa, Noriyuki Taoka, Mitsuhisa Ikeda, Katsunori Makihara, an Seiichi Miyazaki

    2020 International Conference on Solid State Devices and Materials (SSDM 2020)  2020.9.28 

     More details

    Event date: 2020.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:All-VIRTUAL conference   Country:Japan  

  143. グラフェン上部電極を用いたSi量子ドット多重集積構造からの電界電子放出 ―コレクタ電極電圧依存性評価

    2020.9.9 

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  144. XANAMによるGe量子ドット像の1次元 元素マッピング

    2020.9.9 

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  145. 金属Zr/Hf構造の熱酸化によるZrHf酸化物の形成と結晶相制御

    2020.9.10 

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  146. 偏析法によるAl(111)薄膜表面上のゲルマネンの創製

    武藤 寛明、柚原 淳司、小林 征登、大田 晃生、宮崎 誠一、Guy Le Lay

    日本物理学会2020年秋季大会  2020.9.11 

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:オンライン開催   Country:Japan  

    講演No. 11aJ1-5

  147. Si-Ge系ナノドットの高密度集積と光・電子物性制御 Invited

    牧原 克典、宮﨑 誠一

    阪大CSRN 第二回異分野研究交流会 ~半導体ナノカーボン系~  2020.8.28 

     More details

    Event date: 2020.8

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:オンライン開催   Country:Japan  

  148. Photoemission Study of Chemically-Cleaned GaN Surfaces and GaN-SiO2 Interfaces Formed by Remote Plasma CVD Invited International conference

    S. Miyazaki, and A. Ohta

    Material Research Meeting 2019 (MRM 2020) 

     More details

    Event date: 2019.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Yokohama   Country:Japan  

  149. Light Emission from Multiple Stack Si/Ge Quantum Dots Invited International conference

    S. Miyazaki

    7th Global Nanotechnology Congress and Expo: Nanotechnology 2019 

     More details

    Event date: 2019.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Kuala Lumpur   Country:Malaysia  

  150. Formation of High Density Fe-silicide Nanodots Induced by Remote Hydrogen Plasma and Characterization of Their Magnetic Properties Invited International conference

    J. Wu, H. Furuhata, H. Zhang, Y. Hashimoto, M. Ikeda, A. Ohta, A. Kohno, K. Makihara, and S. Miyazaki

    8th International Symposium on Control of Semiconductor Interfaces (ISCSI-8) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Poster presentation  

    Venue:Sendai   Country:Japan  

  151. Fabrication of Impurity Doped Si Quantum Dots with Ge Core for Light Emission Devices Invited International conference

    K. Makihara, M. Ikeda, and S. Miyazaki

    8th International Symposium on Control of Semiconductor Interfaces (ISCSI-8) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Sendai   Country:Japan  

  152. Application of Surface Chemical Imaging by XANAM to Ge Surfaces Invited International conference

    S. Suzuki, S. Mukai, W. J. Chun, M. Nomura, S. Fujimori, M. Ikeda, K. Makihara, S. Miyazaki, and K. Asakura

    8th International Symposium on Control of Semiconductor Interfaces (ISCSI-8) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sendai   Country:Japan  

  153. Characterization of Photoluminescence from Si-QDs with B δ-Doped Ge Core Invited International conference

    T. Maehara, S. Fujimori, M. Ikeda, A. Ohta, K. Makihara, and S. Miyazaki

    8th International Symposium on Control of Semiconductor Interfaces (ISCSI-8) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sendai   Country:Japan  

  154. High Density Formation and Magnetoelectronic Transport Properties of Magnetic Fe-silicide Nanodots Invited International conference

    H. Zhang, X. Liu, K. Makihara, M. Ikeda, A. Ohta, and S. Miyazaki

    8th International Symposium on Control of Semiconductor Interfaces (ISCSI-8) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Poster presentation  

    Venue:Sendai   Country:Japan  

  155. Formation of High Density PtAl Nanodots Induced by Remote Hydrogen Plasma Exposure Invited International conference

    S. Miyazaki

    41st International Symposium on Dry Process (DPS 2019) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Poster presentation  

    Venue:Hiroshima   Country:Japan  

  156. Fabrication and Characterization of Multiple Stack Si/Ge Quantum Dots for Light Emission Invited International conference

    S. Miyazaki

    2nd Int. Conf. on Photonics Research: InterPhotonics 2019 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Antalya   Country:Turkey  

  157. Operand Study of Multiple Stacked Si Quantum Dots by Hard X-ray Photoelectron Spectroscopy Invited International conference

    M. Ikeda, A. Ohta, K. Makihara, and S. Miyazaki

    International Conference on Materials and Systems for Sustainability 2019 (ICMaSS 2019) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya   Country:Japan  

  158. Impact of Boron Doping into Si Quantum Dots with Ge Core on Their Photoluminescence Properties Invited International conference

    S. Fujimori, M. Ikeda, A. Ohta, K. Makihara, and S. Miyazaki

    International Conference on Materials and Systems for Sustainability 2019 (ICMaSS 2019) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  159. Determination of Complex Dielectric Function of Oxide Film from Photoemission Measurements Invited International conference

    A. Ohata, M. Ikeda, K. Makihara, and S. Miyazaki

    International Conference on Materials and Systems for Sustainability 2019 (ICMaSS 2019) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  160. Impact of Boron Doping into Si Quantum Dots with Ge Core on Their Photoluminescence Properties Invited International conference

    K. Makihara, S. Fujimori, M. Ikeda, A. Ohta, and S. Miyazaki

    32nd International Microprocesses and Nanotechnology Conference (MNC 2019) 

     More details

    Event date: 2019.10

    Language:English   Presentation type:Poster presentation  

    Venue:Hiroshima   Country:Japan  

  161. Growth of Hetero-epitaxial Al on Ge(111) and Segregation of Ge Crystal by Annealing Invited International conference

    M. Kobayashi, A. Ohta, M. Kurosawa, M. Araidai, M. Ikeda, N. Taoka, T. Shimizu, K. Makihara, and S. Miyazaki

    32nd International Microprocesses and Nanotechnology Conference (MNC 2019) 

     More details

    Event date: 2019.10

    Language:English   Presentation type:Poster presentation  

    Venue:Hiroshima   Country:Japan  

  162. Study on Light Emission from Multiple Stack Si/Ge Quantum Dots Invited International conference

    S. Miyazaki

    World Congress on Lasers, Optics and Photonics 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Barcelona   Country:Spain  

  163. Impact of Post Deposition Annealing on Chemical Bonding Features and Filled Electronic Defects of AlSiO/GaN(0001) Structure Invited International conference

    A. Ohta, D. Kikuta, T. Narita, K. Itoh, K. Makihara, T. Kachi, and S. Miyazaki

    2019 International Conference of Solid State of Device and Materials (SSDM 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University   Country:Japan  

  164. Characterization of Electron Field Emission from Si Quantum Dots with Ge Core/Si Quantum Dots Hybrid Stacked Structures Invited International conference

    T. Takemoto, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    2019 International Conference of Solid State of Device and Materials (SSDM 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya University   Country:Japan  

  165. Characterization of Ni/GaN(0001) Interfaces by Photoemission Measurements Invited International conference

    K. Watanabe, A. Ohta, N. Taoka, H. Yamada, M. Ikeda, K. Makihara, M. Shimizu, and S.Miyazaki

    2019 International Conference of Solid State of Device and Materials (SSDM 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University   Country:Japan  

  166. Growth of Ultrathin Segregated-Ge Crystal on Al/Ge(111) Surface Invited International conference

    M. Kobayashi, A. Ohta, M. Kurosawa, M. Araidai, M. Ikeda, N. Taoka, T. Shimizu, K. Makihara, and S. Miyazaki

    2019 International Conference of Solid State of Device and Materials (SSDM 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya University   Country:Japan  

  167. Formation of high density Fe-silicide nanodots induced by remote H2 plasma and their magnetic properties Invited International conference

    Y. Hashimoto, K. Makihara, M. Ikeda, A. Ohta, A. Kohno, and S. Miyazaki

    The 5th Asia-Pacific Conference on Semiconducting Silicides and Related Materials, 2019 (APAC-Silicide 2019) 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Seagaia Convention Center, Miyazaki   Country:Japan  

  168. [チュートリアル] 薄膜評価法-組成・状態評価 Invited

     More details

    Event date: 2019.7

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  169. Characterization of Electron Field Emission of Multiply-Stacked Si-QDs/SiO2 Structures Invited International conference

    T. Takemoto, Y. Futamura, M. Ikeda, A. Ohta, K. Makihara, and S. Miyazaki

    2019 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2019) 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Busan   Country:Korea, Republic of  

  170. Effect of B-doping on Photoluminescence Properties of Si-QDs with Ge Core Invited International conference

    S. Fujimori, R. Nagai, M. Ikeda, A. Ohta, K. Makihara, and S. Miyazaki

    2nd Joint ISTDM / ICSI 2019 Conference; 10th International SiGe Technology and Device Meeting (ISTDM)/ 12th International Conference on Silicon Epitaxy and Heterostructures (ICSI) 

     More details

    Event date: 2019.6

    Language:English   Presentation type:Poster presentation  

    Venue:University of Wisconsin-Madison   Country:United States  

  171. Fabrication and Characterization of Multiple Stack Si/Ge Quantum Dots for Light and Electron Emissions International conference

    S. Miyazaki

    World Chemistry Forum 2019 (WCF-2019) 

     More details

    Event date: 2019.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Catalonia Barcelona Plaza, Barcelona   Country:Spain  

  172. Photoemission Characterization of Interface Dipoles and Electronic Defect States for Gate Dielectrics Invited International conference

    S. Miyazaki and A. Ohta

    2019 International Conference on Semiconductor Technology for Ultra Large Scale Integrated Circuits and Thin Film Transistors (ULSIC vs. TFT 7) 

     More details

    Event date: 2019.5

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Kyoto   Country:Japan  

  173. Formation and Characterization of Si Quantum Dots with Ge Core for Electroluminescent Devices Invited International conference

    K. Makihara, M. Ikeda, A. Ohta, and S. Miyazaki

    2019 Compound Semiconductor Week (CSW)  2019.5.21 

     More details

    Event date: 2019.5

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Nara   Country:Japan  

    TuB3-3

  174. 電子デバイス・材料開発に向けたナノスケールスタック構造・界面の光電子分光分析 Invited

     More details

    Event date: 2019.1

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  175. Photoemission Study of Gate Dielectrics and Stack Interfaces Invited International conference

    S. Miyazaki, and A. Ohta

    2018 International Conference of Solid State of Device and Materials (SSDM 2018) 

     More details

    Event date: 2018.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Tokyo   Country:Japan  

  176. Formation and Characterization of Si/Ge Quantum Dots for Optoelectronic Application Invited International conference

    S. Miyazaki, K. Makihara, M. Ikeda, and A. Ohta

    International Conference on Processing & Manufacturing of Advanced Materials (Thermec' 2018) 

     More details

    Event date: 2018.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Paris   Country:France  

  177. Si-Geスーパーアトム構造の高密度集積と光・電子物性制御 Invited

     More details

    Event date: 2018.6

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  178. Local Structure of High Performance TiOx Passivating Layer Revealed by Electron Energy Loss Spectroscopy International conference

    T. Mochizuki, K. Gotoh, A. Ohta, Y. Kurokawa, S. Miyazaki, T. Yamamoto, N. Usami

    2018 IEEE 7TH WORLD CONFERENCE ON PHOTOVOLTAIC ENERGY CONVERSION (WCPEC-7) (A JOINT CONFERENCE OF 45TH IEEE PVSC, 28TH PVSEC & 34TH EU PVSEC) 

     More details

    Event date: 2018.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:WAIKOLOA, HAWAII   Country:United States  

  179. Si-Ge系コア・シェル量子構造の高密度集積と光・電子物性制御 Invited

     More details

    Event date: 2018.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  180. Oxidation of GaN surface by remote oxygen plasma International conference

    T. Yamamoto, N. Taoka, A. Ohta, N. X. Truyen, H. Yamada, T. Takahashi, M. Ikeda, K. Makihara, M. Shimizu, and S. Miyazaki

    The 39th International Symposium on Dry Process (DPS 2017)  

     More details

    Event date: 2017.11

    Language:English   Presentation type:Poster presentation  

    Venue:Tokyo Tech Front (Kuramae Kaikan)   Country:Japan  

  181. Ultrathin Ge Growth on Flat Ag Surface in Hetero-Epitaxial Ag/Ge Structure by Annealing International conference

    K. Ito, A. Ohta, M. Kurosawa, M. Araidai, M. Ikeda, K. Makihara, and S. Miyazaki

    The 30th International Microprocesses and Nanotechnology Conference (MNC 2017) 

     More details

    Event date: 2017.11

    Language:English   Presentation type:Poster presentation  

    Venue:The Ramada Plaza Jeju Hotel (Jeju, Korea)   Country:Korea, Republic of  

  182. Evaluation of Resistive Switching Properties of Si-rich Oxide Embedded with Ti Nanodots by Applying Constant Voltage and Constant Current International conference

    A. Ohta, Y. Kato, M. Ikeda, K. Makihara, and S. Miyazaki

    The 30th International Microprocesses and Nanotechnology Conference (MNC 2017) 

     More details

    Event date: 2017.11

    Language:English   Presentation type:Poster presentation  

    Venue:The Ramada Plaza Jeju Hotel (Jeju, Korea)   Country:Korea, Republic of  

  183. GaN-MOSデバイス開発に向けたゲート絶縁膜及び界面の光電子分光分析

    宮﨑 誠一

    応用物理学会 先進パワー半導体分科会 第4回講演会 

     More details

    Event date: 2017.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:名古屋国際会議場   Country:Japan  

  184. 硬 X 線光電子分光法による Si 量子ドット多重集積構造のオペランド分析

    中島 裕太、牧原 克典、大田 晃生、池田 弥央、宮﨑 誠一

    第5回応用物理学会名古屋大学スチューデントチャプター東海地区学術講演会 

     More details

    Event date: 2017.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学IB電子情報館   Country:Japan  

  185. Ge コア Si 量子ドットの EL 特性評価

    山田 健太郎、池田 弥央、牧原 克典、大田 晃生、宮﨑 誠一

    第5回応用物理学会名古屋大学スチューデントチャプター東海地区学術講演会 

     More details

    Event date: 2017.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学IB電子情報館   Country:Japan  

  186. 熱処理によるエピタキシャル Ag 上への Ge 二次元結晶の合成指針の構築

    伊藤 公一、大田 晃生、黒澤 昌志、洗平 昌晃、池田 弥央、牧原 克典、宮﨑 誠一

    第5回応用物理学会名古屋大学スチューデントチャプター東海地区学術講演会 

     More details

    Event date: 2017.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学IB電子情報館   Country:Japan  

  187. 熱処理がリモートプラズマ CVD SiO2/GaN 構造の化学結合状態及び電気特性に与える影響

    グェンスァン チュン、田岡 紀之、大田 晃生、山田 永、高橋 言緒、池田 弥央、牧原 克典、清水 三聡、宮﨑 誠一

    第5回応用物理学会名古屋大学スチューデントチャプター東海地区学術講演会 

     More details

    Event date: 2017.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学IB電子情報館   Country:Japan  

  188. リモートプラズマ酸化した GaN の表面構造と電子状態

    山本 泰史、田岡 紀之、大田 晃生、グェンスァン チュン、山田 永、高橋 言緒、池田 弥央、牧原 克典、清水 三聡、宮﨑 誠一

    第5回応用物理学会名古屋大学スチューデントチャプター東海地区学術講演会 

     More details

    Event date: 2017.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学IB電子情報館   Country:Japan  

  189. 入射エネルギー可変の真空紫外光電子分光による固体表面の価電子帯上端位置の計測

    今川 拓哉、大田 晃生、田岡 紀之、藤村 信幸、グェンスァン チュン、池田 弥央、牧原 克典、宮﨑 誠一

    第5回応用物理学会名古屋大学スチューデントチャプター東海地区学術講演会 

     More details

    Event date: 2017.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学IB電子情報館   Country:Japan  

  190. 高誘電率絶縁膜/SiO2積層構造の光電子分光分析 -界面ダイポールと酸素密度の相関-

    藤村 信幸、大田 晃生、池田 弥央、牧原 克典、宮﨑 誠一

    第5回応用物理学会名古屋大学スチューデントチャプター東海地区学術講演会 

     More details

    Event date: 2017.10

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学IB電子情報館   Country:Japan  

  191. Ultrathin Ge Growth on Ag Surface by Annealing of Hetero-Epitaxial Ag/Ge(111) International conference

    A. Ohta, K. Ito, M. Kurosawa, M. Araidai, M. Ikeda, K. Makihara, and S. Miyazaki

    The 8th International Symposium on Surface Science (ISSS-8) 

     More details

    Event date: 2017.10

    Language:English   Presentation type:Poster presentation  

    Venue:Tsukuba International Congress Center   Country:Japan  

  192. Processing and Characterization of High Density Si/Ge Quantum Dots for Electroluminescent Devices Invited International conference

    S. Miyazaki, K. Yamada, K. Makihara, and M. Ikeda

    The 232nd Meeting of The Electrochemical Society (ECS Meeting) 

     More details

    Event date: 2017.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:National Harbor MD   Country:United States  

  193. Characterization of Interfacial Dipoles at Dielectric Stacks by XPS Analysis Invited International conference

    S. Miyazaki, A. Ohta, and N. Fujimura

    The 232nd Meeting of The Electrochemical Society (ECS Meeting) 

     More details

    Event date: 2017.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:National Harbor MD   Country:United States  

  194. Direct Observation of Electrical Dipole and Atomic Density at High-k Dielectrics/SiO2 Interface International conference

    N. Fujimura, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki

    SSDM2017 

     More details

    Event date: 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  195. High Thermal Stability of Abrupt SiO2/GaN Interface with Low Interface State Density International conference

    T. X. Nguyen, N. Taoka, A. Ohta , K. Makihara, H. Yamada, T. Takahashi, M. Ikeda, M. Shimizu, and S. Miyazaki

    SSDM2017 

     More details

    Event date: 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  196. Growth of 2D Crystal of Group-IV Elements on Epitaxial Ag (111) International conference

    K. Ito, A. Ohta, M. Kurosawa, M. Araidai, M. Ikeda, K. Makihara, and S. Miyazaki

    SSDM2017 

     More details

    Event date: 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  197. Challenges in Si-Based Nanotechnology:Fabrication and Characterization of Multistack Si/Ge Quantum Dots for Novel Functional Devices Invited International conference

    S. Miyazaki

    The 5th International Conference on Advanced Materials Science and Technology 2017 (ICAMST 2017) 

     More details

    Event date: 2017.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Makassar   Country:Indonesia  

  198. Thermal Stability of SiO2/GaN Interface Formed by Remote Plasma CVD

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  199. 熱処理によるAg/Ge構造の表面平坦化とGe析出量制御

    伊藤 公一、大田 晃生、黒澤 昌志、洗平 昌晃、池田 弥央、牧原 克典、宮﨑 誠一

    第78回応用物理学会秋季学術講演会 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場・国際センター・福岡サンパレス   Country:Japan  

  200. Study of Wet Chemical Treatments of Epitaxial GaN(0001) Surface

    L. Peng, A. Ohta, N. X. Truyen, M. Ikeda, K. Makihara, N. Taoka, T.Narita, K. Itoh, D. Kikuta, K. Shiozaki, T.Kachi, S. Miyazaki

     More details

    Event date: 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  201. 電子・正孔交互注入によるGeコアSi量子ドット多重集積構造の発光特性

    牧原 克典、池田 弥央、藤村 信幸、大田 晃生、宮﨑 誠一

    第78回応用物理学会秋季学術講演会 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場・国際センター・福岡サンパレス   Country:Japan  

  202. 真空紫外光電子分光によるGaNの電子親和力評価

    今川 拓哉、大田 晃生、藤村 信幸、グェン スァン チュン、池田 弥央、牧原 克典、加地 徹、塩崎 宏司、宮﨑 誠一

    第78回応用物理学会秋季学術講演会 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場・国際センター・福岡サンパレス   Country:Japan  

  203. XPSによるHigh-k/SiO2界面のダイポール定量と酸素密度比との相関

    藤村 信幸、大田 晃生、池田 弥央、牧原 克典、宮﨑 誠一

    第78回応用物理学会秋季学術講演会 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場・国際センター・福岡サンパレス   Country:Japan  

  204. リモート酸素プラズマで形成したGa酸化物/GaN構造のエネルギーバンド構造と電気的特性

    山本 泰史、田岡 紀之、大田 晃生、グェン スァチュン、山田 永、高橋 言緒、池田 弥央、牧原 克典、清水 三聡、宮﨑 誠一

    第78回応用物理学会秋季学術講演会 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:福岡国際会議場・国際センター・福岡サンパレス   Country:Japan  

  205. グリーンナノエレクトロニクスのための材料・プロセスインテグレーション - 超低消費電力次世代トランジスタ開発 -

    宮﨑 誠一

    SPring-8シンポジウム2017 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:広島大学 東千田未来創生センター   Country:Japan  

  206. 高誘電率絶縁膜の電子親和力の決定およびSiO2との界面で生じる電位変化の定量

    藤村 信幸、大田 晃生、池田 弥央、牧原 克典、宮﨑 誠一

    2017年真空・表面科学合同講演会 

     More details

    Event date: 2017.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:横浜市立大学金沢八景キャンパス   Country:Japan  

    第37回表面科学学術講演会要旨集 第58回真空に関する連合講演会予稿集, 発行日20170817, pp. 28, セッションID: 1Dp10S

    DOI: 10.14886/sssj2008.37.0_55

  207. リモート酸素プラズマ支援CVDによる急峻SiO2/GaN界面の形成とその電気的特性

    N. X. Truyen、田岡 紀之、大田 晃生、 山田 永、高橋 言緒、池田 弥央、牧原 克典、清水 三聡、宮﨑 誠一

    2017年真空・表面科学合同講演会 

     More details

    Event date: 2017.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:横浜市立大学金沢八景キャンパス   Country:Japan  

    第37回表面科学学術講演会要旨集 第58回真空に関する連合講演会予稿集, 発行日20170817, pp. 28, セッションID:1Dp11S

    DOI: 10.14886/sssj2008.37.0_56

  208. [チュートリアル] 組成・状態分析 Invited

     More details

    Event date: 2017.7

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  209. Fabrication of Multiple Stack Si/Ge Quantum Dots for Light/Electron Emission Devices Invited International conference

    S. Miyazaki, K. Yamada, Y. Nakashima, K. Makihara, A. Ohta, and M. Ikeda

    The 1st International Semiconductor Conference for Global Challenges (ISCGC-2017) 

     More details

    Event date: 2017.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Nanjing   Country:China  

  210. Study of Light Emission from Si Quantum Dots with Ge Core Invited International conference

    S. Miyazaki, K. Yamada, M. Ikeda, and K. Makihara

    Frontiers in Materials Processing Applications, Research and Technology (FiMPART'17) 

     More details

    Event date: 2017.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Bordeaux   Country:France  

  211. Abrupt SiO2/GaN Interface Properties Formed by Remote Plasma Assisted CVD International conference

    N. X. Truyen, N. Taoka, A. Ohta, K. Makihara, H. Yamada, T. Takahashi, M. Ikeda, M. Shimizu, and S. Miyazaki

    2017 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2017) 

     More details

    Event date: 2017.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hotel Hyundai (Gyeongju), Gyeongju-si, Korea   Country:Korea, Republic of  

  212. Magnetoelectronic Transport of Double Stack FePt Nanodots International conference

    K. Makihara, T. Kawase, A. Ohta, M. Ikeda, and S. Miyazaki

    AWAD2017(2017 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices) 

     More details

    Event date: 2017.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Hotel Hyundai (Gyeongju), Gyeongju-si, Korea   Country:Korea, Republic of  

  213. Evaluation of Energy Distribution of Filled Defects of Si Oxide Thin Films from Total Photoelectron Yield Spectroscopy International conference

    A. Ohta

    20th Conference on Insulating Films on Semiconductors (INFOS 2017) 

     More details

    Event date: 2017.6

    Language:English   Presentation type:Poster presentation  

    Venue:Seminaris SeeHotel Potsdam(Potsdam, Germany)   Country:Germany  

  214. Potential Changes and Chemical Bonding Features for Si-MOS Diodes as Evaluated from HAXPES Analysis International conference

    A. Ohta, H. Murakami, M. Ikeda, K. Makihara, E. Ikenaga, and S. Miyazaki

    20th Conference on Insulating Films on Semiconductors (INFOS 2017) 

     More details

    Event date: 2017.6

    Language:English   Presentation type:Poster presentation  

    Venue:Seminaris SeeHotel Potsdam(Potsdam, Germany)   Country:Germany  

  215. 定電圧および定電流印加によるSi酸化薄膜の電気抵抗変化特性評価

    大田 晃生、加藤 祐介、池田 弥央、牧原 克典、宮﨑 誠一

    シリコン材料・デバイス(SDM)研究会 

     More details

    Event date: 2017.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:キャンパス・イノベーションセンター東京   Country:Japan  

    信学技報 IEICE Technical Report, Vol. 117, No. 101, pp. 25-29, 発行日20170613

  216. エピタキシャルAg(111)上の極薄IV族結晶形成

    伊藤 公一、大田 晃生、黒澤 昌志、洗平 昌晃、池田 弥央、牧原 克典、宮﨑 誠一

    シリコン材料・デバイス(SDM)研究会 

     More details

    Event date: 2017.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:キャンパス・イノベーションセンター東京   Country:Japan  

    信学技報 IEICE Technical Report, vol. 117, no. 101, pp. 43-48, 資料番号 SDM2017-30, 発行日20170613

  217. XPSによるHigh-k/SiO2界面の化学構造およびダイポールの評価

    藤村 信幸、大田 晃生、池田 弥央、牧原 克典、宮﨑 誠一

    シリコン材料・デバイス(SDM)研究会 

     More details

    Event date: 2017.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:キャンパス・イノベーションセンター東京   Country:Japan  

    信学技報 IEICE Technical Report, vol. 117, no. 101, pp. 19-23, 資料番号 SDM2017-25, 発行日 20170613

  218. Photoemission study of gate dielectrics on gallium nitride Invited International conference

    S. Miyazaki, N. X. Truyen, and A. Ohta

    ULSIC vs TFT: 6th International Conference on Semiconductor Technology for Ultra Large Scale Integrated Circuits and Thin Film Transistors 

     More details

    Event date: 2017.5

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Schloss Hernstein Seminar Hotel, Schloss Hernstein, Hernstein   Country:Austria  

  219. Characterization of Electroluminescence from Si-QDs with Ge Core International conference

    K. Yamada, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    ICSI-10(The 10th International Conference on Silicon Epitaxy and heterostructures) 

     More details

    Event date: 2017.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:The University of Warwick(Coventry, UK)   Country:United Kingdom  

  220. Fabrication and Magnetoelectronic Transport Fe3Si-Nanodots on Ultrathin SiO2 International conference

    K. Makihara, H. Zhang, A. Ohta, M. Ikeda, and S. Miyazaki

    ICSI-10(The 10th International Conference on Silicon Epitaxy and heterostructures) 

     More details

    Event date: 2017.5

    Language:English   Presentation type:Poster presentation  

    Venue:The University of Warwick(Coventry, UK)   Country:United Kingdom  

  221. Evaluation of Potential Distribution in Multiple Stacked Si Quantum Dots Structure by Hard X-ray Photoelectron Spectroscopy International conference

    Y. Nakashima, D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    ICSI-10(The 10th International Conference on Silicon Epitaxy and heterostructures) 

     More details

    Event date: 2017.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:The University of Warwick(Coventry, UK)   Country:United Kingdom  

  222. High Density Formation of and Light Emission from Si Quantum Dots with Ge Core International conference

    S. Miyazaki, K. Yamada, M. Ikeda, and K. Makihara

    2017MRS SPRING MEETING 

     More details

    Event date: 2017.4

    Language:English   Presentation type:Oral presentation (general)  

    Venue:PHOENIX CONVENTION CENTER   Country:United States  

  223. Si 細線構造への高密度 Si 量子ドット形成と発光特性

    高 磊、池田 弥央、山田 健太郎、牧原 克典、大田 晃生、宮﨑 誠一

    第64回応用物理学会春季学術講演会 

     More details

    Event date: 2017.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:パシフィコ横浜   Country:Japan  

  224. XPSによるHfO2の電子親和力と界面ダイポールの定量

    藤村 信幸、大田 晃生、池田 弥央、牧原 克典、宮崎 誠一

    第64回応用物理学会春季学術講演会 

     More details

    Event date: 2017.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:パシフィコ横浜   Country:Japan  

  225. ドライおよびN2O酸化により形成したSiO2/4H-SiCの電 子占有欠陥評価

    渡辺 浩成、大田 晃生、池田 弥央、牧原 克典、森 大輔、寺尾 豊、宮﨑 誠一

    第64回応用物理学会春季学術講演会 

     More details

    Event date: 2017.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:パシフィコ横浜   Country:Japan  

  226. Ge上にエピタキシャル成長したAg(111)表面の平坦化お よび化学構造評価

    伊藤 公一、大田 晃生、黒澤 昌志、洗平 昌晃、池田 弥央、牧原 克典、宮﨑 誠一

    第64回応用物理学会春季学術講演会 

     More details

    Event date: 2017.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:パシフィコ横浜   Country:Japan  

  227. リモートプラズマ支援 CVD SiO2/GaN の界面特性

    グェン スァン チュン、田岡 紀之、大田 晃生、山本 泰史、山田 永、高橋 言緒、池田 弥央、牧原 克典、清水 三聡、宮﨑 誠一

    第64回応用物理学会春季学術講演会 

     More details

    Event date: 2017.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:パシフィコ横浜   Country:Japan  

  228. リモート酸素プラズマによるGaN表面酸化

    山本 泰史、田岡 紀之、大田 晃生、グェンスァ ン チュン、山田 永、高橋 言緒、池田 弥央、牧原 克典、清水 三聡、宮﨑 誠一

    第64回応用物理学会春季学術講演会 

     More details

    Event date: 2017.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:パシフィコ横浜   Country:Japan  

  229. 硬X線光電子分光法によるSi-MOSダイオードのオペラ ンド分析 -電位変化および化学結合状態評価-

    大田 晃生、村上 秀樹、池田 弥央、牧原 克典、池永 英司、宮﨑 誠一

    第64回応用物理学会春季学術講演会 

     More details

    Event date: 2017.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:パシフィコ横浜   Country:Japan  

  230. Ge コアSi 量子ドットの発光特性評価

    山田 健太郎、牧原 克典、池田 弥央、大田 晃生、宮﨑 誠一

    第64回応用物理学会春季学術講演会 

     More details

    Event date: 2017.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:パシフィコ横浜   Country:Japan  

  231. 硬X線光電子分光を用いたSi量子ドット多重集積構造の 電位分布評価

    中島 裕太、竹内 大智、 牧原 克典、大田 晃生、池田 弥央、宮﨑 誠一

    第64回応用物理学会春季学術講演会 

     More details

    Event date: 2017.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:パシフィコ横浜   Country:Japan  

  232. Impact of Thermal Annealing on Mophology and Chemical Bonding Features at Epitaxial Ag(111) Surface Grown on Ge(111) Invited International conference

    K. Ito, A. Ohta, M. Kurosawa, M. Araidai, M. Ikeda, K. Makihara, and S. Miyazaki

    ISPlasma2017/IC-PLANTS2017 

     More details

    Event date: 2017.3

    Language:English   Presentation type:Poster presentation  

    Venue:Kasugai   Country:Japan  

  233. Total Photoelectron Yield Spectroscopy of Electronic States of GaN Surface International conference

    A. Ohta

    ISPlasma2017/IC-PLANTS2017 

     More details

    Event date: 2017.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  234. Evaluation of Dielectric Function of Oxide Thin Films from Photoemission Measurements International conference

    T. Yamamoto, A. Ohta, M.Ikeda, K. Makihara, and S. Miyazaki

    10th International Workshop on New Group IV Semiconductor Nanoelectronics and JSJP Core-to-Core Program Joint Seminar 

     More details

    Event date: 2017.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  235. Potential Change and Electrical Dipole at Ultrathin Oxide/Semiconductor Interfaces as Evaluated by XPS International conference

    N. Fujimura, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki

    10th International Workshop on New Group IV Semiconductor Nanoelectronics and JSJP Core-to-Core Program Joint Seminar 

     More details

    Event date: 2017.2

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  236. Characterization of Remote Plasma CVD SiO2 on GaN(0001) International conference

    N. X. Truyen, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki

    10th International Workshop on New Group IV Semiconductor Nanoelectronics and JSJP Core-to-Core Program Joint Seminar 

     More details

    Event date: 2017.2

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  237. Formation of Si-based Quantum Dots on Sub-micron patterned Si Substrates International conference

    M. Ikeda, L. Gao, K. Yamada, K. Makihara, A. Ohta, and S. Miyazaki

    10th International Workshop on New Group IV Semiconductor Nanoelectronics and JSJP Core-to-Core Program Joint Seminar 

     More details

    Event date: 2017.2

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  238. Total Photoelectron Yield Spectroscopy of Electronic States of Oxide Thin Films and Wide Bandgap Semiconductors International conference

    A. Ohta, T. Yamamoto, N. X. Truyen, M. Ikeda, K. Makihara, and S. Miyazaki

    10th International Workshop on New Group IV Semiconductor Nanoelectronics and JSJP Core-to-Core Program Joint Seminar 

     More details

    Event date: 2017.2

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  239. Characterization of Field Electron Emission from Multiply-Stacking Si Quantum Dots International conference

    Y. Nakashima, D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    10th International Workshop on New Group IV Semiconductor Nanoelectronics and JSJP Core-to-Core Program Joint Seminar 

     More details

    Event date: 2017.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  240. Chemical Analysis of Epitaxial Ag(111) Surface formed on Group-IV Semiconductors International conference

    K. Ito, A. Ohta, M. Kurosawa, M. Araidai, M. Ikeda, K. Makihara, and S. Miyazaki

    10th International Workshop on New Group IV Semiconductor Nanoelectronics and JSJP Core-to-Core Program Joint Seminar 

     More details

    Event date: 2017.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  241. Luminescence Studies of High Density Si Quantum Dots with Ge core International conference

    K. Yamada, M. Ikeda, K. Makihara, and S. Miyazaki

    10th International Workshop on New Group IV Semiconductor Nanoelectronics and JSJP Core-to-Core Program Joint Seminar 

     More details

    Event date: 2017.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  242. Photoemission Study of Chemical Bonding Features and Electronic Defect States of Remote Plasma CVD SiO2/GaN Structure

     More details

    Event date: 2017.1

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  243. Evaluation of Inner Potential Change and Electrical Dipole in Ultrathin Oxide Stacked Structure Using XPS Measurements

     More details

    Event date: 2017.1

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  244. 熱酸化SiO₂/4H-SiCSi面およびC面の電子専有欠陥および化学構造評価

    渡辺 浩成、大田 晃生、池田 弥央、牧原 克典、森 大輔、寺尾 豊、宮﨑 誠一

    第16回 日本表面科学会中部支部学術講演会 

     More details

    Event date: 2016.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  245. HfO₂/SiO₂/Si構造の光電子分光分析ー界面ダイポールの定量ー

    藤村 信幸、大田 晃生、池田 弥央、牧原 克典、宮﨑 誠一

    第16回 日本表面科学会中部支部学術講演会 

     More details

    Event date: 2016.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  246. Characterization of Magnetoelectronic Transport through Double Stack FePt Nanodots on Ultrathin SiO2/c-Si by Conductive-probe AFM International conference

    S. Miyazaki

    ICSPM24 

     More details

    Event date: 2016.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  247. Processing and Characterization of Si/Ge Quantum Dots Invited International conference

    S. Miyazaki, K. Makihara, A. Ohta, and M. Ikeda

    2016 IEDM(IEEE International Electron Devices Meeting) 

     More details

    Event date: 2016.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Hilton San Francisco Union Square(San Francisco, CA)   Country:United States  

  248. シリコン酸化薄膜の電気抵抗スイッチングおよび欠陥準位密度評価 International conference

    加藤 祐介、大田 晃生、池田 弥央、牧原 克典、宮﨑 誠一

    2016 真空・表面科学合同講演会 

     More details

    Event date: 2016.11 - 2016.12

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋国際会議場 (名古屋市熱田区)   Country:Japan  

  249. Si細線構造への高密度Si量子ドット形成 International conference

    高 磊、竹内 大智、牧原 克典、大田 晃生、池田 弥央、宮﨑 誠一

    2016 真空・表面科学合同講演会 

     More details

    Event date: 2016.11 - 2016.12

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋国際会議場 (名古屋市熱田区)   Country:Japan  

  250. IV族半導体上に蒸着したAg薄膜の化学構造評価と反応制御 International conference

    伊藤 公一、大田 晃生、黒澤 昌志、洗平 昌晃、池田 弥央、牧原 克典、宮﨑 誠一

    2016 真空・表面科学合同講演会 

     More details

    Event date: 2016.11 - 2016.12

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋国際会議場 (名古屋市熱田区)   Country:Japan  

  251. Si系量子ドット多重集積構造からの電界電子放出特性 International conference

    中島 裕太、竹内 大智、牧原 克典、大田 晃生、池田 弥央、宮﨑 誠一

    2016 真空・表面科学合同講演会 

     More details

    Event date: 2016.11 - 2016.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋国際会議場 (名古屋市熱田区)   Country:Japan  

  252. HAXPESによるSi-MOSキャパシタの化学結合状態および内部電位の深さ方向分析 International conference

    大田 晃生、村上 秀樹、池田 弥央、牧原 克典、池永 英司、宮﨑 誠一

    2016 真空・表面科学合同講演会 

     More details

    Event date: 2016.11 - 2016.12

    Language:Japanese   Presentation type:Poster presentation  

    Venue:名古屋国際会議場 (名古屋市熱田区)   Country:Japan  

  253. Magnetoelectronic Transport and Resistive Switching in Double Stack FePt Nanodots on Ultrathin SiO2/c-Si Invited International conference

    S. Miyazaki

    JSPS Core-to-Core Program Workshop 

     More details

    Event date: 2016.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Julich   Country:Germany  

  254. High Density Formation of Ta/TaOxide Core-Shell Nanodots International conference

    Y. Wang, D. Takeuchi, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki

    29th International Microprocesses and Nanotechnology Conference (MNC 2016) 

     More details

    Event date: 2016.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:ANA Crowne Plaza, Kyoto, Japan   Country:Japan  

  255. Low Temperature Formation of Crystalline Si:H/Ge:H Heterostructures by Plasma Enhanced CVD in Combination with Ni-NDs Seeding Nucleation International conference

    K. Makihara, D. Takeuchi, M. Ikeda, A. Ohta, and S.Miyazaki

    29th International Microprocesses and Nanotechnology Conference (MNC 2016) 

     More details

    Event date: 2016.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:ANA Crowne Plaza, Kyoto, Japan   Country:Japan  

  256. Evaluation of Potential Change and Electrical Dipole in HfO2/ SiO2/Si Structure International conference

    N. Fujimura, A. Ohta, K. Makihara, and S. Miyazaki

    SSDM 2016 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tsukuba   Country:Japan  

  257. Formation and Characterization of Si Quantum Dots with Ge Core for Functional Devices Invited International conference

    S. Miyazaki, D. Takeuchi, M. Ikeda, and K. Makihara

    SSDM 2016 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Tsukuba   Country:Japan  

  258. Magnetotransport Properties of FePt Alloy-NDs Stacked Structures Invited International conference

    K. Makihara, T. Kawase, A. Ohta, M. Ikeda, and S. Miyazaki

    SSDM 2016 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tsukuba   Country:Japan  

  259. FePtナノドットスタック構造における磁場印加後の電気伝導特性評価

    河瀬 平雅、牧原 克典、大田 晃生、池田 弥央、宮﨑 誠一

    第77回応用物理学会秋季学術講演会 

     More details

    Event date: 2016.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:朱鷺メッセ(新潟県新潟市)   Country:Japan  

  260. Ta酸化物ナノドットの高密度・一括形成(II)

    王 亜萍、竹内 大智、牧原 克典、大田 晃生、池田 弥央、宮﨑 誠一

    第77回応用物理学会秋季学術講演会 

     More details

    Event date: 2016.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:朱鷺メッセ(新潟県新潟市)   Country:Japan  

  261. GeコアSi量子ドットのエレクトロルミネッセンス特性

    山田 健太郎、池田 弥央、牧原 克典、宮﨑 誠一

    第77回応用物理学会秋季学術講演会 

     More details

    Event date: 2016.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:朱鷺メッセ(新潟県新潟市)   Country:Japan  

  262. HfO2/SiO2/Si(100)構造における内部電位分布、界面ダイポールの定量評価

    藤村 信幸、大田 晃生、池田 弥央、牧原 克典、宮﨑 誠一

    第77回応用物理学会秋季学術講演会 

     More details

    Event date: 2016.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:朱鷺メッセ(新潟県新潟市)   Country:Japan  

  263. X線光電子分光法による熱酸化SiO2およびGeO2薄膜の誘電関数評価

    山本 泰史、大田 晃生、池田 弥央、牧原 克典、宮﨑 誠一

    第77回応用物理学会秋季学術講演会 

     More details

    Event date: 2016.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:朱鷺メッセ(新潟県新潟市)   Country:Japan  

  264. リモートプラズマCVDSiO2/GaN界面の光電子分光分析

    グェン スァン チュン、大田 晃生、牧原 克典、池田 弥央、宮﨑 誠一

    第77回応用物理学会秋季学術講演会 

     More details

    Event date: 2016.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:朱鷺メッセ(新潟県新潟市)   Country:Japan  

  265. GeコアSi量子ドット/Si量子ドット多重集積構造のEL特性

    竹内 大智、山田 健太郎、牧原 克典、池田 弥央、大田 晃生、 宮﨑 誠一

    第77回応用物理学会秋季学術講演会 

     More details

    Event date: 2016.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:朱鷺メッセ(新潟県新潟市)   Country:Japan  

  266. 4H-SiCSi面およびC面上に成長した熱酸化膜の光電子収率分光法による電子占有欠陥評価

    渡辺 浩成、大田 晃生、 池田 弥央、牧原 克典、宮﨑 誠一

    第77回応用物理学会秋季学術講演会 

     More details

    Event date: 2016.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:朱鷺メッセ(新潟県新潟市)   Country:Japan  

  267. Formation of Fe3Si-Nanodots on Ultrathin SiO2 Induced by H2-plasma Treatment and Their Magnetic-Field Dependent Electron Transport Properties International conference

    H. Zhang, K. Makihara, M. Ikeda, A. Ohta, and S. Miyazaki

    APAC Silicide 2016  

     More details

    Event date: 2016.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  268. Embedding of Ti nanodots into SiOx and its impact on resistance switching behaviors International conference

    Y. Kato, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki

    AWAD2016(2016 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices) 

     More details

    Event date: 2016.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  269. Formation and electron transport properties of Fe3Si nanodots on ultrathin SiO2 International conference

    H. Zhang, M. Ikeda, K. Makihara, A. Ohta, and S. Miyazaki

    AWAD2016(2016 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices) 

     More details

    Event date: 2016.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  270. XPSによるSiO2/半導体界面の電位変化およびダイポールの定量

    藤村 信幸、大田 晃生、渡辺 浩成、牧原 克典、宮﨑 誠一

    シリコン材料・デバイス研究会(SDM) 

     More details

    Event date: 2016.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:キャンパス・イノベーションセンター東京   Country:Japan  

    信学技報, vol. 116, no. 118, pp. 43-47, 資料番号 SDM2016-40, 発行日 20160622

  271. リモート酸素プラズマ支援CVDによる低温SiO2薄膜形成

    グェンスァン チュン、藤村 信幸、竹内 大智、大田 晃生、牧原 克典、池田 弥央、宮﨑 誠一

    シリコン材料・デバイス研究会(SDM) 

     More details

    Event date: 2016.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:キャンパス・イノベーションセンター東京   Country:Japan  

    信学技報, vol. 116, no. 118, pp. 49-52, 資料番号 SDM2016-41, 発行日 20160622

  272. High Density Formation of and Light Emission from Silicon Quantum Dots with Ge Core Invited International conference

    S. Miyazaki

    11th Workshop on Si-based Optoelectronic Materials and Devices 

     More details

    Event date: 2016.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:China  

  273. Electron Transport Properties of High Density FePt-NDs Stacked Structures International conference

    T. Kawase, Y. Mitsuyuki, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    ISCSI-VII/ISTDM 2016 

     More details

    Event date: 2016.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  274. Impact of Phosphorus Doping to Multiply-Stacking Si Quantum Dots on Electron Emission Properties International conference

    D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    ISCSI-VII/ISTDM 2016 

     More details

    Event date: 2016.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  275. Determination of Energy Band Profile of Thermally-grown SiO2/4H-SiC Structure Using XPS International conference

    H. Watanabe, A. Ohta, K. Makihara, and S. Miyazaki

    ISCSI-VII/ISTDM 2016 

     More details

    Event date: 2016.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  276. [チュートリアル]組成・状態分析

    宮﨑 誠一

    薄膜工学セミナー2016~薄膜の基礎から応用まで~ 

     More details

    Event date: 2016.6

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:キャンパスイノベーションセンター東京   Country:Japan  

  277. Characterization of light emission from Si quantum dots with Ge core Invited International conference

    S. Miyazaki

    Intern. Conf. on Processing and Manufacturing of Advanced Materials 2016 (THERMEC'2016) 

     More details

    Event date: 2016.5 - 2016.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Granz   Country:Austria  

  278. Magnetotransport Properties of FePt Alloy-NDs Stacked Structures

    Y. Mitsuyuki, T. Kawase, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki

     More details

    Event date: 2016.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  279. Evaluation of Resistive Switching Properties of Si-rich Oxide Embedded with Ti Based Thin Films and Ti Nano-dots

    Y. Kato, A. Ohta, K. Makihara, and S. Miyazaki

     More details

    Event date: 2016.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  280. Magnetic-Field Dependent Electron Transport of Fe3Si Nanodots by Using a Magnetic AFM Probe

    H. Zhang, Y. Mitsuyuki, K. Makihara, M. Ikeda, A. Ohta, and S. Miyazaki

     More details

    Event date: 2016.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  281. Evaluation of Electronic States of Thermally-grown SiO2/4H-SiC (II)

    H. Watanabe, A. Ohta, N. Fujimura, K. Makihara and S. Miyazaki

     More details

    Event date: 2016.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  282. Effect of Ge Core Size on Photoluminescence from Si Quantum Dots with Ge Core

    K. Yamada, K. Kondo, M. Ikeda, K. Makihara, and S. Miyazaki

     More details

    Event date: 2016.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  283. Photoluminescence Mechanism of Si Quantum Dots with Ge Core

    K. Kondo, M. Ikeda, K. Makihara, and S. Miyazaki

     More details

    Event date: 2016.3

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  284. Cleaning of 4H-SiC(0001) Surface by using Remote Hydrogen Plasma International conference

    T. xuan Nguyen, D. Takeuchi, A. Ohta, K. Makihara, and S. Miyazaki

    ISPlasma2016 & IC-PLANTS2016 

     More details

    Event date: 2016.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  285. Impact of Magnetic-Field Application on Electron Charging Characteristics of FePt Nanodots International conference

    T. Kawase, Y. Mitsuyuki, A. Ohta, K. Makihara, T. Katou, S. Iwata, and S. Miyazaki

    ISPlasma2016 & IC-PLANTS2016 

     More details

    Event date: 2016.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  286. Formation of High Density Ta Oxide Nanodots International conference

    Y. Wang, D. Takeuchi, A. Ohta, K. Makihara, and S. Miyazaki

    ISPlasma2016 & IC-PLANTS2016 

     More details

    Event date: 2016.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  287. Effect of Ge Stacked Layer on Ti Nanodots Formation From Metal Thin Films by Remote Hydrogen Plasma Exposure International conference

    Y. Kato, A. Ohta, K. Makihara, and S. Miyazaki

    ISPlasma2016 & IC-PLANTS2016 

     More details

    Event date: 2016.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  288. Self-assembling Formation of Ta Nanodots Induced by Remote Hydrogen Plasma from Ge/Ta Bi-layer Stack International conference

    Y. Wang, D. Takeuchi, A. Ohta, K. Makihara, M. Ikeda, and S. Miyazaki

    ISPlasma2016 & IC-PLANTS2016 

     More details

    Event date: 2016.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  289. Characterization of Chemical Bonding Features of Ultrathin Ge Layer Grown by Ag-Induced Layer-Exchange Method International conference

    A. Ohta, M. Kurosawa, M. Araidai, and S. Miyazaki

    ISPlasma2016 & IC-PLANTS2016 

     More details

    Event date: 2016.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  290. High Density Formation and Light Emission Properties of Silicon Quantum Dots with Ge Core Invited International conference

    S. Miyazaki

    BIT's 2nd Annual World Congress of Smart Materials-2016 

     More details

    Event date: 2016.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Singapore  

  291. Evaluation of Energy Band Diagram and Depth Profile of Electronic Defect State Density for SiO2/4H-SiC Structures

     More details

    Event date: 2016.1

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  292. 4H-SiC(0001) Surface Modification by Remote Hydrogen Plasma Exposur

     More details

    Event date: 2016.1

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  293. Evaluation of Valence Band Top and Electron Affinity of Si, 4H-SiC, and SiO2 Using X-ray Photoelectron Spectroscopy

     More details

    Event date: 2016.1

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  294. Effect of Embedding Ti Nanodots into SiOx Film on Its Resistive Switching Properties

     More details

    Event date: 2016.1

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  295. Fabrication and Magnetoelectronic Transport of Double Stack FePt Nanodots on Ultrathin SiO2 International conference

    S. Miyazaki, Y. Kabeya, Y. Mitsuyuki, and K. Makihara

    2015 MRS Fall Meeting & Exhibit 

     More details

    Event date: 2015.11 - 2015.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  296. Impact of Embedded MnNanodots on Resistive Switching Properties of Si-rich Oxides International conference

    T. Arai, A. Ohta, K. Makihara, and S. Miyazaki

    28th International Microprocesses and Nanotechnology Conference(MNC2015) 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  297. Formation of High Density Ti Nanodots and Evaluation of Resistive Switching Properties of SiOx-ReRAMs with Ti Nanodots International conference

    Y. Kato, A. Ohta, T. Arai, K. Makihara, and S. Miyazaki

    The 2015 International Workshop on Dielectric Thin Films for Future Electron Devices: Science and Technology (2015 IWDTF) 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  298. Evaluation of Valence Band Maximum and Electron Affinity of SiO2 and Si-based Semiconductors Using XPS International conference

    N. Fujimura, A. Ohta, K. Makihara, and S. Miyazaki

    The 2015 International Workshop on Dielectric Thin Films for Future Electron Devices: Science and Technology (2015 IWDTF) 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  299. Photoemission Study of Thermally-Grown SiO2/4H-SiC Structure. International conference

    H. Watanabe, A. Ohta, N. Fujimura, K. Makihara, and S. Miyazaki

    The 2015 International Workshop on Dielectric Thin Films for Future Electron Devices: Science and Technology (2015 IWDTF) 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  300. High Density Formation of Ta Nanodots Induced by Remote Hydrogen Plasma International conference

    Y. Wang, D. Takeuchi, K. Makihara, A. Ohta, and S. Miyazaki

    68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  301. Photoemission Study on Chemical Bonding Features and Electronic Defect States of Thermally-Grown SiO2/4H-SiC Structure International conference

    H. Watanabe, A. Ohta, K. Makihara, and S. Miyazaki

    The 228th ECS Meeting  

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  302. Resistive Switching Characteristics of Si-Rich Oxides with Embedding Ti Nanodots International conference

    Y. Kato, T. Arai, A. Ohta, K. Makihara, and S. Miyazaki

    The 228th ECS Meeting  

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  303. High-Resolution Photoemission Study of High-k Dielectric Bilayer Stack on Ge(100) International conference

    S. Miyazaki

    The 228th ECS Meeting  

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:United States  

  304. グリーンナノエレクトロニクスのための材料・プロセスインテグレーション ~超低消費電力次世代トランジスタ開発~ Invited

    宮﨑 誠一、大田 晃生、他

    SPring-8シンポジウム2015 放射光が先導するグリーンイノベーション ~グローバルな視点からの発信~ 

     More details

    Event date: 2015.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:九州大学 伊都キャンパス カーボンニュートラル・エネルギー国際研究所/I2CNER(アイスナー) 大ホール他   Country:Japan  

  305. [チュートリアル] CVD1(シリコン系) Invited

    宮﨑 誠一

     More details

    Event date: 2015.7

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:サンパーク犬山   Country:Japan  

  306. Electronic Defect States in Thermally-grown SiO2/4H-SiC Structure Measured by Total Photoelectron Yield Spectroscopy International conference

    A. Ohta, K. Makihara, and S. Miyazaki

    The 19th Conference on "Insulating Films on Semiconductors"(INFOS 2015) 

     More details

    Event date: 2015.6 - 2015.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Italy  

  307. Effect of P-doping on Photoluminescence Properties of Si Quantum Dots with Ge Core International conference

    K. Kondo

    2015 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2015)  

     More details

    Event date: 2015.6 - 2015.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  308. Electron Transport Properties of High Density FePt-NDs Stacked Structures International conference

    Y. Mitsuyuki, K. Makihara, A. Oota, and S. Miyazaki

    2015 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2015)  

     More details

    Event date: 2015.6 - 2015.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  309. High Density Formation and Characterization of CoPt and FePt Nanodots on SiO2 International conference

    S. Miyazaki

    International Conference on Frontiers in Materials Processing Applications Research & Technology (FiMPART'15) 

     More details

    Event date: 2015.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:India  

  310. Impact of Phosphorus Doping to Multiply-Stacking Si Quantum Dots on Electron Field Emission Properties International conference

    D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    The 9th International Conference on Silicon Epitaxy and Heterostructures (ICSI-9) 

     More details

    Event date: 2015.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:Canada  

  311. Study on Light Emission from Si Quantum Dots with Ge Core International conference

    S. Miyazaki, K. Kondo, and K. Makihara

    The 9th International Conference on Silicon Epitaxy and Heterostructures (ICSI-9) 

     More details

    Event date: 2015.5

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Canada  

  312. Study on Electroluminescence from Multiply-Stacking Valency Controlled Si Quantum Dots International conference

    T. Yamada, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    The 9th International Conference on Silicon Epitaxy and Heterostructures (ICSI-9) 

     More details

    Event date: 2015.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:Canada  

  313. Formation and Characterization of High Density FeSi Nanodots on SiO2 Induced by Remote H2 Plasma International conference

    K. Makihara, H. Zhang, A. Ohta, and S. Miyazaki

    ISPlasma2015/IC-PLANTS2015 

     More details

    Event date: 2015.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  314. Characterization of Electron Field Emission from High Density Self-Aligned Si-Based Quantum Dots International conference

    D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    ISPlasma2015/IC-PLANTS2015 

     More details

    Event date: 2015.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  315. リモート水素プラズマ支援によるTaナノドットの高密度一括形成

    王 亜萍、牧原 克典、大田 晃生、竹内 大智、宮﨑 誠一

    第62回応用物理学会春季学術講演会 

     More details

    Event date: 2015.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東海大学(神奈川県平塚市)   Country:Japan  

  316. 光電子収率分光法によるSiO2/SiC構造の電子状態計測(2)

    大田 晃生、渡邉 浩成、グェンスァン チュン、牧原 克典、宮﨑 誠一

    第62回応用物理学会春季学術講演会 

     More details

    Event date: 2015.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東海大学(神奈川県平塚市)   Country:Japan  

  317. リモート水素プラズマ支援によるFeシリサイドナノドットの高密度一括形成と磁化特性評価

    張 海、牧原 克典、大田 晃生、壁谷 悠希、宮﨑 誠一

    第62回応用物理学会春季学術講演会 

     More details

    Event date: 2015.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東海大学(神奈川県平塚市)   Country:Japan  

  318. 外部磁場がFePt合金ナノドットへの電子注入特性に及ぼす影響

    満行 優介、壁谷 悠希、張 海、大田 晃生、牧原 克典、宮﨑 誠一

    第62回応用物理学会春季学術講演会 

     More details

    Event date: 2015.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東海大学(神奈川県平塚市)   Country:Japan  

  319. 高密度FePtナノドットスタック構造の電子輸送特性

    壁谷 悠希、満行 優介、張 海、大田 晃生、牧原 克典、宮﨑 誠一

    第62回応用物理学会春季学術講演会 

     More details

    Event date: 2015.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東海大学(神奈川県平塚市)   Country:Japan  

  320. P添加がGeコアSi量子ドットのPL特性に及ぼす影響

    近藤 圭悟、牧原 克典、宮﨑 誠一

    第62回応用物理学会春季学術講演会 

     More details

    Event date: 2015.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東海大学(神奈川県平塚市)   Country:Japan  

  321. Si量子ドット多重集積構造の電界電子放出特性評価

    竹内 大智、牧原 克典、大田 晃生、池田 弥央、宮﨑 誠一

    第62回応用物理学会春季学術講演会 

     More details

    Event date: 2015.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東海大学(神奈川県平塚市)   Country:Japan  

  322. 不純物添加がSi量子ドット多重集積構造のEL特性に及ぼす影響

    山田 敬久、牧原 克典、池田 弥央、宮﨑 誠一

    第62回応用物理学会春季学術講演会 

     More details

    Event date: 2015.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東海大学(神奈川県平塚市)   Country:Japan  

  323. リモートH2プラズマ処理した4H-SiC表面の化学構造および電子状態分析

    グェンスァン チュン、大田 晃生、竹内 大智、張 海牧原 克典、宮﨑 誠一

    第62回応用物理学会春季学術講演会 

     More details

    Event date: 2015.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東海大学(神奈川県平塚市)   Country:Japan  

  324. Impact of Embedded Mn Nanodots on Resistive Switching Characteristics of Si-rich Oxides as Measured in Ni-Electrodes MIM Diodes

     More details

    Event date: 2015.1

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  325. Formation and Characterization of High Density FePt Nanodots on SiO2 Induced by Remote Hydrogen Plasma International conference

    S. Miyazaki, Y. Kabeya, R. Fukuoka, H. Zhang, K. Makihara, T. Kato, and S. Iwata

    2014 MRS Fall Meeting&Exhibit 

     More details

    Event date: 2014.11 - 2014.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  326. Photoemission Study of High-k Dielectrics Stack on Ge(100) - Determination of Energy Bandgaps and Band Alignments International conference

    S. Miyazaki

    JSPS International Core-to-Core Program Workshop on Atomically Controlled Processing for Ultra-large Scale Integration (imec) 

     More details

    Event date: 2014.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Belgium  

  327. Luminescence Studies of High Density Si-based Quantum Dots International conference

    K. Makihara

    JSPS International Core-to-Core Program Workshop on Atomically Controlled Processing for Ultra-large Scale Integration (imec) 

     More details

    Event date: 2014.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Belgium  

  328. Study of Electron Field Emission from High Density Self-aligned Si-based Quantum Dots International conference

    D. Takeuchi

    JSPS International Core-to-Core Program Workshop on Atomically Controlled Processing for Ultra-large Scale Integration (imec) 

     More details

    Event date: 2014.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Belgium  

  329. High Density formation of Fe-Silicide Nanodots on SiO2 Induced by Remote H2 Plasma International conference

    H. Zhang, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    MNC 2014  

     More details

    Event date: 2014.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  330. Characterization of Chemical Bonding Features and Interfacial Reactions in Ge-MIS Structure with HfO2/TaGexOy Dielectric Stack International conference

    A. Ohta, H. Murakami, K. Hashimoto, K. Makihara, and S. Miyazaki

    The 2014 ECS and SMEQ (Sociedad Mexicana de Electroquímica) Joint International Meeting  

     More details

    Event date: 2014.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Mexico  

  331. Characterization of Electron Emission from High Density Self-aligned Si-based Quantum Dots by Conducting-Probe Atomic Force Microscopy International conference

    D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    The 2014 ECS and SMEQ (Sociedad Mexicana de Electroquímica) Joint International Meeting  

     More details

    Event date: 2014.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Mexico  

  332. Pre-Amorphization and Low-Temperature Implantation for Efficient Activation of Implanted As in Ge(100) International conference

    H. Murakami, S. Hamada, T. Ono, K. Hashimoto, A. Ohta, H. Hanafusa, S. Higashi, and S. Miyazaki

    The 2014 ECS and SMEQ (Sociedad Mexicana de Electroquímica) Joint International Meeting  

     More details

    Event date: 2014.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Mexico  

  333. Photoluminescence Study of Si Quantum Dots with Ge Core International conference

    K. Makihara, K. Kondo, M. Ikeda, A. Ohta, and S. Miyazaki

    The 2014 ECS and SMEQ (Sociedad Mexicana de Electroquímica) Joint International Meeting  

     More details

    Event date: 2014.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Mexico  

  334. Materials and Interfaces Characterization for Advanced Ge-Channel Devices: Soft and Hard X-ray Photoemission Measurements Invited International conference

    S. Miyazaki

    The 1st Material Research Society of Indonesia (MRS-Id) Meeting 2014  

     More details

    Event date: 2014.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Indonesia  

  335. Mnナノドット埋め込みSiリッチ酸化膜の抵抗変化特性

    荒井 崇、大田 晃生、牧原 克典、宮﨑 誠一

    第75回応用物理学会秋季学術講演会 

     More details

    Event date: 2014.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道大学   Country:Japan  

  336. 光電子収率分光法によるSiO2/SiC界面の電子状態計測

    大田 晃生、竹内 大智、チュン グェンスァン、牧原 克典、宮﨑 誠一

    第75回応用物理学会秋季学術講演会 

     More details

    Event date: 2014.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:北海道大学   Country:Japan  

  337. 不純物添加Si量子ドット多重集積構造のエレクトロルミネッセンス

    山田 敬久、牧原 克典、池田 弥央、宮﨑 誠一

    第75回応用物理学会秋季学術講演会 

     More details

    Event date: 2014.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:北海道大学   Country:Japan  

  338. P添加Si量子ドット多重集積構造の電界電子放出特性

    竹内 大智、牧原 克典、大田 晃生、池田 弥央、宮﨑 誠一

    第75回応用物理学会秋季学術講演会 

     More details

    Event date: 2014.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:北海道大学   Country:Japan  

  339. FePtナノドット/極薄SiO2層における電子輸送特性の外部磁場依存性

    壁谷 悠希、牧原 克典、大田 晃生、宮﨑 誠一

    第75回応用物理学会秋季学術講演会 

     More details

    Event date: 2014.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:北海道大学   Country:Japan  

  340. リモート水素プラズマ支援によるMn-Ge系ナノドットの高密度一括形成

    温 映輝、牧原 克典、大田 晃生、宮﨑 誠一

    第75回応用物理学会秋季学術講演会 

     More details

    Event date: 2014.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:北海道大学   Country:Japan  

  341. Electroluminescence from Multiply-Stack of Doped Si Quantum Dots International conference

    T. Yamada, K. Makihara, M. Ikeda, and S. Miyazaki

    international conference on SOLID STATE DEVICES AND MATERIALS (SSDM2014) 

     More details

    Event date: 2014.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  342. Impact of Magnetic-Field Application on Electron Transport Through CoPt Alloy Nanodots International conference

    Y. Kabeya

    The 15th IUMRS-ICA (International Union of Materials Research Societies, International Conference in Asia)  

     More details

    Event date: 2014.8

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  343. Characterization of Resistance-Switching of Ni Nano-dot/SiOx/Ni Diodes International conference

    A. Ohta

    The 15th IUMRS-ICA (International Union of Materials Research Societies, International Conference in Asia)  

     More details

    Event date: 2014.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  344. Impact of Remote H2 Plasma on Surface Roughness of 4H-SiC(0001) International conference

    T. Nguyen

    The 15th IUMRS-ICA (International Union of Materials Research Societies, International Conference in Asia)  

     More details

    Event date: 2014.8

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  345. High Density Formation of Mn and Mn-germanide Nanodots International conference

    Y. WEN

    The 15th IUMRS-ICA (International Union of Materials Research Societies, International Conference in Asia)  

     More details

    Event date: 2014.8

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  346. Local Electrical Properties of Si-rich Oxides with Embedding Mn-nanodots by Atomic Force Microscopy Using Conducting-Probe International conference

    T. Arai

    The 15th IUMRS-ICA (International Union of Materials Research Societies, International Conference in Asia)  

     More details

    Event date: 2014.8

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  347. Resistance-Switching Characteristics of Si-rich Oxide as Evaluated by Using Ni Nanodots as Electrodes in Conductive AFM Measurements International conference

    A. Ohta, C. Liu, T. Arai, D. Takeuchi, H. Zhang, K. Makihara, and S. Miyazaki

    2014 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2014) 

     More details

    Event date: 2014.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  348. Impact of embedded Mn-nanodots on resistive switching in Si-rich oxides International conference

    T. Arai, C. Liu, A. Ohta, K. Makihara, and S. Miyazaki

    7th International Silicon-Germanium Technology and Device Meeting (2014 ISTDM) 

     More details

    Event date: 2014.6

    Language:English   Presentation type:Poster presentation  

    Country:Singapore  

  349. Characterization of electronic charged states of self-aligned coupled Si quantum dots by AFM/KFM Probe Technique International conference

    K. Makihara, N. Tsunekawa, M. Ikeda, and S. Miyazaki

    7th International Silicon-Germanium Technology and Device Meeting (2014 ISTDM) 

     More details

    Event date: 2014.6

    Language:English   Presentation type:Poster presentation  

    Country:Singapore  

  350. XPS Study of Energy Band Alignment of High-k Dielectric Gate Stack on Ge(100) International conference

    S. Miyazaki, and A. Ohta

    2014 MRS Spring Meetings & Exhibit 

     More details

    Event date: 2014.4

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:United States  

  351. 磁性AFM探針を用いたCoPt合金ナノドットの電子輸送特性評価-外部磁場依存性

    壁谷 悠希、張 海、福岡 諒、牧原 克典、宮﨑 誠一

    第61回応用物理学会春季学術講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス(神奈川県)   Country:Japan  

  352. Mnナノドットを埋め込んだSiOx膜の抵抗変化特性

    荒井 崇、劉 冲、大田 晃生、牧原 克典、宮﨑 誠一

    第61回応用物理学会春季学術講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス(神奈川県)   Country:Japan  

  353. 導電性AFM探針による高密度一次元連結Si系量子ドットからの電子放出特性評価(II)

    竹内 大智、牧原 克典、大田 晃生、池田 弥央、宮﨑 誠一

    第61回応用物理学会春季学術講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス(神奈川県)   Country:Japan  

  354. P/N制御Si量子ドット多重集積構造のエレクトロルミネッセンス

    山田 敬久、牧原 克典、鈴木 善久、池田 弥央、宮﨑 誠一

    第61回応用物理学会春季学術講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス(神奈川県)   Country:Japan  

  355. P添加GeコアSi量子ドットのフォトルミネッセンス特性評価

    近藤 圭悟、鈴木 善久、牧原 克典、池田 弥央、小山 剛史、岸田 英夫、宮﨑 誠一

    第61回応用物理学会春季学術講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス(神奈川県)   Country:Japan  

  356. リモート水素プラズマ支援によるMnおよびMnジャーマナイドナノドットの高密度一括形成

    温 映輝、牧原 克典、大田 晃生、池田 弥央、宮﨑 誠一

    第61回応用物理学会春季学術講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス(神奈川県)   Country:Japan  

  357. リモート水素プラズマ支援によるFeシリサイドナノドットの高密度形成

    張 海、牧原 克典、大田 晃生、池田 弥央、宮﨑 誠一

    第61回応用物理学会春季学術講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス(神奈川県)   Country:Japan  

  358. AFM/KFMによる自己整合一次元連結Si量子ドットの局所帯電評価

    恒川 直輝、牧原 克典、池田 弥央、宮﨑 誠一

    第61回応用物理学会春季学術講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス(神奈川県)   Country:Japan  

  359. Mnナノドットを埋め込んだSiOxMIM構造の局所電気伝導解析

    荒井 崇、劉冲、大田 晃生、牧原 克典、宮﨑 誠一

    第61回応用物理学会春季学術講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:青山学院大学相模原キャンパス(神奈川県)   Country:Japan  

  360. FePt合金ナノドットの構造および磁化特性評価

    福岡 諒、張 海、牧原 克典、大田 晃生、徳岡 良浩、加藤 剛志、岩田 聡、宮﨑 誠一

    第61回応用物理学会春季学術講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:青山学院大学相模原キャンパス(神奈川県)   Country:Japan  

  361. Niナノドット電極を用いたSiOx薄膜の抵抗変化特性

    劉 冲、荒井 崇、大田 晃生、竹内 大智、張 海、牧原 克典、宮﨑 誠一

    第61回応用物理学会春季学術講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Poster presentation  

    Venue:青山学院大学相模原キャンパス(神奈川県)   Country:Japan  

  362. Study on Formation of High Density Fe-Nanodots on Ultrathin SiO2 Induced by Remote H2 Plasma Exposure International conference

    H. Zhang, K. Makihara, R. Fukuoka, Y. Kabeya, and S. Miyazaki

    ISPlasma2014/IC-PLANTS2014 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  363. Study on Si/Ge Heterodtructures Formed by PECVD in Combination with Ni-Nds Seeding Nucleation International conference

    Y. Lu, K. Makihara, D. Takeuchi, K. Sakaike, M. Akazawa, S. Higashi, and S. Miyazaki

    ISPlasma2014/IC-PLANTS2014 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  364. Selective Crystallization and Metallizatioin of a-Ge:H Thin Films by Pt-coating and Exposing to Remote H2 Plasma International conference

    K. Makihara, M. Ikeda, S. Higashi, and S. Miyazaki

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2014) 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  365. 金属合金化反応制御による強磁性ナノドットの高密度・自己組織化形成

    牧原 克典、宮﨑 誠一

    名古屋大学ナノテクノロジープラットフォーム第1回合同シンポジウム~中部ものづくりは名大から~ 

     More details

    Event date: 2014.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  366. High Density Formation of FePt Alloy Nanodots Induced by Remote Hydrogen Plasma and Characterization of Their Magnetic Properties International conference

    R. Fukuoka, H. Zhang, K. Makihara, Y. Tokuoka, T. Kato, S. Iwata, and S. Miyazaki

    7th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     More details

    Event date: 2014.1

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  367. Alignment Control and Electrical Coupling of Si-based Quantum Dots International conference

    K. Makihara, and S. Miyazaki

    7th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     More details

    Event date: 2014.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  368. Formation of High-Density Magnetic Nanodots on Ultrathin SiO2 Induced by Remote H2 Plasma International conference

    Y. Kabeya, H. Zhang, R. Fukuoka, K. Makihara, and S. Miyazaki

    7th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     More details

    Event date: 2014.1

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  369. Electroluminescence from Multiply-Stacking B-doped Si Quantum Dots International conference

    T. Yamada, K. Makihara, Y. Suzuki, M. Ikeda, and S. Miyazaki

    7th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     More details

    Event date: 2014.1

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  370. Evaluation of Chemical Bonding Features and Resistive Switching in TiOx/SiOx Stack in Ti Electrode MIM Diodes International conference

    T. Arai, C. Liu, A. Ohta, K. Makihara, and S. Miyazaki

    7th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     More details

    Event date: 2014.1

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  371. Impact of Pulsed Bias Application on Electroluminescence Properties from One-dimensionally Self-Aligned Si-based Quantum Dots International conference

    Y. Suzuki, K. Makihara, M. Ikeda, and S. Miyazaki

    7th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     More details

    Event date: 2014.1

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  372. Characterization of Local Electronic Transport through Si-Nanocrystals/ Si-Nanocolumnar Structures by Non-contact Conductive Atomic ForceMicroscopy International conference

    D. Takeuchi, K. Makihara, M. Ikeda, and S. Miyazaki

    7th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     More details

    Event date: 2014.1

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  373. Progress in Determination Method of Ultrathin Oxide Bandgaps from Analysis of Energy Loss Signals for Photoelectrons

     More details

    Event date: 2014.1

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  374. Impact of Post-Metallization Annealing on Chemical Structures in Ge-MIS Capacitors with HfO2/TaGexOy Dielectrics

     More details

    Event date: 2014.1

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  375. Fabrication of Low-Resistance Shallow Juntion by Low Temperature As+-Ion Implantation to Ge(100)

     More details

    Event date: 2014.1

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  376. SiOx/TiO₂積層したTi電極MIMダイオードの抵抗スイッチング

    荒井 崇、大田 晃生、福嶋 太紀、牧原 克典、宮﨑 誠一

    第12回日本表面科学会中部支部・学術講演会 

     More details

    Event date: 2013.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋工業大学   Country:Japan  

  377. リモート水素プラズマ支援によるSiO₂上へのFeナノドットの高密度・一括形成

    張 海、福岡 涼、壁谷 悠希、牧原 克典、宮﨑 誠一

    第12回日本表面科学会中部支部・学術講演会 

     More details

    Event date: 2013.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋工業大学   Country:Japan  

  378. 半導体ーメタル接触界面の構造について

    宮﨑 誠一

    第13回日本表面科学会中部支部・学術講演会 

     More details

    Event date: 2013.12

    Language:Japanese  

    Venue:名古屋工業大学   Country:Japan  

  379. Optoelectronic Response of Metal-Semiconductor Hybrid Nanodots Floating Gate International conference

    S. Miyazaki

    2013 Energy Materials Nanotechnology Fall Meeting (2013 EMN Fall Meeting) 

     More details

    Event date: 2013.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:United States  

  380. Formation and characterization of hybrid nanodots embedded in gate dielectric for optoelectronic application International conference

    S. Miyazaki

    International Conference on Processing and Manufacturing of Advanced Materials 2013 (THERMEC'2013) 

     More details

    Event date: 2013.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:United States  

  381. High density formation of FePt alloy nanodots on SiO2 induced by remote hydrogen plasma International conference

    R. Fukuoka, H. Zhang, K. Makihara, Y. Tokuoka, T. Kato, S. Iwata, and S. Miyazaki

    MORIS2013 

     More details

    Event date: 2013.12

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  382. Study on As+ Ion Implantation into Ge at Different Substrate Temperatures International conference

    T. Ono, K. Hashimoto, A. Ohta, H. Murakami, H. Hanafusa, S. Higashi, and S. Miyazaki

    2013 International Workshop on Dielectric Thin Films for Future ULSI Devices (IWDTF 2013) 

     More details

    Event date: 2013.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  383. Impact of Post-Metallization Annealing on Chemical Bonding Features in Ge-MIS Structure with HfO2/TaGexOy Stack International conference

    K. Hashimoto, T. Ono, A. Ohta, H Murakami, S. Higashi, and S. Miyazaki

    2013 International Workshop on Dielectric Thin Films for Future ULSI Devices (IWDTF 2013) 

     More details

    Event date: 2013.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  384. Formation of One-dimensionally Self-Aligned Si-based Quantum Dots and Its Application to Light Emitting Diodes International conference

    K. Makihara, and S. Miyazaki

    26th International Microprocesses and Nanotechnology Conference 

     More details

    Event date: 2013.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  385. Characterization of Electron Emission from Si-Nanocrystals/Si-Nanocolumnar Structures by Non-contact Conductive Atomic Force Microscopy International conference

    D. Takeuchi, K. Makihara, M. Ikeda, S. Miyazaki, H. Kaki, and T. Hayashi

    ACSIN-12&ICSPM21 

     More details

    Event date: 2013.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  386. B添加Si量子ドット多重集積構造のエレクトロルミネッセンス

    山田 敬久、牧原 克典、鈴木 善久、宮﨑 誠一

    応用物理学会SC東海地区学術講演会2013 

     More details

    Event date: 2013.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  387. 導電性AFM探針によるSiナノ結晶/柱状Siナノ構造からの電子放出特性評価

    竹内 大智、牧原 克典、池田 弥央、宮﨑 誠一、可貴 裕和、林 司

    応用物理学会SC東海地区学術講演会2013 

     More details

    Event date: 2013.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  388. AFM/KFMによる一次元連結・高密度Si系量子ドットにおける帯電電荷の経時変化計測

    恒川 直輝、牧原 克典、池田 弥央、宮﨑 誠一

    応用物理学会SC東海地区学術講演会2013 

     More details

    Event date: 2013.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  389. リモート水素プラズマ支援によるFePt合金ナノドットの高密度・一括形成と磁化特性評価

    福岡 諒、張 海、牧原 克典、宮﨑 誠一

    応用物理学会SC東海地区学術講演会2013 

     More details

    Event date: 2013.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  390. リモート水素プラズマ支援によるSiO2上へのFeナノドットの高密度・一括形成

    張 海、福岡 諒、壁谷 悠希、牧原 克典、宮﨑 誠一

    応用物理学会SC東海地区学術講演会2013 

     More details

    Event date: 2013.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  391. ゲルマニウムへの低温As+イオン注入による活性化率向上

    恒川 直輝、牧原 克典、池田 弥央、宮﨑 誠一

    応用物理学会SC東海地区学術講演会2013 

     More details

    Event date: 2013.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  392. 一次元連結Si系量子ドットの電界発光減衰特性

    鈴木 善久、牧原 克典、池田 弥央、宮﨑 誠一

    応用物理学会SC東海地区学術講演会2013 

     More details

    Event date: 2013.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  393. 外部磁場印加がCoPt合金ナノドットの電気伝導特性に及ぼす影響

    壁谷 悠希、張 海、福岡 諒、牧原 克典、宮﨑 誠一

    応用物理学会SC東海地区学術講演会2013 

     More details

    Event date: 2013.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

  394. Study On Charge Storage and Optical Response of Hybrid Nanodots Floating Gate Mos Devices for Their Optoelectronic Application International conference

    S. Miyazaki

    The 224th Electrochemical Society (ECS) Meeting 

     More details

    Event date: 2013.10 - 2013.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:United States  

  395. Resistive Switching Properties of SiOx/TiO2 Multi-Stack in Ti-Electrode MIM Diodes International conference

    A. Ohta

    224th ECS Meeting 

     More details

    Event date: 2013.10 - 2013.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  396. Characterization of Charge Storage and Optical Response of Hybrid Nanodots Floating Gate MOS Devices for Their Optoelectronic Application International conference

    S. Miyazaki

    JSPS Core-to-Core Program Workshop "Atomically Controlled Processing for Ultralarge Scale Integration" 

     More details

    Event date: 2013.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Germany  

  397. Study on Electronic Emission through Si-Nanocrystals/ Si-Nanocolumnar Structures by Conducting-Probe Atomic Force Microscopy International conference

    D. Takeuchi

    JSPS Core-to-Core Program Workshop "Atomically Controlled Processing for Ultralarge Scale Integration" 

     More details

    Event date: 2013.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Germany  

  398. Transient Characteristics of Electroluminescence from Self-aligned Si-based Quantum Dots International conference

    Y. Suzuki, K. Makihara, M. Ikeda, and S. Miyazaki

    2013 International Conference on Solid State Devices and Materials 

     More details

    Event date: 2013.9

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  399. Characterization of Electron Transport Through Ultra High Density Array of One- dimensionally Aligned Si-based Quantum Dots International conference

    H. Niimi, K. Makihara, M. Ikeda, and S. Miyazaki

    2013 International Conference on Solid State Devices and Materials 

     More details

    Event date: 2013.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  400. HfO2/TaGexOyを用いたGe-MIS構造の熱処理による化学構造変化

    橋本 邦明、大田 晃生、村上 秀樹、東 清一郎、宮﨑 誠一

    第74回応用物理学会秋季学術講演会 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:同志社大学(京都)   Country:Japan  

  401. ゲルマニウムへの低温As+イオン注入による活性化率向上

    小野 貴寛、大田 晃生、花房 宏明、村上 秀樹、東 清一郎、宮﨑 誠一

    第74回応用物理学会秋季学術講演会 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:同志社大学(京都)   Country:Japan  

  402. 導電性AFM探針による高密度一次元連結Si系量子ドットからの電子放出特性評価

    竹内 大智、牧原 克典、池田 弥央、宮﨑 誠一

    第74回応用物理学会秋季学術講演会 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:同志社大学(京都)   Country:Japan  

  403. バイアス印加が一次元連結Si系量子ドットのPL特性に及ぼす影響

    鈴木 善久、牧原 克典、池田 弥央、宮﨑 誠一

    第74回応用物理学会秋季学術講演会 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:同志社大学(京都)   Country:Japan  

  404. リモート水素プラズマ支援によるSiO2上へのFeナノドットの高密度形成

    張 海、福岡 諒、壁谷 悠希、牧原 克典、宮﨑 誠一

    第74回応用物理学会秋季学術講演会 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:同志社大学(京都)   Country:Japan  

  405. 外部磁場印加がCoPt合金ナノドットの電子輸送特性に及ぼす影響

    壁谷 悠希、福岡 諒、張 海、牧原 克典、宮﨑 誠一

    第74回応用物理学会秋季学術講演会 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:同志社大学(京都)   Country:Japan  

  406. リモート水素プラズマ支援によるFePt合金ナノドットの高密度形成と磁化特性評価

    福岡 諒、張 海、壁谷 悠希、恒川 直輝、牧原 克典、大田 晃生、宮﨑 誠一

    第74回応用物理学会秋季学術講演会 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:同志社大学(京都)   Country:Japan  

  407. 次世代MISトランジスタ実現に向けた材料プロセスインテグレーション~金属/高誘電率絶縁膜/Geチャネルゲートスタック構造の硬X線光電子分光~

    宮﨑 誠一

    SPring-8シンポジウム2013 

     More details

    Event date: 2013.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:京都大学宇治おうばくプラザ   Country:Japan  

  408. Formation of High Density Fe-Nanodots on Ultrathin SiO2 Induced by Remote H2 Plasma International conference

    H. Zhang, R. Fukuoka, Y. Kabeya, K. Makihara, and S. Miyazaki

    2013 International Symposium on Dry Process (DPS 2013) 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  409. Low Temperature Formation of Crystalline Si/Ge Heterostructures by Plasma Enhanced CVD in Combination with Ni-NDs Seeding Nucleation International conference

    Y. Lu, K. Makihara, D. Takeuchi, K. Sakaike, M. Akazawa, M. Ikeda, S. Higashi, and S. Miyazaki

    The 25th International Conference on Amorphous and Nano-crystalline Semiconductors (ICANS 25) 

     More details

    Event date: 2013.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Canada  

  410. Characterization of Ultrathin Ta-oixde Films as an Interfacial Control Layer Formed on Ge(100) by ALD and Layer-by-layer Methods International conference

    H. Murakami, K. Hashimoto, A. Ohta, K. Mishima, S. Higashi, and S. Miyazaki

    2013 NIMS Conference -Structure Control of Atomic/ Molecular Thin Films and Their Applications- 

     More details

    Event date: 2013.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  411. Selective Growth of Self-Assembling Si and SiGe Quantum Dots International conference

    K. Makihara, M. Ikeda, and S. Miyazaki

    2013 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2013) 

     More details

    Event date: 2013.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  412. High-Sensitive Detection of Electronic Emission through Si-Nanocrystals/Si-Nanocolumnar Structures by Conducting-Probe Atomic Force Microscopy International conference

    D. Takeuchi, Makihara,M,Ikeda, M. Ikeda, S. Miyazaki, H. Kaki, and T. Hayashi

    2013 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2013) 

     More details

    Event date: 2013.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  413. High Density Formation of CoPt Alloy Nanodots Induced by Remote Hydrogen Plasma and Charging and Magnetizing Characteristics

    Science and Technology for Dielectric Thin Films for Electron Devices  

     More details

    Event date: 2013.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  414. SiOx/TiO2積層したMIMダイオードにおける抵抗変化特性評価

    大田 晃生、福嶋 太紀、牧原 克典、村上 秀樹、東 清一郎、宮﨑 誠一

    SDM研究会「ゲート絶縁薄膜、容量膜、機能膜およびメモリ技術」(応用物理学会、シリコンテクノロジー分科会との合同開催)  

     More details

    Event date: 2013.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue: 機械振興会館   Country:Japan  

    信学技報, vol. 113, no. 87, pp. 61-66, 発行日 20130611, 資料番号 SDM2013-56

  415. HAXPES Studies of Chemical Bonding Features of Buried Interfaces for Advanced Ge-channel MIS Devices International conference

    S. Miyazaki

    5th International conference on hard X-ray photoelectron spectroscopy(HAXPES 2013) 

     More details

    Event date: 2013.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Sweden  

  416. Characterization of Electroluminescence from Self-Aligned Si-Based Quantum Dots Stack by Intermittent Bias Application International conference

    K. Makihara, H. Takami, Y. Suzuki, M. Ikeda, and S. Miyazaki

    The 8th International Conference on Silicon Epitaxy and Heterostructures (ICSI-8) and 

     More details

    Event date: 2013.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  417. High Density Formation of CoPt Alloy Nanodots Induced by Remote H2 Plasma International conference

    R. Fukuoka, H. Zhang, Y. Kabeya, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki

    The 8th International Conference on Silicon Epitaxy and Heterostructures (ICSI-8) and 

     More details

    Event date: 2013.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  418. Determination of Bandgap Energy of Thermally-Grown Si- and Ge- Oxides from Energy Loss Spectra of Photoelectrons International conference

    A. Ohta, H. Murakami, S. Higashi, and S. Miyazaki

    The 8th International Conference on Silicon Epitaxy and Heterostructures (ICSI-8) and 

     More details

    Event date: 2013.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  419. Characterization of Electroluminescence from Multiply-Stacked B-doped Si Quantum Dots International conference

    T. Yamada, K. Makihara, H. Takami, Y. Suzuki, M. Ikeda, and S. Miyazaki

    The 8th International Conference on Silicon Epitaxy and Heterostructures (ICSI-8) and 

     More details

    Event date: 2013.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  420. Formation and Characterization of Hybrid Nanodots Floating Gate for Optoelectronic Devices International conference

    S. Miyazaki, K. Makihara, and M. Ikeda

    JSPS Core-to Core Program Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     More details

    Event date: 2013.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  421. High Density Formation of Iron Nanodots on SiO2 Induced by Remote Hydrogen Plasma International conference

    H. Zhang, R. Fukuoka, Y. Kabeya, K. Makihara, and S. Miyazaki

    3rd International Conference on Advanced Engineering Materials and Technology(2013) 

     More details

    Event date: 2013.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  422. 多重集積したB添加量子ドットのエレクトロルミネッセンス特性評価

    山田 敬久、牧原 克典、高見 弘貴、鈴木 善久、池田 弥央、宮﨑 誠一

    第60回春季応用物理学会 

     More details

    Event date: 2013.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  423. 導電性AFM探針を用いたSiナノ結晶/柱状Siナノ構造の電子放出特性評価

    竹内 大智、牧原 克典、池田 弥央、宮﨑 誠一、可貴 裕和、林 司

    第60回春季応用物理学会 

     More details

    Event date: 2013.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  424. リモート水素プラズマ支援によるCoPt合金ナノドットの高密度形成

    福岡 諒、張 海、壁谷 悠希、牧原 克典、大田 晃生、宮﨑 誠一

    第60回春季応用物理学会 

     More details

    Event date: 2013.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  425. CoPt合金ナノドットの帯磁特性評価

    壁谷 悠希、張 海、福岡 諒、牧原 克典、宮﨑 誠一

    第60回春季応用物理学会 

     More details

    Event date: 2013.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  426. Ti電極MIMダイオードにおけるSiOx/TiO2多重積層の抵抗変化特性評価

    福嶋 太紀、大田 晃生、牧原 克典、宮﨑 誠一

    第60回春季応用物理学会 

     More details

    Event date: 2013.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  427. Niナノドットによる初期核発生制御を活用した高結晶性Si:H/Ge:Hヘテロ結合の低温堆積

    盧 義敏、高 金、牧原 克典、酒池 耕平、藤田 悠二、池田 弥央、大田 晃生、東 清一郎、宮﨑 誠一

    第60回春季応用物理学会 

     More details

    Event date: 2013.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  428. 非接触AFMによるSiナノ結晶/柱状Siナノ構造からの電子放出メカニズム解析

    竹内 大智、牧原 克典、池田 弥央、宮﨑 誠一、可貴 裕和、林 司

    第60回春季応用物理学会 

     More details

    Event date: 2013.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  429. パルスバイアス印加が一次元連結Si系量子ドットの電界発光に及ぼす影響

    鈴木 善久、牧原 克典、高見 弘貴、池田 弥央、宮﨑 誠一

    第60回春季応用物理学会 

     More details

    Event date: 2013.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  430. 自己組織化形成Si系量子ドットの選択成長

    牧原 克典、池田 弥央、宮﨑 誠一

    第60回春季応用物理学会 

     More details

    Event date: 2013.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  431. 縦積み連結Si系量子ドットの超高密度集積構造における電子輸送特性

    新美 博久、 牧原 克典、 池田 弥央、 宮﨑 誠一

    第60回春季応用物理学会 

     More details

    Event date: 2013.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  432. Characterization of Electron Emission from Si-Nanocrystals/Si-Nanocolumnar Structures by Conductive-Probe Atomic Force Microscopy International conference

    D. Takeuchi, K. Makihara, M. Ikeda, S. Miyazaki, H. Kaki, and T. Hayashi

    6th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration"  

     More details

    Event date: 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  433. High-density Formation and Characterization of Nanodots for Their Electron Device Application International conference

    K. Makihara, and S. Miyazaki

    6th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration"  

     More details

    Event date: 2013.2

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  434. Electronic and Optoelectronic Response of Hybrid Nanodots Floating Gate MOS Devices International conference

    S. Miyazaki, K. Makihara, M. Ikeda, and H. Murakami

    6th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration"  

     More details

    Event date: 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  435. Electroluminescence Study of Self-aligned Si-based Quantum Dots International conference

    H. Takami, K. Makihara, M. Ikeda, and S. Miyazaki

    6th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration"  

     More details

    Event date: 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  436. Transient Characteristics of Electroluminescence from Self-aligned Si-based Quantum Dots International conference

    Y. Suzuki, K. Makihara, H. Takami, M. Ikeda, and S. Miyazaki

    6th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration"  

     More details

    Event date: 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  437. Spatially-controlled Charge Storage and Charge Dispersion in High Density Self-aligned Si-based Quantum Dots International conference

    N. Tsunekawa, K. Makihara, M. Ikeda, and S. Miyazaki

    6th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration"  

     More details

    Event date: 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  438. High Density Formation and Characterization of CoPt Alloy Nanodots as Memory Nodes International conference

    R. Fukuoka, H. Zhang, Y. Kabeya, K. Makihara, A. Ohta, and S. Miyazaki

    6th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration"  

     More details

    Event date: 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  439. Characterization of Resistive Switching of Si-rich Oxides International conference

    M. Fukusima, A. Ohta, K. Makihara, and S. Miyazaki

    6th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration"  

     More details

    Event date: 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  440. Evaluation of Resistance-Switching Behaviors and Chemical Bonding Features of Si-rich Oxide ReRAMs with TiN Electrode International conference

    M. Fukusima, A. Ohta, K. Makihara, and S. Miyazaki

    The 6th International Conference on Plasma-Nano Technology & Science  

     More details

    Event date: 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  441. Study on Electronic Emission through Ultrathin Au/High-Dense Si-Nanocolumnar Structures Accompanied with Si-Nanocrystals by Conductive Atomic Force Microscopy International conference

    D. Takeuchi, K. Makihara, M. Ikeda, S. Miyazaki, H. Kaki, and T. Hayashi

    The 6th International Conference on Plasma-Nano Technology & Science  

     More details

    Event date: 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  442. Characterization of Chemical Bonding Features of As+-Implanted Ge by X-ray Photoemission Spectroscopy

     More details

    Event date: 2013.1

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  443. Characterization of Resistive Switching of Ultrathin Si-rich Oxide Contacted with TiN Electrodes

     More details

    Event date: 2013.1

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  444. Photoemission Study of Rt/SiOx/Pt Structures

     More details

    Event date: 2013.1

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  445. Study of Chemical Bonding Features of Impurities Implanted into SiC and Their High-Efficient Activation

    2013.1.26 

     More details

    Event date: 2013.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  446. Resistive Switching of Si-rich Oxide Dielectric with Ti based Electrodes International conference

    A. Ohta, M. Fukusima, K. Makihara, S. Higashi, and S. Miyazaki

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2013.1

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  447. Highly-crystallized Ge:H Film Growth from GeH4 Very High Frequency Inductively-coupled Plasma -Crystalline Nucleation Initiated by Ni-nanodots- International conference

    K. Makihara, J. Gao, D. Takeuchi, K. Sakaike, S. Hayashi, M. Ikeda, S. Higashi, and S. Miyazaki

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2013.1

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  448. Characterization of Electronic Emission Through Au/Si-Nanocolumnar Structures by Conductive-Probe Atomic Force Microscopy International conference

    D. Takeuchi, K. Makihara, M. Ikeda, S. Miyazaki, H. Kaki, and T. Hayashi

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2013.1

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  449. Charging and Magnetizing Characteristics of Co Nanodots Formed by Remote H2-Plasma Induced Migration International conference

    R. Fukuoka, K. Makihara, M. Ikeda, and S. Miyazaki

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2013.1

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  450. 導電性AFM探針を用いたSiナノ結晶/柱状Siナノ構造からの電子放出検出

    竹内大智、牧原克典、池田弥央、宮崎誠一、可貴裕和、林司

    第12回日本表面科学会中部支部研究会 

     More details

    Event date: 2012.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名城大学   Country:Japan  

  451. Formation and Characterization of Hybrid Nanodots Floating Gate for Optoelectronic Application International conference

    S. Miyazaki

    2012 MRS Fall Meeting & Exhibit 

     More details

    Event date: 2012.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  452. ナノ構造制御で展開する電子デバイス開発―機能進化・高度化への挑戦 Invited

    宮﨑 誠一

    薄膜材料デバイス研究会 第9回研究集会「薄膜デバイスの未来」 

     More details

    Event date: 2012.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:なら100年会館(奈良市)   Country:Japan  

    2T01, pp.1-26

  453. Control of Schottky Barrier Height at Al/p-Ge Junctions by Ultrathin Layer Insertion International conference

    A. Ohta, M. Matsui, H. Murakami, S. Higashi, S. Miyazaki

    222nd Electrochemical Society (ECS) Meeting : SiGe & Ge Materials, Processing and Device Symposium 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  454. Characterization of Resistance-Switching Properties of SiOx Films Using Pt Nanodots Electrodes International conference

    K. Makihara, M. Fukushima, A. Ohta, M. Ikeda, S. Miyazaki

    222nd Electrochemical Society (ECS) Meeting : SiGe & Ge Materials, Processing and Device Symposium 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  455. Impact of Ni-nanodots on Crystalline Ge:H Film Growth from GeH4 Very High Frequency Inductively-Coupled Plasma International conference

    J. Gao, K. Makihara, M. Ikeda, S. Hayashi, K. Sakaike, S. Higashi, S. Miyazaki

    11th Asia-Pacific Conference on Plasma Science and Technology and 25th Symposium on Plasma Science for Materials (APCPST & SPSM) 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  456. Characterization of As Implanted and Annealed Ge by Photoemission and Electrical Measurements International conference

    T. Ono, A. Ohta, H. Murakami, S. Higashi, S. Miyazaki

    2012 International Conference on Solid State Devices and Materials (SSDM) 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  457. Dry Oxidation of Germanium (100) and (111) Surfaces - Impact of Oxidation Temperature on Ge Oxide Growth International conference

    A. Ohta, S. K. Sahari, M. Ikeda, H. Murakami, S. Higashi, S. Miyazaki

    2012 International Conference on Solid State Devices and Materials (SSDM) 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  458. Characterization of Electroluminescence from One-dimensionally Self-Aligned Si-based Quantum Dots International conference

    H. Takami, K. Makihara, M. Ikeda, S. Miyazaki

    2012 International Conference on Solid State Devices and Materials (SSDM) 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  459. Ti系電極を用いたSiOx膜の化学構造分析と抵抗スイッチング特性評価

    福島太紀、大田晃生、牧原克典、宮崎誠一

    応用物理学会・東海支部第19回基礎セミナー [透明導電膜-基礎から応用-] 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:岐阜大学   Country:Japan  

  460. 溶液ペーストによる無機材料を用いた太陽電池の作製

    張海、市村正也、牧原克典、宮崎誠一

    応用物理学会・東海支部第19回基礎セミナー [透明導電膜-基礎から応用-] 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:岐阜大学   Country:Japan  

  461. 導電性AFM探針による極薄Au/柱状Siナノ構造からの電子放出検出

    竹内大智、牧原克典、池田弥央、宮崎誠一、可貴裕和、林司

    応用物理学会・東海支部第19回基礎セミナー [透明導電膜-基礎から応用-] 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Poster presentation  

    Venue:岐阜大学   Country:Japan  

  462. Temporal Changes of Charge Distribution in High Density Self-Aligned Si-Based Quantum Dots as Evaluated by AFM/KFM International conference

    N. Tsunekawa, K. Makihara, M. Ikeda, S. Miyazaki

    International Union Materials Research Societies - International Conference on Electronic Materials 2012 (IUMRS-ICEM 2012) 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  463. Photoemission Study of GeO2/Ge Structure Formed by Thermal Oxidation International conference

    H. Murakami, Y.Ono,A.Ohta, S.Higashi, S.Miyazaki

    International Union Materials Research Societies - International Conference on Electronic Materials 2012 (IUMRS-ICEM 2012) 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  464. XPS Study of Energy Band Alignment between Hf-La Oxides and Si(100) International conference

    A. Ohta, H. Murakami, S. Higashi, S. Miyazaki

    International Union Materials Research Societies - International Conference on Electronic Materials 2012 (IUMRS-ICEM 2012) 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  465. 導電性AFM短針によるNiナノドット上に形成した高結晶性Ge:H薄膜も局所伝導評価

    高  金,牧原克典,高見弘貴,竹内大智,酒池耕平,林 将平,池田弥央,東清一郎,宮崎誠一

    第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:松山大学   Country:Japan  

  466. NiナノドットがGe:H薄膜堆積および電気伝導特性に及ぼす影響

    高  金,牧原克典,池田弥央,福嶋太紀,宮崎誠一

    第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:松山大学   Country:Japan  

  467. As+イオン注入した4H-SiC基板の化学結合状態評価

    村上秀樹,大田晃生,芦原龍平,雨宮嘉照,田部井哲夫,横山 新,吉川公麿,宮崎誠一,東清一郎

    第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:松山大学   Country:Japan  

  468. 溶液ペーストによるCuO/ZnOヘテロ構造太陽電池の作製

    張  海,市村正也,牧原克典,宮崎誠一

    第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:松山大学   Country:Japan  

  469. As+イオン注入したGe(100)の光電子分光分析

    小野貴寛,大田晃生,村上秀樹,東清一郎,宮崎誠一

    第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:松山大学   Country:Japan  

  470. ゲルマニウムドライ酸化における基板面方位依存性

    大田晃生,Siti Kudnie Sahari,池田弥央,村上秀樹,東清一郎,宮崎誠一

    第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:松山大学   Country:Japan  

  471. 電圧パルス幅が一次元連結Si系量子ドット発光ダイオードのEL特性に及ぼす影響

    高見弘貴,牧原克典,池田弥央,宮崎誠一

    第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:松山大学   Country:Japan  

  472. パルスバイアス印加による一次元連結Si系量子ドットの電界発光評価

    鈴木善久,牧原克典,高見弘貴,池田弥央,宮崎誠一

    第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:松山大学   Country:Japan  

  473. 導電性AFM探針によるSiナノ結晶/柱状Siナノ構造からの電子放出検出

    竹内大智,牧原克典,池田弥央,宮崎誠一,可貴裕和,林  司

    第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:松山大学   Country:Japan  

  474. AFM/KFMによる一次元連結・高密度Si系量子ドットの帯電電荷分布計測

    恒川直輝,牧原克典,池田弥央,宮崎誠一

    第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:松山大学   Country:Japan  

  475. TaOx/p-Ge(100)界面のエネルギーバンドアライメント評価とAl電極ショットキーダイオードの伝導制御

    橋本邦明,大田晃生,村上秀樹,東清一郎,宮崎誠一

    第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:松山大学   Country:Japan  

  476. Ti系電極を用いたSiリッチ酸化層の抵抗変化特性評価

    福嶋太紀,大田晃生,牧原克典,宮崎誠一

    第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:松山大学   Country:Japan  

  477. Charge Storage and Optoelectronic Response of Silicide-Nanodots/Si-Quantum-Dots Hybrid-Floating-Gate MOS Devices International conference

    Seiichi Miyazaki, Katsunori Makihara, Mitsuhisa Ikeda

    University of Vigo and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Spain  

  478. Characterization of Resistive Switching of Pt/Si-rich Oxide/TiN System International conference

    M. Fukusima, A. Ohta, K. Makihara, S. Miyazaki

    2012 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2012) 

     More details

    Event date: 2012.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  479. Control of Interfacial Reaction of HfO2/Ge Structure by Insertion of Ta Oxide Layer International conference

    K.Hashimoto, A.Ohta, H.Murakami,S.Higashi, S.Miyazaki

    2012 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2012) 

     More details

    Event date: 2012.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  480. Photoexcited Carrier Transfer in NiSi-Nanodots/Si-Quantum-Dots Hybrid Floating Gate in MOS Structures International conference

    M.Ikeda, K.Makihara, S.Miyazaki

    2012 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2012) 

     More details

    Event date: 2012.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  481. Characterization of Local Electronic Transport through Ultrathin Au/Highly-dense Si Nanocolumar structures by Conducting-Probe Atomic Force Microscopy International conference

    D.Takeuchi, A.Ohta, K.Makihara, M.Ikeda, S.Miyazaki, H.Kaki, T. Hayashi

    2012 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2012) 

     More details

    Event date: 2012.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  482. Evaluation of Chemical Composition and Bonding Features of Pt/SiOx/Pt MIM Diodes and Its Impact on Resistance Switching Behavior International conference

    A. Ohta, K. Makihara, M. Ikeda, H. Murakami,S. Higashi, S. Miyazaki

    2012 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2012) 

     More details

    Event date: 2012.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  483. Pt/SiOx/TiNダイオード構造の化学構造分析と電気抵抗スイッチング特性評価

    福嶋太紀、大田晃生、牧原克典、宮崎誠一

    電気情報通信学会 シリコン材料・デバイス(SDM)研究会 

     More details

    Event date: 2012.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

    SDM2012-43, 信学技報 Vol. 112, No. 92, pp. 1-6

  484. As+イオン注入したゲルマニウム層の化学分析

    小野貴寛、大田晃生、村上秀樹、東 清一郎、宮崎誠一

    電気情報通信学会 シリコン材料・デバイス(SDM)研究会 

     More details

    Event date: 2012.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

    SDM2012-55, 信学技報 vol.112, No. 92, pp. 63-67

  485. 極薄層挿入によるAl/Ge接合の伝導特性制御

    大田晃生、松井真史、村上秀樹、東 清一郎、宮崎誠一

    電気情報通信学会 シリコン材料・デバイス(SDM)研究会 

     More details

    Event date: 2012.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

    SDM2012-53, 信学技報 Vol. 112, No. 92, pp. 53-58

  486. TaOx層挿入によるHfO2/Ge界面反応制御

    村上秀樹、三嶋健斗、大田晃生、橋本邦明、東 清一郎、宮崎誠一

    電気情報通信学会 シリコン材料・デバイス(SDM)研究会 

     More details

    Event date: 2012.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

    SDM2012-7, 信学技報 Vol. 112, No. 92, pp. 33-36

  487. Si量子ドット/NiSiナノドットハイブリッド積層フローティングゲートMOS構造における光励起キャリア移動

    池田弥央、牧原克典、宮崎誠一

    電気情報通信学会 シリコン材料・デバイス(SDM)研究会 

     More details

    Event date: 2012.6

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学   Country:Japan  

    SDM2012-45, 信学技報 Vol. 112, No. 92, pp. 13-16

  488. Formation and Characterization of Hybrid Nanodots Floating Gate for Optoelectronic Application Invited International conference

    S. Miyazaki

    CNSE and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing/Nanotechnology for Ultralarge Scale Integration"  2012.6.8 

     More details

    Event date: 2012.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:United States  

  489. Highly-crystallized Ge:H Film Growth from GeH4 VHF-ICP -Crystalline Nucleation Initiated by Ni-nanodots- International conference

    K. Makihara, J. Gao, K. Sakaike, S. Hayashi, H. Deki, M. Ikeda, S. Higashi, S.Miyazaki

    the 6th International SiGe Technology and Device Meeting (ISTDM 2012) 

     More details

    Event date: 2012.6

    Language:English   Presentation type:Poster presentation  

    Country:United States  

  490. Study of Electron Transport Characteristics Through Self-Aligned Si-Based Quantum Dots International conference

    K.Makihara, C. Liu, M. Ikeda, S. Miyazaki

    the 6th International SiGe Technology and Device Meeting (ISTDM 2012) 

     More details

    Event date: 2012.6

    Language:English   Presentation type:Poster presentation  

    Country:United States  

  491. 一次元縦積連結みシリコン系量子ドットの形成と発光ダイオードへの応用

    牧原克典・宮崎誠一

    電子デバイス研究会(ED)電子部品・材料研究会(CPM)シリコン材料・デバイス研究会(SDM)  

     More details

    Event date: 2012.5

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  492. 柱状Siナノ構造における局所電気伝導と電子放出特性評価

    竹内大智,牧原克典,池田弥央,宮崎誠一,可貴裕和,林 司

    電子デバイス研究会(ED)電子部品・材料研究会(CPM)シリコン材料・デバイス研究会(SDM)  

     More details

    Event date: 2012.5

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  493. 酸化アルミニウムを用いた抵抗変化メモリのスイッチング電圧のばらつき抑制 1

    大塚慎太郎,古屋沙絵子,清水智弘,新宮原正三,牧原克典,宮崎誠一,渡辺忠孝,高野良紀,高瀬浩一

    第59回応用物理学会関係連合講演会  2012.3.15 

     More details

    Event date: 2012.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学   Country:Japan  

    15a-GP3-11

  494. 走査プローブ顕微鏡によるカーボンナノウォールの初期成長過程の解明

    近藤博基,安田幸司,牧原克典,宮崎誠一,平松美根男,関根 誠,堀  勝

    第59回応用物理学会関係連合  2012.3.16 

     More details

    Event date: 2012.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学   Country:Japan  

    16a-A3-11

  495. GeH4 VHF-ICP からの高結晶性Ge:H 薄膜堆積 -Ni ナノドットを用いた結晶核発生制御-

    高金,牧原克典,酒池耕平,林 将平,出木秀典,池田弥央,東清一郎,宮崎誠一

    第59回応用物理学会関係連合  2012.3.16 

     More details

    Event date: 2012.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学   Country:Japan  

    16a-B6-5

  496. Si量子ドット/NiSiナノドットハイブリッドフローティングゲートにおける光励起電子のパルス電圧応答

    池田弥央,牧原克典,宮崎誠一

    第59回応用物理学会関係連合  2012.3.18 

     More details

    Event date: 2012.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学   Country:Japan  

    18a-GP6-11

  497. 高濃度As+イオン注入ゲルマニウム層における化学結合状態評価

    小野貴寛,大田晃生,村上秀樹,東清一郎,宮崎誠一

    第59回応用物理学会関係連合  2012.3.18 

     More details

    Event date: 2012.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学   Country:Japan  

    18a-A6-9

  498. ゲルマニウムドライ酸化における温度依存性

    大田晃生,Siti Kudnie Sahari,池田弥央,村上秀樹,東清一郎,宮崎誠一

    第59回応用物理学会関係連合  2012.3.16 

     More details

    Event date: 2012.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学   Country:Japan  

    16a-A5-4

  499. AFM/KFMによる一次元連結・高密度Si系量子ドットの帯電状態の経時変化計測

    牧原克典,恒川直輝,池田弥央,宮崎誠一

    第59回応用物理学会関係連合  2012.3.18 

     More details

    Event date: 2012.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学   Country:Japan  

    18p-A1-8

  500. 一次元縦積み連結Si系量子ドットの室温共鳴トンネル伝導

    牧原克典,池田弥央,宮崎誠一

    第59回応用物理学会関係連合  2012.3.18 

     More details

    Event date: 2012.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学   Country:Japan  

    18p-A1-7

  501. 一次元連結Si系量子ドットのEL特性評価

    高見弘貴,牧原克典,出木秀典,池田弥央,宮崎誠一

    第59回応用物理学会関係連合  2012.3.18 

     More details

    Event date: 2012.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学   Country:Japan  

    18p-A1-5

  502. 極薄層挿入によるAl/p-Ge接合のショットキー障壁制御

    松井真史,大田晃生,村上秀樹,小野貴寛,橋本邦明,東清一郎,宮崎誠一

    第59回応用物理学会関係連合  2012.3.18 

     More details

    Event date: 2012.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学   Country:Japan  

    18p-A1-3

  503. 導電性AFM探針による極薄Au/柱状Siナノ構造の局所電気伝導評価

    竹内大智,牧原克典,池田弥央,宮崎誠一,可貴裕和,林  司

    第59回応用物理学会関係連合  2012.3.18 

     More details

    Event date: 2012.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学   Country:Japan  

    18a-A1-4

  504. 熱プラズマジェットを用いたミリ秒熱処理によるPtおよびPtシリサイドナノドットの形成とフローティングゲートメモリ応用 Invited

    牧原克典,山根雅人,池田弥央,東清一郎,宮崎誠一

    第59回応用物理学会関係連合  2012.3.18 

     More details

    Event date: 2012.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:早稲田大学   Country:Japan  

    講演奨励賞受賞記念講演, 18a-B3-1

  505. Ptナノドット電極を用いたSiOx膜の抵抗変化特性評価

    福嶋太紀,大田晃生,牧原克典,宮崎誠一

    第59回応用物理学会関係連合  2012.3.16 

     More details

    Event date: 2012.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学   Country:Japan  

    16p-F6-12

  506. Pt/SiOx/TiNダイオード構造の抵抗変化特性評価

    福嶋太紀,大田晃生,牧原克典,宮崎誠一

    第59回応用物理学会関係連合  2012.3.16 

     More details

    Event date: 2012.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学   Country:Japan  

    16p-F6-11

  507. Pt/SiOx/Pt構造における抵抗変化特性

    大田晃生,牧原克典,池田弥央,村上秀樹,東清一郎,宮崎誠一

    第59回応用物理学会関係連合講演会  2012.3.16 

     More details

    Event date: 2012.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:早稲田大学   Country:Japan  

    16p-F6-10

  508. X-ray Photoemission Study of SiO2/Si/SiGe Heterostructures on Si(100) International conference

    A. Ohta, K. Makihara, S. Miyazaki, M. Sakuraba, J. Murota

    The 5th International Conference on PLAsma-Nano Technology & Science (IC-PLANTS 2012) 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  509. Formation of High Density Ge Quantum Dots and Their Electrical Properties International conference

    M. Ikeda, K. Makihara, A. Ohta, S. Miyazaki

    The 5th International Conference on PLAsma-Nano Technology & Science (IC-PLANTS 2012) 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  510. Evaluation of Chemical Structure and Resistance Switching Behaviors of Pt/RF-Sputtered Si Oxide/Pt Diodes International conference

    A. Ohta, H. Murakami, S. Higahshi, S. Miyazaki

    The 5th International Conference on PLAsma-Nano Technology & Science (IC-PLANTS 2012) 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  511. Evaluation of Charge Trapping Properties of Microcrystalline Germanium Thin Films by Kelvin Force Microscopy International conference

    K. Makihara, H. Deki, M. Ikeda, S. Miyazaki

    The 5th International Conference on Plasma-Nano Technology & Science (IC-PLANTS 2012) 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  512. Formation of PtAl-Alloy Nanodots on Ultrathin SiO2 Induced by Remote Hydrogen Plasma International conference

    K. Makihara, M. Ikeda, A. Ohta, S. Miyazaki

    The 5th International Conference on Plasma-Nano Technology & Science (IC-PLANTS 2012) 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  513. Formation of One-Dimensionally Self-Aligned Si-based Quantum Dots on Untrathin SiO2 and Its Application to Light Emitting Diodes International conference

    K. Makihara, H. Deki, M. Ikeda, S. Miyazaki

    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012) 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  514. Thermal Oxidation of Ge Surface - Impact of Oxidation Temperature on Ge Oxide Structure

    2012.1.21 

     More details

    Event date: 2012.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  515. Evaluation of Chemical Structure and Resistance-Switching Properties of RF Sputtered Si-rich Oxide Thin Film

    2012.1.20 

     More details

    Event date: 2012.1

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  516. Control of Interfacial Reaction by Insertion of TaOx Layer to HfO2/Ge Interface

    2012.1.20 

     More details

    Event date: 2012.1

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  517. XPS Study of Changes in Chemical Structures at Metal/GeO2 Interfaces with Thermal Annealing

    2012.1.20 

     More details

    Event date: 2012.1

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  518. Kinetics of Thermally Oxidation of Ge(100) Surface International conference

    S. K. Sahari, A. Ohta, M. Matsui, K. Mishima, H. Murakami, S. Higashi, S. Miyazaki

    ICTF-15(15th International conference on Thin Films 2011) 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  519. Evaluation of Electronic Properties of Pillar-shaped Si Nanostructures by Conductive Atomic Force Microscop International conference

    J. Gao, K. Makihara, A. Ohta, M.Ikeda, S. Miyazaki, H. Kaki, T.Hayash

    ICTF-15(15th International Conference on Thin Films 2011) 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  520. Formation of PtAl Nanodots Induced by Remote Hydrogen Plasma International conference

    K.Makihara, M. Ikeda, A. Ohta, R. Ashihara, S. Higashi, S. Miyazaki

    ICTF-15(15th International Conference on Thin Films 2011) 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  521. Electrical Charging Characteristics of Hybrid Nanodots Floating Gates in MOS Device International conference

    S. Miyazaki, K. Makihara, A. Ohta, M. Ikeda

    ICTF-15(15th International Conference on Thin Films 2011) 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  522. Determination of Energy Band Alignment in Ultrathin Hf-based Oxide/Pt System International conference

    A. Ohta, H. Murakami, S. Higashi, S.Miyazaki

    ICTF-15(15th International Conference on Thin Films 2011) 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  523. Formation of Ultrathin Ta-Oxide Films by ALD and Layeer-by-Layer Methods and Evaluation of Interfacial Oxidation in the Film Formation on Ge International conference

    K. Mishima, H. Murakami, A. Ohta, S. K. Sahari, T. Fujioka, S. Higashi, S.Miyazaki

    ICTF-15(15th International Conference on Thin Films 2011) 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  524. 超低消費電力化デバイス開発に向けた材料・プロセス研究

    宮崎誠一

    SPring-8コンファレンス2011 

     More details

    Event date: 2011.11

    Language:Japanese   Presentation type:Poster presentation  

    Venue:東京ステーションコンファレンス   Country:Japan  

    pp.53

  525. Impact of Oxide Thinning on Resistance Switching Behavior of RF Sputtered SiOx Dielectric Sandwiching with Pt Electrodes International conference

    A. Ohta, Y. Goto, S. Nishigaki, H. Murakami, S. Higashi, S. Miyazaki

    24th International Microprocesses and Nanotechnology Conference (MNC 2011) 

     More details

    Event date: 2011.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  526. Formation of Metal-Semiconductor Hybrid Nanodots and Its Application to Functional Floating Gate International conference

    S. Miyazaki

    BIT's 1st Annual World Congress of Nano-S&T-2011 

     More details

    Event date: 2011.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:China  

  527. Formation and Characterization of Silicon-Quantum-Dots/Metal-Silicide-Nanodots Hybrid Stack and Its Application to Floating Gate Functional Devices International conference

    S.Miyazaki

    220th Electrochemical Society (ECS) Meeting 

     More details

    Event date: 2011.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  528. Electroluminescence from One-dimensionally Self-Aligned Si-based Quantum Dots with High Areal Dot Density International conference

    K. Makihara, H. Deki, M Ikeda, S.Miyazaki

    2011 International Conference on Solid State Devices and Materials (SSDM) 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  529. Evaluation of Thermally-Grown Ge Oxide on Ge(100) and Ge(111) Surfaces International conference

    9. S. K. Sahari, A. Ohta, M. Matsui, H. Murakami, S. Higashi, S. Miyazaki

    2011 International Conference on Solid State Devices and Materials (SSDM) 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  530. RFスパッタにより形成したSiOx薄膜の抵抗変化特性評価

    大田晃生,後藤優太,西垣慎吾,村上秀樹,東清一郎,宮崎誠一

    第72回応用物理学会学術講演会 

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  531. プラズマジェット急速熱処理による高密度Ptナノドット形成とフローティングゲートメモリ応用

    牧原克典,池田弥央,山根雅人,東清一郎,宮崎誠一

    第72回応用物理学会学術講演会 

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学    Country:Japan  

  532. Ge基板上への Layer-by-layer法によるTiOx形成と界面反応制御

    村上秀樹,藤岡知宏,大田晃生,三嶋健斗,S. K. Sahari,東清一郎,宮崎誠一

    第72回応用物理学会学術講演会 

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  533. ALD及びLayer-by-Layer法による極薄Ta酸化膜の形成とGe(100)基板における界面酸化評価

    三嶋健斗,村上秀樹,大田晃生,Sahari S. K.,藤岡知宏,東清一郎,宮崎誠一

    第72回応用物理学会学術講演会 

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  534. Evaluation of Chemical Bonding Features of Thermally-Grown Ge Oxide/Ge(100) System

     More details

    Event date: 2011.8 - 2011.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  535. 一次元連結・高密度Si系量子ドットにおけるEL発光

    高見弘貴,牧原克典,出木秀典,池田弥央,宮崎誠一

    第72回応用物理学会学術講演会 

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  536. 導電性AFMによる柱状Siナノ構造における電気伝導特性評価

    高  金,牧原克典,大田晃生,池田弥央,宮崎誠一,可貴裕和,林  司

    第72回応用物理学会学術講演会 

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  537. KFMによる微結晶ゲルマニウム薄膜の局所帯電評価

    牧原克典,出木秀典,池田弥央,宮崎誠一

    第72回応用物理学会学術講演会 

     More details

    Event date: 2011.8 - 2011.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:山形大学   Country:Japan  

  538. Local Electrical Properties of Microcrystalline Germanium Thin Films By Kelvin Force Microscopy International conference

    K. Makihara, H. Deki, M Ikeda, S, Miyazaki

    24rd International Conference on Amorphous and Nanocrystalline Semiconductor (ICANS 24) 

     More details

    Event date: 2011.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  539. Formation of Hybrid Nanodots Floating Gate For Functinal Memories International conference

    S.Miyazaki

    THERMEC2011(International Conference on Processing & Manufactturing of Advanced Materials) 

     More details

    Event date: 2011.8

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Canada  

  540. Hf系高誘電率絶縁膜のX線光電子分光分析

    大田 晃生、森 大樹、村上 秀樹、東 清一郎、宮崎 誠一

    応用物理学会 中・四国支部 2011年度 支部学術講演会 

     More details

    Event date: 2011.7

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:鳥取大学 鳥取キャンパス, 鳥取市, 鳥取県   Country:Japan  

  541. 化学気相成長法

    宮崎誠一

    日本学術振興会 薄膜第131委員会 第28回 薄膜スクール 

     More details

    Event date: 2011.7

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:松風園, 蒲郡   Country:Japan  

  542. Ge(100)表面の極薄TiOxキャッピングによるHfO2原子層堆積/熱処理時の界面反応制御

    「村上秀樹」「藤岡知宏」「大田晃生」「三嶋健斗」「東清一郎」「宮崎誠一」

    電気通信情報学会(SDM) [シリコン材料・デバイス] シリコンテクノロジー分科会 7月度合同研究会 

     More details

    Event date: 2011.7

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学ベンチャー・ビジネス・ラボラトリー   Country:Japan  

  543. RFスパッタ形成したSi酸化膜を用いたMIMキャパシタの抵抗変化特性

    「大田晃生」「後藤優太」「西垣慎吾」「Guobin Wei」「村上秀樹」「東清一郎」「宮崎誠一」

    電気通信情報学会(SDM) [シリコン材料・デバイス] シリコンテクノロジー分科会 7月度合同研究会 

     More details

    Event date: 2011.7

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学ベンチャー・ビジネス・ラボラトリー   Country:Japan  

  544. 金属/GeO2界面における化学結合状態の光電子分光分析

    「松井真史」「藤岡知宏」「大田晃生」「村上秀樹」「東清一郎」「宮崎誠一」

    電気通信情報学会(SDM) [シリコン材料・デバイス] シリコンテクノロジー分科会 7月度合同研究会 

     More details

    Event date: 2011.7

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:名古屋大学ベンチャー・ビジネス・ラボラトリー   Country:Japan  

  545. Si LSI - Outlooks for Electronic Materials and Devices -

    S.Miyazaki

    30th Electronic Materials Symposium 

     More details

    Event date: 2011.6 - 2011.7

    Language:English   Presentation type:Symposium, workshop panel (nominated)  

    Country:Japan  

  546. Characterization of Resistance-Switching of Si Oxide Dielectrics Prepared by RF Sputtering International conference

    A. Ohta, Y. Goto, S. Nishigaki, G. Wei, H. Murakami, S. Higashi, S. Miyazaki

    2011 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2011) 

     More details

    Event date: 2011.6 - 2011.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  547. Electrical Characterization of NiSi-NDs/Si-QDs Hybrid Stacked Floating Gate in MOS Capacitors International conference

    K. Makihara, M. Ikeda, A. Ohta, S. Miyazaki

    2011 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2011) 

     More details

    Event date: 2011.6 - 2011.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  548. Characterization of Chemical Bonding Features at Metal/GeO2 Interfaces by X-ray Photoelectron Spectroscopy International conference

    M. Matsui, H. Murakami, T. Fujioka, A. Ohta, S. Higashi, S. Miyazaki

    17th biannual Conference on Insulating Films on Semiconductors 2011 (INFOS2011) 

     More details

    Event date: 2011.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:France  

  549. Impact of Insertion of Ultrathin TaOx Layer at the Pt/TiO2 Interface on Resistive Switching Characteristics International conference

    G. Wei, H. Murakami, T. Fujioka, A. Ohta, Y. Goto, S. Higashi, S. Miyazaki

    17th biannual Conference on Insulating Films on Semiconductors 2011 (INFOS2011) 

     More details

    Event date: 2011.6

    Language:English   Presentation type:Poster presentation  

    Country:France  

  550. Control of Interfacial Reaction at HfO2/Ge Interface by an Insertion of TiOx Layer International conference

    H. Murakami, T. Fujioka, A. Ohta, K. Mishima, S. Higashi, S. Miyazaki

    6th International Symposium on Control of Semiconductor Interfaces 

     More details

    Event date: 2011.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  551. Characterization of Chemical and Electronic States of Ruthenium International conference

    K. Mishima, A. Ohta, H. Murakami, S. Higashi, S. Miyazaki

    6th International Symposium on Control of Semiconductor Interfaces 

     More details

    Event date: 2011.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  552. Evaluation of Thermal Oxidation of Ge(100) and Ge(111) Surfaces International conference

    S. K. Sahari, A. Ohta, M. Masafumi, H. Murakami, S. Higashi, S. Miyazaki

    6th International Symposium on Control of Semiconductor Interfaces 

     More details

    Event date: 2011.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  553. Effects of Inserting an Ultrathin TaOx Layer to the Pt/TiO2 Interface on Resistive Switching Characteristics International conference

    G. Wei, H. Murakami, T. Fujioka, A. Ohta, Y. Goto, S. Higashi, S. Miyazaki

    The 2011 International Meeting for Future of Electron Devices, Kansai (IMFEDK) 

     More details

    Event date: 2011.5

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  554. HfO2/Ge界面へのTiOx挿入による界面反応制御

    「藤岡知宏」「大田晃生」「三嶋健斗」「村上秀樹」「東清一郎」「宮崎誠一」

    第58回春季応用物理学会 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  555. 凹凸構造を持った抵抗変化メモリの電流―電圧特性

    「大塚慎太郎」「古屋紗絵子」「清水智弘」「新宮原正三」「牧原克典」「宮崎誠一」「渡辺忠孝」「高野良紀」「高瀬浩一」

    第58回春季応用物理学会 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  556. Ru添加したTiYxOyの抵抗変化特性評価

    「大田晃生」「後藤優太」「三嶋健斗」「Goubin Wei」「村上秀樹」「東清一郎」「宮崎 誠一」

    第58回春季応用物理学会 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  557. [Impact of Insertion of Ultrathin TaOx Layer at the Pt/Tio2 Interface on Resistive Switching Characteristics

    [G. Wei][H. Murakami][T. Fujioka][A. Ohta][Y. Goto][S. Higashi][S. Miyazaki]

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  558. Pt/SiOx/Pt キャパシタ構造の抵抗変化特性評価(Ⅱ)

    「後藤優太」「 大田晃生」「 西垣慎吾」「 村上秀樹」「 東清一郎」「 宮崎誠一」

    第58回春季応用物理学会 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  559. 高密度自己整合集積したSi系量子ドットのエレクトロルミネッセンス

    「牧原克典」「出木秀典」「森澤直也」「池田弥央」「宮崎誠一」

    第58回春季応用物理学会 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  560. 熱プラズマジェットミリ秒熱処理による高密度Ptナノドットの形成とフローティングゲートメモリ応用

    「牧原克典」「山根雅人」「森澤直也」「松本和也」「池田弥央」「東清一郎」「宮崎誠一」

    第58回春季応用物理学会 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  561. 自己整合一次元連結Si量子ドットの形成,熱プラズマいたミリ秒熱処理によるPtシリサイドナノドットの形成ジェットを用

    「山根雅人」「池田弥央」「森澤直也」「松原良平」「西田悠亮」「松本和也」「林将平」「牧原克典」「宮崎誠一」「東清一郎」

    第58回春季応用物理学会 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  562. リモート水素プラズマ処理によるPt/a-Ge:Hの合金化反応制御

    「牧原克典」「森澤直也」「藤岡知宏」「松本達弥」「林将平」「岡田竜弥」「池田弥央」「東清一郎」「宮崎誠一」

    第58回春季応用物理学会 

     More details

    Event date: 2011.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:神奈川工科大学   Country:Japan  

  563. Application of Remote Hydrogen Plasma to Selective Processing for Ge-based Devices -Crystallization, Etching and Metallization International conference

    S.Miyazaki

    The 4th International Conference on Plasma-Nano Technology & Science (IC-PLANTS 2011) 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  564. Electrical Charging Characteristics of Pt-Nanodots Floating Gate in MOS Capacitors International conference

    K. Makihara, N. Morisawa, M. Ikeda, K. Matsumoto, M. Yamane, S. Higashi, S. Miyazaki

    The 4th International Conference on Plasma-Nano Technology & Science (IC-PLANTS 2011) 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  565. Formation of High Density PtSi Nanodots on SiO2 Induced by Millisecond Rapid Thermal Annealing using Atmospheric Pressure DC Arc Discharge Micro-Thermal Plasma Jet International conference

    M. Yamane, M. Ikeda, R. Matsubara, Y. Nishida, K. Makihara, S. Higashi, S. Miyazaki

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2011) 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  566. Formation of Pt-germanide from Pt/a-Ge:H by Remote Hydrogen Plasma Exposure International conference

    K. Makihara, T. Matsumoto, T. Fujioka, M. Ikeda, S. Miyazaki

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2011) 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  567. Characterization of Chemical Bonding Features at Metal/GeO2 Interface using X-ray Photoelectron Spectroscopy

     More details

    Event date: 2011.1

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  568. Characterization of Chemical and Electronic States of Ruthenium

     More details

    Event date: 2011.1

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  569. XPS Study of Interfacial Reaction between Metal and Ge Oxide International conference

    A. Ohta, T. Fujioka, H. Murakami, S. Higashi, S. Miyazaki

    2011 International Workshop on Dielectric Thin Films for Future ULSI Devices (IWDTF 2011) 

     More details

    Event date: 2011.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  570. Formation of Ultra Thin Titanium Oxide on Germanium by Atomic Layer Deposition using TEMAT and O3 International conference

    T. Fujioka, A. Ohta, H. Murakami, S. Higashi, S. Miyazaki

    2011 International Workshop on Dielectric Thin Films for Future ULSI Devices (IWDTF 2011) 

     More details

    Event date: 2011.1

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  571. Characterization of Resistance-Switching of SiOx Dielectrics International conference

    Y. Goto, A. Ohta, H. Murakami, S. Higashi, and S. Miyazaki

    2011 International Workshop on Dielectric Thin Films for Future ULSI Devices (IWDTF 2011) 

     More details

    Event date: 2011.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  572. Impact of Y2O3 Addition of Chemical Bonding Features and Resistance Switching of TiO2 International conference

    A. Ohta, Y. Goto, G. Wei, H. Murakami, S. Higashi, and S. Miyazaki

    2011 International Workshop on Dielectric Thin Films for Future ULSI Devices (IWDTF 2011) 

     More details

    Event date: 2011.1

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  573. アカデミックロードマップ-シリコン技術

    宮崎誠一

    セミコン・ジャパン2010 

     More details

    Event date: 2010.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:幕張メッセ, 横浜   Country:Japan  

  574. Characteristics of Thin Film Transistors Fabricated by Solid Phase Crystallization and High Speed Lateral Crystallization Induced by Micro-Thermal-Plasma-Jet Irradiation International conference

    S. Hayashi, S. Higashi, H. Murakami, S. Miyazaki

    The 17th International Display Workshop (IDW) 

     More details

    Event date: 2010.12

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  575. Efficient Activation of As Atoms in Ultra Shallow Junction by Thermal Plasma Jet Induced Microsecond Annealing International conference

    2010 International Symposium on Dry Process 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  576. Formation of High Density Pt Nanodots on SiO 16:35–16:55 2 Induced by Millisecond Rapid Thermal Annealing using Thermal Plasma Jet International conference

    2010 International Symposium on Dry Process 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  577. Fabrication and Characterization of Hybrid Nanodots for Floating Gate Application International conference

    International Conference on Solid-State and Integrated Circuit Technology (ICSICT) 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:China  

  578. The Impact of Y2O3 Addition into TiO2 on Electronic States and Resistive Switching Characteristics International conference

    A. Ohta, Y. Goto, G. Wei, K. Makihara, H. Murakami, S. Higashi, S. Miyazaki

    23rd International Microprocesses and Nanotechnology Conference (MNC) 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  579. Geometry Dependencies of Switching Characteristics of Anodic Porous Alumina for ReRAM International conference

    S. Otsuka, R. Takeda, T. Shimizu, S. Shingubara, K. Makihara, S. Miyazaki, T. Watanabe, Y. Takano, K. Takase

    23rd International Microprocesses and Nanotechnology Conference (MNC) 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  580. シリコン系量子ドット・メタルナノドットの形成制御と機能発現

    平成21年度 東北大プロジェクト研究会 

     More details

    Event date: 2010.10

    Language:Japanese  

    Country:Japan  

  581. Self-Align Formation of Si Quantum Dots International conference

    218th ECS Meeting(Las Vegas) 

     More details

    Event date: 2010.10

    Language:English  

  582. Characterization of Interfaces between Chemically-Cleaned or Thermally-Oxidized Germanium and Metals International conference

    218th ECS Meeting(Las Vegas) 

     More details

    Event date: 2010.10

    Language:English  

  583. Formation of Pseudo-Expitaxial Ge Films on Si(100) by Droplet of Ge Microliquid International conference

    218th ECS Meeting(Las Vegas) 

     More details

    Event date: 2010.10

    Language:English  

  584. Formation of Pt-germanide from Pt/a-Ge:H by Remote Hydrogen Plasma Treatment at Atmosphere Temperature International conference

    K. Makihara, Y. Miyazaki, T. Fujioka, T. Matsumoto, M. Ikeda, S. Miyazaki

    7th International Conference on Reactive Plasmas / 28th Symposium on Plasma Processing / 63rd Gaseous Electronics Conference (ICRP-7 / SPP-28 / GEC-63) 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:France  

  585. Contribution of Carbon to Growth of Boron-Containing Cluster in Heavily B-doped Silicon International conference

    2010 International Conference on Solid State Devices and Materials(Tokyo) 

     More details

    Event date: 2010.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  586. Multistep Electron Injection in a PtSi-Nanodots/Silicon-Quantum-Dots HybridFloating Gate in nMOSFETs International conference

    2010 International Conference on Solid State Devices and Materials(Tokyo) 

     More details

    Event date: 2010.9

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  587. Collective Tunneling Model in Charge Trap Type NVM Cell International conference

    2010 International Conference on Solid State Devices and Materials(Tokyo) 

     More details

    Event date: 2010.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  588. Efficient Activation of As in Ultrashallow Junction Induced by Thermal Plasma JetMicrosecond Annealing International conference

    2010 International Conference on Solid State Devices and Materials(Tokyo) 

     More details

    Event date: 2010.9

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  589. Study on Native Oxidation of Ge (111) and (100) Surfaces International conference

    2010 International Conference on Solid State Devices and Materials(Tokyo) 

     More details

    Event date: 2010.9

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  590. Ge-MIS構造における界面化学結合状態の光電子分光分析

    大田晃生、藤岡知宏、後藤優太、村上秀樹、東清一郎、宮崎誠一

    第71回秋季応用物理学会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

  591. ナノ構造制御の課題

    宮崎誠一

    第71回秋季応用物理学会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:長崎大学   Country:Japan  

  592. マイクロ秒急速熱処理によるSi ウェハ表面の高効率不純物活性化

    松本和也, 東清一郎, 宮崎誠一

    第71回秋季応用物理学会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

  593. マイクロ熱プラズマジェット結晶化によるSi 結晶粒位置制御

    林将平, 東清一郎, 村上秀樹, 宮崎誠一

    第71回秋季応用物理学会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

  594. 微小融液滴下による疑似エピタキシャルGe / Siの形成

    松本竜弥、東清一郎、牧原克典、赤澤宗樹、宮崎誠一

    第71回秋季応用物理学会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

  595. PtSiナノドット/Si量子ドット積層ハイブリッドフローティングゲートにおける多段階電子注入特性

    池田弥央、中西翔、森澤直也、川浪彰、牧原克典、宮崎誠一

    第71回秋季応用物理学会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

  596. Pt/SiO2/Pt キャパシタ構造の抵抗変化特性評価

    後藤優太, 大田晃生, 村上秀樹, 東清一郎, 宮崎誠一

    第71回秋季応用物理学会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

  597. 自己整合一次元連結Si 量子ドットの形成

    牧原克典, 池田弥央, 大田晃生, 宮崎誠一

    第71回秋季応用物理学会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

  598. マイクロ熱プラズマジェット結晶化Si 膜を用いたTFT の電気特性評価

    林将平, 東清一郎, 村上秀樹, 宮崎誠一

    第71回秋季応用物理学会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

  599. 有機金属原料を用いたALDによるGe上へのTi酸化膜の形成

    藤岡知宏, 大田晃生, 村上秀樹, 東清一郎, 宮崎誠一,

    第71回秋季応用物理学会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

  600. ルテニウム酸化物の化学結合および電子状態評価

    三嶋健斗、大田晃生、後藤優太、藤岡知宏、村上秀樹、東 清一郎、宮崎誠一

    第71回秋季応用物理学会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:長崎大学   Country:Japan  

  601. High-k/メタルゲート技術―界面の物理と制御

    宮崎誠一

    TEL Advanced Technology Forum 2010 

     More details

    Event date: 2010.8

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:東京エレクトロン株式会社 山梨事業所   Country:Japan  

  602. Characterization of La- and Mg-Diffused HfO2/SiO2 Stack Structures of for Next Generation Gate Dielectrics International conference

    S.Miyazaki

    7th Pacific Rim International Conference on Advanced Materials and Processing(PRICM7) 

     More details

    Event date: 2010.8

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Australia  

  603. Collective Tunneling Model between Two-Dimensional Electron Gas to Si-Nano-Dot

    30th International Conference on the Physics of Semiconductors (ICPS2010) 

     More details

    Event date: 2010.7

    Language:English  

  604. Improvement of Low Temperature Deposited Gate SiO2 Films' Reliability by Atmospheric Pressure Discharge Thermal-Plasma-Jet-Induced Millisecond Annealing

    2010 The Sixteenth International Workshop on Active-Matrix Flatpanel Displays and Devices (AM-FPD'10) 

     More details

    Event date: 2010.7

    Language:English  

    Country:Japan  

  605. High Speed Lateral Crystallization of Amorphous Silicon Films Using Micro-Thermal-Plasma-Jet and Its Application to Thin Film Transistors

    2010 The Sixteenth International Workshop on Active-Matrix Flatpanel Displays and Devices (AM-FPD'10) 

     More details

    Event date: 2010.7

    Language:English  

    Country:Japan  

  606. 化学気相成長法

    薄膜スクール 

     More details

    Event date: 2010.7

    Language:Japanese  

    Country:Japan  

  607. 振動伝搬を利用した微小シリコン融液滴下技術の開発

    赤澤宗樹、東清一郎、松本竜弥、宮崎誠一

    応用物理学会中国四国支部 日本物理学会中国支部・四国支部 日本物理教育学会中国四国支部 2010年度支部学会講演会 

     More details

    Event date: 2010.7

    Language:Japanese  

    Country:Japan  

  608. 金属/GeO2および金属/Ge(100)ショットキ界面の光電子分光分析

    松井真史、藤岡知宏、板東竜也、大田晃生、村上秀樹、東清一郎、宮崎誠一

    応用物理学会中国四国支部 日本物理学会中国支部・四国支部 日本物理教育学会中国四国支部 2010年度支部学会講演会 

     More details

    Event date: 2010.7

    Language:Japanese  

    Country:Japan  

  609. Characterization of Mg Diffusion into HfO2/SiO2/Si(100) Stacked Structures and Its Impact on Defect State Densities

    2010 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2010) 

     More details

    Event date: 2010.6

    Language:English  

    Country:Japan  

  610. The Impact of H2 Anneal on Resistive Switching in Pt/TiO2/Pt Structure

    2010 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2010) 

     More details

    Event date: 2010.6

    Language:English  

    Country:Japan  

  611. Collective Electron Tunneling Model in Si-Nano Dot Floating Gate MOS Structure

    International Symposium on Technology Evoluation for Silicon Nano-Electronics (ISTESNE) 

     More details

    Event date: 2010.6

    Language:English  

    Country:Japan  

  612. Formation of PtAl Nanodots Induced by Remote Hydrogen Plasma

    International Symposium on Technology Evoluation for Silicon Nano-Electronics (ISTESNE) 

     More details

    Event date: 2010.6

    Language:English  

    Country:Japan  

  613. Formation and Characterization of Hybrid Nanodots Stack Structure and Its Application to Floating Gate Memories

    International Symposium on Technology Evoluation for Silicon Nano-Electronics (ISTESNE) 

     More details

    Event date: 2010.6

    Language:English  

    Country:Japan  

  614. Multistep Electron Injection in PtSi-Nanodots/Silicon-Quantum-Dots Hybrid Floating Gate in MOS Structures

    International Symposium on Technology Evoluation for Silicon Nano-Electronics (ISTESNE) 

     More details

    Event date: 2010.6

    Language:English  

  615. Optical Response of Si-Quantum-Dots/NiSi-Nanodots Stack Hybrid Floating Gate in MOS Structures

    International Symposium on Technology Evoluation for Silicon Nano-Electronics (ISTESNE) 

     More details

    Event date: 2010.6

    Language:English  

  616. TiO2へのY添加が電子状態および抵抗変化特性に与える影響

    大田晃生、後藤優太、モハマド ファイルズ カマルザン、尉国浜、村上秀樹、東清一郎、宮崎誠一

    シリコン材料・デバイス(SDM)研究会 

     More details

    Event date: 2010.6

    Language:Japanese  

    Country:Japan  

  617. Ge MIS およびGe/Metal 接合の化学結合状態および電気的特性評価

    藤岡知宏、板東竜也、大田晃生、村上秀樹、東清一郎、宮崎誠一

    シリコン材料・デバイス(SDM)研究会 

     More details

    Event date: 2010.6

    Language:Japanese  

    Country:Japan  

  618. Determination of Valence Band Alignment in SiO2/Si/Si0.55Ge0.45/Si(100) Heterostructures

    5th International SiGe Technology and Device Meeting (ISTDM2010) 

     More details

    Event date: 2010.5

    Language:English  

  619. High Density Formation of Ge Quantum Dots on SiO2

    5th International SiGe Technology and Device Meeting (ISTDM2010) 

     More details

    Event date: 2010.5

    Language:English  

  620. Chemical Bonding Features at TiO2/Pt Interface and Their Impact on Resistance-Switching Properties

    International Meeting for Future of Electron Devices, Kansai, (IMFEDK) 

     More details

    Event date: 2010.5

    Language:English  

    Country:Japan  

  621. Optical Response of Si-Quantum- Dots/NiSi-Nanodots Hybrid Stacked Floating Gate

    International Meeting for Future of Electron Devices, Kansai, (IMFEDK) 

     More details

    Event date: 2010.5

    Language:English  

    Country:Japan  

  622. Growth of Large Crystalline Grains by High Speed Scanning of Melting Zone Formed by Micro-Thermal-Plasma-Jet Irradiation to Amorphous Silicon Films

    2010 MRS Spring Meeting 

     More details

    Event date: 2010.4

    Language:English  

  623. TiYxOy膜の化学結合状態分析および抵抗変化特性評価

    大田晃生、モハマド ファイルズ カマルザン、後藤優太、村上秀樹、東清一郎、宮崎誠一

    第57回春季応用物理学会 

     More details

    Event date: 2010.3

    Language:Japanese  

    Country:Japan  

  624. リモート水素プラズマ処理における石英基板表面温度の非接触測定

    筒井啓喜、岡田竜弥、東清一郎、広重康夫、松本和也、宮崎誠一、野口隆

    第57回春季応用物理学会 

     More details

    Event date: 2010.3

    Language:Japanese  

    Country:Japan  

  625. リモート水素プラズマ支援によるPtAlナノドットの形成

    芦原龍平, 牧原克典、川浪彰、池田弥央、大田晃生、宮崎誠一

    第57回春季応用物理学会 

     More details

    Event date: 2010.3

    Language:Japanese  

    Country:Japan  

  626. MgO/HfO2/SiO2スタック構造の光電子分光分析

    貫目大介、大田晃生、村上秀樹、東清一郎、宮崎誠一

    第57回春季応用物理学会 

     More details

    Event date: 2010.3

    Language:Japanese  

    Country:Japan  

  627. 大気圧DCアーク放電マイクロ熱プラズマジェットを用いた溶融領域の高速走査による高結晶性Si膜の形成とTFT応用

    林将平、東清一郎、広重康夫、村上秀樹、宮崎誠一

    第57回春季応用物理学会 

     More details

    Event date: 2010.3

    Language:Japanese  

    Country:Japan  

  628. Si熱酸化膜上へのGe量子ドットの高密度形成

    牧原克典、池田弥央、大田晃生、川浪彰、宮崎誠一

    第57回春季応用物理学会 

     More details

    Event date: 2010.3

    Language:Japanese  

    Country:Japan  

  629. Coナノドットの帯電および帯磁評価

    川浪 彰、牧原克典、池田弥央、芦原龍平、宮崎誠一

    第57回春季応用物理学会 

     More details

    Event date: 2010.3

    Language:Japanese  

    Country:Japan  

  630. 光電子分光法によるGe MISおよびショットキ接合界面の化学結合状態分析

    藤岡知宏、板東竜也、大田晃生、村上秀樹、東清一郎、宮崎誠一

    第57回春季応用物理学会 

     More details

    Event date: 2010.3

    Language:Japanese  

    Country:Japan  

  631. 高密度熱プラズマジェット照射急速熱処理による極浅接合中の高効率不純物活性化

    松本和也、東清一郎、村上秀樹、宮崎誠一

    第57回春季応用物理学会 

     More details

    Event date: 2010.3

    Language:Japanese  

    Country:Japan  

  632. 大気圧熱プラズマジェット照射ミリ秒熱処理によるゲート絶縁膜の信頼性向上

    広重康夫、東清一郎、林将平、西田悠亮、宮崎誠一

    第57回春季応用物理学会 

     More details

    Event date: 2010.3

    Language:Japanese  

    Country:Japan  

  633. 大気圧マイクロ熱プラズマジェットを用いたSi膜の高速横方向結晶成長とTFTの電気特性評価

    林将平、東清一郎、広重康夫、村上秀樹、宮崎誠一

    第57回春季応用物理学会 

     More details

    Event date: 2010.3

    Language:Japanese  

    Country:Japan  

  634. SiO2/Si/SiGe0.5/Siヘテロ構造の価電子帯オフセット評価

    大田晃生、牧原克典、宮崎誠一、櫻庭政夫、室田淳一

    第57回春季応用物理学会 

     More details

    Event date: 2010.3

    Language:Japanese  

    Country:Japan  

  635. Temporal Change in the Native Oxidation of Chemically-cleaned Ge(100) Surfaces

     More details

    Event date: 2010.3

    Language:English  

    Country:Japan  

  636. Si量子ドット/NiSiナノドットハイブリッドフローティングゲートの光応答特性

    森澤直也、池田弥央、中西翔、川浪彰、牧原克典、東清一郎、宮崎誠一

    第57回春季応用物理学会 

     More details

    Event date: 2010.3

    Language:Japanese  

    Country:Japan  

  637. The Effect of Anneal Ambient on Resistive Switching Properties with Pt/TiO2/Pt Structure

     More details

    Event date: 2010.3

    Language:English  

    Country:Japan  

  638. Pt/a-Ge:Hのリモート水素プラズマ処理によるPtGe薄膜形成

    宮崎裕介、牧原克典、池田弥央、東清一郎、宮崎誠一

    第57回春季応用物理学会 

     More details

    Event date: 2010.3

    Language:Japanese  

  639. The Effect of Anneal Ambient on Resistive Switching Properties with Pt/TiO2/Pt Structure

     More details

    Event date: 2010.3

    Language:English  

    Country:Japan  

  640. Charging and magnetizing Characteristics of Co Nanodots

    The 3rd International Conference on Plasma-Nano Technology & Science (IC-PLANTS 2010) 

     More details

    Event date: 2010.3

    Language:English  

    Country:Japan  

  641. Selective Crystallization and Etching of a-Ge:H Thin Films by Exposing to Remote H2 Plasma

    2nd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2010) 

     More details

    Event date: 2010.3

    Language:English  

    Country:Japan  

  642. 2次元電子ガス―量子ドット界面における電子トンネル過程に対する微視的考察

    村口正和、高田幸宏、櫻井蓉子、野村晋太郎、白石賢二、牧原克典、池田弥央、宮崎誠一、重田育照、遠藤哲郎

    日本物理学会第65回年次大会 

     More details

    Event date: 2010.3

    Language:Japanese  

    Country:Japan  

  643. マイクロ熱プラズマジェット照射超高速ゾーンメルティングによるa-Si膜の結晶化

    林将平、東清一郎、村上秀樹、宮崎誠一

    第27回プラズマプロセシング研究会 

     More details

    Event date: 2010.2

    Language:Japanese  

    Country:Japan  

  644. Evaluation of Valence Band Offsets for SiO2/Si/SiGe0.5/Si Heterostructures Using by X-ray Photoelectron Spectroscopy

    5th International Workshop in New Group IV Semiconductor Nanoelectronics (SiGe(C)2010) 

     More details

    Event date: 2010.1

    Language:English  

    Country:Japan  

  645. Formation of Hybrid Nanodots Floating Gate for Functional Memories –Charge Strage Characteristics and Optical Response–

    5th International Workshop in New Group IV Semiconductor Nanoelectronics (SiGe(C)2010) 

     More details

    Event date: 2010.1

    Language:English  

    Country:Japan  

  646. HfO2/SiO2スタック構造中に熱拡散したMg原子の化学結合状態とMgが欠陥準位密度に及ぼす影響

    貫目大介、大田晃生、村上秀樹、東清一郎、宮崎誠一

    ゲートスタック研究会-材料・プロセス・評価の物理-(第15回研究会) 

     More details

    Event date: 2010.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  647. TiO2/Pt界面における化学結合状態と抵抗変化特性評価

    後藤優太、大田晃生、村上秀樹、東清一郎、宮崎誠一

    ゲートスタック研究会-材料・プロセス・評価の物理-(第15回研究会) 

     More details

    Event date: 2010.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  648. 熱プラズマジェット照射ミリ秒熱処理及びポストメタライゼーションアニールを用いた高品質SiO2膜及びSiO2/Si界面の形成

    広重康夫、東清一郎、宮崎祐介、松本和也、宮崎誠一

    シリコン材料・デバイス(SDM)研究会 

     More details

    Event date: 2009.12

    Language:Japanese  

    Country:Japan  

  649. Charge Storage and Optical Response of Hybrid Nanodots Floating Gate For Functional Memories

    2009 MRS Fall Meeting 

     More details

    Event date: 2009.11

    Language:English  

  650. Impact of Surface Pre-Treatment on Metal Migration Induced by Remote H2-Plasma Treatment International conference

    2009 International Microprocesses and Nanotechnology Conference (MNC2009) 

     More details

    Event date: 2009.11

    Language:English  

    Country:Japan  

  651. Charge Injection Characteristics of NiSi-Nanodots/Silicon-Quantum-Dots Hybrid Floating Gate in MOS Structures

    2009 International Microprocesses and Nanotechnology Conference (MNC2009) 

     More details

    Event date: 2009.11

    Language:English  

    Country:Japan  

  652. Pseude-Epitaxial Growth of Silicon Microliquid Dropped on Hydrogen Terminated Silicon Wafer Surface

    19th International Photovoltaic Science and Engineering Conference and Exhibition (PVSEC-19) 

     More details

    Event date: 2009.11

    Language:English  

  653. Siマイクロ融液プロセスによる水素終端Si表面での結晶成長制御

    松本竜弥、東清一郎、宮崎誠一

    薄膜材料デバイス研究会第6回研究集会 

     More details

    Event date: 2009.11

    Language:Japanese  

    Country:Japan  

  654. 熱プラズマジェット照射ミリ秒熱処理及びポストメタライゼーションアニールを用いた高品質SiO2膜及びSiO2/Si界面の形成

    広重康夫、東清一郎、岡田竜弥、松本和也、宮崎誠一

    薄膜材料デバイス研究会第6回研究集会 

     More details

    Event date: 2009.11

    Language:Japanese  

    Country:Japan  

  655. 大気圧DCアーク放電マイクロ熱プラズマジェット照射によるa-Si膜の横方向結晶成長

    林将平、東清一郎、村上秀樹、宮崎誠一

    薄膜材料デバイス研究会第6回研究集会 

     More details

    Event date: 2009.11

    Language:Japanese  

    Country:Japan  

  656. 熱プラズマジェット照射ミリ秒急速熱処理中の加熱・冷却速度精密制御と不純物活性化への影響

    松本和也、東清一郎、村上秀樹、宮崎誠一

    薄膜材料デバイス研究会第6回研究集会 

     More details

    Event date: 2009.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:京都   Country:Japan  

  657. プラズマによる薄膜形成技術

    20回プラズマエレクトロニクス講習会「プラズマプロセスの基礎と応用」-低圧・大気圧実用プロセシングから先端薄膜・バイオ応用― 

     More details

    Event date: 2009.10

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  658. Evaluation of Effective Work Function of Pt on Bi-layer High-k/SiO2 Stack Structure using by Backside X-ray Photoelectron Spectroscopy

    2009 International Conference on Solid State Devices and Materials (SSDM) 

     More details

    Event date: 2009.10

    Language:English  

    Country:Japan  

  659. New Tunneling Model with Dependency of Temperature Measured in Si Nano-Dot Floating Gate MOS Capacitor

    2009 International Conference on Solid State Devices and Materials (SSDM) 

     More details

    Event date: 2009.10

    Language:English  

    Country:Japan  

  660. Light Induced Carrier Transfer in NiSi-Nanodots/Si-Quantum-Dots Hybrid FG in MOS Structure

    2009 International Conference on Solid State Devices and Materials (SSDM) 

     More details

    Event date: 2009.10

    Language:English  

    Country:Japan  

  661. Hole Tunnel Currents in TiN/HfSiOxN/SiO2/p-Si(100) MOS Capacitors

    2009 International Conference on Solid State Devices and Materials (SSDM) 

     More details

    Event date: 2009.10

    Language:English  

    Country:Japan  

  662. Activation of B and As in Ultra Shallow Junction with Heating and Cooling Rates Controlled Millisecond Annealing Induced by Thermal Plasma Jet International conference

    2009 International Conference on Solid State Devices and Materials (SSDM) 

     More details

    Event date: 2009.10

    Language:English  

    Country:Japan  

  663. Formation of High Quality SiO2 and SiO2/Si Interface using Thermal Plasma Jet Induced Millisecond Annealing and Post-Metallization Annealing International conference

    International Symposium on Dry Process (DPS2009) 

     More details

    Event date: 2009.9

    Language:English  

  664. Formation of Cobalt and Cobalt-silicide Nanodots on Ultrathin SiO2 Induced by Remote Hydrogen Plasma International conference

    International Symposium on Dry Process (DPS2009) 

     More details

    Event date: 2009.9

    Language:English  

  665. Formation of Si Quantum Dots/Silicide Nanodots Stack Structure and Its Memory Application International conference

    1st International Workshop on Si based nano-electronics and photonics (SiNEP-09) 

     More details

    Event date: 2009.9

    Language:English   Presentation type:Oral presentation (invited, special)  

  666. 熱プラズマジェット照射ミリ秒熱処理による低温形成SiO2膜の高品質化

    広重康夫、東清一郎、岡田竜弥、松本和也、宮崎誠一

    第70回秋季応用物理学会 

     More details

    Event date: 2009.9

    Language:Japanese  

    Country:Japan  

  667. 熱プラズマジェット照射ミリ秒熱処理及びポストメタライゼーションアニールを用いた高品質SiO2膜及びSiO2/Si界面の形成

    広重康夫、東清一郎、岡田竜弥、松本和也、宮崎誠一

    第70回秋季応用物理学会 

     More details

    Event date: 2009.9

    Language:Japanese  

    Country:Japan  

  668. 表面前処理がリモート水素プラズマ支援金属マイグレーションに及ぼす影響

    川浪 彰、牧原克典、池田弥央、東清一郎、宮崎誠一

    第70回秋季応用物理学会 

     More details

    Event date: 2009.9

    Language:Japanese  

    Country:Japan  

  669. リモート水素プラズマ支援によるCoおよびCoシリサイドナノドット形成

    川浪 彰、牧原克典、池田弥央、東清一郎、宮崎誠一

    第70回秋季応用物理学会 

     More details

    Event date: 2009.9

    Language:Japanese  

    Country:Japan  

  670. Si量子ドット/NiSiナノドットハイブリッド積層FG-MOS構造における光誘起電荷移動

    森澤直也、池田弥央、中西翔、川浪彰、牧原克典、東清一郎、宮崎誠一

    第70回秋季応用物理学会 

     More details

    Event date: 2009.9

    Language:Japanese  

    Country:Japan  

  671. NiSiナノドット/Si量子ドット積層ハイブリッドフローティングゲートにおける電荷注入・放出特性

    中西 翔、池田弥央、森澤直也、牧原克典、川浪彰、東清一郎、宮崎誠一

    第70回秋季応用物理学会 

     More details

    Event date: 2009.9

    Language:Japanese  

    Country:Japan  

  672. リモート水素プラズマ支援による表面Pt被覆したa-Ge薄膜の局所結晶化

    宮崎佑介、牧原克典、川浪彰、岡田竜也、池田弥央、東清一郎、宮崎誠一

    第70回秋季応用物理学会 

     More details

    Event date: 2009.9

    Language:Japanese  

    Country:Japan  

  673. X線光電子分光法によるTiO2/Pt界面の化学結合状態分析

    後藤優太、貫目大介、大田晃生、尉国浜、村上秀樹、東清一郎、宮崎誠一

    第70回秋季応用物理学会 

     More details

    Event date: 2009.9

    Language:Japanese  

    Country:Japan  

  674. 大気圧DCアーク放電マイクロ熱プラズマジェット照射によるa-Si膜の結晶化

    林将平、東清一郎、広重康夫、松本和也、宮崎誠一

    第70回秋季応用物理学会 

     More details

    Event date: 2009.9

    Language:Japanese  

    Country:Japan  

  675. 熱プラズマジェット照射ミリ秒急速熱処理中の加熱・冷却速度制御と不純物活性化

    松本和也、東清一郎、村上秀樹、宮崎誠一

    第70回秋季応用物理学会 

     More details

    Event date: 2009.9

    Language:Japanese  

    Country:Japan  

  676. 「シリコンテクノロジーの挑戦―材料・プロセス・デバイスの新展開」について

    2009年秋季 第70回応用物理学学術講演会 

     More details

    Event date: 2009.9

    Language:Japanese  

    Country:Japan  

  677. X線光電子分光法によるPt/Bi-layer High-k/SiO2スタック構造の内部ポテンシャル評価

    森大樹、大田晃生、村上秀樹、東清一郎、宮崎誠一

    第70回秋季応用物理学会 

     More details

    Event date: 2009.9

    Language:Japanese  

    Country:Japan  

  678. HfO2層へ熱拡散したMg原子の化学結合状態分析

    貫目大介、大田晃生、村上秀樹、東清一郎、宮崎誠一

    第70回秋季応用物理学会 

     More details

    Event date: 2009.9

    Language:Japanese  

    Country:Japan  

  679. メタル/高誘電率絶縁膜ゲートスタックにおける内部電位評価-メタルゲート仕事関数変化の起源

    2009年秋季 第70回応用物理学学術講演会 

     More details

    Event date: 2009.9

    Language:Japanese  

    Country:Japan  

  680. 熱プラズマジェット照射ミリ秒熱処理時の加熱・冷却速度が不純物活性化に及ぼす影響

    松本和也、東清一郎、村上秀樹、宮崎誠一

    第3回プラズマエレクトロニクスインキュベーションホール 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  681. 大気圧DCアーク放電マイクロ熱プラズマジェット照射によるa-Si膜の溶融結晶化

    林将平、東清一郎、村上秀樹、宮崎誠一

    第3回プラズマエレクトロニクスインキュベーションホール 

     More details

    Event date: 2009.9

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  682. Formation of High Density Metal Silicide Nanodots on Ultrathin SiO2 for Floating Gate Memory Application International conference

    International Conference on Processing and Manufacturing of Advanced Materials, Pricessing, Fabrication, Proreties, Applications (THERMEC'2009) 

     More details

    Event date: 2009.8

    Language:English   Presentation type:Oral presentation (invited, special)  

  683. Control of Growth Orientation during Rapid Solidification of Si Microliquid International conference

    23th International Conference on Amorphous and Nanocrystalline Simiconductores (ICANS23) 

     More details

    Event date: 2009.8

    Language:English  

  684. Effect of Chemical Composition of SiOx Films on Rapid Formation of Si Nanocrystals Induced by Thermal Plasma Jet Irradiation International conference

    23rd International Conference on Amorphous and Nanocrystalline Semiconductor (ICANS 23) 

     More details

    Event date: 2009.8

    Language:English  

  685. 低炭素社会の実現に向けた先端基盤技術-太陽光発電を中心として-

    第12回「フレッシュ理科教室」-楽しい理科授業のための教材研修ワークショップ― 

     More details

    Event date: 2009.8

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  686. Si量子ドット/NiSiナノドットハイブリッドフローティングゲートにおける電荷注入特性

    森澤直也、池田弥央、中西翔、川浪彰、牧原克典、東清一郎、宮崎誠一

    応用物理学会中国四国支部 日本物理学会中国支部・四国支部 日本物理教育学会中国四国支部 2009年度支部学会講演会 

     More details

    Event date: 2009.8

    Language:Japanese  

    Country:Japan  

  687. Siマイクロ融液プロセスによる水素終端Si表面上での結晶成長制御

    松本竜弥、東清一郎、木庭直浩、宮崎誠一

    応用物理学会中国四国支部 日本物理学会中国支部・四国支部 日本物理教育学会中国四国支部 2009年度支部学会講演会 

     More details

    Event date: 2009.8

    Language:English  

    Country:Japan  

  688. Pt/Bi-layer High-k/SiO2スタック構造のバックサイドX線光電子分光分析

    森大樹、大田晃生、村上秀樹、東清一郎、宮崎誠一

    応用物理学会中国四国支部 日本物理学会中国支部・四国支部 日本物理教育学会中国四国支部 2009年度支部学会講演会 

     More details

    Event date: 2009.8

    Language:Japanese  

    Country:Japan  

  689. Importance of Electronic State of Two-Dimensional Electron Gas for Electron Injection Process in Nano-Electronic Devices International conference

    14th International Conference on Modulated Semiconductor (MSS-14) 

     More details

    Event date: 2009.7

    Language:English  

    Country:Japan  

  690. Importance of Electronic State of Two-Dimensional Electron Gas for Electron Injection Process in Nano-Electronic Devices International conference

    14th International Conference on Modulated Semiconductor (MSS-14) 

     More details

    Event date: 2009.7

    Language:English  

    Country:Japan  

  691. Fabrication of Metal Silicide Nanodots and Hybrid Stacked Structure in Combination with Silicon Quantum Dots for Floating Gate Application International conference

    The 3rd Asian Physucs Symposium (APS 2009) 

     More details

    Event date: 2009.7

    Language:English   Presentation type:Oral presentation (invited, special)  

  692. Fabrication of Metal Silicide Nanodots and Hybrid Stacked Structure in Combination with Silicon Quantum Dots for Floating Gate Application International conference

     More details

    Event date: 2009.7

    Language:English  

  693. Anomalous temperature dependence of electron tunneling between a two-dimensional electron gas and Si dots International conference

    The 18th International Conference on Electronic Properties of Two-Dimensional Systems (EP2DS-18) 

     More details

    Event date: 2009.7

    Language:English  

    Country:Japan  

  694. Anomalous temperature dependence of electron tunneling between a two-dimensional electron gas and Si dots International conference

    The 18th International Conference on Electronic Properties of Two-Dimensional Systems (EP2DS-18) 

     More details

    Event date: 2009.7

    Language:English  

    Country:Japan  

  695. Characterization of Microcrystalline Silicon Thin Film Transistors Fabricated by Thermal Plasma Jet Crystallization Technique International conference

    2009 The Sixteenth International Workshop on Active-Matrix Flatpanel Displays and Devices (AM-FPD'09) 

     More details

    Event date: 2009.7

    Language:English  

    Country:Japan  

  696. Characterization of Interfacial Reaction and Chemical Bonding Features of LaOx/HfO2 Stack Structure Formed on Thermally-grown SiO2/Si(100) International conference

    16th biannual Conference on Insulating Films on Semiconductors 2009 (INFOS2009) 

     More details

    Event date: 2009.6

    Language:English  

  697. Random Telegraph Signals in Two-Dimensional Array of Si Quantum Dots International conference

    2009 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2009) 

     More details

    Event date: 2009.6

    Language:English  

  698. Electrical Detection of Silicon Binding Protein-Protein A using a p-MOSFET Sensor International conference

    2009 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2009) 

     More details

    Event date: 2009.6

    Language:English  

  699. Formation Mechanism of Metal Nanodots Induced by Remote Plasma Exposure

    The European Materials Research Society (E-MRS) 2009 Spring Meeting 

     More details

    Event date: 2009.6

    Language:English  

  700. TiO2/Pt界面の化学結合および電子状態評価

    後藤優太、貫目大介、大田晃生、尉国浜、村上秀樹、東清一郎、宮崎誠一

    シリコン材料・デバイス(SDM)研究会 

     More details

    Event date: 2009.6

    Language:Japanese  

    Country:Japan  

  701. 熱プラズマジェット結晶化μc-Si膜を用いたTFTの電気特性評価

    林将平、東清一郎、菅川賢治、加久博隆、宮崎誠一

    第22回プラズマ材料科学シンポジウム 

     More details

    Event date: 2009.6

    Language:Japanese  

    Country:Japan  

  702. 極薄LaOxからHfO2/SiO2層へのLa原子の拡散

    大田晃生、貫目大介、東清一郎、宮崎誠一

    シリコン材料・デバイス(SDM)研究会 

     More details

    Event date: 2009.6

    Language:Japanese  

    Country:Japan  

  703. Formation and Characterization of Hybrid Nanodot Stack Structure for Floating Gate Application International conference

    6th International Conference on Silicon Epitaxiy and Heterostructures (ICSI-6) 

     More details

    Event date: 2009.5

    Language:English   Presentation type:Oral presentation (invited, special)  

  704. Formation and Characterization of Hybrid Nanodot Stack Structure for Floating Gate Application International conference

    6th International Conference on Silicon Epitaxiy and Heterostructures (ICSI-6) 

     More details

    Event date: 2009.5

    Language:English  

  705. Electrical Charging Characteristics of NiSi-Nanodots Floating Gate International conference

    International Meeting for Future of Electron Devices, Kansai, (IMFEDK) 

     More details

    Event date: 2009.5

    Language:English  

    Country:Japan  

  706. Sugakawa and S. Miyazaki, Si Nanocrystals Formation in SiO2/SiOx/SiO2 Stack Structure by Thermal Plasma Jet Annealing and Its Application to Floating Gate Memory International conference

    2009 MRS Spring Meeting 

     More details

    Event date: 2009.4

    Language:English  

  707. Si Nanocrystals Formation in SiO2/SiOx/SiO2 Stack Structure by Thermal Plasma Jet Annealing and Its Application to Floating Gate Memory

    Material Research Society Spring Meeting 

     More details

    Event date: 2009.4

    Language:English  

  708. Surface Potential Changes Induced by Physisorption of Silica Binding Protein-Protein A on Thermally Grown SiO2/Si(111) Surface

     More details

    Event date: 2009.3

    Language:English  

    Country:Japan  

  709. 熱プラズマジェットミリ秒熱処理を用いたSiOx薄膜からのSiナノ結晶形成とその電荷注入特性

    岡田竜弥、東清一郎、加久博隆、古川弘和、宮崎誠一

    第56回春季応用物理学会 

     More details

    Event date: 2009.3

    Language:Japanese  

    Country:Japan  

  710. マイクロ融液プロセスによる高結晶性Siの形成

    木庭直浩、東清一郎、松本竜弥、岡田竜弥、加久博隆、村上秀樹、宮崎誠一

    第56回春季応用物理学会 

     More details

    Event date: 2009.3

    Language:Japanese  

    Country:Japan  

  711. SiOx膜へのプラズマジェット照射ミリ秒熱処理によるSiナノ結晶形成とそのフローティングゲートメモリ応用(II)

    岡田竜弥、東清一郎、加久博隆、古川弘和、宮崎誠一

    第56回春季応用物理学会 

     More details

    Event date: 2009.3

    Language:Japanese  

    Country:Japan  

  712. 高パワー密度熱プラズマジェット照射ミリ秒超急速熱処理によるSiウェハ中Bの活性化

    松本和也、東清一郎、古川弘和、岡田竜弥、宮崎誠一

    第56回春季応用物理学会 

     More details

    Event date: 2009.3

    Language:Japanese  

    Country:Japan  

  713. 熱プラズマジェット照射ミリ秒熱処理によるSiO2膜の膜質改善(II)

    広重康夫、東清一郎、岡田竜弥、古川弘和、松本和也、宮崎誠一

    第56回春季応用物理学会 

     More details

    Event date: 2009.3

    Language:Japanese  

    Country:Japan  

  714. HfO2コントロール酸化膜を有するNiSiドット/Si量子ドット積層ハイブリッドフローティングゲートMOSキャパシタにおける電子注入特性

    池田弥央、牧原克典、島ノ江和広、川浪彰、中西翔、森澤直也、藤本淳仁、大田晃生、貫目大介、宮崎誠一

    第56回春季応用物理学会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:茨城   Country:Japan  

  715. 超高密度Si量子ドットにおける二次元電気伝導

    牧原克典、池田弥央、川浪 彰、東清一郎、宮崎誠一

    第56回春季応用物理学会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:茨城   Country:Japan  

  716. リモートプラズマ支援による金属ナノドット形成メカニズム

    島ノ江和広、川浪 彰、藤本淳仁、牧原克典、池田弥央、東清一郎、宮崎誠一

    第56回春季応用物理学会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:茨城   Country:Japan  

  717. Temperature Dependence of Electron Tunneling between Quantum Dots and Electron Gas

    America Physical Society 2009 March Meeting 

     More details

    Event date: 2009.3

    Language:English  

  718. New Insight into Tunneling Process between Quantum Dot and Electron Gas

    America Physical Society 2009 March Meeting 

     More details

    Event date: 2009.3

    Language:English  

  719. Temperature Dependence of Electron Tunneling between Quantum Dots and Electron Gas International conference

    America Physical Society 2009 March Meeting 

     More details

    Event date: 2009.3

    Language:English  

  720. New Insight into Tunneling Process between Quantum Dot and Electron Gas International conference

    America Physical Society 2009 March Meeting 

     More details

    Event date: 2009.3

    Language:English  

  721. Temperature Dependence of Electron Tunneling from Two Dimensional Electron Gas to Quantum Dots International conference

    The Second International Symposium on Interdisciplinary Materials Science (ISIMS-2009) 

     More details

    Event date: 2009.3

    Language:English  

    Country:Japan  

  722. Temperature Dependence of Electron Tunneling from Two Dimensional Electron Gas to Quantum Dots International conference

    The Second International Symposium on Interdisciplinary Materials Science (ISIMS-2009) 

     More details

    Event date: 2009.3

    Language:English  

    Country:Japan  

  723. A Novel Millisecond Crystallization Technique Using Si Micro Liquid International conference

    The 5th International Thin-Film Transistor Conference 2009, Ecole Polytechnique 

     More details

    Event date: 2009.3

    Language:English  

  724. 電子ガス-量子ドット結合系における電子構造Ⅱ

    高田幸宏、櫻井蓉子、村口正和、池田弥央、牧原克典、宮崎誠一、遠藤哲郎、野村晋太郎、白石賢二

    日本物理学会第64回年次大会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京   Country:Japan  

  725. SiO2/Si(100)上に形成したLaOx/HfO2積層構造の界面反応評価

    大田晃生、貫目大輔、東清一郎、宮崎誠一

    第56回春季応用物理学会 

     More details

    Event date: 2009.3

    Language:Japanese  

    Country:Japan  

  726. 電子ガス―量子ドット結合系におけるC-V特性およびI-V特性のSweep Rate依存性

    櫻井蓉子、野村晋太郎、高田幸宏、白石賢二、村口正和、遠藤哲郎、池田弥央、牧原克典、宮崎誠一

    日本物理学会第64回年次大会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京   Country:Japan  

  727. 電子励起状態を介した量子ドットへのトンネル現象の変調

    野村晋太郎、櫻井蓉子、高田幸宏、白石賢二、村口正和、遠藤哲郎、池田弥央、牧原克典、宮崎誠一

    56回春季応用物理学会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:茨城   Country:Japan  

  728. 少数電子で動く未来デバイスの姿 –量子電子ダイナミクスからのメッセージ-

    村口正和、遠藤哲郎、宮崎誠一、牧原克典、池田弥央、野村晋太郎、櫻井蓉子、高田幸宏、白石賢二

    第56回春季応用物理学会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:茨城   Country:Japan  

  729. 電子ガス-量子ドット結合系における電子ダイナミクスII

    村口正和、遠藤哲郎、櫻井蓉子、野村晋太郎、高田幸宏、白石賢二、池田弥央、牧原克典、宮崎誠一、斉藤慎一

    日本物理学会第64回年次大会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京   Country:Japan  

  730. Improvement of Bond Structure and Electrical Properties of Low-Temperature Deposited SiO2 Films by Thermal Plasma Jet Induced Millisecond Annealing

    Plasma Science Symposium 2009 and 26th Symposium on Plasma Processing (PSS-2009/SPP-26) 

     More details

    Event date: 2009.2

    Language:English  

    Country:Japan  

  731. Impact of Remote Plasma Treatment on Formation of Metal Nanodots on Ultrathin SiO2 International conference

    The 2nd International Conference on Plasma-Nano Technology & Science 

     More details

    Event date: 2009.1

    Language:English  

    Country:Japan  

  732. Temperature Dependence of Electron Transport between Quantum Dots and Electron Gas International conference

    International Symposium on Nanoscale Transport and Technology 

     More details

    Event date: 2009.1

    Language:English  

    Country:Japan  

  733. Plasma-enhanced Self-assembling Formation of Metallic Nanodots on Ultrathin SiO2 for Floating Gate Application

    International Union Material Research Society (IUMRS) - International Conference in Asia, Nagoya 

     More details

    Event date: 2008.12

    Language:English  

    Country:Japan  

  734. Theoretical investigation of quantum dot coupled to a two-dimensional electron system

    13th Advanced Heterostructures and Nanostructures Workshop 

     More details

    Event date: 2008.12

    Language:English  

  735. Capacitance measurements on quantum dots coupled to a two-dimensional electron system

    13th Advanced Heterostructures and Nanostructures Workshop 

     More details

    Event date: 2008.12

    Language:English  

  736. Generation of High Density Thermal Plasma Jet and Its Application to Millisecond Annealing of Si Wafer Surface for Shallow Junction Formation International conference

    H. Furukawa, S. Higashi, T. Okada, H. Murakami, and S. Miyazaki

    30th International Symposium on Dry Process (DPS 2008)  2008.11 

     More details

    Event date: 2008.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tokyo   Country:Japan  

  737. Metal Nanodots Formation Induced by Remote Plasma Treatment -Comparison between the effects of H2 and rare gas plasmas- International conference

    International Union Material Research Society - International Conference in Asia 2008 (IUMRS-ICA 2008) 

     More details

    Event date: 2008.11

    Language:English  

    Country:Japan  

  738. Electronic Charged States of Pt-silicide Nanodots as Evaluated by Using an AFM/Kelvin Probe Technique International conference

    International Union Material Research Society - International Conference in Asia 2008 (IUMRS-ICA 2008) 

     More details

    Event date: 2008.11

    Language:English  

    Country:Japan  

  739. La-Oxide Thin Films Formed by MOCVD Using La(TMOD)3 International conference

    International Union Material Research Society - International Conference in Asia 2008 (IUMRS-ICA 2008) 

     More details

    Event date: 2008.11

    Language:English  

    Country:Japan  

  740. Characterization of Chemical Bonding Features in HfGdxOy film formed by MOCVD using DPM precursors International conference

    D. Kanme, A. Ohta, R. Yougauchi, H. Murakami, S. Higashi, and S. Miyazaki

    2008 International Workshop on Dielectric Thin Films for Future ULSI Devices (IWDTF)  2008.11.5 

     More details

    Event date: 2008.11

    Language:English   Presentation type:Poster presentation  

    Venue:Tokyo   Country:Japan  

  741. XPS Study of TiAlN/HfSiON Gate Stack - Impact of Al Redistribution on Effective Work Function Change - International conference

    A. Ohta, T. Mori, H. Yoshinaga, H. Murakami, S. Miyazaki, M. Kadoshima, and Y. Nara

    2008 International Workshop on Dielectric Thin Films for Future ULSI Devices (IWDTF)  2008.11.6 

     More details

    Event date: 2008.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tokyo   Country:Japan  

  742. Characterization of Chemical Bonding Features and Electronic States of Ni-Silicide Nanodots Formed by a Remote H2-Plasma Assisted Technique International conference

    The 4th Vacuum and Surface Sciences Conference of Asia and Australia (VASSCAA-4) 

     More details

    Event date: 2008.10

    Language:English  

  743. Formation of Si Nanocrystals in SiOx Films Induced by Thermal Plasma Jet Annealing and Its Application to Floating Gate Memory

    The Electrochemical Society 214th Meeting 

     More details

    Event date: 2008.10

    Language:English  

  744. Nucleation Control for High Density Formation of Si-based Quantum Dots on Ultrathin SiO2

    214th Electrochemical Society (ECS) Meeting : SiGe & Ge Materials, Processing and Device Symposium 

     More details

    Event date: 2008.10

    Language:English  

  745. Formation of Metallic Nanodots on Ultrathin Gate Oxide Induced by H2-plasma Treatment and Its Application to Floating Gate Memories International conference

    S. Miyazaki, M. Ikeda, K. Makihara, K. Shimanoe, and R. Matsumoto

    4th International WorkShop on New Group IV Semiconductor Nanoelectronics (SiGe(C)2008)  2008.9.27 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sendai   Country:Japan  

  746. AFM/KFM Detection of Si-tagged ProteinA on HF-last Si(100), Thermally Grown SiO2 and Si-QDs Surfaces International conference

    K. Makihara, M. Ikeda, S. Higashi, Y. Hata, A. Kuroda, and S. Miyazaki

    4th International WorkShop on New Group IV Semiconductor Nanoelectronics (SiGe(C)2008)  2008.9.27 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sendai   Country:Japan  

  747. Formation of Ultra High Density Si-based Quantum Dots on Ultrathin SiO2 International conference

    K. Makihara, A. Kawanami, M. Ikeda, S. Higashi, and S. Miyazaki

    4th International WorkShop on New Group IV Semiconductor Nanoelectronics (SiGe(C)2008)  2008.9.27 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sendai   Country:Japan  

  748. Photoemission Study of Chemical Bonding Features and Electronic States of Ultrathin HfTixOy/Pt System International conference

    A. Ohta, H. Murakami, S. Higashi, S. Miyazaki, M. Tanioku, M. Horikawa, and A. Ogishima

    2008 International Conference on Solid State Devices and Materials (SSDM 2008)  2008.9.25 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tsukuba   Country:Japan  

  749. Millisecond Rapid Thermal Annealing of Si Wafer Induced by High Density Thermal Plasma Jet Irradiation International conference

    H. Furukawa, S. Higashi, T. Okada, H. Murakami, and S. Miyazaki

    2008 International Conference on Solid State Devices and Materials (SSDM 2008)  2008.9.26 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tsukuba   Country:Japan  

  750. Charge Injection and Emission Characteristics of Hybrid Floating Gate Stack Consisting of NiSi-Nanodots and Silicon-Quantum-Dots International conference

    M. Ikeda, R. Matsumoto, K. Shimanoe, K. Makihara, and S. Miyazaki

    2008 International Conference on Solid State Devices and Materials (SSDM 2008)  2008.9.24 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tsukuba   Country:Japan  

  751. Formation of Metal Silicide Nanodots on Ultrathin SiO2 for Floating Gate Application International conference

    S. Miyazaki, M. Ikeda, K. Makihara, and K. Shimanoe

    The European Materials Research Society (E-MRS) 2008 Fall Meeting  2008.9 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Warszawa   Country:Poland  

  752. Photoluminescent Properties of Thermal Plasma Jet Annealed SiOx Films Prepared by Plasma Enhanced Chemical Vapor Deposition International conference

    1st International Conference on Microelectronics and Plasma Technology (ICMAP) 

     More details

    Event date: 2008.8

    Language:English  

  753. Characteristics tunneling of Si quantum dot floating gate at low temperature and in magnetic fields International conference

    25th International Conference on Low Temperature Physics, Amsterdam 

     More details

    Event date: 2008.8

    Language:English  

  754. Electrical Properties of Highly Crystallized Ge:H Thin Films Grown from VHF Inductively-Coupled Plasma of H2-diluted GeH4 International conference

    H. Kaku, K. Makihara, M. Ikeda, S. Higashi, and S. Miyazaki

    2008 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2008)  2008.7.11 

     More details

    Event date: 2008.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sapporo   Country:Japan  

  755. Formation of Pd Nanodots Induced by Remote Hydrogen Plasma and Its Application to Floating Gate MOS Memories International conference

    K. Shimanoe, K. Makihara, M. Ikeda, and S. Miyazaki

    2008 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2008)  2008.7.10 

     More details

    Event date: 2008.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sapporo   Country:Japan  

  756. Electrical Detection of Si-Tagged Proteins on HF-last Si(100) and Thermally Grown SiO2 Surfaces International conference

    S. Mahboob, K. Makihara, H. Kaku, M. Ikeda, S. Higashi, S. Miyazaki, and A. Kuroda

    2008 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2008)  2008.7.10 

     More details

    Event date: 2008.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sapporo   Country:Japan  

  757. Application of Thermal Plasma Jet Annealing to Channel Crystallization and Doping for Thin Film Transistor Fabrication International conference

    H. Kaku, S. Higashi, T. Yorimoto, T. Okada, H.Furukawa, and S. Miyazaki

    2008 The Fifteenth International Workshop on Active-Matrix Flatpanel Displays and Devices (AM-FPD'08)  2008.7 

     More details

    Event date: 2008.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tokyo   Country:Japan  

  758. Formation of metal and silicide nanodots on ultathin gate oxide induced by H2-plasma

    17th World Interfinish Congress & Exposition with 9th International Conference on Advanced Surface Engineerring (9th ICASE) 

     More details

    Event date: 2008.6

    Language:English  

  759. Formation of Ni- and Pt-Nanodots Induced by Remote Hydrogen Plasma Treatment and Their Application to Floating Gate MOS Memories

    M. Ikeda, K. Shimanoe, R. Matsumoto, K. Makihara, S. Miyazaki

    The 2008 International Meeting for Future of Electron Devices, Kansai (IMFEDK)  2008.5 

     More details

    Event date: 2008.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Osaka   Country:Japan  

  760. Photoemission Study of Metal/HfSiON Gate Stack

    The 213th Electrochemical Society (ECS) Meeting 

     More details

    Event date: 2008.5

    Language:English  

  761. In-situ Monitoring of Si Wafer Temperature during Millisecond Rapid Thermal Annealing

    The Electrochemical Society 213th Meeting 

     More details

    Event date: 2008.5

    Language:English  

  762. Selective Growth of Self-Assembling Si and SiGe Quantum Dots International conference

    K. Makihara, A. Kawanami, M. Ikeda, S. Higashi, and S. Miyazaki

    4th International SiGe Technology and Device Meeting (ISTDM2008)  2008.5 

     More details

    Event date: 2008.5

    Language:English  

    Venue:Hsinchu, Taiwan   Country:Taiwan, Province of China  

  763. Characterization of Thermal Stability of HfO2/SiON/Ge(100) Stacked Structure by using Photoemission Spectroscopy International conference

    A. Ohta, H. Nakagawa, H. Murakami, S. Higashi, and S. Miyazaki

    4th International SiGe Technology and Device Meeting (ISTDM2008)  2008.5 

     More details

    Event date: 2008.5

    Language:English  

    Venue:Hsinchu, Taiwan   Country:Taiwan, Province of China  

  764. Photoemission Study of Ultrathin Germanium Oxide/Ge(100) Interfaces International conference

    H. Murakami, M. Miura, A. Ohta, R. Yougauchi, S. Higashi, and S. Miyazaki

    4th International SiGe Technology and Device Meeting (ISTDM2008)  2008.5 

     More details

    Event date: 2008.5

    Language:English  

    Venue:Hsinchu, Taiwan   Country:Taiwan, Province of China  

  765. HfSiONへの低価数イオン添加が化学結合および電子状態に及ぼす影響

    大田晃生, 吉永博路, 宮崎誠一, 門島勝, 奈良安雄

    2008年春季 第55回応用物理学関係連合講演会 

     More details

    Event date: 2008.3

    Language:Japanese  

    Country:Japan  

  766. Formation of Low-Defect-Concentration Polycrystalline Si Films by Thermal Plasma Jet Crystallization and Their application to Thin-Film Transistor

    Material Research Society Spring Meeting 

     More details

    Event date: 2008.3

    Language:English  

  767. Impact of Annealing condition on the Efficiency of Dopant Activation Induced by Thermal Plasma Jet Crystallization of Heavily-Phosphorus-Doped Amorphous Si films International conference

    The 1st International Conference on Plasma-Nano Technology & Science (IC-PLANTS 2008) 

     More details

    Event date: 2008.3

    Language:English  

    Country:Japan  

  768. High Rate Growth of Highly Crystallized Ge:H Thin Films from VHF Inductively-Coupled Plasma of GeH4 International conference

    The 1st International Conference on Plasma-Nano Technology & Science (IC-PLANTS 2008) 

     More details

    Event date: 2008.3

    Language:English  

    Country:Japan  

  769. Photoluminescent Properties of SiOx Films Formed by Plasma Enhanced Chemical Vapor Deposition International conference

    The 1st International Conference on Plasma-Nano Technology & Science (IC-PLANTS 2008) 

     More details

    Event date: 2008.3

    Language:English  

    Country:Japan  

  770. シリコン表面および極薄ゲート絶縁膜の欠陥評価

    表面技術協会第117回講演大会 

     More details

    Event date: 2008.3

    Language:Japanese  

  771. Formation of Source and Drain for Polycrystalline Si Thin Film Transistors Using Thermal Plasma Jet Induced Impurity Activation International conference

    H. Kaku, S. Higashi, T. Yorimoto, T. Okada, H. Furukawa, H. Murakami, and S. Miyazaki

    International TFT Conference 2008  2008.1 

     More details

    Event date: 2008.1

    Language:English  

    Venue:Seoul, Korea   Country:Korea, Republic of  

  772. 金属/High-k ゲート絶縁膜界面の光電子分光分析-化学結合状態と実効仕事関数評価, ゲート絶縁膜の物理-より深い議論を通じて、次への展開を探る

     More details

    Event date: 2007.12

    Language:Japanese  

  773. Practical dual-metal-gate dual-high-k CMOS integration technology for hp 32 nm LSTP utilizing process-friendly TiAlN metal gate International conference

    M. Kadoshima, T. Matsuki, M. Sato, T. Aminaka, E. Kurosawa, A. Ohta, H. Yoshinaga, S. Miyazaki, K. Shiraishi, K. Yamabe, K. Yamada, T. Aoyama, Y. Nara, and Y. Ohji

    International Electron Device Meeting 2007 (IEDM)  2007.12 

     More details

    Event date: 2007.12

    Language:English  

    Venue:Washington DC   Country:United States  

  774. Photoemission Study of Metal/High-k Dielectric Gate Stack

    The 38th IEEE Semiconductor Interface Specialists Conference (SISC) 

     More details

    Event date: 2007.12

    Language:English  

  775. Photoemission Study of Chemical Bonding Features and Electronic States of Ultrathin HfLaxOy Film International conference

    International Conference of Atomic Control Surface and Interface-9 (ACSIN-9) 

     More details

    Event date: 2007.11

    Language:English  

    Country:Japan  

  776. High Rate Growth of Highly-Crystallized Ge Films on Quartz from VHF Inductively-Coupled Plasma of GeH4 + H2 International conference

    The Sixth Pacific Rim International Conference on Advanced Materials and Processing (PRICM6) 

     More details

    Event date: 2007.11

    Language:English  

  777. Electron Charging and Discharging Characteristics of Si-Based Quantum Dots and Their Application of Floating Gate MOS Memories

    3rd International Workshop in New Group IV Semiconductor Nanoelectronics (SiGe(C)2007) 

     More details

    Event date: 2007.11

    Language:English  

    Country:Japan  

  778. X線光電子分光による表面・界面評価

    薄膜第131委員会, 第3回基礎講座 

     More details

    Event date: 2007.10

    Language:Japanese  

  779. Self-Assembling Formation of Si-Based Quantum Dots and Control of Their Electronic Charged States for Multivalued MOS Memories

    10th International Conference on Advanced Materials - International Union of Materials Research Societies 

     More details

    Event date: 2007.10

    Language:English  

  780. Characterization of Electoronic Charged States of Si-Based Quantum Dots for Floating Gate Application

    212th Electrochemical Society (ECS) Meeting 

     More details

    Event date: 2007.10

    Language:English  

  781. Improvement in Fermi-Level Pinning of p-MOS Metal Gate Electodes on HfSiON by Employing Ru Gate Electrodes

    The 212th Electrochemical Society (ECS) Meeting 

     More details

    Event date: 2007.10

    Language:English  

  782. Rapid Phase Transformation of Amorphous Ge Films Induced by Semiconductor Diode Laser Irradiation

    Laser Processing for Semiconductor Devices : sciences and technology (LPSD) 

     More details

    Event date: 2007.10

    Language:English  

  783. Si/絶縁膜(high-k/SiO2)の界面状態評価と電気特性

    第34回アモルファスセミナー 

     More details

    Event date: 2007.9

    Language:Japanese  

  784. Characterization of Electronic Charged States of Nickel Silicide Nanodots Using AFM/Kelvin Probe Technique International conference

    The Sixth Pacific Rim International Conference on Advanced Materials and Processing (PRICM6) 

     More details

    Event date: 2007.9

    Language:English  

  785. Control of 60MHz Inductively-Coupled Plasma of H2-Diluted GeH4 for High Rate Growth of Crystalline Ge Films at Low Temperatures International conference

    22nd International Conference on Amorphous and Nanocrystalline Semiconductors (ICANS22) 

     More details

    Event date: 2007.8

    Language:English  

  786. High Efficiency Activation of Phosphorus Atoms Induced by Thermal Plasma Jet Crystallization of Doped Amorphous Si Films

    High Efficiency Activation of Phosphorus Atoms Induced by Thermal Plasma Jet Crystallization of Doped Amorphous Si Films 

     More details

    Event date: 2007.8

    Language:English  

  787. Si量子ドットを用いた浮遊ゲートメモリー

    応用物理学会、平成19年薄膜・表面物理分科会セミナー 

     More details

    Event date: 2007.7

    Language:Japanese  

    Country:Japan  

  788. Formation of Ni Nanodots Induced by Remote Hydrogen Plasma International conference

    The European Materials Research Society (E-MRS) 2007 Spring Meeting 

     More details

    Event date: 2007.5

    Language:English  

  789. Characterization of Electronic Charged States of Si-Based Quantum Dots and Their Application to Floating Gate Memories

    5th International Conference on Silicon Epitaxy and Heterostructures (ICSI-5) 

     More details

    Event date: 2007.5

    Language:English  

  790. Theoretical Studies on Metal/High-k Gate Stacks

    The 211th Electrochemical Society (ECS) Meeting, Illinois 

     More details

    Event date: 2007.5

    Language:English  

  791. Electrical Characteristics of Lightly-Doped Si Films Crystallized by Thermal Plasma Jet Irradiation International conference

    T. Yorimoto, S. Higashi, H. Kaku, T. Okada, H. Murakami, S. Miyazaki, M. Maki, T. Sameshima

    Material Research Society Japan 

     More details

    Event date: 2006.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  792. Nucleation Study of Hydrogenated Microcrystalline Silicon (μc-Si:H) Films Deposited by VHF-ICP International conference

    T. Karakawa, S. Higashi, H. Murakami, S. Miyazaki

    T. Karakawa, S. Higashi, H. Murakami, S. Miyazaki 

     More details

    Event date: 2006.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  793. Effect of He Addition on the Heating Characteristics of Substrate Surface Irradiated by Ar Thermal Plasma Jet International conference

    T. Okada, S. Higashi, H. Kaku, N. Koba, H. Murakami, S. Miyazaki

    International Symposium on Dry Process (DPS 2006) 

     More details

    Event date: 2006.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  794. Crystallization of Amorphous Ge Films Induced by Semiconductor Diode Laser Annealing International conference

    K. Sakaike, S. Higashi, H. Kaku, T. Sakata, H. Murakami, S. Miyazaki

    International Symposium on Dry Process (DPS 2006) 

     More details

    Event date: 2006.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  795. 量子ドット形成とデバイス応用

    宮崎 誠一

    薄膜材料デバイス研究会 第3回研究集会「薄膜デバイスの新展開」 

     More details

    Event date: 2006.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:あすなら会議場( 奈良)   Country:Japan  

  796. Analysis of Leakage Current through Ultrathin HfSiOxN/SiO2 Stack Gate Dielectric Capacitors with TiN/W/TiN Gate International conference

    Y. Pei, A. Ohta, H. Murakami, S. Higashi, S. Miyazaki, T. Akasaka, Y. Nara

    2006 International Workshop on Dielectric Thin Films for Future ULSI Devices (IWDTF) 

     More details

    Event date: 2006.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  797. A New Insight into Control of Fermi Level Pinning in TiN/HfSiON Gate Stack International conference

    A. Ohta, S. Miyazaki, Y. Akasaka, H. Watanabe, K. Shiraishi, K. Yamada, S. Inumiya, Y. Nara

    2006 International Workshop on Dielectric Thin Films for Future ULSI Devices (IWDTF) 

     More details

    Event date: 2006.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  798. Characterization of Dielectric Stack Structures of Hafnium Silicate and Silicon Oxynitride formed on Si(100) International conference

    A. Ohta, H. Nakagawa, H. Murakami, S. Higashi, S. Miyazaki, T. Kawahara, K. Torii, Y. Nara

    2006 International Workshop on Dielectric Thin Films for Future ULSI Devices (IWDTF) 

     More details

    Event date: 2006.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  799. Photoemission Study of HfO2/Ge(100) Stacked Structures International conference

    H. Nakagawa, A. Ohta, H. Murakami, S. Higashi, S. Miyazaki,

    2006 International Workshop on Dielectric Thin Films for Future ULSI Devices (IWDTF) 

     More details

    Event date: 2006.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  800. Depth Profiling of Chemical and Electronic Structures and Defects of Ultrathin HfSiON on Si(100) International conference

    S. Miyazaki, A. Ohta, Pei, S, Inumiya, Y. Nara, K. Yamada

    210th Electrochemical Society (ECS) Meeting 

     More details

    Event date: 2006.10 - 2006.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  801. Physics of Metal/High-k Interfaces International conference

    T. Nakayama, K. Shiraishi, S. Miyazaki, Y. Akasaka, K. Torii, P. Ahmet, K. Ohmori, N. Umezawa, H. Watanabe, T. Chikyow, Y. Nara, A. Ohta, H. Iwai, K. Yamada, T. Nakaoka

    210th Electrochemical Society(ECS) Meeting 

     More details

    Event date: 2006.10 - 2006.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Mexico  

  802. Study of Charged states of Si Quantum Dots with Ge Core International conference

    K. Makihara, M. Ikeda, S. Higashi, S. Miyazaki

    210th Electrochemical Society (ECS) Meeting : SiGe & Ge Materials, Processing, and Device Symposium 

     More details

    Event date: 2006.10 - 2006.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Mexico  

  803. Characterization of Electronic Charged States of Si-based Quantum Dots for Multi-valued MOS Memories International conference

    S. Miyazaki, K. Makihara, M. Ikeda

    8th International Conference on Solid-State and Integrated-Circuit Technology 

     More details

    Event date: 2006.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:China  

  804. Control of Electronic Charged States of Si-based Quantum Dots for Floating Gate Application International conference

    S. Miyazaki, K. Makihara, M. Ikeda

    2nd International Workshop in New Group IV Semiconductor Nanoelectronics (SiGe(C)2006) 

     More details

    Event date: 2006.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  805. Semiconductor Diode Laser Annealing of Amorphous Ge Films International conference

    K. Sakaike, S. Higashi, H. Kaku, T. Sakata, H. Murakami, S. Miyazaki,

    2nd International Workshop in New Group IV Semiconductor Nanoelectronics (SiGe(C)2006) 

     More details

    Event date: 2006.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  806. Characterization of Chemical Bonding Features of Silicon Oxynitride Films Formed on Ge(100) Surfaces International conference

    H. Nakagawa, A. Ohta, H. Murakami, S. Higashi, S. Miyazaki

    Second Int. Workshop on New Group Ⅳ Semiconductor Nanoelectronics (SiGe(C)2006) 

     More details

    Event date: 2006.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  807. Formation of Highly-Crystallized Ge:H Films form VHF Inductively-Coupled Plasma of GeH4 International conference

    T. Sakata, K. Makihara, S. Higashi, S. Miyazaki

    2nd International Workshop in New Group IV Semiconductor Nanoelectronics (SiGe(C)2006) 

     More details

    Event date: 2006.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  808. Evaluation of Chemical Structures and Work Function of NiSi near the Interface between Nickel Silicide and SiO2 International conference

    A. Ohta, H. Yoshinaga, H. Murakami, D. Azuma,Y. Munetaka, S. Higashi, S. Miyazaki, T. Aoyama, K. Hosaka, K. Shibahara

    2006 International Conference of Solid State of Device and Materials (SSDM2006) 

     More details

    Event date: 2006.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  809. Characterization of Electronic Charged States of Impurity Doped Si Quantum Dots Using AFM/Kelvin Probe Technique International conference

    K. Makihara, Y. Kawaguchi, H. Murakami, S. Higashi, S. Miyazaki

    International Union Material Research Society - International Conference in Asia (IUMRS-ICA 2006) 

     More details

    Event date: 2006.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  810. メタルゲート/絶縁膜界面の化学構造分析と実効仕事関数評価

    宮崎 誠一

    第67回応用物理学会学術講演会 

     More details

    Event date: 2006.8 - 2006.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:立命館大学   Country:Japan  

  811. Melting and Solidification of Microcrystalline Si Films Induced by Semiconductor Diode Laser Irradiation International conference

    K. Sakaike, S. Higashi, H. Kaku, H. Murakami, S. Miyazaki

    2006 International Workshop on ACTIVE-MATRIX FLATPANEL DISPLAY AND DEVICES (AM-FPD'06) 

     More details

    Event date: 2006.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  812. Phosphorus Doping to Si Quantum Dots and Its Floating Gate Application International conference

    K. Makihara, Y. Kawaguchi, M. Ikeda, H. Murakami, S. Higashi, S. Miyazaki

    2006 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2006) 

     More details

    Event date: 2006.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  813. Influences of Nitrogen Incorporation on Electronic Structure and Electrical Properties of Ultrathin Hafnium Silicate International conference

    S. Miyazaki, A. Ohta, S. Inumiya, Y. Nara

    The European Materials Research Society (E-MRS) 2006 Spring Meeting 

     More details

    Event date: 2006.5 - 2006.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:France  

  814. Characterization of Electronic Charged States of Si-Based Quantum Dots and Their Application to Floating Gate Memories International conference

    S. Miyazaki, M. Ikeda, K. Makihara

    209th Electrochemical Society-International Symposium on Nanoscale Devices and Materials 

     More details

    Event date: 2006.5

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:United States  

  815. Impact of He Addition on the Substrate Surface Temperature During Rapid Thermal Annealing Induced by Ar Thermal Plasma Jet Irradiation International conference

    T. Okada, S. Higashi, N. Koba, H. Kaku, H. Murakami, S. Miyazaki

    8th International Conference on Advanced Surface Engineering 

     More details

    Event date: 2006.4

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  816. Charging and Discharging Characteristics of P-doped Si Quantum Dots Floating Gate International conference

    K. Makihara, T. Nagai, M. Ikeda, Y. Kawaguchi, H. Murakami, S. Higashi, S. Miyazaki

    The 2006 International Meeting for Future of Electron Devices, Kansai (IMFEDK) 

     More details

    Event date: 2006.4

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  817. Correlation between Annealing Temperature and Crystallinity of Si Films Prepared by Thermal Plasma Jet Crystallization Technique International conference

    H. Kaku, S. Higashi, T. Okada, H. Murakami, S. Miyazaki

    Material Research Society Spring Meeting 

     More details

    Event date: 2006.4

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  818. Direct Observation of Millisecond Phase Transformation in a-Si Films Induced by Thermal Plasma Jet Irradiation International conference

    H. Kaku, S. Higashi, T. Okada, H. Murakami, S. Miyazaki

    Int. TFT Conference 

     More details

    Event date: 2006.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  819. Self-Assembling Formation of Si Quantum Dots and its Application to Floating Gate MOS Devices International conference

    M. Ikeda, S. Miyazaki

    Japan-Korea Special Symposium on Evaluation and Outlook of Oxide Nonvolatile Memories, in The 16th Symposium of The Materials Research Society of Japan 

     More details

    Event date: 2005.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  820. Characterization of Sb-Doped Fully-Silicided NiSi/SiO2/Si MOS Structure International conference

    T. Hosoi, K. Sano, M. Hino, A. Ohta, K. Makihara, H. Kaku, S. Miyazaki, K. Shibahara

    2005 International Semiconductor Device Research Symposium 

     More details

    Event date: 2005.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  821. Control of Substrate Surface Temperature in Millisecond Annealing Technique Using Thermal Plasma Jet International conference

    T. Okada, S. Higashi, H. Kaku, N. Koba, H. Murakami, S. Miyazaki

    2005 International Symposium on Dry Process (DPS 2005) 

     More details

    Event date: 2005.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  822. Growth of Crystallized Ge Films from VHF-Inductively Coupled Plasma of H2-Diluted GeH4 International conference

    T. Sakata, K. Makihara, S. Higashi, S. Miyazaki

    2005 International Symposium on Dry Process (DPS 2005) 

     More details

    Event date: 2005.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  823. Control of Discrete Charged States in Si-Based Quantum Dots and Its Application to Floating Gate Memories International conference

    S. Miyazaki

    The 4th International Symposium Surface Science and Nanotechnology 

     More details

    Event date: 2005.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  824. Photoemission Study of Ultrathin GeO2/Ge Heterostructures Formed by UV-O3 Oxidation International conference

    A. Ohta, H. Murakami, S. Higashi, S. Miyazaki

    4th International Symposium on Surface Science and Nanotechnology (ISSS-4) 

     More details

    Event date: 2005.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  825. ゲート絶縁膜およびMOS界面の化学構造および電子状態分析 International conference

    宮崎 誠一

    第34回薄膜・表面物理基礎講座(JSAP No.AP052348) 

     More details

    Event date: 2005.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:東京   Country:Japan  

  826. ULSI薄膜プロセスの基礎物理

    宮崎 誠一

    半導体界面制御技術第154委員会 

     More details

    Event date: 2005.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:東京   Country:Japan  

  827. Self-Assembling Formation of Si-based Quantum Dots and Control of Their Electric Charged States for Multi-valued Memories International conference

    S. Miyazaki

    SPIE Conference on Nanofabrication: Technologies, Devices, and Applications II (SA111) at Optics East 

     More details

    Event date: 2005.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:United States  

  828. Electron Charging and Discharging Characteristics of Si-based Quantum Dots Floating Gate International conference

    S. Miyazaki

    The Second International Symposium on Point Defects and Nonstoichiometry (ISPN-2) 

     More details

    Event date: 2005.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Taiwan, Province of China  

  829. Characterization of MultiStep Electron Charging to Silicon-Quantum-Dot Floating Gate by Applying Pulsed Gate Biases International conference

    T. Nagai, M. Ikeda, Y. Shimizu, S. Higashi, S. Miyazaki

    2005 International Conference on Solid State Devices and Materials (SSDM2005) 

     More details

    Event date: 2005.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  830. The Application of Very High Frequency Inductively-Coupled Plasma to High-Rate Growth of Microcrystalline Silicon Films International conference

    S. Miyazaki, N. Kosku

    21st International Conference on Amorphous and Nanocrystalline Semiconductors (ICANS21) 

     More details

    Event date: 2005.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Portugal  

  831. Analysis of Transient Temperature Profile During Thermal Plasma Jet Annealing of Si Films on Quartz Substrate International conference

    T. Okada, S. Higashi, H. Kaku, H. Murakami, S. Miyazaki

    2005 International Workshop on Active-Matrix Liquid-Crystal Displays (AM-LCD 05) 

     More details

    Event date: 2005.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  832. The Application of Multiple-Stacked Si Quantum Dots to Light Emitting Diodes International conference

    K. Makihara, Y. Kawaguchi, H. Murakami, S. Higashi, S. Miyazaki

    2005 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2005) 

     More details

    Event date: 2005.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  833. Experimental Evidence of Coulombic Interaction among Stored Charges in Single Si Dot as Detected By AFM/Kelvin Probe Technique International conference

    J. Nishitani, K. Makihara, Y. Darma, H. Murakami, S. Higashi, S. Miyazaki

    2005 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2005) 

     More details

    Event date: 2005.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  834. Characterization of Chemical Bonding Features of NH3-Annealed Hafnium Oxides Formed on Si(100) International conference

    H. Nakagawa, F. Takeno, A. Ohta, H. Murakami, S. Higashi, S. Miyazaki

    8th Atomically Controlled Surfaces, Interfaces and Nanostructures (ACSIN-8) 

     More details

    Event date: 2005.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Sweden  

  835. Light Emitting Diode with MOS Structures Containing Multiple-Stacked Si Quantum Dots International conference

    J. Xu, K. Makihara, H. Deki, Y. Kawaguchi, H. Murakami, S. Higashi, S. Miyazaki

    2005 China International Conference on Nanoscience & Technology 

     More details

    Event date: 2005.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:China  

  836. Control of Charged States of Silicon-Based Quantum Dots and Its Application to Floating Gate MOS Memories International conference

    S. Miyazaki

    First International Workshop on New Group IV Semiconductor Nanoelectronics (SiGe(C)2005) 

     More details

    Event date: 2005.5

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  837. Fabrication of Multiply-Stacked Structures Consisting of Si-QDs with Ultrathin SiO2 and Its Application of Light Emitting Diodes International conference

    K. Makihara, J. Xu, H. Deki, Y. Kawaguchi, H. Murakami, S. Higashi, S. Miyazaki

    First International Workshop in New GroupIV Semiconductor Nanoelectronics (SiGe(C)2005) 

     More details

    Event date: 2005.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  838. シリコン系量子ドットの荷電状態制御とフローティングMOSデバイスへの応用

    宮崎 誠一

    応用物理学会, 応用電子物性分科会研究例会「ナノシリコンの最近の進展-量子サイズシリコンの新しい可能性」 

     More details

    Event date: 2005.5

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:東京理科大学理窓会館   Country:Japan  

  839. In-situ Observation of Rapid Crystalline Growth Induced by Excimer Laser Irradiation to Ge/Si Stacked Structure International conference

    A. Yamashita, Y. Okamoto, S. Higashi, S. Miyazaki, H. Watakabe, T. Sameshima

    Fourth International Conference on Silicon Epitaxy and Heterostructures (ICSI-4) 

     More details

    Event date: 2005.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  840. Decay Characteristics of Electronic Charged States of Si Quantum Dots as Evaluated by an AFM/Kelvin Probe Technique International conference

    J. Nishitani, K. Makihara, M. Ikeda, H. Murakami, S. Higasi, S. Miyazaki

    Fourth International Conference on Silicon Epitaxy and Heterostructures (ICSI-4) 

     More details

    Event date: 2005.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  841. Characterization of Electronic Charged States of P-doped Si Quantum Dots Using AFM/KFM Probe International conference

    K. Makihara, J. Xu, M. Ikeda, H. Murakami, S. Higasi, S. Miyazaki

    Fourth International Conference on Silicon Epitaxy and Heterostructures (ICSI-4) 

     More details

    Event date: 2005.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  842. シリコン量子ドットの荷電状態制御とフローティングゲートMOSデバイスへの応用

    宮崎誠一

    未踏・ナノデバイステクノロジー第151委員会 第72回研究会 

     More details

    Event date: 2005.5

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:東京   Country:Japan  

  843. Fabrication of Polycrystalline Si Thin Film Transistor using Plasma Jet Crystallization Technique International conference

    H. Kaku, S. Higashi, T. Okada, H. Murakami, S. Miyazaki, H. Watakabe, N. Andoh, T. Sameshima

    The 2005 International Meeting for Future of Electron Devices, Kansai (IMFEDK) 

     More details

    Event date: 2005.4

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  844. Light Emitting Devices from Multilayered Si Quantum Dots Structures International conference

    K. Makihara, J. Xu, H. Deki, Y. Kawaguchi, H. Murakami, S. Higashi, S. Miyazaki

    The 2005 International Meeting for Future of Electron Devices, Kansai (IMFEDK) 

     More details

    Event date: 2005.4

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  845. High Rate Growth of Crystalline Si and Ge Films from Inductively-Coupled Plasma International conference

    S. Miyazaki

    SREN 2005 International Conference on Solar Renewable Energy News, Low Energy Buildings, Research of Historical Artifacts 

     More details

    Event date: 2005.4

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Italy  

  846. Si系量子ドットの荷電状態制御とフローティングゲートMOSデバイスへの応用

    宮崎 誠一

    第52回応用物理学関係連合講演会, シンポジウム「シリコンナノエレクトロニクスの新展開-ポストスケーリングテクノロジー-」 

     More details

    Event date: 2005.3 - 2005.4

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:埼玉大学   Country:Japan  

  847. Fabrication of Multiple-Stacked Si Quantum Dots and Its Application to Light Emitting Diodes International conference

    K. Makihara, Y. Kawaguchi, M. Ikeda, H. Murakami, S. Higashi, S. Miyazaki

    The 4th International Symposium on Nanotechnology 

     More details

    Event date: 2005.2

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  848. Characterization of Charged States of Silicon-based Quantum Dots and Its Application to Floating Gate MOS Memories International conference

    S. Miyazaki

    International Union of Materials Research Societies-Int. conf. in Asia- 

     More details

    Event date: 2004.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Taiwan, Province of China  

  849. Fabrication of Multiply-Stacked Structures of Si Quantum-Dots Embedded in SiO2 by Combination of Low-Pressure CVD with Remote Plasma Treatments International conference

    K. Makihara, H.Nakagawa, M.Ikeda, H.Murakami, S.Higashi, S.Miyazaki

    2004 International Microprocesses and Nanotechnology Conference 

     More details

    Event date: 2004.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  850. Electrical Charging Characteristics of Silicon Dots Floating Gates in MOS Devices International conference

    S. Miyazaki

    7th China-Japan Symposium on Thin Films 

     More details

    Event date: 2004.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:China  

  851. Evaluation of Electronic Defect States at Poly-Si/HfO2 interface by Photoelectron Yield Spectroscopy International conference

    M. Sugimura, A. Ohta, H. Nakagawa, T. Shibaguchi, S. Higashi, S. Miyazaki

    2004 International Conference on Solid State Devices and Materials (SSDM2004) 

     More details

    Event date: 2004.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  852. Photo-Induced Electron Charging to Silicon-Quantum-Dot Floating Gate in Metal-Oxide-Semiconductor Memories International conference

    T. Nagai, M. Ikeda, H. Murakami, S. Higashi, S. Miyazaki

    2004 International Conference on Solid State Devices and Materials (SSDM2004) 

     More details

    Event date: 2004.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  853. Crystallization of Si Films on Glass Substrate Using Thermal Plasma Jet International conference

    S. Higashi, H. Kaku, H. Taniguchi, H. Murakami, S. Miyazaki

    International Conference on Polycrystalline Semiconductors 2004 (POLYSE2002) 

     More details

    Event date: 2004.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  854. Hf系高誘電率絶縁膜ゲートスタックにおける界面反応制御

    宮崎誠一

    第65回応用物理学会学術講演会 

     More details

    Event date: 2004.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:東北学院大学   Country:Japan  

  855. Crystallization of Si Thin Film Using Thermal Plasma Jet and Its Application to Thin-Film Transistor Fabrication International conference

    S. Higashi, H. Kaku, H. Murakami, S. Miyazaki, M. Asami, H. Watakabe, N. Ando, T. Sameshima

    2004 International Workshop on Active-Matrix Liquid-Crystal Displays (AM-LCD 04) 

     More details

    Event date: 2004.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  856. Characterization of Atom Diffustion in Polycrystalline Si/SiO2/Si Stacked Gate International conference

    H. Murakami, Y. Moriwaki, M. Fujitake, D. Azuma, S. Higashi, S. Miyazaki

    2004 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2004) 

     More details

    Event date: 2004.6 - 2004.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  857. Characterization of germanium nanocrystallites grown on quartz by a conductive AFM probe technique International conference

    K. Makihara, Y. Okamoto, H. Murakami, S. Higashi, S. Miyazaki,

    2004 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2004) 

     More details

    Event date: 2004.6 - 2004.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  858. Charging and Discharging Characteristics of Stacked Floating Gates of Silicon Quantum Dots International conference

    T. Shibaguchi, M. Ikeda, H. Murakami, S. Miyazaki

    2004 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2004) 

     More details

    Event date: 2004.6 - 2004.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  859. Influence of Substrate DC Bias on Crystallinity of Si Films Grown at a High Rate from Inductively-Coupled Plasma CVD International conference

    N. Kosku, H. Murakami, S. Higashi, S. Miyazaki

    12th International Conference on Solid Films and Surfaces (ICSFS-12) 

     More details

    Event date: 2004.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  860. Control of the Nucleation Density of Si Quantum Dots by Remote Hydrogen Plasma Treatment International conference

    K. Makihara, H. Deki, H. Murakami, S. Higasi, S. Miyazaki

    12th Int. Conf. on Solid Films and Surface (ICSFS-12) 

     More details

    Event date: 2004.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  861. Formation of Microcrystalline Germanium (μc-Ge:H) Films From Inductively-Coupled Plasma CVD International conference

    Y. Okamoto, K. Makihara, S. Higasi, S. Miyazaki

    12th International Conference on Solid Films and Surface (ICSFS-12) 

     More details

    Event date: 2004.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  862. A new crystallization technique of Si films on glass substrate using thermal plasma jet International conference

    H. Kaku, S. Higashi, H. Taniguchi, H. Murakami, S. Miyazaki

    12th International Conference on Solid Films and Surfaces (ICSFS-12) 

     More details

    Event date: 2004.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  863. Characterization of Interfacial Oxide Layers in Heterostructures of Hafnium Oxides Formed on NH3-nitrided Si(100) International conference

    H. Nakagawa, A. Ohta, F. Takeno, S. Nagamachi, H. Murakami, S. Higashi, S. Miyazaki

    2004 International Workshop on Dielectric Thin Films for Future ULSI Devices (IWDTF) 

     More details

    Event date: 2004.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  864. Impact of Rapid Thermal O2-Anneal on Dielectric Stack Structures of Hafnium Aluminate and Silicon Dioxide formed on Si(100) International conference

    A. Ohta, S. Miyazaki, H. Murakami, T. Kawahara, K. Torii

    2004 International Workshop on Dielectric Thin Films for Future ULSI Devices (IWDTF) 

     More details

    Event date: 2004.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  865. Charging/Discharging Characteristics of Silicon Quantum Dots and Their Application to Memory Devices International conference

    S. Miyazaki

    The 2004 Joint Conference of The 7th International Conference on Advanced Surface Enginnering (ASE2004) and The 2nd International Conference on Surface and Interface Science and Engineering (SISE 2004) FSISE 

     More details

    Event date: 2004.5

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:China  

  866. 高誘電率絶縁膜/Si界面の基礎物性

    宮崎誠一

    第51回応用物理学会学術講演会、シンポジウム「High-kゲート絶縁膜-現状と課題-」 

     More details

    Event date: 2004.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:東京工科大学   Country:Japan  

▼display all

Research Project for Joint Research, Competitive Funding, etc. 19

  1. SiH4-CVDによる金属ナノドットのシリサイド化反応制御に関する研究

    2022.4 - 2024.3

    東北大学電気通信研究所  共同プロジェクト研究 

    宮﨑 誠一

      More details

    Authorship:Principal investigator  Grant type:Competitive

  2. 2022.1

    企業からの研究助成(寄附金) 

    宮﨑 誠一

      More details

    Authorship:Principal investigator  Grant type:Collaborative (industry/university)

    Grant amount:\500000

    2721000569

  3. Si-Ge系量子ドットの規則配列と電子輸送制御に関する研究

    Grant number:H31/A04  2019.4 - 2022.3

    東北大学電気通信研究所  共同プロジェクト研究/先進的研究推進型 

    宮﨑 誠一

      More details

    Authorship:Principal investigator  Grant type:Competitive

  4. 分担テーマ「GaN MOSデバイスに適した絶縁膜/GaN, 金属/GaN界面構造の明確化と形成」

    2017 - 2019

    国立研究開発法人 新エネルギー・産業技術総合開発機構  低炭素社会を実現する次世代パワーエレクトロニクスプロジェクト/研究開発項目「GaNパワーデバイス等の実用化加速技術開発」/研究開発テーマ「GaN物性を最大限に発揮させる最適なパワーデバイス構造の確立とその工業的な製造プロセスに繋がる絶縁膜形成技術の研究開発」(研究開発責任者:清水三聡(産総研)) 

    宮﨑 誠一

      More details

    Authorship:Coinvestigator(s)  Grant type:Competitive

  5. 分担テーマ「絶縁膜/GaN界面制御に関わる技術開発」

    2016.4 - 2021.3

    科学技術試験研究委託事業/省エネルギー社会の実現に資する次世代半導体研究開発/パワーデバイス・システム領域(領域責任者:加地徹(名古屋大学) 

    宮﨑 誠一

      More details

    Authorship:Coinvestigator(s)  Grant type:Competitive

    Direct Cost: \27000000 )

  6. Si-Ge系ナノ構造制御による室温エレクトロルミネッセンス

    2016 - 2018

    東北大学電気通信研究所  共同研究プロジェクト 

    宮﨑 誠一

      More details

    Authorship:Principal investigator  Grant type:Competitive

  7. 光電子分光法によるGaN表界面の高感度電子状態計測と酸化膜界面設計の研究

    2016 - 2018

    トヨタ自動車株式会社  トヨタ先端技術共同研究 

    宮﨑 誠一

      More details

    Authorship:Principal investigator  Grant type:Collaborative (industry/university)

  8. 分担テーマ「GaN/絶縁膜界面形成技術および評価」

    2015 - 2016

    国立研究開発法人 新エネルギー・産業技術総合開発機構  低炭素社会を実現する次世代パワーエレクトロニクスプロジェクト/窒化ガリウムパワーデバイスの実用化促進等に関する先導研究/新規絶縁膜形成技術の探索(業務管理者:天野浩(名古屋大学) 

    宮﨑 誠一

      More details

    Authorship:Coinvestigator(s)  Grant type:Competitive

  9. GaN/SiC構造の光電子分光分析

    2013 - 2016

    株式会社デンソー  企業との共同研究 

    宮﨑 誠一

      More details

    Authorship:Principal investigator  Grant type:Collaborative (industry/university)

  10. IV族半導体―金属合金化反応制御による強磁性ナノドットの高密度自己組織化形成と磁気的特性

    2013 - 2015

    東北大学電気通信研究所  共同研究プロジェクト 

    宮﨑 誠一

      More details

    Authorship:Principal investigator  Grant type:Competitive

  11. DRAM用キャパシタ材料に関する研究

    2013 - 2014

    エルピーダメモリ株式会社  企業との共同研究 

    宮﨑 誠一

      More details

    Authorship:Principal investigator  Grant type:Collaborative (industry/university)

  12. 最先端光電子分光分析やプローブ顕微鏡を活用した誘電体ナノ構造評価

    2012

    日新電機株式会社  企業からの受託研究 

    宮﨑 誠一

      More details

    Authorship:Principal investigator  Grant type:Collaborative (industry/university)

  13. Si系ナノ構造に関する新規評価手法の開発と基礎データ特性評価

    2011.8 - 2012.3

    企業からの受託研究 

  14. PDP保護膜材料の化学結合状態および欠陥密度分布の解析

    2011.6 - 2012.3

    国内共同研究 

  15. Si系ナノ構造に関する基礎データ特性評価

    2010.8 - 2011.3

    日新電機株式会社  企業からの受託研究 

    宮﨑 誠一

      More details

    Authorship:Principal investigator  Grant type:Collaborative (industry/university)

  16. ゲルマニウム系量子ドットの形成および価電子制御とナノスケール機能メモリ応用

    2010 - 2012

    東北大学電気通信研究所  共同研究プロジェクト 

    宮﨑 誠一

      More details

    Authorship:Principal investigator  Grant type:Competitive

  17. IV 族半導体量子ドットの価電子制御とMOS メモリへの応用

    2007 - 2009

    東北大学電気通信研究所  共同研究プロジェクト 

    宮﨑 誠一

      More details

    Authorship:Principal investigator  Grant type:Competitive

  18. 分担テーマ「テラビットメモリ材料・デバイス技術」

    2006 - 2008

    文部科学省  科学技術振興調整費/半導体・バイオ融合集積化技術の構築(拠点リーダー:岩田穆(広島大学) 

    宮﨑 誠一

      More details

    Authorship:Coinvestigator(s)  Grant type:Competitive

  19. 分担テーマ「量子ドット機能デバイスに関する研究」

    2002 - 2006

    文部科学省  21世紀COEプログラム/テラビット情報ナノエレクトロ二クス(拠点リーダー:岩田穆(広島大学)) 

    宮﨑 誠一

      More details

    Authorship:Coinvestigator(s)  Grant type:Competitive

▼display all

KAKENHI (Grants-in-Aid for Scientific Research) 15

  1. ハイブリッドスーパーアトム創成による量子物性制御と新機能デバイス開発

    Grant number:21H04559  2021.4 - 2024.3

    科学研究費補助金  基盤研究(A)

    宮崎 誠一

      More details

    Authorship:Principal investigator  Grant type:Competitive

    Grant amount:\43550000 ( Direct Cost: \33500000 、 Indirect Cost:\10050000 )

  2. Si-Ge系スーパーアトム構造のセルフアライン集積による光・電子物性制御

    Grant number:15H05762  2015.5 - 2019.3

    科学研究費補助金  基盤研究(S)

    宮﨑 誠一

      More details

    Authorship:Principal investigator 

    Grant amount:\197990000 ( Direct Cost: \152300000 、 Indirect Cost:\45690000 )

  3. 磁性合金ナノドットハイブリッド集積によるスピン物性制御と新機能メモリ応用

    Grant number:15H02239  2015.4 - 2016.3

    科学研究費補助金  基盤研究(A)

    宮﨑 誠一

      More details

    Authorship:Principal investigator 

    Grant amount:\19110000 ( Direct Cost: \14700000 、 Indirect Cost:\4410000 )

  4. シリコン系スーパーアトムの超高密度配列と量子物性制御

    Grant number:24246054  2012.4 - 2015.3

    科学研究費補助金  基盤研究(A)

    宮﨑 誠一

      More details

    Authorship:Principal investigator 

    Grant amount:\46930000 ( Direct Cost: \36100000 、 Indirect Cost:\10830000 )

  5. Ge-Si系量子ドットの自己整合複合集積による物性制御とエレクトロルミネッセンス

    Grant number:21246053  2009.4 - 2012.3

    科学研究費補助金  基盤研究(A)

    宮﨑 誠一

      More details

    Authorship:Principal investigator 

    Grant amount:\24570000 ( Direct Cost: \18900000 、 Indirect Cost:\5670000 )

  6. シリコンナノエレクトロニクスの新展開に関する総括的研究

    Grant number:18063013  2006.4 - 2011.3

    科学研究費補助金  特定領域研究

    宮﨑 誠一

      More details

    Authorship:Coinvestigator(s) 

    Grant amount:\50800000 ( Direct Cost: \50800000 )

  7. シリコン系ナノ構造集積と機能メモリデバイス開発

    Grant number:18063017  2006.4 - 2010.3

    科学研究費補助金  特定領域研究

    宮﨑 誠一

      More details

    Authorship:Principal investigator 

    Grant amount:\109100000 ( Direct Cost: \109100000 )

  8. シリコン系スーパーアトム構造の高密度集積と新機能材料創成

    Grant number:18206035  2006.4 - 2009.3

    科学研究費補助金  基盤研究(A)

    宮﨑 誠一

      More details

    Authorship:Principal investigator 

    Grant amount:\47970000 ( Direct Cost: \36900000 、 Indirect Cost:\11070000 )

  9. PN制御シリコン系ナノ結晶集積構造におけるキャリア輸送とエレクトロルミネッセンス

    Grant number:17656109  2005.4 - 2007.3

    科学研究費補助金  萌芽研究

    宮﨑 誠一

      More details

    Authorship:Principal investigator 

    Grant amount:\3300000 ( Direct Cost: \3300000 )

  10. シリコンナノエレクトロニクスの新展開-ポストスケーリングテクノロジー

    Grant number:17636001  2005.4 - 2006.3

    科学研究費補助金  基盤研究(C)

    宮﨑 誠一

      More details

    Authorship:Coinvestigator(s) 

    Grant amount:\3300000 ( Direct Cost: \3300000 )

  11. 自己組織化シリコン系量子ドットにおけるスーパーアトム構造の創成と電子状態制御

    Grant number:15206035  2003.4 - 2006.3

    科学研究費補助金  基盤研究(A)

    宮﨑 誠一

      More details

    Authorship:Principal investigator 

    Grant amount:\49010000 ( Direct Cost: \37700000 、 Indirect Cost:\11310000 )

  12. シリコンチップ間超高速グローバルインタコネクト研究

    Grant number:15206041  2003.4 - 2006.3

    科学研究費補助金  基盤研究(A)

    宮﨑 誠一

      More details

    Authorship:Coinvestigator(s) 

    Grant amount:\40430000 ( Direct Cost: \31100000 、 Indirect Cost:\9330000 )

  13. シリコン量子構造体の形成・物性制御と室温動作デバイスへの応用

    Grant number:10305026  1998.4 - 2001.3

    科学研究費補助金  基盤研究(A)

    宮﨑 誠一

      More details

    Authorship:Principal investigator 

    Grant amount:\36600000 ( Direct Cost: \36600000 )

  14. シリコン量子ドット凝集体の電子物性とメモリ効果に関する基礎的研究

    Grant number:10450125  1998.4 - 2000.3

    科学研究費補助金  基盤研究(B)

    宮﨑 誠一

      More details

    Authorship:Coinvestigator(s) 

    Grant amount:\6000000 ( Direct Cost: \6000000 )

  15. 半導体表面近傍に偏析した分子状不純物の紫外線レーザラマン分光法による高感度分析

    Grant number:09875011  1997.4 - 1998.3

    科学研究費補助金  萌芽的研究

    宮﨑 誠一

      More details

    Authorship:Principal investigator 

    Grant amount:\2000000 ( Direct Cost: \2000000 )

▼display all

Industrial property rights 16

  1. 半導体薄膜およびその製造方法

    牧原克典、宮崎誠一、林司

     More details

    Applicant:日新電機株式会社

    Application no:特願2012-041844  Date applied:2012.2

    Country of applicant:Domestic  

  2. 窒化珪素膜および不揮発性半導体メモリ装置

    宮崎誠一、鴻野真之、西田辰夫、中西敏雄、廣田良浩

     More details

    Applicant:国立大学法人 広島大学、東京エレクトロン株式会社

    Application no:10-2009-7019956  Date applied:2009.9

    Country of applicant:Foreign country  

  3. 酸化ゲルマニウムの製造方法およびそれを用いた半導体デバイスの製造方法

    村上秀樹、宮崎誠一

     More details

    Applicant:国立大学法人 広島大学

    Application no:2008-273140  Date applied:2008.10

    Country of applicant:Domestic  

  4. プラズマ装置および結晶製造方法

    東清一郎、宮崎誠一、加久博隆

     More details

    Applicant:国立大学法人 広島大学

    Application no:PCT/JP2008/002068  Date applied:2008.7

    Country of applicant:Domestic  

  5. 窒化珪素膜および不揮発性半導体メモリ装置

    宮崎誠一、鴻野真之、西田辰夫、中西敏雄、廣田良浩

     More details

    Applicant:国立大学法人 広島大学、東京エレクトロン株式会社

    Application no:PCT/JP2008/055679  Date applied:2008.3

    Country of applicant:Domestic  

  6. 窒化珪素膜および不揮発性半導体メモリ装置

    宮崎誠一、鴻野真之、西田辰夫、中西敏雄、廣田良浩

     More details

    Applicant:国立大学法人 広島大学、東京エレクトロン株式会社

    Application no:97110781 (TW)  Date applied:2008.3

    Country of applicant:Domestic  

  7. スパッタリング装置および製造方法

    東清一郎、宮崎誠一、広重康夫、岡田竜弥

     More details

    Applicant:国立大学法人 広島大学

    Application no:2008-077056  Date applied:2008.3

    Country of applicant:Domestic  

  8. 半導体メモリ、それを用いた半導体メモリシステム、および半導体メモリに用いられる量子ドットの製造方法

    牧原克典、宮崎誠一、東清一郎

     More details

    Applicant:国立大学法人 広島大学

    Application no:PCT/JP2007/001361  Date applied:2007.12

    Country of applicant:Domestic  

  9. 窒化珪素膜および不揮発性半導体メモリ装置

    宮崎誠一、鴻野真之、西田辰夫、中西敏雄、廣田良浩

     More details

    Applicant:国立大学法人 広島大学、東京エレクトロン株式会社

    Date applied:2007.9

    Announcement no:2009-270706 

    Country of applicant:Domestic  

  10. 半導体メモリ、それを用いた半導体メモリシステム、および半導体メモリに用いられる量子ドットの製造方法

    牧原克典、宮崎誠一、東清一郎

     More details

    Applicant:国立大学法人 広島大学

    Application no:2007-236635  Date applied:2007.9

    Announcement no:2008-270705 

    Country of applicant:Domestic  

  11. 半導体素子

    牧原克典、宮崎誠一、東清一郎、村上秀樹、

     More details

    Applicant:国立大学法人 広島大学

    Application no:特願2007-131078  Date applied:2007.5

    Announcement no:2008-288346 

    Country of applicant:Domestic  

  12. 量子ドット電界効果トランジスタ、それを用いたメモリ素子及び光センサ及びそれらの集積回路

    宮崎誠一、東清一郎

     More details

    Applicant:国立大学法人 広島大学

    Application no:特開2005-277263  Date applied:2005.10

    Country of applicant:Domestic  

  13. 半導体装置およびその製造方法

    有門経敏、川原孝昭、鳥居和功、北島洋、宮崎誠一

     More details

    Applicant:(株)半導体先端テクノロジーズロジーズ

    Application no:特開2005-79309  Date applied:2005.3

    Country of applicant:Domestic  

  14. 半導体装置の製造方法

    有門経敏、北島洋、鳥居和功、山田啓作、宮崎誠一

     More details

    Applicant:(株)半導体先端テクノロジーズ

    Application no:特開2005-79306  Date applied:2005.3

    Country of applicant:Domestic  

  15. MOS電界効果トランジスタ型量子ドット発光素子および受光素子、これらを利用した光電子集積チップおよびデータ処理装置

    宮崎誠一、東清一郎

     More details

    Applicant:国立大学法人 広島大学

    Application no:特開2005-032564  Date applied:2004.7

    Country of applicant:Domestic  

  16. 光電変換膜とその作成方法

    「平野喜之」「佐藤史郎」「斎藤信雄」「廣瀬全孝」「宮崎誠一」

     More details

    Application no:特願平11-178413  Date applied:1999.6

    Announcement no:特開2001-7381 

    Country of applicant:Domestic  

▼display all

 

Teaching Experience (On-campus) 21

  1. First Year Seminar A

    2020

  2. Foundations of Electromagnetics I

    2020

  3. Technical Visits in Industrial Plants

    2020

  4. Electron Devices

    2020

  5. Electronic Device Engineering

    2020

  6. Electrical Circuits Engineering

    2020

  7. Technical Visits in Companies and Laboratories B

    2020

  8. Technical Visits in Companies and Laboratories A

    2020

  9. Advanced Experiments and Exercises in Electronic Engineering

    2020

  10. Advanced Lecture on Electron Device Engineering

    2020

  11. Seminar on Semiconductor Engineering and Integration Science 1D

    2020

  12. Seminar on Semiconductor Engineering and Integration Science 1C

    2020

  13. Seminar on Semiconductor Engineering and Integration Science 1B

    2020

  14. Seminar on Semiconductor Engineering and Integration Science 1A

    2020

  15. Seminar on Semiconductor Engineering and Integration Science 2E

    2020

  16. Seminar on Semiconductor Engineering and Integration Science 2D

    2020

  17. Seminar on Semiconductor Engineering and Integration Science 2C

    2020

  18. Seminar on Semiconductor Engineering and Integration Science 2B

    2020

  19. Seminar on Semiconductor Engineering and Integration Science 2A

    2020

  20. 熱・統計力学

    2020

  21. 電気電子情報工学序論

    2020

▼display all

Teaching Experience (Off-campus) 10

  1. 電気電子工学特別講義AⅠ、AⅡ

    2013.4 - 2014.3 Mie University)

  2. 2013.4 - 2014.3 University of Tsukuba)

  3. 2012.4 - 2013.3 University of Tsukuba)

  4. 集積システム信頼性

    2012.4 - 2013.3 Hiroshima University)

  5. 2011.4 - 2012.3 University of Tsukuba)

  6. 科学技術と人間社会

    2010.4 - 2011.3 Hiroshima University)

  7. 集積システム信頼性

    2010.4 - 2011.3 Hiroshima University)

  8. エレクトロニクス概論

    2010.4 - 2011.3 Hiroshima University)

  9. 表面・薄膜工学

    2010.4 - 2011.3 Hiroshima University)

  10. 半導体製造プロセスにおける分析・評価技術

    2010.4 - 2011.3 Hiroshima University)

▼display all

 

Social Contribution 2

  1. [チュートリアル]組成・状態評価

    Role(s):Appearance, Lecturer

    日本学術振興会 R025先進薄膜界面機能創成委員会  日本学術振興会 R025先進薄膜界面機能創成委員会 リトリート学習会  2022.7

     More details

    Audience: College students, Graduate students, Researchesrs

    Type:Seminar, workshop

  2. [チュートリアル]組成・状態評価

    Role(s):Appearance, Lecturer

    日本学術振興会 R025先進薄膜界面機能創成委員会  日本学術振興会 R025先進薄膜界面機能創成委員会 リトリート学習会  2021.7

     More details

    Audience: College students, Graduate students, Researchesrs

    Type:Seminar, workshop

Media Coverage 1

  1. 名古屋大、初の「女子枠」設置で工学部2学科の志願者増加 Newspaper, magazine

    朝日新聞、中日新聞、毎日新聞、Yahoo, Livedoor, NHK  https://mainichi.jp/articles/20230329/k00/00m/040/248000c  2023.3

     More details

    Author:Other