2023/04/06 更新

写真a

コンドウ ヒロキ
近藤 博基
KONDO, Hiroki
所属
低温プラズマ科学研究センター プラズマ科学部門 准教授
大学院担当
大学院工学研究科
学部担当
工学部
職名
准教授
連絡先
メールアドレス
外部リンク

学位 1

  1. 博士(工学) ( 1999年3月   名古屋大学 ) 

研究キーワード 9

  1. プラズマプロセス

  2. 表界面物理

  3. 材料科学

  4. 固体デバイス

  5. ナノバイオデバイス

  6. ナノバイオデバイス

  7. 表界面物理

  8. 材料科学

  9. プラズマプロセス

研究分野 5

  1. その他 / その他  / プラズマプロセス

  2. その他 / その他  / 工学@応用物理学・工学基礎@表面界面物性

  3. その他 / その他  / 工学@応用物理学・工学基礎@応用物性・結晶工学

  4. その他 / その他  / マイクロ・ナノデバイス

  5. ナノテク・材料 / 薄膜、表面界面物性

現在の研究課題とSDGs 4

  1. 先進プラズマプロセスによるナノ材料合成

  2. カーボンナノウォールのデバイス応用

  3. 高密度窒素ラジカル照射によるInGaNの高速成長

  4. 次世代MOS型電界効果トランジスタ(FET)の実現に向けたメタルゲート/High-k/Geゲートスタック構造の研究

経歴 15

  1. 名古屋大学   低温プラズマ科学研究センター プラズマ科学部門   准教授

    2019年4月 - 現在

  2. 名古屋大学大学院工学研究科 准教授   准教授

    2011年10月 - 現在

      詳細を見る

    国名:日本国

  3. 名古屋大学大学院工学研究科 特任准教授   特任准教授

    2009年8月 - 2011年9月

      詳細を見る

    国名:日本国

  4. 名古屋大学大学院工学研究科 助教   助教

    2007年4月

      詳細を見る

    国名:日本国

  5. 名古屋大学大学院工学研究科 助手   助手

    2002年7月 - 2007年3月

      詳細を見る

    国名:日本国

  6. 富士通(株) 富士通研究所研究員   研究員

    1999年4月 - 2002年6月

      詳細を見る

    国名:日本国

  7. 名古屋大学大学院工学研究科 准教授   准教授

    2011年10月 - 現在

      詳細を見る

    国名:日本国

  8. 名古屋大学   大学院工学研究科 附属プラズマナノ工学研究センター 基礎研究部門   准教授

    2011年10月 - 2019年3月

  9. 名古屋大学   大学院工学研究科 電子情報システム専攻   特任准教授

    2009年8月 - 2011年9月

  10. 名古屋大学大学院工学研究科 特任准教授   特任准教授

    2009年8月 - 2011年9月

      詳細を見る

    国名:日本国

  11. 名古屋大学   大学院工学研究科 結晶材料工学専攻   助教

    2007年4月 - 2009年7月

  12. 名古屋大学大学院工学研究科 助教   助教

    2007年4月

      詳細を見る

    国名:日本国

  13. 名古屋大学   大学院工学研究科 結晶材料工学専攻   助手

    2007年3月

  14. 名古屋大学大学院工学研究科 助手   助手

    2002年7月 - 2007年3月

      詳細を見る

    国名:日本国

  15. 富士通(株) 富士通研究所研究員   研究員

    1999年4月 - 2002年6月

      詳細を見る

    国名:日本国

▼全件表示

学歴 8

  1. 名古屋大学   工学研究科   結晶材料工学専攻

    1996年4月 - 1999年3月

      詳細を見る

    国名: 日本国

  2. 名古屋大学   工学研究科   結晶材料工学専攻

    1994年4月 - 1996年3月

      詳細を見る

    国名: 日本国

  3. 名古屋大学   工学部   応用物理工学科

    1990年4月 - 1994年3月

      詳細を見る

    国名: 日本国

  4. 名古屋大学   工学研究科   結晶材料工学専攻

    1996年4月 - 1999年3月

      詳細を見る

    国名: 日本国

  5. 名古屋大学   工学研究科   結晶材料工学専攻

    1996年4月 - 1999年3月

      詳細を見る

    国名: 日本国

  6. 名古屋大学   工学研究科   結晶材料工学専攻

    1994年4月 - 1996年3月

      詳細を見る

    国名: 日本国

  7. 名古屋大学   工学研究科   結晶材料工学専攻

    1994年4月 - 1996年3月

      詳細を見る

    国名: 日本国

  8. 名古屋大学   工学部   応用物理工学科

    1990年4月 - 1994年3月

      詳細を見る

    国名: 日本国

▼全件表示

所属学協会 4

  1. 応用物理学会

    2002年9月 - 現在

  2. プラズマ核融合学会

    2020年 - 現在

  3. 日本表面科学会

    - 現在

  4. 応用物理学会

委員歴 1

  1. 応用物理学会東海支部役員会   幹事  

    2014年4月 - 現在   

受賞 6

  1. 第11回プラズマエレクトロニクス賞

    2013年3月   応用物理学会 プラズマエレクトロニクス分科会  

    石川 健治、河野 昭彦、堀邊 英夫、竹田 圭吾、近藤博生、関根 誠、堀 勝

     詳細を見る

    受賞区分:国内学会・会議・シンポジウム等の賞 

  2. MNC2004 Award for Outstanding Paper

    2005年10月   MNC organizing commitee  

     詳細を見る

    受賞区分:国際学会・会議・シンポジウム等の賞  受賞国:日本国

  3. The Best Oral Presentation Awards, ISPlasma2019/IC-PLANTS2019

    2019年3月   ISPlasma2019/IC-PLANTS2019   Control of sp2-C Fraction and Hardness of Amorphous Carbon Films by Formation of Precursor Radicals Depending on a Residence Time"

    Hirotsugu Sugiura, Yasuyuki Ohashi, Ligyun Jia, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Toshio Hayashi, Keigo Takeda, Makoto Sekine and Masaru Hori

     詳細を見る

    受賞区分:国際学会・会議・シンポジウム等の賞 

  4. 第66回日本酸化ストレス学会 優秀演題賞

    2013年6月   日本酸化ストレス学会  

     詳細を見る

    受賞区分:国内学会・会議・シンポジウム等の賞  受賞国:日本国

    中村 香江, 梶山 広明, 内海史, 田中 宏昌, 水野 正明, 石川 健治, 近藤 博基, 加納 浩之, 堀 勝, 吉川 史隆

  5. ISPlasma2013 Best Poster Presentation Award

    2013年2月   5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma 2013)  

     詳細を見る

    受賞区分:国際学会・会議・シンポジウム等の賞  受賞国:日本国

  6. LSIとシステムのワークショップ2015 一般部門最優秀ポスター賞

    2015年   電子情報通信学会集積回路研究会   がん細胞カウンティングのための無電解金メッキによる1.2um x 2.05um 1024 x 1024電極アレイ付CMOS集積プラットフォーム

    新津 葵一, 近藤 博基, 堀 勝

     詳細を見る

    受賞区分:国内学会・会議・シンポジウム等の賞 

▼全件表示

 

論文 280

  1. Creation of unique shapes by coordination of alumina nanopores and carbon nanowalls 招待有り 査読有り 国際共著 国際誌

    Yerlanuly Yerassyl, Christy Dennis, Nong Ngo Van, Kondo Hiroki, Alpysbayeva Balaussa Ye, Zhumadilov Rakhymzhan, Nemkayeva Renata R., Ramazanov Tlekkabul S., Hori Masaru, Gabdullin Maratbek T.

    FULLERENES NANOTUBES AND CARBON NANOSTRUCTURES   31 巻 ( 4 ) 頁: 295 - 301   2023年4月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Fullerenes Nanotubes and Carbon Nanostructures  

    This work presents experimental results on the synthesis of сarbon nanowalls (CNWs) with predefined morphology on the surface of the nanoporous alumina membrane using two different methods, namely radio-frequency plasma-enhanced chemical vapor deposition (RF-PECVD) and radical-injection (RI)-PECVD. Obtained samples were characterized by the methods of scanning electron microscopy (SEM), transmission electron microscopy (TEM), and Raman spectroscopy. From the microstructure analyses of CNWs, it has been observed that there is a time dependence on the reproducibility of membrane morphology by CNWs. At the early stage of nanowalls growth, nanowalls prefer to grow around the edges of nanopores and continue to grow vertically with time. In RF-PECVD, the nanopores’ size begins to shrink drastically and pores are completely covered by secondary flake-like nanowalls after 25 minutes of growth. In the case of CNWs grown using RI-PECVD, nanowalls are more vertical and self-supported. This is because of the rapid and sustained production of hydrogen radicals that prevent the secondary growth of carbon nanowalls. In addition, the influence of pores diameter and membrane thickness on the growth of RI-PECVD CNWs was revealed.

    DOI: 10.1080/1536383X.2022.2146672

    Web of Science

    Scopus

  2. Biocompatibility of conformal silicon carbide on carbon nanowall scaffolds 招待有り 査読有り 国際共著 国際誌

    Ono Koki, Koide Takashi, Ishikawa Kenji, Tanaka Hiromasa, Kondo Hiroki, Sugawara-Narutaki Ayae, Jin Yong, Yasuhara Shigeo, Hori Masaru, Takeuchi Wakana

    JAPANESE JOURNAL OF APPLIED PHYSICS   62 巻 ( SA )   2023年1月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/ac9319

    Web of Science

  3. Effects of High-Quality Carbon Nanowalls Ionization-Assisting Substrates on Surface-Assisted Laser Desorption/Ionization Mass Spectrometry Performance 招待有り 査読有り 国際共著 国際誌

    Sakai Ryusei, Kondo Hiroki, Ishikawa Kenji, Ohta Takayuki, Hiramatsu Mineo, Tanaka Hiromasa, Hori Masaru

    NANOMATERIALS   13 巻 ( 1 )   2023年1月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/nano13010063

    Web of Science

    PubMed

  4. Effects of deposition precursors of hydrogenated amorphous carbon films on the plasma etching resistance based on mass spectrometer measurements and machine learning analysis 招待有り 査読有り 国際共著 国際誌

    Kurokawa Jumpei, Kondo Hiroki, Tsutsumi Takayoshi, Ishikawa Kenji, Sekine Makoto, Hori Masaru

    VACUUM   205 巻   2022年11月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Vacuum  

    In the growth of hydrogenated amorphous carbon (a-C:H) films by plasma enhanced chemical vapor deposition (PECVD) with H2, CH4 and C3H6 plasma, the gas phase reaction of active species and their contribution to properties of deposited a-C:H film were quantitatively investigated using appearance quadrupole mass spectrometry (QMS) and machine learning. The QMS measurement indicated that two-types of neutral radicals were generated by the dissociation and polymerization of the raw material gasses. A random forest regression model was employed as a prediction model to analyze the correlation between the neutral species and etching rates by molecular oxygen (O2) plasma without any stage bias, which were measured by in-situ ellipsometry. The coefficient of determination (R2), an indicator of the degree of prediction accuracy, was 0.906 and 0.584 for the training and test data, respectively. SHapley Additive exPlanations (SHAP), interpreting the random forest model, were used to quantitatively indicate the contribution of radicals to the etching rate, including their synergistic and secondary effects. They indicated that carbon-rich radicals, such as C3H3 and C5H5, contributed to a decrease in the etching rate, whereas hydrogen-rich radicals, such as CHx (x=1,2,3,4), C2H5, C4H9, and C5H9,11 induced an increase in the etching rate by the O2 plasma. (200 words)

    DOI: 10.1016/j.vacuum.2022.111351

    Web of Science

    Scopus

  5. Power Generation Characteristics of Polymer Electrolyte Fuel Cells Using Carbon Nanowalls as Catalyst Support Material 招待有り 査読有り 国際共著 国際誌

    Ohta Takayuki, Iwata Hiroaki, Hiramatsu Mineo, Kondo Hiroki, Hori Masaru

    C-JOURNAL OF CARBON RESEARCH   8 巻 ( 3 )   2022年9月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/c8030044

    Web of Science

  6. Recent progress in microwave-assisted preparations of 2D materials and catalysis applications 招待有り 査読有り 国際共著 国際誌

    Wang Jiayue, Wu Wei, Kondo Hiroki, Fan Tongxiang, Zhou Han

    NANOTECHNOLOGY   33 巻 ( 34 )   2022年8月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6528/ac6c97

    Web of Science

    PubMed

  7. In-liquid plasma synthesis of iron-nitrogen-doped carbon nanoflakes with high catalytic activity 招待有り 査読有り 国際共著 国際誌

    Kondo Hiroki, Hamaji Ryo, Amano Tomoki, Ishikawa Kenji, Sekine Makoto, Hiramatsu Mineo, Hori Masaru

    PLASMA PROCESSES AND POLYMERS   19 巻 ( 8 )   2022年8月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Plasma Processes and Polymers  

    Flake-shaped carbon nanomaterials with nitrogen (N) and iron (Fe) addition, so-called carbon nanoflakes (CNFLs), were synthesized by the in-liquid plasma using two different kinds of additive agents, such as hemin and iron (II) phthalocyanine (FePc). According to scanning electron microscopy images and Raman spectra, CNFLs with a size of at least 100 nm order were formed regardless of types of additive agents, and crystallinity of six-membered ring structures was improved as additive agents increased. Photoelectron spectra showed that pyridinic N contents increased from 1.05% to 2.02% with increasing FePc, while those decreased from 0.34% to 0.14% with hemin. In the oxygen reduction reaction, onset potential values also increased from 0.71 to 0.79 eV with increasing FePc, while those decreased from 0.60 to 0.47 eV with hemin. These results suggested that the catalytic activity of CNFLs was effectively improved by the increase of pyridinic N by the in-liquid plasma synthesis with FePc. In contrast, the electron transfer numbers reached 3.81 when hemin increased, although those were less than 2.88 in the cases using FePc. These results mean that the in-liquid plasma synthesis method of CNFLs using FePc has the potential to further improve its catalytic activity.

    DOI: 10.1002/ppap.202100203

    Web of Science

    Scopus

  8. Reaction science of layer-by-layer thinning of graphene with oxygen neutrals at room temperature 査読有り

    Sugiura Hirotsugu, Kondo Hiroki, Higuchi Kimitaka, Arai Shigeo, Hamaji Ryo, Tsutsumi Takayoshi, Ishikawa Kenji, Hori Masaru

    CARBON   170 巻   頁: 93 - 99   2020年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Carbon  

    DOI: 10.1016/j.carbon.2020.07.052

    Web of Science

    Scopus

  9. Synthesis of carbon nanowalls on the surface of nanoporous alumina membranes by RI-PECVD method 査読有り 国際共著

    Yerassyl Yerlanuly, Dennis Christy, Ngo Van Nong, Hiroki Kondo, Balaussa Alpysbayeva, Renata Nemkayeva, Meruert Kadyr, Tlekkabul Ramazanov, Maratbek Gabdullin, Didar Batryshev, Masaru Hori

    Applied Surface Science   523 巻   2020年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Surface Science  

    DOI: 10.1016/j.apsusc.2020.146533

    Scopus

  10. In-plane modification of hexagonal boron nitride particles via plasma in solution 招待有り 査読有り 国際共著 国際誌

    Ito Tsuyohito, Goto Taku, Inoue Kenichi, Ishikawa Kenji, Kondo Hiroki, Hori Masaru, Shimizu Yoshiki, Hakuta Yukiya, Terashima Kazuo

    APPLIED PHYSICS EXPRESS   13 巻 ( 6 )   2020年6月

     詳細を見る

    担当区分:筆頭著者, 責任著者   掲載種別:研究論文(学術雑誌)  

    Web of Science

  11. Transparent elongation and compressive strain sensors based on aligned carbon nanowalls embedded in polyurethane 招待有り 査読有り 国際共著 国際誌

    Slobodian Petr, Riha Pavel, Kondo Hiroki, Cvelbar Uros, Olejnik Robert, Matyas Jiri, Sekine Makoto, Hori Masaru

    SENSORS AND ACTUATORS A-PHYSICAL   306 巻   2020年5月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Sensors and Actuators, A: Physical  

    Highly extensible transparent composite materials comprised of maze-like vertically aligned carbon nanowalls embedded perpendicularly into a polyurethane film were used as strain tensors and tested by an electrical resistance method in the course of extension, extension/relaxation and compression/expansion cycles. The maze-like carbon nanowall networks with wall-to-wall average distances of 100, 200 and 300 nm were formed on SiO2-coated Si substrates by a plasma-enhanced chemical vapor deposition system. Afterwards, the nanowall network was embedded into a stretchable polyurethane matrix which enabled a high deformation of the composite. The measured extensibility of the composite was over 440 %, and its resistance increased with the extension. The sensitivity of the detection of extension, which was evaluated by the gauge factor, increased over 2000. These sensor properties can be readily tuned by varying distances of nanowalls within the network. Finally, thanks to their optical transparency in the visible light region and thermoelectric properties, these composites offer a wide range of further practical applications.

    DOI: 10.1016/j.sna.2020.111946

    Web of Science

    Scopus

  12. N-Graphene Nanowalls via Plasma Nitrogen Incorporation and Substitution: The Experimental Evidence 査読有り 国際共著

    Neelakandan M. Santhosh, Gregor Filipič, Eva Kovacevic, Andrea Jagodar, Johannes Berndt, Thomas Strunskus, Hiroki Kondo, Masaru Hori, Elena Tatarova & Uroš Cvelbar

    Nano-Micro Letters   12 巻 ( 1 )   2020年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Nano-Micro Letters  

    DOI: 10.1007/s40820-020-0395-5

    Scopus

  13. Etching reactions of Si, SiO<sub>2</sub>, and SiN films using with hydrofluorocarbon compounds 招待有り 査読有り 国際共著 国際誌

    Ni Jiawei, Hayashi Toshio, Ishikawa Kenji, Tsutsumi Takayoshi, Kondo Hiroki, Sekine Makoto, Hori Masaru

    JSAP Annual Meetings Extended Abstracts   2019.1 巻 ( 0 ) 頁: 1630 - 1630   2019年2月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:The Japan Society of Applied Physics  

    DOI: 10.11470/jsapmeeting.2019.1.0_1630

    CiNii Research

  14. P02 超音波と液中プラズマ法がもたらす高速ナノグラフェン合成 招待有り 査読有り 国際共著 国際誌

    長谷川 健太, 中田 諒, 加納 浩之, 近藤 博基, 堀 勝, 安田 啓司

    ソノケミストリー討論会講演論文集   27 巻 ( 0 ) 頁: 27 - 28   2018年11月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:日本ソノケミストリー学会  

    DOI: 10.20577/pamjss.27.0_27

    CiNii Research

  15. Liquid phase plasma assisted synthesis of Tin oxide – Graphene composite 招待有り 査読有り 国際共著 国際誌

    Borude Ranjit, Ishikawa Kenji, Tsutsumi Takayoshi, Kondo Hiroki, Hori Masaru

    JSAP Annual Meetings Extended Abstracts   2018.2 巻 ( 0 ) 頁: 1777 - 1777   2018年9月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:The Japan Society of Applied Physics  

    DOI: 10.11470/jsapmeeting.2018.2.0_1777

    CiNii Research

  16. 高温での窒化ガリウム低損傷塩素エッチング 招待有り 査読有り 国際共著 国際誌

    石川 健治, 劉 沢成, 今村 真人, 堤 隆嘉, 近藤 博基, 小田 修, 関根 誠, 堀 勝

    応用物理学会学術講演会講演予稿集   2017.2 巻 ( 0 ) 頁: 1758 - 1758   2017年8月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2017.2.0_1758

    CiNii Research

  17. Growth of InN films by radical-enhanced metal organic chemical vapor deposition at a low temperature of 200 °c 招待有り 査読有り 国際共著 国際誌

    Takai S., Lu Y., Oda O., Takeda K., Kondo H., Ishikawa K., Sekine M., Hori M.

    Japanese Journal of Applied Physics   56 巻 ( 6 )   2017年6月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    The InN films were deposited on GaN surfaces at a low temperature of 200 °C by radical-enhanced metal organic chemical vapor deposition (REMOCVD). The REMOCVD system can provide N radicals from the plasma of a N2-H2 mixture gas without using ammonia. Two types of GaN substrate, bulk GaN and GaN on Si(111), were used. The growth mode was modeled as a step flow on the basis of surface morphology observation by atomic force microscopy.

    DOI: 10.7567/JJAP.56.06HE08

    Web of Science

    Scopus

  18. マルチプレックスCARSによるプラズマと細胞の相互作用の観察 招待有り 査読有り 国際共著 国際誌

    古田 凌, 石川 健治, 橋爪 博司, 田中 宏昌, 竹田 圭吾, 太田 貴之, 近藤 博基, 伊藤 昌文, 関根 誠, 堀 勝

    応用物理学会学術講演会講演予稿集   2017.1 巻 ( 0 ) 頁: 1801 - 1801   2017年3月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2017.1.0_1801

    CiNii Research

  19. Thermally enhanced formation of photon-induced damage on GaN films in Cl<inf>2</inf> plasma 招待有り 査読有り 国際共著 国際誌

    Liu Z., Asano A., Imamura M., Ishikawa K., Takeda K., Kondo H., Oda O., Sekine M., Hori M.

    Japanese Journal of Applied Physics   56 巻 ( 9 )   2017年

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Deep ultraviolet (UV) photons emitted from Cl2 plasmas become a critical cause of degradation in both photoluminescence (PL) properties and surface stoichiometry as a result of plasma-induced damage on GaN films in Cl2 plasma etching at high temperatures. The damages were formed thermally by photon-irradiations of plasma UV emissions with wavelengths of >258-306 nm from Cl2 plasma at temperatures greater than 500 °C. The damage were observed with a depth of approximately 3.2 nm. The PL property degraded by the UV emission-induced damage at an early period of plasma etching and reached a constant value.

    DOI: 10.7567/JJAP.56.096501

    Scopus

  20. Plasma with high electron density and plasma-activated medium for cancer treatment 招待有り 査読有り 国際共著 国際誌

    Tanaka H., Mizuno M., Ishikawa K., Kondo H., Takeda K., Hashizume H., Nakamura K., Utsumi F., Kajiyama H., Kano H., Okazaki Y., Toyokuni S., Akiyama S., Maruyama S., Yamada S., Kodera Y., Kaneko H., Terasaki H., Hara H., Adachi T., Iida M., Yajima I., Kato M., Kikkawa F., Hori M.

    Clinical Plasma Medicine   3 巻 ( 2 ) 頁: 72 - 76   2015年12月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Clinical Plasma Medicine  

    Cancer treatment using non-thermal atmospheric pressure plasma is a brand new and challenging approach for cancer therapy. Conventional cancer therapies are surgery, radio-therapy, and chemo-therapy. We propose plasma-therapy as the fourth cancer therapy. Plasma cancer therapy involves direct plasma treatment of cancers including melanomas, head and neck cancer, pancreatic cancer and liver metastasis, and indirect plasma treatment of cancers by using plasma irradiated solutions such as plasma-activated medium (PAM).We have been recently studying plasma cancer therapy using target cancers such as ovarian cancers, brain tumors, gastric cancers and skin cancers. We have developed a plasma source with ultrahigh electron density, which we have applied to these cancer cells. In addition, we found that plasma-irradiated medium itself can kill these cancer cells. This medium was termed plasma-activated medium (PAM). In vitro and in vivo studies have suggested that PAM is an important tool for cancer therapy especially for disseminated cancers that are currently untreatable.Although many dramatic therapeutic effects of plasma therapy on cancer cells have been reported, the molecular mechanisms of the anti-tumor effects of plasma remain to be elucidated. The greatest challenge for plasma medical science is to understand the complex system that mediates plasma inputs resulting in physiological outputs such as cell death of cancer cells and proliferation of normal cells. Intracellular molecular mechanisms of PAM are also being intensively studied in order to understand the mode of action of PAM. In this review, we summarize the latest understanding of plasma cancer treatments.

    DOI: 10.1016/j.cpme.2015.09.001

    Web of Science

    Scopus

  21. Live demonstration: A CMOS sensor platform with 1.2 μm × 2.05 μm electroless-plated 1024 × 1024 microelectrode array for high-sensitivity rapid direct bacteria counting 招待有り 査読有り 国際共著 国際誌

    Ota S., Niitsu K., Kondo H., Hori M., Nakazato K.

    IEEE 2014 Biomedical Circuits and Systems Conference, BioCAS 2014 - Proceedings     2014年12月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE 2014 Biomedical Circuits and Systems Conference, BioCAS 2014 - Proceedings  

    High-sensitivity rapid direct bacteria counting is an essential key method for point-of-care testing. Counting pathogens (cells, viruses, and bacteria) directly in real time with high sensitivity is useful in maintaining human health and preventing pandemics or bioterrorism. However, conventional counting methods with high sensitivity such as the polymerase chain reaction and cultivation-based biochemical testing are time consuming and require controlled places and well-trained staff. On the other hand, conventional rapid approaches such as immuno-chromatography and ATP bioluminescence schemes are insufficient with respect to sensitivity. As an alternative, electro-chemical sensing approaches using a microelectrode have been intensely investigated because of its high-sensitivity, simplicity, and high-throughput. In this work, a CMOS sensor platform with a bacteria-sized (1.2 μm × 2.05 μm) Au electroless-plated 1024 × 1024 microelectrode array for high-sensitivity rapid direct bacteria counting is demonstrated. For high-sensitivity direct pathogen counting, Au microelectrodes are required to be as small as the target cell. By improving a self-aligned electroless plating technique, the size of microelectrodes on a CMOS sensor chip was successfully reduced to 1.2 μm × 2.05 μm, corresponding to 1/20th of the smallest size reported in literature. Two test chips with 1024 × 1024 and 32 × 32 sensor arrays were fabricated in a 0.6-μm CMOS process and microelectrodes were formed on them using electroless plating. In this demonstration, 2D counting used 32 × 32 sensor arrays with silicone (fig. 1) by CV is introduced.

    DOI: 10.1109/BioCAS.2014.6981688

    Scopus

  22. Temporal changes in absolute atom densities in H<inf>2</inf> and N <inf>2</inf> mixture gas plasmas by surface modifications of reactor wall 招待有り 査読有り 国際共著 国際誌

    Suzuki T., Takeda K., Kondo H., Ishikawa K., Sekine M., Hori M.

    Japanese Journal of Applied Physics   53 巻 ( 5 ) 頁: 050301:1-4   2014年5月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Real time vacuum ultraviolet absorption spectroscopic (VUVAS) measurements revealed that temporal changes in absolute atom densities in H2 and N2 mixture gas (H2/N2) plasmas were affected by the conditions of the reactor inner wall-surface made of anodically-oxidized aluminum. Depending on preceding processes prior to the H2/N 2 plasma process, N and H atom densities decreased for a nitrided wall surface, while no change occurred for a hydrogenated surface. Thus, the process history was revealed in the loss-properties of atoms on the reactor wall-surfaces. Suppressing the temporal variations in the gas-phase atom density is crucially important for realizing the precise control of the material processing with a high reproducibility. © 2014 The Japan Society of Applied Physics.

    DOI: 10.7567/JJAP.53.050301

    Web of Science

    Scopus

  23. I-1-2 高密度ラジカル源を用いた分子線エピタキシー法によるIII族窒化物エピタキシャル成長(窒化物半導体デバイスの精密加工プロセス-窒化物LEDに関わる先端デバイスプロセシング-,口頭発表) 招待有り 国際共著 国際誌

    河合 洋次郎, 本田 善央, 山口 雅史, 天野 浩, 近藤 博基, 平松 美根男, 加納 浩之, 山川 晃司, 田 昭治, 堀 勝

    IIP情報・知能・精密機器部門講演会講演論文集   2013 巻 ( 0 ) 頁: 5 - 7   2013年

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人 日本機械学会  

    Although plasma-assisted molecular beam epitaxy(PA-MBE) is a promising technique for GaN growth,the growth rate obtained by this technique is lower than that obtained by metal organic vapor phase epitaxy(MOVPE).In order to improve the growth rate of the technique,high density radical source(HDRS) was developed.By vacuum ultraviolet absorption spectroscopy(VUVAS) measurement,two orders of magnitude higher radical density was confirmed in a comparison of the HDRS and a conventional radical source(CRS).While faster growth rate of 1.4μm/h in GaN homoepitaxy was achieved,better crystalline quality of InxGa_<(1-x)>N(x=0.03〜0.16) epilayers with approximately 1.4μm thickness were also achieved by introducing the HDRS in PA-MBE.

    DOI: 10.1299/jsmeiip.2013.5

    CiNii Research

  24. Silicon full wafer bonding with atomic layer deposited titanium dioxide and aluminum oxide intermediate films 招待有り 査読有り 国際共著 国際誌

    Puurunen R. L., Suni T., Ylivaara O. M. E., Kondo H., Ammar M., Ishida T., Fujita H., Bosseboeuf A., Zaima S., Kattelus H.

    SENSORS AND ACTUATORS A-PHYSICAL   188 巻   頁: 268 - 276   2012年12月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Sensors and Actuators, A: Physical  

    Silicon-on-insulator (SOI) wafers made by direct wafer bonding are widely used as starting substrates for microelectromechanical systems (MEMS) fabrication. Adding another layer next to the SiO2 SOI, or replacing it with another material, will be a way to tailor the SOI wafers further. Atomic layer deposition (ALD) can be used to deposit pinhole-free nanometer-thin conformal and smooth inorganic films at low temperatures, making it of interest for many applications in MEMS. In this work, the direct wafer bonding of ALD TiO2, and Al2O3 for reference, is investigated, eventually in order to fabricate SOI wafers with buried ALD oxides. Finding suitable process conditions for TiO2 bonding was challenging: bonding could not be made for TiO2 deposited directly on SiO2 or Si, and annealing at 1100°C gave non-continuous Ti-containing layers. Using a 2-nm Al2O3 under-layer and annealing at 700°C gave void-free bonding with continuous TiO2 and a bond strength of approximately 1600 mJ/m2, enabling the fabrication of SOI wafers with buried TiO2. © 2012 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.sna.2012.05.006

    Web of Science

    Scopus

  25. Individual roles of atoms and ions during hydrogen plasma passivation of surface defects on gan created by plasma etching 招待有り 査読有り 国際共著 国際誌

    Chen S., Ishikawa K., Lu Y., Kometani R., Kondo H., Tokuda Y., Egawa T., Amano H., Sekine M., Hori M.

    Japanese Journal of Applied Physics   51 巻 ( 11 ) 頁: 111002-1:5   2012年11月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    In the recovery of photoluminescence intensities for band-edge emissions at around 3.47 eV in the case of gallium nitride (GaN), we have studied the individual roles of hydrogen atoms (H) and hydrogen ions (Hn+). Surface defects such as nitrogen vacancies created by plasma etching were passivated by H termination. By utilizing hydrogen plasmas, we clarified the recovery efficiency by optical and stoichiometrical improvements with respect to the balance between the fluxes of H and H n+. By deflecting H n+ by applying an electric field, the efficiency was improved using an identical H dosage, since the simultaneous irradiation of the energetic Hn+ promoted the desorption of the formed passivated Ga-H bonds. © 2012 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.51.111002

    Web of Science

    Scopus

  26. Selective killing of ovarian cancer cells through induction of apoptosis by nonequilibrium atmospheric pressure plasma 招待有り 査読有り 国際共著 国際誌

    Iseki S., Nakamura K., Hayashi M., Tanaka H., Kondo H., Kajiyama H., Kano H., Kikkawa F., Hori M.

    Applied Physics Letters   100 巻 ( 11 ) 頁: 10.1063/1.3694928   2012年3月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Letters  

    Two independent ovarian cancer cell lines and fibroblast controls were treated with nonequilibrium atmospheric pressure plasma (NEAPP). Most ovarian cancer cells were detached from the culture dish by continuous plasma treatment to a single spot on the dish. Next, the plasma source was applied over the whole dish using a robot arm. In vitro cell proliferation assays showed that plasma treatments significantly decreased proliferation rates of ovarian cancer cells compared to fibroblast cells. Flow cytometry and western blot analysis showed that plasma treatment of ovarian cancer cells induced apoptosis. NEAPP could be a promising tool for therapy for ovarian cancers. © 2012 American Institute of Physics.

    DOI: 10.1063/1.3694928

    Web of Science

    Scopus

  27. Ultrahigh-Speed Synthesis of Nanographene Using Alcohol In-Liquid Plasma 招待有り 査読有り 国際共著 国際誌

    Hagino Tatsuya, Kondo Hiroki, Ishikawa Kenji, Kano Hiroyuki, Sekine Makoto, Hori Masaru

    APPLIED PHYSICS EXPRESS   5 巻 ( 3 ) 頁: pp. 035101-1:3   2012年3月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    Ultrahigh-speed synthesis of high-crystallinity nanographene was realized using an alcohol in-liquid plasma, which was generated from a nonequilibrium microhollow atmospheric-pressure plasma with an ultrahigh electron density. The synthesis rates of carbon materials were 0.61 and 1.72 mg/min using ethanol and butanol, respectively. Multilayer nanographene structures obtained using ethanol had an interlayer spacing of 0.33 nm, corresponding to that of (002) planes in graphite. The G-, D-, D'-, and 2D-band peaks in the Raman spectrum also confirmed the formation of nanographene. The mechanism of gradual growth of six-membered ring structures was clarified by gas chromatography of the filtrate. © 2012 The Japan Society of Applied Physics.

    DOI: 10.1143/APEX.5.035101

    Web of Science

    Scopus

  28. Direct current superposed dual-frequency capacitively coupled plasmas in selective etching of SiOCH over SiC 招待有り 査読有り 国際共著 国際誌

    Yamaguchi T., Komuro T., Koshimizu C., Takashima S., Takeda K., Kondo H., Ishikawa K., Sekine M., Hori M.

    Journal of Physics D: Applied Physics   45 巻 ( 2 )   2012年1月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Physics D: Applied Physics  

    Superpositioning of negative dc bias in dual-frequency capacitively coupled plasmas (dc-superposed (DS)-CCP) was realized for the selective etching of carbon-doped silicon oxide (SiOCH) films over carbon-doped amorphous silicon (SiC) films, while the dc bias exceeded about 800V. When a dc bias of 1200V was superposed on 60MHz VHF power on the top electrode opposed to a wafer on the bottom electrode biased with 13.56MHz power, a selectivity of above 50 for SiOCH over SiC was obtained. From characterization of the plasma density and various chemical species in the gaseous phase, such as CF 2, CF and atomic N, the density of CF 2 significantly decreased with the application of dc bias ranging from 800 to 1200V. This indicated that CF 2 radicals were consumed at the surface of the counter electrode which was made of silicon. The bulk densities of the species including CF 2 were decreased, especially due to excess surface loss caused by the bombardment of highly energetic ions accelerated by the superposed dc bias, as well as the rf sheath for the superposition of the negative dc bias. The DS-CCP technology is thus concluded to be indispensable for yielding highly selective etching of SiOCH over SiC.

    DOI: 10.1088/0022-3727/45/2/025203

    Web of Science

    Scopus

  29. Control of super hydrophobic and super hydrophilic surfaces of carbon nanowalls using atmospheric pressure plasma treatments 招待有り 査読有り 国際共著 国際誌

    Watanabe H., Kondo H., Sekine M., Hiramatsu M., Hori M.

    Japanese Journal of Applied Physics   51 巻 ( 1 PART 2 )   2012年1月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Super hydrophobic and super hydrophilic surface treatment methods for carbon nanowalls (CNWs) which are carbon nanostructures consisting of stacks of graphene sheets vertically standing on substrates and having ultra high aspect ratios of over 50, were developed using atmospheric pressure plasma, and their mechanisms were discussed on the basis of the elemental compositions of surfaces. The contact angle of water droplet on CNWs markedly decreased from 137.3 to 6.2 with the atmospheric pressure plasma treatments using Ar gas. This indicates the super hydrophobic and super hydrophilic transition of CNW surfaces. Although a morphological change was hardly found, the surface compositions of fluorine and oxygen atoms markedly changed after the plasma treatment for only 5 s. Furthermore, the treatment time dependence of the surface tension of CNWs indicates two different mechanisms at earlier and later stages of surface modification using atmospheric pressure plasma. © 2012 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.51.01AJ07

    Web of Science

    Scopus

  30. Graphene forest devices as cell scaffolds for stem cells 招待有り 査読有り 国際共著 国際誌

    Okamoto Y., Watanabe H., Kubo K., Kondo H., Kaji N., Tokeshi M., Hori M., Baba Y.

    Proceedings of the 16th International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2012     頁: 1633 - 1635   2012年

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Proceedings of the 16th International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2012  

    We developed carbon nanowalls devices (CNWs), on which graphenes vertically stand in the nanometer spacing like "graphene forest", with different wettability. CNWs permitted cell adhesion and proliferation, and especially super hydrophobic CNWs enabled easy and less invasive cell collection. Furthermore, collagen coated CNWs successfully enhanced the differential ability of the human mesenchymal stem cells (hMSC) to osteoblast cells compared to collagen coated polystyrene culture dishes. Thus, CNWs have superior many properties as cells scaffolds and are expected to be useful for regenerative medicine.

    Scopus

  31. Impacts of CF<sup>+</sup>, CF<inf>2</inf><sup>+</sup>, CF<inf>3</inf><sup>+</sup>, and Ar ion beam bombardment with energies of 100 and 400eV on surface modification of photoresist 招待有り 査読有り 国際共著 国際誌

    Takeuchi T., Amasaki S., Kondo H., Ishikawa K., Toyoda H., Sekine M., Kang S., Sawada I., Hori M.

    Japanese Journal of Applied Physics   50 巻 ( 8 PART 2 ) 頁: 08JE05 - 08JE05-5   2011年8月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Photoresists used in advanced ArF-excimer laser lithography are not tolerant enough for plasma etching processes. Degradation of photoresists during etching processes might cause not only low selectivity, but also line edge roughness (LER) on the sidewalls of etched patterns. For a highly accurate processing, it is necessary to understand the mechanisms of etching photoresists and to construct a new plasma chemistry that realizes a nano scale precise pattern definition. In this study, the modified layers formed on the surface of a photoresist by the bombardment of fluorocarbon ions of CF+, CF 2+, and CF3+, and argon (Ar) ions were analyzed by X-ray photoelectron spectroscopy (XPS). The etching yield of the modified steady-state surface was almost dependent on the mass of incident ion species. The surface composition was modified with increasing dosage of each ion species, and reached a specific steady state that was dependent on the ion species. The bombardment of F-rich ion species such as CF2+ and CF3+ resulted in the formation of not only fluorocarbon layers, but also graphite like structures on the surface. On the basis of these results, the surface reaction for the ion-beam-induced modification was discussed. © 2011 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.50.08JE05

    Web of Science

    Scopus

    CiNii Books

  32. Control of interfacial properties of Pr-oxide/Ge gate stack structure by introduction of nitrogen 招待有り 査読有り 国際共著 国際誌

    Kato Kimihiko, Kondo Hiroki, Sakashita Mitsuo, Nakatsuka Osamu, Zaima Shigeaki

    SOLID-STATE ELECTRONICS   60 巻 ( 1 ) 頁: 70 - 74   2011年6月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Solid-State Electronics  

    We have demonstrated the control of interfacial properties of Pr-oxide/Ge gate stack structure by the introduction of nitrogen. From C-V characteristics of Al/Pr-oxide/Ge3N4/Ge MOS capacitors, the interface state density decreases without the change of the accumulation capacitance after annealing. The TEM and TED measurements reveal that the crystallization of Pr-oxide is enhanced with annealing and the columnar structure of cubic-Pr 2O3 is formed after annealing. From the depth profiles measured using XPS with Ar sputtering for the Pr-oxide/Ge3N 4/Ge stack structure, the increase in the Ge component is not observed in a Pr-oxide film and near the interface between a Pr-oxide film and a Ge substrate. In addition, the N component segregates near the interface region, amorphous Pr-oxynitride (PrON) is formed at the interface. As a result, Pr-oxide/PrON/Ge stacked structure without the Ge-oxynitride interlayer is formed. © 2011 Elsevier Ltd. All rights reserved.

    DOI: 10.1016/j.sse.2011.01.029

    Web of Science

    Scopus

  33. Laser Scattering Diagnosis of a 60-Hz Non-Equilibrium Atmospheric Pressure Plasma Jet 招待有り 査読有り 国際共著 国際誌

    Jia Fengdong, Sumi Naoya, Ishikawa Kenji, Kano Hiroyuki, Inui Hirotoshi, Kularatne Jagath, Takeda Keigo, Kondo Hiroki, Sekine Makoto, Kono Akihiro, Hori Masaru

    APPLIED PHYSICS EXPRESS   4 巻 ( 2 )   2011年2月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/APEX.4.026101

    Web of Science

  34. Direct wafer bonding of atomic layer deposited TiO<inf>2</inf> and Al <inf>2</inf>O<inf>3</inf> thin films 招待有り 査読有り 国際共著 国際誌

    Puurunen R.L., Suni T., Ylivaara O., Kondo H., Ammar M., Ishida T., Fujita H., Bosseboeuf A., Zaima S., Kattelus H.

    2011 16th International Solid-State Sensors, Actuators and Microsystems Conference, TRANSDUCERS'11     頁: 978 - 981   2011年

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:2011 16th International Solid-State Sensors, Actuators and Microsystems Conference, TRANSDUCERS'11  

    In MEMS industry, silicon-on-insulator (SOI) wafers are gaining ground from blank silicon wafers as the main starting substrate. Tailored SOI wafers available in the market contain for example buried cavities or a buried gettering layer. Adding another layer in addition to the thermal SiO2 insulator, or replacing it with another material altogether, could be a way to tailor the properties of SOI wafers further. In this work, the direct wafer bonding of ALD TiO2, and Al2O3 for reference, is investigated, eventually in order to fabricate SOI wafers with other buried ALD oxides. © 2011 IEEE.

    DOI: 10.1109/TRANSDUCERS.2011.5969474

    Scopus

  35. Critical factors for nucleation and vertical growth of two dimensional nano-graphene sheets employing a novel Ar<sup>+</sup> beam with hydrogen and fluorocarbon radical injection 招待有り 査読有り 国際共著 国際誌

    Kondo S., Kondo H., Hiramatsu M., Sekine M., Hori M.

    Applied Physics Express   3 巻 ( 4 ) 頁: 045102   2010年4月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    Two-dimensional nano-graphene sheets, standing vertically on carbon nanowalls (CNWs) substrates, were synthesized by multi-beam chemical vapor deposition employing three types of irradiation, Ar+ beam with tunable fluxes and energies, hydrogen (H) and fluorocarbon radicals, which could be independently controlled. The CNWs growth processes were investigated by changing the Ar+ irradiation conditions. Irradiation of Ar + ions with appropriate fluxes and energies on fluorocarbon layers evolved nanoislands for growth of the CNWs. By tuning the fluxes and energies of the incident Ar+ on amorphous carbon nanoislands, critical factors for controlling nucleation and growth of CNWs were determined. © 2010 The Japan Society of Applied Physics.

    DOI: 10.1143/APEX.3.045102

    Web of Science

    Scopus

  36. Formation processes of Ge<inf>3</inf>N<inf>4</inf> films by radical nitridation and their electrical properties 招待有り 査読有り 国際共著 国際誌

    Kato K., Kondo H., Sakashita M., Zaima S.

    Thin Solid Films   518 巻 ( 6 SUPPL. 1 ) 頁: S226 - S230   2010年1月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Thin Solid Films  

    Formation processes of Ge3N4 by radical nitridation and electrical properties of Pr-oxide/Ge3N4/Ge structure were investigated. Stoichiometric Ge3N4 is successfully formed by the radical nitridation at temperatures from 50 to 600 °C. Change in the nitridation temperature dependence of the saturated thickness of the Ge3N4 suggests different dominant diffusion species. Leakage current density through the Ge3N4 is minimized at a nitridation temperature of 300 °C. The XPS analyses of the Pr-oxide/Ge3N4/Ge suggest decomposition of Ge3N4 during atomic layer deposition of the Pr-oxide and formation of Pr-oxynitride at the Pr-oxide/Ge interface. An interface state density in the Al/Pr-oxide/Ge3N4/Ge capacitor is drastically reduced by forming gas annealing. © 2009.

    DOI: 10.1016/j.tsf.2009.10.094

    Web of Science

    Scopus

  37. Crystalline Structures and Electrical Properties of High-Nitrogen-Content Hf-Si-N Films 招待有り 査読有り 国際共著 国際誌

    Miyamoto Kazuaki, Kondo Hiroki, Zaima Shigeaki

    JAPANESE JOURNAL OF APPLIED PHYSICS   49 巻 ( 4 ) 頁: 04DA11   2010年

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    The crystalline structures and electrical properties of high-nitrogen (N)-content Hf-Si-N films were investigated. When the N2 concentration of a sputtering ambient increases from 9.0 to 13.0%, Hf-Si-N resistivity increases by a factor of more than 105 despite the almost constant N content of the film. According to X-ray diffraction profiles and X-ray photoelectron spectra, such high-N-content Hf-Si-N films consist of Hf3N4 and Si3N4, and have energy band gaps. These results indicate that Hf3N4 and high-N-content Hf-Si-N including Hf3N4 have semiconducting features. In Pt/ Hf-Si-N/Pt structures with high-N-content Hf-Si-N, nonlinear current-voltage characteristics and hysteresis behaviors are also observed, which markedly change depending on N2 concentration and postdeposition annealing temperature. Although the elemental composition and crystalline structure hardly change, the phase separation and segregation of nanocrystallites clearly develop. Therefore, these unique electrical characteristics are attributed to current conduction at grain boundaries or Pt/Hf-Si-N interfaces. © 2010 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.49.04DA11

    Web of Science

    Scopus

  38. Formation of Pr Oxide Films by Atomic Layer Deposition Using Pr(EtCp)(3) Precursor 招待有り 査読有り 国際共著 国際誌

    Kondo Hiroki, Matsui Hirotaka, Furuta Kazuya, Sakashita Mitsuo, Zaima Shigeaki

    JAPANESE JOURNAL OF APPLIED PHYSICS   49 巻 ( 4 ) 頁: 04DA14   2010年

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    The formation of Pr oxide films by an atomic layer deposition (ALD) technique using Pr(EtCp)3 and H2O was investigated in this study. The ALDmode growth of Pr oxide films at a rate of 0.07 nm/cycle and a thickness variation of less than 2% on 3-in. Si wafers was achieved. Transmission electron microscopy (TEM) images and transmission electron diffraction (TED) patterns revealed that polycrystalline cubic Pr2O3 films were grown on Si(001) substrates. On the other hand, epitaxial growth of the cubic Pr 2O3 film was clearly observed on a Si(111) substrate. According to X-ray photoelectron spectroscopy (XPS) analyses, the C content of the ALD-Pr oxide film grown at 130 °C is 1.6%. Relatively fine capacitance- voltage curves were observed for the Al/ALD-Pr oxide/Si(001) capacitors. The interface state density between the 130 °C-grown ALD-Pr oxide film and the Si(001) substrate is about 1 × 1011 cm-2 eV -1. The dielectric constant of the ALD-Pr oxide film grown at 250 °C was determined to be about 18, assuming that the dielectric constant of the interlayers is similar to that of SiO2. © 2010 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.49.04DA14

    Web of Science

    Scopus

  39. Hard X-ray photoelectron spectroscopy analysis for organic-inorganic hybrid materials formation 招待有り 査読有り 国際共著 国際誌

    Cho K., Takenaka K., Setsuhara Y., Shiratani M., Sekine M., Hori M., Ikenaga E., Kondo H., Nakatsuka O., Zaima S.

    Ceramic Transactions   219 巻   頁: 183 - 188   2010年

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Ceramic Transactions  

    Interactions of nitrogen plasmas with polymer surfaces were investigated using hard x-ray photoelectron spectroscopy (HXPES) to complete depth analyses of the chemical bonding states in the nano-surface layer of polymethylmethacrylate (PMMA) films via. The PMMA films were exposed to the nitrogen plasmas sustained via inductive coupling of radio-frequency (RF) power with multiple low-inductance antenna (LIA) modules. The etching rate of the PMMA films was 38 nm/min. The surface roughness of PMMA increased from 0.3 nm to 0.7 nm with increased exposure time. The HXPES was carried out for non-destructive depth analysis of chemical bonding states in the nano-surface layer of PMMA films. The HXPES results indicated that nitrogen functionalities were formed in the shallower regions up to about 27 nm from the surface without showing significant degradation of the molecular structure of PMMA due to nitrogen plasma exposure.

    DOI: 10.1002/9780470917145.ch27

    Scopus

  40. Effects of Atomic Layer Deposition-Al<inf>2</inf>O<inf>3</inf> Interface Layers on Interfacial Properties of Ge Metal-Oxide-Semiconductor Capacitors 招待有り 査読有り 国際共著 国際誌

    Kato R., Kyogoku S., Sakashita M., Kondo H., Zaima S.

    Japanese Journal of Applied Physics   48 巻 ( 5 PART 2 ) 頁: 05DA04   2009年5月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    We have investigated the interfacial control effects of the atomic layer deposition (ALD)-Al2O3 on the crystalline and electronic characteristics of LaAlO3/Ge metal-oxide-semiconductor (MOS) structures. X-ray photoelectron spectroscopic analysis Rev.ealed that Al 2O3/Ge interfaces are much more stable than LaAlO 3/Ge and La2O3/Ge interfaces for the formation of Ge oxides owing to the interfacial reaction. For the LaAlO 3/ALD-Al2O3/Ge structure, Al2O 3 interfacial layers with thicknesses less than 1 nm effectively suppress the interfacial reaction between LaAlO3 and Ge. The thickness of Ge oxides formed at the ALD-Al2O3/Ge interface decreases with increasing Al2O3 thickness and the stack structure is thermally stable against postdeposition annealing at 600 °C. These results indicate that interfacial reaction mainly arises during the sputtering-LaAlO3 deposition, not the ALD-Al2O 3 deposition. On the other hand, capacitance equivalent oxide thickness decreases with increasing interfacial ALD-Al2O3 thickness, because the formation of Ge oxides at the interface is effectively suppressed by ALD-Al2O3 interfacial layers. It can be concluded that ALD-Al2O3 is promising as an interfacial control layer between Ge substrates and high-k dielectrics.© 2009 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.48.05DA04

    Web of Science

    Scopus

  41. Nitrogen-Content Dependence of Crystalline Structures and Resistivity of Hf-Si-N Gate Electrodes for Metal-Oxide-Semiconductor Field-Effect Transistors 招待有り 査読有り 国際共著 国際誌

    Miyamoto Kazuaki, Furumai Kouhei, Urban Ben E., Kondo Hiroki, Zaima Shigeaki

    JAPANESE JOURNAL OF APPLIED PHYSICS   48 巻 ( 4 ) 頁: 045505   2009年4月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    The dependences of crystalline structures and resistivity of Hf-Si-N films on nitrogen content were investigated in this study. The nitrogen (N) content of Hf-Si-N films increases with increasing N2 concentration in a N 2/Ar mixture ambient used in sputtering, and saturates to about 59% at N2 concentrations of 4.8% and above. This indicates that all Hf and Si atoms form HfN and Si3N4 in the films, respectively. From X-ray diffraction (XRD) profiles, nanocrystallites exist even in as-deposited films with saturated N content. However, they hardly grow after post deposition annealing (PDA) at 900 °C. The resistivity values are almost constant at N2 concentrations of 4.8% and below. On the other hand, they significantly increase with increasing N2 concentration above 4.8% and consequently become unmeasurable at N2 concentrations of 13.0% and above. The XRD profiles indicate that nanocrystallites segregating in those films are related to Hf3N4. © 2009 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.48.045505

    Web of Science

    Scopus

  42. Nitrogen-Content Dependence of Crystalline Structures and Resistivity of Hf-Si-N Gate Electrodes for Metal-Oxide-Semiconductor Field-Effect Transistors 招待有り 査読有り 国際共著 国際誌

    近藤 博基

    Japanese Journal of Applied Physics 48     頁: 455051 - 455054   2009年

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    CiNii Research

  43. Thermal Stability and Scalability of Mictamict Ti-Si-N Metal-Oxide-Semiconductor Gate Electrodes 招待有り 査読有り 国際共著 国際誌

    近藤 博基

    Japanese Journal of Applied Physics 48     2009年

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    CiNii Research

  44. Silicide and germanide technology for contacts and gates in MOSFET applications 招待有り 査読有り 国際共著 国際誌

    Zaima S., Nakatsuka O., Kondo H., Sakashita M., Sakai A., Ogawa M.

    Thin Solid Films   517 巻 ( 1 ) 頁: 80 - 83   2008年11月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Thin Solid Films  

    We report silicide and germanide technology for ohmic contacts and metal gates of MOSFETs in this paper. We have investigated the control technology of NiSi/Si contact properties by incorporating third elements such as Ge and C for future ULSI applications. The work function and resistivity of various Ni and Pt germanides have been also examined as metal gate materials. The low resistivity and tunable work function of these silicides and germanides are desirable for future CMOS devices. © 2008 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.tsf.2008.08.097

    Web of Science

    Scopus

  45. Crystalline and electrical properties of mictamict TiSiN gate metal-oxcide-semiconductor capacitors 招待有り 査読有り 国際共著 国際誌

    Furumai K., Kondo H., Sakashita M., Sakai A., Ogawa M., Zaima S.

    Japanese Journal of Applied Physics   47 巻 ( 4 PART 2 ) 頁: 2420 - 2424   2008年4月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    The crystal structures and electrical characteristics of Ti-Si-N metal-oxide-semiconductor (MOS) gate electrodes in the mictamict state, which is a comprehensive term referring to amorphous structures both with and without nanocrystals, were investigated. By increasing the N2 concentration of the sputtering ambient, the nitrogen (N) content of the Ti-Si-N films increased and consequently the Ti-Si-N films did not crystallize. At a N 2 concentration of more than 3.0%, the N content of the films was almost constant at about 53%, which indicates that all Ti and Si atoms deposited in the form of TiN and Si3N4, respectively. In such Ti-Si-N films with a saturated N content, only 2-3-nm-grain-size nanocrystallites formed, which were embedded in amorphous layers even after post-deposition annealing (PDA) above 900°C. At the same time, with increasing N2 concentration of the sputtering ambient, the change in the film resistivity after the PDA became smaller and the capacitance equivalent thickness (CET) fluctuation gradually ceased to occur. The work function of the mictamict Ti-Si-N gate electrodes, which were deposited in 5.0% N2 ambient and annealed at 500°C, was determined to be 4.6eV. © 2008 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.47.2420

    Web of Science

    Scopus

  46. Crystalline and electrical properties of mictamict TiSiN gate MOS capacitors 招待有り 査読有り 国際共著 国際誌

    FURUMAI Kouhei, KONDO Hiroki, SAKASHITA Mitsuo, SAKAI Akira, OGAWA Masaki, ZAIMA Shigeaki

    Extended abstracts of the ... Conference on Solid State Devices and Materials   2007 巻   頁: 342 - 343   2007年9月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    CiNii Research

  47. Pr-Oxide-Based Dielectric Films on Ge Substrates 招待有り 査読有り 国際共著 国際誌

    SAKASHITA Mitsuo, KITO Nobuyuki, SAKAI Akira, KONDO Hiroki, NAKATSUKA Osamu, OGAWA Masaki, ZAIMA Shigeaki

    Ext. Abstr. Int. Conf. Solid State Devices and Materials, Tsukuba, Japan, Sep. 2007   2007 巻   頁: 330 - 331   2007年9月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    CiNii Research

  48. Surface Treatment of Ge(001) Surface by Radical Nitridation 招待有り 査読有り 国際共著 国際誌

    KONDO Hiroki, FUJITA Misato, SAKAI Akira, OGAWA Masaki, ZAIMA Shigeaki

      2007 巻   頁: 1036 - 1037   2007年9月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    CiNii Research

  49. Pt-germanideゲート電極の結晶構造及び電気的特性の評価 招待有り 査読有り 国際共著 国際誌

    近藤 博基, 坂下 満男, 酒井 朗, 小川 正毅, 財満 鎭明

    特別研究会研究報告"ゲートスタック研究会 -材料・プロセス・評価の物理-"(第12回研究会)     頁: 277 - 282   2007年

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    CiNii Research

  50. パルスレーザー蒸着法によるGe基板上へのPr酸化膜の作製とその構造及び電気的特性評価 招待有り 査読有り 国際共著 国際誌

    坂下 満男, 酒井 朗, 中塚 理, 近藤 博基, 小川 正毅, 財満 鎭明

    特別研究会研究報告"ゲートスタック研究会 -材料・プロセス・評価の物理-"(第12回研究会)     頁: 251 - 256   2007年

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    CiNii Research

  51. Strain relaxation of patterned Ge and SiGe layers on Si(001) substrates 招待有り 査読有り 国際共著 国際誌

    Mochizuki S., Sakai A., Nakatsuka O., Kondo H., Yukawa K., Izunome K., Senda T., Toyoda E., Ogawa M., Zaima S.

    Third International SiGe Technology and Device Meeting, ISTDM 2006 - Conference Digest   2006 巻   2006年12月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Third International SiGe Technology and Device Meeting, ISTDM 2006 - Conference Digest  

    Scopus

  52. Composition Dependence of Work Function in Metal (Ni, Pt)-Germanide Gate Electrodes 招待有り 査読有り 国際共著 国際誌

    IKENO Daisuke, FURUMAI Kouhei, KONDO Hiroki, SAKASHITA Mitsuo, SAKAI Akira, OGAWA Masaki, ZAIMA Shigeaki

      2006 巻   頁: 442 - 443   2006年9月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    CiNii Research

  53. Ni suicide and germanide technology for contacts and metal gates in MOSFET applications 招待有り 査読有り 国際共著 国際誌

    Zaima S., Nakatsuka O., Kondo H., Sakashita M., Sakai A., Ogawa M.

    ICSICT-2006: 2006 8th International Conference on Solid-State and Integrated Circuit Technology, Proceedings     頁: 322 - 325   2006年

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:ICSICT-2006: 2006 8th International Conference on Solid-State and Integrated Circuit Technology, Proceedings  

    DOI: 10.1109/ICSICT.2006.306218

    Scopus

  54. Analysis of local breakdown process in stressed gate SiO<inf>2</inf> films by conductive atomic force microscopy 招待有り 査読有り 国際共著 国際誌

    Seko A., Watanabe Y., Kondo H., Sakai A., Zaima S., Yasuda Y.

    Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers   44 巻 ( 10 ) 頁: 7582 - 7587   2005年10月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers  

    We have studied the processes of local degradation through current leakage leading to breakdown in gate SiO2 films by conductive atomic force microscopy (C-AFM). Electrical stress was applied to the SiO2 films in the form of metal-oxide-semiconductor capacitors. Leakage current spots caused by holes trapped at stress-induced defects appeared in current images of the stressed SiO2 films. During the C-AFM observation at the same area with a high electric field, currents at these leakage spots gradually increased and the breakdown finally occurred at these sites, whereas at background regions other than the leakage spots, leakage currents gradually decreased. In contrast, in the case of nonstressed SiO2 films, the breakdown occurs without showing any predictive signs. Degradation and breakdown mechanisms depending on the stress condition are discussed. © 2005 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.44.7582

    Web of Science

    Scopus

    CiNii Research

  55. Fabrication and evaluation of floating gate memories with surface-nitrided Si nanocrystals 招待有り 国際共著 国際誌

    Naito S., Ueyama T., Kondo H., Sakashita M., Sakai A., Ogawa M., Zaima S.

    Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers   44 巻 ( 7B ) 頁: 5687 - 5691   2005年

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers  

    A floating-gate memory with surface-nitrided Si nanocrystals buried in a SiO2 matrix has been fabricated employing radical nitridation. Si nanocrystals with a number density higher than 1 × 1012 cm -2 and an average grain size smaller than 6 nm have been grown using an ultrathin amorphous Si layer predeposited on the SiO2 surface. Since the radical nitridation of the formed Si nanocrystals effectively suppresses excess oxidation of nanocrystals during the control oxide formation, the Si nanocrystals have been successfully buried in the SiO2 matrix without losing their number density, grain size and fine spherical shape. Electrical properties of the floating-gate memory were also examined. A flat band voltage shift larger than 1 V, which is consistent with the number density of Si nanocrystals, was observed in capacitance-voltage measurements. © 2005 The Japan Society of Applied Physics.

    DOI: 10.1143/jjap.44.5687

    Web of Science

    Scopus

    CiNii Research

  56. Behavior of local current leakage in stressed gate SiO<inf>2</inf> films analyzed by conductive atomic force microscopy 招待有り 査読有り 国際共著 国際誌

    Seko A., Watanabe Y., Kondo H., Sakai A., Zaima S., Yasuda Y.

    Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers   43 巻 ( 7 B ) 頁: 4683 - 4686   2004年7月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers  

    We studied local leakage currents induced in stressed gate SiO2 films and their time dependence by conductive atomic force microscopy (C-AFM). The current-voltage characteristics of the leakage currents detected in the C-AFM observations indicate Fowler-Nordheim tunneling currents enhanced by holes trapped in the stressed SiO2 films. By repeated C-AFM observations at the same area, it was found that individual spot currents decrease at different rates. This result indicates hole detrapping with different time constants from the stress-induced defects that have different features.

    DOI: 10.1143/JJAP.43.4683

    Web of Science

    Scopus

    CiNii Research

  57. Conductive atomic force microscopy analysis for local electrical characteristics in stressed SiO<inf>2</inf> gate films 招待有り 査読有り 国際共著 国際誌

    Watanabe Y., Seko A., Kondo H., Sakai A., Zaima S., Yasuda Y.

    Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers   43 巻 ( 4 B ) 頁: 1843 - 1847   2004年4月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers  

    We have investigated microscopically the current leakage characteristics of SiO2 gate films in metal-oxide-semiconductor structure capacitors subjected to the Fowler-Nordheim (FN) constant current stress using a conductive atomic force microscope (C-AFM). Current images of C-AFM clearly reveal the leakage current spots in the samples in which the stress induced leakage current was confirmed by the macroscopic current-voltage (I-V) measurement. On the other hand, in the sample after the repeated macroscopic I-V measurement, there is a shift in threshold voltage for the appearance of current spots and its value directly corresponds to the voltage shift observed in the macroscopic capacitance-voltage measurements for this sample. The total number of current spots observable in the C-AFM scanned area critically depends on the substrate voltage: the spot number initially increases with the voltage to a certain value then decreases. The visibility of the current spot is well explained by the holes trapped locally at defect sites created in the stressed SiO2.

    DOI: 10.1143/JJAP.43.1843

    Web of Science

    Scopus

    CiNii Research

  58. Microscopic Analysis of Stress-Induced Leakage Current in Stressed Gate SiO<inf>2</inf> Films Using Conductive Atomic Force Microscopy 招待有り 査読有り 国際共著 国際誌

    Watanabe Y., Seko A., Kondo H., Sakai A., Zaima S., Yasuda Y.

    Japanese Journal of Applied Physics, Part 2: Letters   43 巻 ( 2 A ) 頁: L144 - L147   2004年2月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics, Part 2: Letters  

    We have developed a method of microscopically analyzing the degradation of gate SiO2 films in actual metal-oxide-semiconductor (MOS) devices by conductive atomic force microscopy (C-AFM). In C-AFM images of electrically stressed SiO2 films, leakage current spots on a nanometer scale were successfully observed. The observed current spots show characteristic behaviors similar to the transient stress-induced leakage current which can be detected by macroscopic electrical measurements using MOS capacitors. The appearance of the current spots is discussed on the basis of the mechanism by which holes are trapped and detrapped by stress-induced defects in SiO2 films.

    DOI: 10.1143/jjap.43.l144

    Web of Science

    Scopus

    CiNii Research

  59. Detection and characterization of stress-induced defects in gate SiO2 films by conductive atomic force microscopy 招待有り 国際共著 国際誌

    Watanabe Y, Seko A, Kondo H, Sakai A, Zaima S, Yasuda Y

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   43 巻 ( 7B ) 頁: 4679 - 4682   2004年

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers  

    We have demonstrated the detection of nanometer-scale current-leakage sites in electrically stressed gate SiO2 films using a conductive atomic force microscope (C-AFM). Prior to C-AFM observations, the gate SiO 2 films in metal-oxide-semiconductor capacitors were subjected to constant-current Fowler-Nordheim (FN) stress. Details of image contrasts and the relationship between the surface topography and the current image of the SiO2 films were examined, Two types of contrast were clearly observed in the current image: a sharp bright spot reflecting local current leakage were caused by hole trapping at stress-induced defects and a fuzzy bright contrasts originating from the SiO2 thickness fluctuation. The dependence of C-AFM images on the electron injection direction during FN stress application and the SiO2 film thickness clearly reveals that the stress-induced defects are distributed in the region within 2.6 nm from the SiO2/Si substrate interface.

    DOI: 10.1143/jjap.43.4679

    Web of Science

    Scopus

    CiNii Research

  60. Growth of silicon nanocrystal dots with high number density by ultra-high-vacuum chemical vapor deposition 招待有り 国際共著 国際誌

    Naito S, Satake M, Kondo H, Sakashita M, Sakai A, Zaima S, Yasuda Y

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   43 巻 ( 6B ) 頁: 3779 - 3783   2004年

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers  

    Si nanocrystal growth using an amorphous Si (a-Si) layer pre-deposited on a SiO2 surface has been performed by ultra-high-vacuum chemical vapor deposition (UHV-CVD). It has been shown that high-density Si nanocrystals are formed by Si2H6 irradiation on to an a-Si surface and that Si atoms supplied from the a-Si layer contribute to the growth of Si nanocrystals. By changing the thickness of the a-Si layer, the number density and size of Si nanocrystals can be controlled systematically. A number density of 1.0 × 1012cm-2 and an average diameter of 9.1nm were achieved for Si nanocrystals on the SiO2/Si substrate in the case of using a 0.3-nm-thick a-Si layer. Si nanocrystals were successfully buried in a SiO2 matrix by post deposition processes and their diameters were found to range from 6 to 10nm.

    DOI: 10.1143/jjap.43.3779

    Web of Science

    Scopus

    CiNii Research

  61. Behavior of Local Current Leakage in Stressed Gate SiO_2 Films Analyzed by Conductive Atomic Force Microscopy 招待有り 査読有り 国際共著 国際誌

    近藤 博基, 酒井 朗

    Japanese Journal Applied Physics Vol.43, No.7B     頁: 4683 - 4686   2004年

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    CiNii Research

  62. 電流注入ストレスを加えたゲート酸化膜の電流検出型原子間力顕微鏡による解析 招待有り 査読有り 国際共著 国際誌

    近藤 博基, 酒井 朗, 財満 鎭明, 安田 幸夫

    信学論 J87-C (8)     頁: 616 - 624   2004年

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    CiNii Research

  63. Fabrication and evaluation of floating gate memories with surface-nitrided Si nanocrystals 招待有り 査読有り 国際共著 国際誌

    Naito S., Ueyama T., Kondo H., Sakashita M., Sakai A., Ogawa M., Zaima S.

    Digest of Papers - Microprocesses and Nanotechnology 2004     頁: 170 - 171   2004年

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Digest of Papers - Microprocesses and Nanotechnology 2004  

    DOI: 10.1109/imnc.2004.245778

    Scopus

  64. Detection and Characterization of Stress-Induced Defects in Gate SiO_2 Films by Conductive Atomic Force Microscopy 招待有り 査読有り 国際共著 国際誌

    近藤 博基, 酒井 朗

    Japanese Journal Applied Physics Vol.43, No.7B     頁: 4679 - 4682   2004年

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    CiNii Research

  65. Conductive Atomic Force Microscopy Analysis for Local Electrical Characteristics in Stressed SiO_2 Gate Films 招待有り 査読有り 国際共著 国際誌

    近藤 博基, 酒井 朗

    Japanese Journal Applied Physics Vol.43, No.4B     頁: 1843 - 1847   2004年

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    CiNii Research

  66. 電子注入ストレスを加えたゲート酸化膜の電流検出型原子間力顕微鏡による解析 招待有り 査読有り 国際共著 国際誌

    近藤 博基, 酒井 朗, 財満 鎭明, 安田 幸夫

    Technical report of IEICE(信学技報) 103     頁: 1 - 6   2003年

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    CiNii Research

  67. Conductance oscillations in low-dimensional ion implanted regions annealed by rapid thermal annealing 招待有り 国際共著 国際誌

    Kondo H., Kaga K., Baba S.I., Iwano H., Zaima S., Yasuda Y.

    Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers   38 巻 ( 4A ) 頁: 1843 - 1846   1999年

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers  

    We have investigated the Coulomb blockade in gate-controlled hopping conduction systems made up of small-dimensional focused ion beam (FIB) implanted wires having a small non-implanted gap. Dot structures are formed in the gap by potential fluctuations caused by dispersed Ga impurities and implantation-induced defects. In the present work, the samples were subjected to two thermal treatment methods: furnace annealing at 600°C for 30 min and rapid thermal annealing (RTA) at 900°C for 30 s. In both samples, the conductance oscillates by varying the gate voltage at low temperatures, in which the nearest-neighbor hopping conduction is dominant. In the samples annealed by RTA, the oscillation amplitude becomes much larger compared with that of samples annealed at 600°C, which suggests that implantation-induced defects are annihilated by the thermal treatment at high temperatures. There coexist periodic and random peaks in the observed oscillation, which originate from the Coulomb blockade and hopping path changes, respectively. From obtained measurements, the dot size is estimated to be about 24 nm for the sample annealed at 600°C and 14 nm for the sample annealed at 900°C. The width of tunneling barrier of the sample annealed at 900°C was estimated to be about 14-17 nm. ©1999 Publication Board, Japanese Journal of Applied Physics.

    DOI: 10.1143/jjap.38.1843

    Web of Science

    Scopus

    CiNii Research

  68. Coulomb blockade phenomena in Si metal-oxide-semiconductor field-effect transistors with nano-scale channels fabricated using focused-ion beam implantation 招待有り 査読有り 国際共著 国際誌

    Kondo H., Izumikawa K., Sakurai M., Baba S.I., Iwano H., Zaima S., Yasuda Y.

    Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers   38 巻 ( 12 B ) 頁: 7222 - 7226   1999年

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers  

    We have fabricated Si metal-oxide-semiconductor field-effect transistors of small dimensions using focused-ion-beam (FIB) implantation and SiO2 implantation masks with the width of 63-118 nm and have investigated the Coulomb blockade phenomena in these devices. The source and drain regions are formed by FIB implantation with a beam diameter of about 100 nm and the effective channel length is estimated to be 27-82 nm. Periodic oscillations of conductance, which are considered to be Coulomn blockade osillations, are observed at temperatures below 13K. The measured oscillation period of VG is 1.2-3.1 V and the gate capacitance is estimated to be 0.053-0.14 aF for different channel lengths. Furthermore, it is found the the oscillation period of VG increases as the channel length increases, which indicates that the dot radius decreases with increasing channel length. Large negative magnetoresistance is distinctly observed at the top of oscillation peaks and, on the other hand, only weak magnetoresistance is obtained at the bottoms. © 1999 Publication Board, Japanese Journal of Applied Physics.

    DOI: 10.1143/jjap.38.7222

    Web of Science

    Scopus

    CiNii Research

  69. Conductance oscillations in hopping conduction systems fabricated by focused ion beam implantation 招待有り 国際共著 国際誌

    Kondo H., Iwano H., Nakatsuka O., Kaga K., Zaima S., Yasuda Y.

    Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers   36 巻 ( 6 SUPPL. B ) 頁: 4046 - 4048   1997年6月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers  

    We have investigated the single-electron phenomena in p-Si hopping conduction systems with a gate electrode. The hopping conduction systems have been fabricated on Si(100) substrates by focused ion beam (FIB) implantation of Ga+ ions with a beam diameter of 0.1 μm. The samples show nearest-neighbor hopping (NNH) conduction below 30 K and the conductance is found to oscillate by changing the gate voltage in the NNH conduction region. From the period of conductance oscillation, the gate capacitance is estimated to be about 0.3-0.4 aF. The evaluated size of a dot based on the capacitance is close to the dimension of localized states in this hopping conduction system. A plateau is also observed in current-voltage characteristics between source and drain electrodes. It is considered that these phenomena originate from a Coulomb blockade and that the chain of localized hopping states acts as asymmetric multiple tunneling junctions.

    DOI: 10.1143/jjap.36.4046

    Scopus

    CiNii Research

  70. Effects of Carbon Nanowalls (CNWs) Substrates on Soft Ionization of Low-Molecular-Weight Organic Compounds in Surface-Assisted Laser Desorption/Ionization Mass Spectrometry (SALDI-MS) 国際共著 国際誌

    Sakai Ryusei, Ichikawa Tomonori, Kondo Hiroki, Ishikawa Kenji, Shimizu Naohiro, Ohta Takayuki, Hiramatsu Mineo, Hori Masaru

    NANOMATERIALS   11 巻 ( 2 ) 頁: 1 - 11   2021年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Nanomaterials  

    Carbon nanowalls (CNWs), which are vertically oriented multi-layer graphene sheets, were employed in surface-assisted laser desorption/ionization mass spectrometry (SALDI-MS) measurements to detect low-molecular-weight organic compounds. CNWs substrates with widely different wall-to-wall distances from 142 to 467 nm were synthesized using a radical-injection plasma-enhanced chemical vapor deposition (RI-PECVD) system with nanosecond pulse biasing to a sample stage. When survival yield (SY) values of N-benzylpyridinium chloride (N-BP-Cl) were examined, which is commonly used to evaluate desorption/ionization efficiency, a narrower wall-to-wall distance presented a higher SY value. The highest SY value of 0.97 was realized at 4 mJ/cm2 for the highest-density CNWs with a wall-to-wall distance of 142 nm. The laser desorption/ionization effect of arginine, an amino acid, was also investigated. When CNWs with a narrower wall-to-wall distance were used, the signal-to-noise (SN) ratios of the arginine signals were increased, while the intensity ratios of fragment ions to arginine signals were suppressed. Therefore, the CNWs nanostructures are a powerful tool when used as a SALDI substrate for the highly efficient desorption/ionization of low-molecular-weight biomolecules.

    DOI: 10.3390/nano11020262

    Web of Science

    Scopus

    PubMed

  71. Measurements of nitrogen atom density in a microwave-excited plasma jet produced under moderate pressures 査読有り

    Jaeho Kim, Keigo Takeda, Hirotomo Itagaki, Xue‐lun Wang, Shingo Hirose, Hisato Ogiso, Tetsuji Shimizu, Naoto Kumagai, Takayoshi Tsutsumi, Hiroki Kondo, Masaru Hori, Hajime Sakakita

    IEEJ Transactions on Electrical and Electronic Engineering   15 巻 ( 9 ) 頁: 1281 - 1287   2020年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEJ Transactions on Electrical and Electronic Engineering  

    DOI: 10.1002/tee.23194

    Scopus

  72. In situ surface analysis of an ion-energy-dependent chlorination layer on GaN during cyclic etching using Ar+ ions and Cl radicals 査読有り

    Hasegawa Masaki, Tsutsumi Takayoshi, Tanide Atsushi, Nakamura Shohei, Kondo Hiroki, Ishikawa Kenji, Sekine Makoto, Hori Masaru

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A   38 巻 ( 4 )   2020年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Vacuum Science and Technology A: Vacuum, Surfaces and Films  

    DOI: 10.1116/6.0000124

    Web of Science

    Scopus

  73. Gas-phase and film analysis of hydrogenated amorphous carbon films: Effect of ion bombardment energy flux on sp(2) carbon structures 査読有り

    Sugiura Hirotsugu, Ohashi Yasuyuki, Ishikawa Kenji, Kondo Hiroki, Kato Toshiaki, Kaneko Toshiro, Takeda Keigo, Tsutsumi Takayoshi, Hayashi Toshio, Sekine Makoto, Hori Masaru

    DIAMOND AND RELATED MATERIALS   104 巻   2020年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Diamond and Related Materials  

    DOI: 10.1016/j.diamond.2019.107651

    Web of Science

    Scopus

  74. In-Liquid Plasma Synthesis of Nanographene with a Mixture of Methanol and 1-Butanol 査読有り

    Ando Atsushi, Ishikawa Kenji, Takeda Keigo, Ohta Takayuki, Ito Masafumi, Hiramatsu Mineo, Kondo Hiroki, Sekine Makoto, Hori Masaru

    CHEMNANOMAT   6 巻 ( 4 ) 頁: 604 - 609   2020年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:ChemNanoMat  

    DOI: 10.1002/cnma.201900676

    Web of Science

    Scopus

  75. Interaction of oxygen with polystyrene and polyethylene polymer films: A mechanistic study 査読有り 国際共著

    Yusuke Fukunaga, Roberto C. Longo, Peter L. G. Ventzek, Barton Lane, Alok Ranjan, Gyeong S. Hwang, Gregory Hartmann, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori1

    Journal of Applied Physics   127 巻 ( 2 )   2020年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Applied Physics  

    DOI: 10.1063/1.5127863

    Scopus

  76. Characterization of a microsecond pulsed non-equilibrium atmospheric pressure Ar plasma using laser scattering and optical emission spectroscopy 査読有り 国際共著

    Fengdong JIA, Yong WU, Qi MIN, Maogen SU, Keigo TAKEDA, Kenji ISHIKAWA, Hiroki KONDO, Makoto SEKINE, Masaru HORI and Zhiping ZHONG

    Plasma Science and Technology   22 巻 ( 6 )   2020年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Plasma Science and Technology  

    DOI: 10.1088/2058-6272/ab84e2

    Scopus

  77. Simulation-aided design of very-high-frequency excited nitrogen plasma confinement using a shield plate 査読有り

    Yasuhiro Isobe, Takayuki Sakai, Kyoichi Suguro, Naoto Miyashita, Hiroki Kondo, Kenji Ishikawa, Amalraj Frank Wilson, Naohiro Shimizu, Osamu Oda, Makoto Sekine, and Masaru Hori

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B   37 巻 ( 6 )   2019年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Vacuum Science and Technology B: Nanotechnology and Microelectronics  

    DOI: 10.1116/1.5114831

    Web of Science

    Scopus

  78. Oxidative stress-dependent and -independent death of glioblastoma cells induced by non-thermal plasma-exposed solutions 査読有り

    Tanaka Hiromasa, Mizuno Masaaki, Katsumata Yuko, Ishikawa Kenji, Kondo Hiroki, Hashizume Hiroshi, Okazaki Yasumasa, Toyokuni Shinya, Nakamura Kae, Yoshikawa Nobuhisa, Kajiyama Hiroaki, Kikkawa Fumitaka, Hori Masaru

    SCIENTIFIC REPORTS   9 巻 ( 1 ) 頁: 13657   2019年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Scientific Reports  

    DOI: 10.1038/s41598-019-50136-w

    Web of Science

    Scopus

    PubMed

  79. Atmospheric Pressure Plasma-Treated Carbon Nanowalls' Surface-Assisted Laser Desorption/Ionization Time-of-Flight Mass Spectrometry (CNW-SALDI-MS) 査読有り 国際共著 国際誌

    Ohta Takayuki, Ito Hironori, Ishikawa Kenji, Kondo Hiroki, Hiramatsu Mineo, Hori Masaru

    C-JOURNAL OF CARBON RESEARCH   5 巻 ( 3 )   2019年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/c5030040

    Web of Science

  80. Plasma-activated solution alters the morphological dynamics of supported lipid bilayers observed by high-speed atomic force microscopy 査読有り

    Yamaoka Sotaro, Kondo Hiroki, Hashizume Hiroshi, Ishikawa Kenji, Tanaka Hiromasa, Hori Masaru

    APPLIED PHYSICS EXPRESS   12 巻 ( 6 )   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    DOI: 10.7567/1882-0786/ab1a58

    Web of Science

    Scopus

  81. Polyethylene terephthalate (PET) surface modification by VUV and neutral active species in remote oxygen or hydrogen plasmas 査読有り

    Zhang Yan, Ishikawa Kenji, Mozetic Miran, Tsutsumi Takayoshi, Kondo Hiroki, Sekine Makoto, Hori Masaru

    PLASMA PROCESSES AND POLYMERS   16 巻 ( 6 )   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Plasma Processes and Polymers  

    DOI: 10.1002/ppap.201800175

    Web of Science

    Scopus

  82. Effects of plasma shield plate design on epitaxial GaN films grown for large-sized wafers in radical-enhanced metalorganic chemical vapor deposition 査読有り

    Isobe Yasuhiro, Sakai Takayuki, Sugiyama Naoharu, Mizushima Ichiro, Suguro Kyoichi, Miyashita Naoto, Lu Yi, Wilson Amalraj Frank, Kumar Dhasiyan Arun, Ikarashi Nobuyuki, Kondo Hiroki, Ishikawa Kenji, Shimizu Naohiro, Oda Osamu, Sekine Makoto, Hori Masaru

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B   37 巻 ( 3 )   2019年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Vacuum Science and Technology B: Nanotechnology and Microelectronics  

    DOI: 10.1116/1.5083970

    Web of Science

    Scopus

  83. Facile synthesis of SnO2-graphene composites employing nonthermal plasma and SnO2 nanoparticles-dispersed ethanol 査読有り

    Borude Ranjit R., Sugiura Hirotsugu, Ishikawa Kenji, Tsutsumi Takayoshi, Kondo Hiroki, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   52 巻 ( 17 )   2019年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Physics D: Applied Physics  

    DOI: 10.1088/1361-6463/ab03c4

    Web of Science

    Scopus

  84. A 65-nm CMOS Fully Integrated Analysis Platform Using an On-Chip Vector Network Analyzer and a Transmission-Line-Based Detection Window for Analyzing Circulating Tumor Cell and Exosome 査読有り

    Niitsu Kiichi, Nakanishi Taiki, Murakami Shunya, Matsunaga Maya, Kobayashi Atsuki, Karim Nissar Mohammad, Ito Jun, Ozawa Naoya, Hase Tetsunari, Tanaka Hiromasa, Sato Mitsuo, Kondo Hiroki, Ishikawa Kenji, Odaka Hidefumi, Hasegawa Yoshinori, Hori Masaru, Nakazato Kazuo

    IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS   13 巻 ( 2 ) 頁: 470-479 - 479   2019年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE Transactions on Biomedical Circuits and Systems  

    DOI: 10.1109/TBCAS.2018.2882472

    Web of Science

    Scopus

    PubMed

  85. Effects of 3D structure on electrochemical oxygen reduction characteristics of Pt- nanoparticle-supported carbon nanowalls 国際共著 国際誌

    Imai Shun, Naito Kenichi, Kondo Hiroki, Cho Hyung Jun, Ishikawa Kenji, Tsutsumi Takayoshi, Sekine Makoto, Hiramatsu Mineo, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   52 巻 ( 10 ) 頁: 105503 - 105503   2019年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Physics D: Applied Physics  

    For polymer electrolyte fuel cell applications, effects of Pt-nanoparticle-supported 3D carbon nanostructures, i.e. carbon nanowalls (Pt/CNWs), on electrochemical characteristics were determined by alternating current impedance analysis of resistive elements, which contribute to the oxygen reduction reaction. CNWs were fabricated by radical-injection plasma-enhanced chemical vapor deposition (RI-PECVD), and Pt catalysts were formed on the template of CNWs by supercritical fluid metalorganic chemical fluid deposition. CNWs of different wall densities were synthesized during RI-PECVD by varying the deposition pressure. The resistive elements can be consisted of three regions with different corresponding frequencies, and the resistive elements of mass diffusion, which showed up in the lowest frequency region of less than 100 Hz, increased as the wall density of CNWs increased. It was found that the wall density of CNWs was one of the essential parameters of Pt/CNWs for the electrochemical reaction involving the fluid flow and the mass transfer of active materials.

    DOI: 10.1088/1361-6463/aaf8e0

    Web of Science

    Scopus

    その他リンク: http://iopscience.iop.org/article/10.1088/1361-6463/aaf8e0/pdf

  86. Control of sp(2)-C cluster incorporation of amorphous carbon films grown by H-radical-injection CH4/H-2 plasma-enhanced chemical vapor deposition 査読有り 国際共著 国際誌

    Sugiura Hirotsugu, Jia Lingyun, Ohashi Yasuyuki, Kondo Hiroki, Ishikawa Kenji, Tsutsumi Takayoshi, Hayashi Toshio, Takeda Keigo, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻 ( 3 )   2019年3月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Amorphous carbon (a-C) thin films were deposited under the control of C2 radical density using radical-injection plasma-enhanced chemical vapor deposition (RI-PECVD) with CH4/H2 plasma. By actinometric monitoring of C2 emission intensities, the plasma parameters were precisely controlled by changing in CH4-containing plasma excitation power independent of H2 plasma excitation. The control of the incorporation of sp2-C clusters in the a-C films during the a-C film depositions is demonstrated by tailoring Raman positions vs. full widths at half maxima for the G band around 1580 cm-1 to the RI-PECVD parameters.

    DOI: 10.7567/1347-4065/aafd49

    Web of Science

    Scopus

  87. Effects of Ion Bombardment Energy Flux on Chemical Compositions and Structures of Hydrogenated Amorphous Carbon Films Grown by a Radical-Injection Plasma-Enhanced Chemical Vapor Deposition 査読有り 国際共著 国際誌

    Sugiura Hirotsugu, Kondo Hiroki, Tsutsumi Takayoshi, Ishikawa Kenji, Hori Masaru

    C-JOURNAL OF CARBON RESEARCH   5 巻 ( 1 )   2019年3月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/c5010008

    Web of Science

  88. Electrochemical Reaction in Hydrogen Peroxide and Structural Change of Platinum Nanoparticle-Supported Carbon Nanowalls Grown Using Plasma-Enhanced Chemical Vapor Deposition 査読有り 国際共著 国際誌

    Tomatsu Masakazu, Hiramatsu Mineo, Kondo Hiroki, Ishikawa Kenji, Tsutsumi Takayoshi, Sekine Makoto, Hori Masaru

    C-JOURNAL OF CARBON RESEARCH   5 巻 ( 1 )   2019年3月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/c5010007

    Web of Science

  89. Real-time control of a wafer temperature for uniform plasma process 国際共著 国際誌

    T. Tsutsumi, Y. Fuknaga, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    2018 International Symposium on Semiconductor Manufacturing (ISSM)   2018-December 巻   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE International Symposium on Semiconductor Manufacturing Conference Proceedings  

    Our developed non-contact method for measurement of temperature of silicon (Si) wafer by using autocorrelation-type fourier domain low coherence interferometer has advantageous in accuracy and rapid response. We demonstrate measurements in temperature for Si wafer at real-time during plasma process and in estimation of heat flux to the wafer from plasma, involving heats balanced plasma source and conductive loss in Si. The analysis indicated that other heat sources like the chamber parts with relatively high temperature impact on the duty ratio during the process with feedback control of the wafer teperture.

    DOI: 10.1109/ISSM.2018.8651183

    Scopus

  90. Liquid dynamics in response to an impinging low-temperature plasma jet 査読有り 国際共著 国際誌

    Brubaker T. R., Ishikawa K., Kondo H., Tsutsumi T., Hashizume H., Tanaka H., Knecht S. D., Bilen S. G., Hori M.

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   52 巻 ( 7 )   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Physics D: Applied Physics  

    The liquid-phase behavior induced by an atmospheric pressure plasma jet impinging onto water-based solutions was experimentally investigated. An increase in cavity size and circulation size resulting from an increase in impact force of the plasma jet with respect to a helium gas jet were observed through particle image velocimetry and cavity measurements. The increase in circulation size due to plasma discharge was larger than the increase solely due to an increase in jet impact force, suggesting additional shear along the liquid free surface evidenced by schlieren images of plasma-induced gas flow adherence after impingement. Liquid evaporation rate increased and mean liquid temperature decreased due to plasma effects on jet behavior. Plasma-induced decreases in liquid pH and temperature were contained within the circulation flow, leading to strong pH and temperature gradients within the liquid volume. Areas treated by the jet became acidic and remained more acidic than other portions of the liquid due to circulation vortices, highlighting the consequences of jet and liquid vessel parameters for plasma jet-liquid applications.

    DOI: 10.1088/1361-6463/aaf460

    Web of Science

    Scopus

  91. Single-Step, Low-Temperature Simultaneous Formations and in Situ Binding of Tin Oxide Nanoparticles to Graphene Nanosheets by In-Liquid Plasma for Potential Applications in Gas Sensing and Lithium-Ion Batteries 査読有り

    Ranjit R. Borude, Hirotsugu Sugiura, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo, Nobuyuki Ikarashi, Masaru Hori

    ACS Applied Nano Materials   2 巻 ( 2 ) 頁: 649 - 654   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  92. Effect of electrical stimulation on proliferation and bone-formation by osteoblast-like cells cultured on carbon nanowalls scaffolds 査読有り 国際共著 国際誌

    Ichikawa Tomonori, Tanaka Suiki, Kondo Hiroki, Ishikawa Kenji, Tsutsumi Takayoshi, Sekine Makoto, Hori Masaru

    APPLIED PHYSICS EXPRESS   12 巻 ( 2 )   2019年2月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    Carbon nanowalls (CNWs) were synthesized by radical injection plasma-enhanced chemical vapor deposition and used as scaffolds for cell culture. The proliferation of osteoblast-like cells (Saos-2) was enhanced on the CNW scaffold upon electrical stimulation (ES) with 10 Hz square pulses at a current of 226 nA. However, after incubation with ES for 10 d, differentiation of the cells toward bone formation was suppressed.

    DOI: 10.7567/1882-0786/aaf469

    Web of Science

    Scopus

  93. Narrow free-standing features fabricated by top-down self-limited trimming of organic materials using precisely temperature-controlled plasma etching system 査読有り

    Fukunaga Yusuke, Tsutsumi Takayoshi, Kondo Hiroki, Ishikawa Kenji, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻 ( 2 )   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    DOI: 10.7567/1347-4065/aaf92a

    Web of Science

    Scopus

  94. Modifications of surface and bulk properties of magnetron-sputtered carbon films employing a post-treatment of atmospheric pressure plasma 査読有り 国際共著 国際誌

    Borude Ranjit R., Sugiura Hirotsugu, Ishikawa Kenji, Tsutsumi Takayoshi, Kondo Hiroki, Han Jeon Geon, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻 ( SA )   2019年2月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Control of the bonding structure in carbon materials is achieved by a post-treatment of atmospheric pressure plasma (APP) for magnetron-sputtered carbon films. The APP post-treatment changes the films morphologically owing to the removal and modification of sp2 bonds on the basis of the near edge X-ray absorption fine structure analysis of sp2 contents. By APP post-treatment, the resulting changes in surface and bulk properties modify the optical and electrical properties of the carbon films. The control of the film properties can be utilized for various applications, such as gas sensors and solar cells.

    DOI: 10.7567/1347-4065/aaec87

    Web of Science

    Scopus

  95. Pt nanoparticle-supported carbon nanowalls electrode with improved durability for fuel cell applications using C2F6/H-2 plasma-enhanced chemical vapor deposition 査読有り 国際共著 国際誌

    Imai Shun, Kondo Hiroki, Hyungjun Cho, Ishikawa Kenji, Tsutsumi Takayoshi, Sekine Makoto, Hiramatsu Mineo, Hori Masaru

    APPLIED PHYSICS EXPRESS   12 巻 ( 1 )   2019年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    The electrochemical durability of Pt nanoparticles-supported carbon nanowalls (Pt/CNWs) determined from potential cycle tests was 88% performance after 20 000 cycles and 50% performance around 140 000 cycles when the CNWs were fabricated by the C 2 F 6 /H 2 plasma-enhanced chemical vapor deposition system (C 2 F 6 -CNWs). Even after the extended start/stop-simulation tests of fuel cell Pt/C 2 F 6 -CNWs, Pt was aggregated; however, the morphological structure of the CNWs was maintained and no corrosion was evident from scanning electron microscopy observations and Raman analysis. For graphene-based catalyst supports, i.e., the Pt/C 2 F 6 -CNWs, graphene crystallinity is essential to extend electrochemical durability by inhibiting corrosion during fuel cell operation.

    DOI: 10.7567/1882-0786/aaf0ab

    Web of Science

    Scopus

  96. Effect of N-2/H-2 plasma on GaN substrate cleaning for homoepitaxial GaN growth by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) 査読有り 国際共著 国際誌

    Amalraj Frank Wilson, Dhasiyan Arun Kumar, Lu Yi, Shimizu Naohiro, Oda Osamu, Ishikawa Kenji, Kondo Hiroki, Sekine Makoto, Ikarashi Nobuyuki, Hori Masaru

    AIP ADVANCES   8 巻 ( 11 )   2018年11月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:AIP Advances  

    We developed a new method of GaN growth using Radical-Enhanced Metalorganic Chemical Vapor Deposition (REMOCVD) technology by which Gallium Nitride (GaN) grows at low temperatures without ammonia gas. In this method, we investigated the effect of N2/H2 plasma on the GaN substrate surface cleaning prior to the growth of homoepitaxial GaN. In-situ reflection high-energy electron diffraction (RHEED) and atomic force microscope (AFM) were used to investigate the surface morphology of the cleaned GaN substrates. The interface between GaN substrate and homoepitaxially grown GaN by REMOCVD was evaluated by transmission electron microscope and the crystal quality was evaluated by X-ray diffraction. The in-situ N2/H2 plasma cleaning at 600 °C shows a smooth surface morphology with streak diffraction lines observed by RHEED. Since the homoepitaxial growth of GaN was performed at 800 °C, the cleaned GaN substrate temperature was ramped up from 600 °C to 800 °C with and without plasma exposure to compare the effect of plasma. Homoepitaxially grown GaN on GaN substrates whose temperature was ramped up with plasma exposure showed good crystal quality with no threading dislocations at the interface. It was found that N2/H2 plasma plays a significant role in the GaN surface cleaning for good quality crystal growth.

    DOI: 10.1063/1.5050819

    Web of Science

    Scopus

  97. Oriented Carbon Nanostructures by Plasma Processing: Recent Advances and Future Challenges 査読有り 国際共著

    Santhosh Neelakandan M, Filipic Gregor, Tatarova Elena, Baranov Oleg, Kondo Hiroki, Sekine Makoto, Hori Masaru, Ostrikov Kostya, Ke, Cvelbar Uros

    MICROMACHINES   9 巻 ( 11 )   2018年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Micromachines  

    DOI: 10.3390/mi9110565

    Web of Science

    Scopus

    PubMed

  98. Time Evolution Of Reactive Oxygen Nitrogen Species in Plasma-Activated Essential Media and Water 国際共著 国際誌

    Brubaker Timothy, Ishikawa Kenji, Takeda Keigo, Hashizume Hiroshi, Tanaka Hiromasa, Kondo Hiroki, Sekine Makoto, Hori Masaru

    2017 IEEE INTERNATIONAL CONFERENCE ON PLASMA SCIENCE (ICOPS)     2018年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/PLASMA.2017.8496057

    Web of Science

  99. Elevated-temperature etching of gallium nitride (GaN) in dual-frequency capacitively coupled plasma of CH4/H-2 at 300-500 degrees C 査読有り 国際共著 国際誌

    Kako Takashi, Liu Zecheng, Ishikawa Kenji, Kondo Hiroki, Oda Osamu, Sekine Makoto, Hori Masaru

    VACUUM   156 巻   頁: 219 - 223   2018年10月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Vacuum  

    Elevated-temperature etching with CH4/H2 plasma chemistry at 300 °C improves damage-less optical properties of GaN, solving issues of degradation on near-band-edge photoluminescence intensity on plasma etching at lower temperatures than 300 °C. Damage-less etching properties in a smooth surface, high photoluminescence intensity, and substantial stoichiometric ratio of gallium and nitrogen were obtained when the CH4/H2 chemistry with substrate temperatures at 300–500 °C.

    DOI: 10.1016/j.vacuum.2018.07.040

    Web of Science

    Scopus

  100. Reaction mechanisms between chlorine plasma and a spin-on-type polymer mask for high-temperature plasma etching 査読有り 国際共著 国際誌

    Zhang Yan, Imamura Masato, Ishikawa Kenji, Tsutsumi Takayoshi, Kondo Hiroki, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 10 )   2018年10月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    To satisfy the requirement for mask materials in high-temperature plasma etching, a novolac-based polymer mask was evaluated during high-temperature Cl2 plasma etching. Although the etch rate of 8 nm/min was rather high at a low temperature of 230 °C, it decreased with the increase in temperature. The aromatic ring structures were significantly modified by vacuum ultraviolet (VUV) and Cl radicals during the processes above 300 °C and transformed to a highly cross-linked amorphous carbon (a-C) layer at the surface confirmed from infrared and Raman spectra. The formation of this a-C layer improved the etching resistance of the polymer mask. On the other hand, surface roughness can also be improved after processes above 300 °C corresponding to the generation of the a-C layer. Therefore, this polymer mask is a promising candidate for high-temperature plasma etching with high etch resistance, and a smooth surface can be obtained during processes above 300 °C.

    DOI: 10.7567/JJAP.57.106502

    Web of Science

    Scopus

  101. Temperature dependence on plasma-induced damage and chemical reactions in GaN etching processes using chlorine plasma 招待有り 査読有り 国際共著 国際誌

    Liu Zecheng, Ishikawa Kenji, Imamura Masato, Tsutsumi Takayoshi, Kondo Hiroki, Oda Osamu, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 6 )   2018年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Plasma-induced damage (PID) on GaN was optimally reduced by high-temperature chlorine plasma etching. Energetic ion bombardments primarily induced PID involving stoichiometry, surface roughness, and photoluminescence (PL) degradation. Chemical reactions under ultraviolet (UV) irradiation and chlorine radical exposure at temperatures higher than 400 °C can be controlled by taking into account the synergism of simultaneous photon and radical irradiations to effectively reduce PID.

    DOI: 10.7567/JJAP.57.06JD01

    Web of Science

    Scopus

  102. Effects of gas residence time of CH4/H-2 on sp(2) fraction of amorphous carbon films and dissociated methyl density during radical-injection plasma-enhanced chemical vapor deposition 招待有り 査読有り 国際共著 国際誌

    Sugiura Hirotsugu, Jia Lingyun, Kondo Hiroki, Ishikawa Kenji, Tsutsumi Takayoshi, Hayashi Toshio, Takeda Keigo, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 6 )   2018年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Quadruple mass spectrometric measurements of CH3 density during radical-injection plasma-enhanced chemical vapor deposition to consider the sp2 fraction of amorphous carbon (a-C) films were performed. The sp2 fraction of the a-C films reached a minimum of 46%, where the CH3 density was maximum for a residence time of 6 ms. The sp2 fraction of the a-C films was tailored with the gaseous phase CH3 density during the deposition. This knowledge is useful for understanding the formation mechanism of bonding structures in the a-C films, which enables the precise control of their electronic properties.

    DOI: 10.7567/JJAP.57.06JE03

    Web of Science

    Scopus

  103. Impact of helium pressure in arc plasma synthesis on crystallinity of single-walled carbon nanotubes 招待有り 査読有り 国際共著 国際誌

    Ando Atsushi, Takeda Keigo, Ohta Takayuki, Ito Masafumi, Hiramatsu Mineo, Ishikawa Kenji, Kondo Hiroki, Sekine Makoto, Suzuki Tomoko, Inoue Sakae, Ando Yoshinori, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 6 )   2018年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Single-walled carbon nanotubes (SWNTs) were synthesized with a high growth rate by an arc plasma method employing the electrodes made from a Ni–Y mixture catalyst. In a previous study, it was reported that the monitoring of high-crystallinity SWNT growth enabled the evaluation of the results of the optical emission spectroscopy (OES) of C2, Ni, and Y. Here, the impact of helium pressure of arc plasma on the high crystallinity of SWNTs was determined by considering the high intensity ratios of catalytic metals over C2 emissions at low helium pressures in the arc plasma.

    DOI: 10.7567/JJAP.57.06JF01

    Web of Science

    Scopus

  104. Oxygen reduction reaction properties of nitrogen-incorporated nanographenes synthesized using in-liquid plasma from mixture of ethanol and iron phthalocyanine 査読有り

    Amano Tomoki, Kondo Hiroki, Takeda Keigo, Ishikawa Kenji, Hiramatsu Mineo, Sekine Makoto, Hori Masaru

      57 巻 ( 4 )   2018年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.040303

    Web of Science

  105. Nanographene synthesized in triple-phase plasmas as a highly durable support of catalysts for polymer electrolyte fuel cells 査読有り

    Amano Tomoki, Kondo Hiroki, Takeda Keigo, Ishikawa Kenji, Hiramatsu Mineo, Sekine Makoto, Hori Masaru

      57 巻 ( 4 )   2018年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.045101

    Web of Science

  106. Nanographene synthesis employing in-liquid plasmas with alcohols or hydrocarbons 査読有り

    Ando Atsushi, Ishikawa Kenji, Kondo Hiroki, Tsutsumi Takayoshi, Takeda Keigo, Ohta Takayuki, Ito Masafumi, Hiramatsu Mineo, Sekine Makoto, Hori Masaru

      57 巻 ( 2 )   2018年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.026201

    Web of Science

  107. Rapid growth of micron-sized graphene flakes using in-liquid plasma employing iron phthalocyanine-added ethanol 査読有り

    Amano Tomoki, Kondo Hiroki, Ishikawa Kenji, Tsutsumi Takayoshi, Takeda Keigo, Hiramatsu Mineo, Sekine Makoto, Hori Masaru

      11 巻 ( 1 )   2018年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/APEX.11.015102

    Web of Science

  108. Dynamic analysis of reactive oxygen nitrogen species in plasma-activated culture medium by UV absorption spectroscopy 査読有り 国際共著

    Brubaker Timothy R., Ishikawa Kenji, Takeda Keigo, Oh Jun-Seok, Kondo Hiroki, Hashizume Hiroshi, Tanaka Hiromasa, Knecht Sean D., Bilen Sven G., Hori Masaru

      122 巻 ( 21 )   2017年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4999256

    Web of Science

  109. Intracellular responses to reactive oxygen and nitrogen species, and lipid peroxidation in apoptotic cells cultivated in plasma-activated medium 査読有り

    Furuta Ryo, Kurake Naoyuki, Ishikawa Kenji, Takeda Keigo, Hashizume Hiroshi, Tanaka Hiromasa, Kondo Hiroki, Sekine Makoto, Hori Masaru

      14 巻 ( 11 )   2017年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/ppap.201700123

    Web of Science

  110. Surface roughening of photoresist after change of the photon/radical and ion treatment sequence 査読有り

    Zhang Yan, Takeuchi Takuya, Ishikawa Kenji, Takeda Keigo, Kondo Hiroki, Sekine Makoto, Hori Masaru

      35 巻 ( 6 )   2017年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1116/1.4994218

    Web of Science

  111. High-durability catalytic electrode composed of Pt nanoparticle-supported carbon nanowalls synthesized by radical-injection plasma-enhanced chemical vapor deposition 査読有り

    Imai Shun, Kondo Hiroki, Cho Hyungjun, Kano Hiroyuki, Ishikawa Kenji, Sekine Makoto, Hiramatsu Mineo, Ito Masafumi, Hori Masaru

      50 巻 ( 40 )   2017年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6463/aa8131

    Web of Science

  112. Lipid droplets exhaustion with caspases activation in HeLa cells cultured in plasma-activated medium observed by multiplex coherent anti-Stokes Raman scattering microscopy 査読有り

    Furuta Ryo, Kurake Naoyuki, Takeda Keigo, Ishikawa Kenji, Ohta Takayuki, Ito Masafumi, Hashizume Hiroshi, Tanaka Hiromasa, Kondo Hiroki, Sekine Makoto, Hori Masaru

      12 巻 ( 3 )   2017年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1116/1.4997170

    Web of Science

  113. Reduction of chlorine radical chemical etching of GaN under simultaneous plasma-emitted photon irradiation 査読有り

    Liu Zecheng, Imamura Masato, Asano Atsuki, Ishikawa Kenji, Takeda Keigo, Kondo Hiroki, Oda Osamu, Sekine Makoto, Hori Masaru

      10 巻 ( 8 )   2017年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/APEX.10.086502

    Web of Science

  114. Temperature dependence of protection layer formation on organic trench sidewall in H-2/N-2 plasma etching with control of substrate temperature 査読有り

    Fukunaga Yusuke, Tsutsumi Takayoshi, Takeda Keigo, Kondo Hiroki, Ishikawa Kenji, Sekine Makoto, Hori Masaru

      56 巻 ( 7 )   2017年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.56.076202

    Web of Science

  115. Intracellular-molecular changes in plasma-irradiated budding yeast cells studied using multiplex coherent anti-Stokes Raman scattering microscopy 査読有り

    Furuta Ryo, Kurake Naoyuki, Ishikawa Kenji, Takeda Keigo, Hashizume Hiroshi, Kondo Hiroki, Ohta Takayuki, Ito Masafumi, Sekine Makoto, Hori Masaru

      19 巻 ( 21 ) 頁: 13438-13442   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1039/c7cp00489c

    Web of Science

  116. Electron behaviors in afterglow of synchronized dc-imposed pulsed fluorocarbon-based plasmas 招待有り 査読有り 国際共著 国際誌

    Ueyama Toshinari, Fukunaga Yusuke, Tsutsumi Takayoshi, Takeda Keigo, Kondo Hiroki, Ishikawa Kenji, Sekine Makoto, Iwata Manabu, Ohya Yoshinobu, Sugai Hideo, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   56 巻 ( 6 )   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Electron behaviors in a pulsed dual radio frequency (RF) capacitively coupled plasma of a mixture of C4F8, O2, and Ar gases, where the DC bias of %300V in the RF-on period was imposed and synchronously increased to %1000V in the RF-off period, were investigated. The synchronous DC bias prolongs the electron density (ne) decay and provides emission of Ar at a wavelength of 750.38nm in early afterglow at 3 μs during the RF-off period of 10 kHz pulse modulation. The rapid ne decay occurred with the electron attachments to the electronegative fluorocarbons, and thus the plasma consisting of positive and negative ions was generated. The DC bias voltage seems to be applied between the electrodes and the positive ions accelerated to the top electrode, and enhanced the secondary electron generation at the top electrode surface in the RF-off period with the ion bombardments, concomitantly with the synchronous emissions.

    DOI: 10.7567/JJAP.56.06HC03

    Web of Science

    Scopus

  117. Hydrogen peroxide sensor based on carbon nanowalls grown by plasma-enhanced chemical vapor deposition 招待有り 査読有り 国際共著 国際誌

    Tomatsu Masakazu, Hiramatsu Mineo, Foord John S., Kondo Hiroki, Ishikawa Kenji, Sekine Makoto, Takeda Keigo, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   56 巻 ( 6 )   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Fabrication of an electrochemical sensor for hydrogen peroxide (H2O4) detection was demonstrated. H4O2 is a major messenger molecule in various redox-dependent cellular signaling transductions. Therefore, sensitive detection of H2O2 is greatly important in health inspection and environmental protection. Carbon nanowalls (CNWs) are composed of few-layer graphenes standing almost vertically on a substrate forming a three-dimensional structure. In this work, CNWs were used as a platform for H2O2 sensing, which is based on the large surface area of conducting carbon and surface decoration with platinum (Pt) nanoparticles (NPs). CNWs were grown on carbon fiber paper (CFP) by inductively coupled plasma-enhanced chemical vapor deposition to increase the surface area. Then, the CNW surface was decorated with Pt-NPs by the reduction of H2PtCl6. Cyclic voltammetry results indicate that the Pt-decorated CNW/CFP electrode possesses excellent electrocatalytic activity for the reduction of H2O2. Amperometric responses indicate the high-sensitivity detection capability of the Pt-decorated CNW/CFP electrode for H2O2.

    DOI: 10.7567/JJAP.56.06HF03

    Web of Science

    Scopus

  118. Spatial profiles of interelectrode electron density in direct current superposed dual-frequency capacitively coupled plasmas 査読有り

    Ohya Yoshinobu, Ishikawa Kenji, Komuro Tatsuya, Yamaguchi Tsuyoshi, Takeda Keigo, Kondo Hiroki, Sekine Makoto, Hori Masaru

      50 巻 ( 15 )   2017年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6463/aa60f7

    Web of Science

  119. Characteristics of optical emissions of arc plasma processing for high-rate synthesis of highly crystalline single-walled carbon nanotubes 査読有り

    Ando Atsushi, Takeda Keigo, Ohta Takayuki, Ito Masafumi, Hiramatsu Mineo, Ishikawa Kenji, Kondo Hiroki, Sekine Makoto, Suzuki Tomoko, Inoue Sakae, Ando Yoshinori, Hori Masaru

      56 巻 ( 3 )   2017年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.56.035101

    Web of Science

  120. Investigation of effects of ion energies on both plasma-induced damage and surface morphologies and optimization of high-temperature Cl-2 plasma etching of GaN 査読有り

    Liu Zecheng, Pan Jialin, Asano Atsuki, Ishikawa Kenji, Takeda Keigo, Kondo Hiroki, Oda Osamu, Sekine Makoto, Hori Masaru

      56 巻 ( 2 )   2017年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.56.026502

    Web of Science

  121. Absolute density of precursor SiH3 radicals and H atoms in H-2-diluted SiH4 gas plasma for deposition of microcrystalline silicon films 査読有り

    Abe Yusuke, Ishikawa Kenji, Takeda Keigo, Tsutsumi Takayoshi, Fukushima Atsushi, Kondo Hiroki, Sekine Makoto, Hori Masaru

      110 巻 ( 4 )   2017年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4974821

    Web of Science

  122. Atomic layer etching of SiO2 by alternating an O-2 plasma with fluorocarbon film deposition 査読有り

    Tsutsumi Takayoshi, Kondo Hiroki, Hori Masaru, Zaitsu Masaru, Kobayashi Akiko, Nozawa Toshihisa, Kobayashi Nobuyoshi

      35 巻 ( 1 )   2017年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1116/1.4971171

    Web of Science

  123. TIME EVOLUTION OF REACTIVE OXYGEN NITROGEN SPECIES IN PLASMA-ACTIVATED ESSENTIAL MEDIA AND WATER 査読有り 国際共著

    Brubaker Timothy, Ishikawa Kenji, Takeda Keigo, Hashizume Hiroshi, Tanaka Hiromasa, Kondo Hiroki, Sekine Makoto, Hori Masaru

        頁: .   2017年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  124. Microfluidic Transport Through Microsized Holes Treated by Nonequilibrium Atmospheric-Pressure Plasma 査読有り 国際共著 国際誌

    Ito Takumi, Ishikawa Kenji, Onoshima Daisuke, Kihara Naoto, Tatsukoshi Kentaro, Odaka Hidefumi, Hashizume Hiroshi, Tanaka Hiromasa, Yukawa Hiroshi, Takeda Keigo, Kondo Hiroki, Sekine Makoto, Baba Yoshinobu, Hori Masaru

    IEEE TRANSACTIONS ON PLASMA SCIENCE   44 巻 ( 12 ) 頁: 3060 - 3065   2016年12月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE Transactions on Plasma Science  

    In the field of microfluidics, it is possible to facilitate liquid transport through microsized holes with large slip lengths by lowering the friction at the interface between the flow and the inner surface of the holes. In this paper, we discuss the use of nonequilibrium atmospheric-pressure plasma to modify the surface wettability of microsized holes in glass substrates that are similar to those used as flow channels in glass microfiltration devices. In our experiments, liquid transport flows were driven by internal Laplace pressure differences based on the surface tensions of droplets placed on the front and back sides of the tested substrates.

    DOI: 10.1109/TPS.2016.2571721

    Web of Science

    Scopus

  125. Effects of Radical Species on Structural and Electronic Properties of Amorphous Carbon Films Deposited by Radical-Injection Plasma-Enhanced Chemical Vapor Deposition 査読有り 国際共著 国際誌

    Jia Lingyun, Sugiura Hirotsugu, Kondo Hiroki, Takeda Keigo, Ishikawa Kenji, Oda Osamu, Sekine Makoto, Hiramatsu Mineo, Hori Masaru

    PLASMA PROCESSES AND POLYMERS   13 巻 ( 7 ) 頁: 730 - 736   2016年7月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Plasma Processes and Polymers  

    Amorphous carbon (a-C) films are deposited using a radical-injection plasma-enhanced chemical vapor deposition (RI-PECVD) system employing a mixture of H2 and CH4 gases. Variations in the structural and electronic properties of the resulting films with changes in the residence times of radical species and molecules are investigated by varying the total gas flow rate from 50 to 400 sccm. With decreasing residence time, the deposition rate is found to gradually increase, reaching a maximum value at a residence time of 6 ms, after which a decrease was observed. Optical emission spectra showed that the relative intensity of the CH emission increased with decreasing residence time. These results indicate a change in the dominant radical species resulting from suppression of the dissociation of radicals and molecules. Increasing amorphization and an obvious increase in the Tauc gap from 0.6 to 0.9 eV are found with decreasing residence time, while there is little change in the hydrogen content of the films. From these data, it is evident that control over the structural properties and optical bandgap of a-C films can be realized by optimizing the distribution of radical species.

    DOI: 10.1002/ppap.201500229

    Web of Science

    Scopus

  126. Effect of gas residence time on near-edge X-ray absorption fine structures of hydrogenated amorphous carbon films grown by plasma-enhanced chemical vapor deposition 査読有り 国際共著 国際誌

    Jia Lingyun, Sugiura Hirotsugu, Kondo Hiroki, Takeda Keigo, Ishikawa Kenji, Oda Osamu, Sekine Makoto, Hiramatsu Mineo, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   55 巻 ( 4 )   2016年4月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    In hydrogenated amorphous carbon films, deposited using a radical-injection plasma-enhanced chemical vapor deposition system, the chemical bonding structure was analyzed by near-edge X-ray absorption fine-structure spectroscopy. With a change in the residence times of source gases in a reactor, whereby total gas flow rates of H2/CH4 increased from 50 to 400 sccm, sp2-C fractions showed the minimum value at 150 sccm, while H concentration negligibly changed according to the results of secondary ion mass spectroscopy. On the other hand, widths of σ∗ C-C peaks increased with decreasing gas residence time, which indicates an increase in the fluctuation of bonding structures.

    DOI: 10.7567/JJAP.55.040305

    Web of Science

    Scopus

  127. Real-time temperature monitoring of Si substrate during plasma processing and its heat-flux analysis 査読有り 国際共著 国際誌

    Tsutsumi Takayoshi, Ishikawa Kenji, Takeda Keigo, Kondo Hiroki, Ohta Takayuki, Ito Masafumi, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   55 巻 ( 1 )   2016年1月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Actual Si wafer temperatures during plasma etching processes were temporally measured using a real-time wafer-temperature monitoring system with autocorrelation-type frequency-domain low-coherence interferometry. Indeed, the Si wafer temperature, which was 20 °C before the process, rapidly increased in 10 s. Then, the temperature rise gradually slowed, but continued to increase and reached 45 °C after 600 s. This can be due to the fact that there exists a heat source for the wafer other than the plasma. Reasonably, the Si wafer was found to be sensitive to the temperature of the disk covering the area around the wafer, i.e., the focus ring. Usually, the temperature of the focus ring is not controlled and causes the radial distribution of Si wafer temperature. Consequently, the Si wafer temperature should be controlled with the temperature increase of other heat sources, especially the focus ring.

    DOI: 10.7567/JJAP.55.01AB04

    Web of Science

    Scopus

  128. Impact of synergism of nitrite and hydrogen peroxide on cell survivals in Plasma-Activated-Medium (PAM) 査読有り

    Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Fumiaki Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

        2016年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  129. Feedback Control System of Wafer Temperature for Advanced Plasma Processing and its Application to Organic Film Etching 査読有り 国際共著 国際誌

    Tsutsumi Takayoshi, Fukunaga Yusuke, Ishikawa Kenji, Takeda Keigo, Kondo Hiroki, Ohta Takayuki, Ito Masafumi, Sekine Makoto, Hori Masaru

    IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING   28 巻 ( 4 ) 頁: 515 - 520   2015年11月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE Transactions on Semiconductor Manufacturing  

    A wafer temperature feedback control system during plasma processing with rapid, precise, and real-time temperature monitoring employing frequency-domain low-coherence interferometry was developed. To keep the temperature within a specific range, plasma was actively switched on and off, controlled by signals from a monitoring system. It was applied to an organic film etching process with an H2 and N2 mixture gas plasma. The organic material etching yield from atomic hydrogen has a relatively high sensitivity to temperature, and a constant temperature is required to achieve precise etching profiles. This system maintained the wafer temperature within a few degrees for H2/N2 plasma discharges. Duty ratios per discharge gradually decreased because the temperature of the chamber component parts around the wafer increased. The trench width etched in the organic film increased with increasing wafer temperature. This is because of a temperature dependence balance between the etching reaction and protection film formation on the trench sidewall.

    DOI: 10.1109/TSM.2015.2470554

    Web of Science

    Scopus

  130. High sensitivity of a carbon nanowall-based sensor for detection of organic vapours 査読有り 国際共著

    P. Slobodian, U. Cvelbar, P. Riha, R. Olejnik, J. Matyas, G. Filipič, H. Watanabe, S. Tajima, H. Kondo, M. Sekine, M. Hori

    RSC Advances   5 巻 ( 110 ) 頁: 90515 - 90520   2015年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:RSC Advances  

    DOI: 10.1039/c5ra12000d

    Web of Science

    Scopus

  131. Development of Microelectrode Arrays Using Electroless Plating for CMOS-Based Direct Counting of Bacterial and HeLa Cells 査読有り 国際共著 国際誌

    Niitsu Kiichi, Ota Shoko, Gamo Kohei, Kondo Hiroki, Hori Masaru, Nakazato Kazuo

    IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS   9 巻 ( 5 ) 頁: 607 - 619   2015年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE Transactions on Biomedical Circuits and Systems  

    The development of two new types of high-density, electroless plated microelectrode arrays for CMOS-based high-sensitivity direct bacteria and HeLa cell counting are presented. For emerging high-sensitivity direct pathogen counting, two technical challenges must be addressed. One is the formation of a bacteria-sized microelectrode, and the other is the development of a high-sensitivity and high-speed amperometry circuit. The requirement for microelectrode formation is that the gold microelectrodes are required to be as small as the target cell. By improving a self-aligned electroless plating technique, the dimensions of the microelectrodes on a CMOS sensor chip in this work were successfully reduced to 1.2 μm × 2.05 μm. This is 1/20th of the smallest size reported in the literature. Since a bacteria-sized microelectrode has a severe limitation on the current flow, the amperometry circuit has to have a high sensitivity and high speed with low noise. In this work, a current buffer was inserted to mitigate the potential fluctuation. Three test chips were fabricated using a 0.6-μm CMOS process: two with 1.2 μm × 2.05 μm (1024 × 1024 and 4 × 4) sensor arrays and one with 6-μm square (16 × 16) sensor arrays; and the microelectrodes were formed on them using electroless plating. The uniformity among the 1024 × 1024 electrodes arranged with a pitch of 3.6 μm × 4.45 μm was optically verified. For improving sensitivity, the trenches on each microelectrode were developed and verified optically and electrochemically for the first time. Higher sensitivity can be achieved by introducing a trench structure than by using a conventional microelectrode formed by contact photolithography. Cyclic voltammetry (CV) measurements obtained using the 1.2 μm × 2.05 μm 4 × 4 and 6-μm square 16 × 16 sensor array with electroless-plated microelectrodes successfully demonstrated direct counting of the bacteria-sized microbeads and HeLa cells.

    DOI: 10.1109/TBCAS.2015.2479656

    Web of Science

    Scopus

    PubMed

  132. Suppression of plasma-induced damage on GaN etched by a Cl-2 plasma at high temperatures 査読有り 国際共著 国際誌

    Liu Zecheng, Pan Jialin, Kako Takashi, Ishikawa Kenji, Takeda Keigo, Kondo Hiroki, Oda Osamu, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   54 巻 ( 6 )   2015年6月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Plasma-induced damage (PID) during plasma-etching processes was suppressed by the application of Cl2 plasma etching at an optimal temperature of 400 °C, based on results of evaluations of photoluminescence (PL), stoichiometric composition, and surface roughness. The effects of ions, photons, and radicals on damage formation were separated from the effects of plasma using the pallet for plasma evaluation (PAPE) method. The PID was induced primarily by energetic ion bombardments at temperatures lower than 400 °C and decreased with increasing temperature. Irradiations by photons and radicals were enhanced to form the PID and to develop surface roughness at temperatures higher than 400 °C. Consequently, Cl2 plasma etching at 400 °C resulted optimally in low damage and a stoichiometric and smooth GaN surface.

    DOI: 10.7567/JJAP.54.06GB04

    Web of Science

    Scopus

  133. シリコン薄膜形成プロセスにおけるプラズマ中の水素原子の計測とその挙動 査読有り

    堀勝, 阿部祐介, 竹田圭吾, 石川健治, 近藤博基, 関根誠, 韓銓建

    プラズマ・核融合学会誌   91 巻 ( 5 ) 頁: 317   2015年5月

     詳細を見る

    記述言語:日本語  

  134. シリコン薄膜形成プロセスにおけるプラズマ中の水素原子の計測とその挙動 (小特集 シリコン系太陽電池の高効率化に向けたプラズマCVDの科学) -- (気相の物理・化学) 査読有り

    堀 勝, 阿部 祐介, 竹田 圭吾, 石川 健治, 近藤 博基, 関根 誠, 韓 銓建

    プラズマ・核融合学会誌   91 巻 ( 5 ) 頁: 317-322   2015年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    プラズマプロセスによるシリコン薄膜太陽電池の工業化がなされて以来,約35年が経つ.多くの研究者が,シリコン薄膜太陽電池を構成するアモルファスシリコン薄膜や微結晶シリコン薄膜を形成するために,プラズマ中の活性種の反応機構の構築に取り組んできた.しかしながら,シランと水素ガスを混合したプラズマによる薄膜形成に極めて重要な役割を演じている水素原子の絶対密度やその表面損失確率に関する情報はほとんど明らかにされていない.本節では,プラズマを用いたシリコン薄膜形成プロセスにおいて,真空紫外吸収分光法を用いて,水素原子の密度とその挙動を定量的に明らかにした.これらの情報の集積は,試行錯誤的なプラズマプロセスの開発から科学を基軸としたプロセス(プロセス科学)へのパラダイムシフトをもたらすことが期待される.

  135. CF3+ fragmentation by electron impact ionization of perfluoro-propyl-vinyl-ethers, C5F10O, in gas phase 査読有り 国際共著 国際誌

    Kondo Yusuke, Ishikawa Kenji, Hayashi Toshio, Miyawaki Yudai, Takeda Keigo, Kondo Hiroki, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   54 巻 ( 4 )   2015年4月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    The gas phase fragmentations of perfluoro-propyl-vinyl ether (PPVE, C5F10O) are studied experimentally. Dominant fragmentations of PPVE are found to be the result of a dissociative ionization reaction, i.e., CF3+ via direct bond cleavage, and C2F3O- and C3F7O- via electron attachment. Regardless of the appearance energy of around 14.5 eV for the dissociative ionization of CF3+, the observed ion efficiency for the CF3+ ion was extremely large the order of 10-20cm-2, compared with only 10-21cm-2 for the other channels. PPVE characteristically generated CF3+ as the largest abundant ion are advantageous for use of feedstock gases in plasma etching processes.

    DOI: 10.7567/JJAP.54.040301

    Web of Science

    Scopus

  136. Silicon nitride etching performance of CH2F2 plasma diluted with argon or krypton 査読有り 国際共著 国際誌

    Kondo Yusuke, Ishikawa Kenji, Hayashi Toshio, Miyawaki Yudai, Takeda Keigo, Kondo Hiroki, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   54 巻 ( 4 )   2015年4月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Etching rates of silicon nitrides (SiN), SiO2, and poly-Si films for CH2F2 plasmas diluted with rare gases are presented by comparing the effects of flow rates of CH2F2 and dilution gases (Ar and Kr). The SiO2 etching rate was considered to be controlled by ion fluxes of the incident CHF2+ and CH2F+ under the conditions for the selective etching of SiO2 and SiN over poly-Si. Interestingly, the SiN etching rate was considerably affected by the dilution gas used. The SiN surface reaction was promoted by F-rich chemistry in the Ar-diluted CH2F2 plasma with a relatively high density of F atoms.

    DOI: 10.7567/JJAP.54.040303

    Web of Science

    Scopus

  137. Hydrofluorocarbon ion density of argon- or krypton-diluted CH2F2 plasmas: generation of CH2F+ and CHF2+ by dissociative-ionization in charge exchange collisions 査読有り 国際共著 国際誌

    Kondo Yusuke, Miyawaki Yudai, Ishikawa Kenji, Hayashi Toshio, Takeda Keigo, Kondo Hiroki, Sekine Makoto, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   48 巻 ( 4 )   2015年2月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Physics D: Applied Physics  

    Ion densities of CH2F+ and CHF2+ were determined by dissociative ionization pathways in channels of charge exchange collisions, i.e. CH2F2 + M+→CH2F+ + F + M∗ and CHF2+ + H +M∗ [M = Ar, Kr] in CH2F2 plasmas diluted by a rare gas [M]. These channels simultaneously generated counter fragments of charge-neutral H and F atoms of interest for plasma etching processes. In Ar-diluted plasmas, CH2F+ ions predominated due to dissociative ionization between Ar+ [ca. 15.8 eV] and C-F appearance [dissociative ionization] energy [ca. 16 eV] to form CH2F+. In contrast, for Kr-diluted plasmas, C-H appearance energy [ca. 13.8 eV] predominated to produce a larger amount of CHF2+ ions due to a similar channel for charge exchange collisions between Kr+ [ca. 14 eV] and CH2F2. Thus, adding the ratio of Ar and Kr gas to CH2F2 plasmas provided control over the fraction of CH2F+ and CHF2+ ion densities.

    DOI: 10.1088/0022-3727/48/4/045202

    Web of Science

    Scopus

  138. Robust characteristics of semiconductor-substrate temperature measurement by autocorrelation-type frequency-domain low-coherence interferometry 査読有り 国際共著 国際誌

    Tsutsumi Takayoshi, Ohta Takayuki, Ishikawa Kenji, Takeda Keigo, Kondo Hiroki, Sekine Makoto, Hori Masaru, Ito Masafumi

    JAPANESE JOURNAL OF APPLIED PHYSICS   54 巻 ( 1 )   2015年1月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    We have compared in detail the robust characteristics of an autocorrelation-type frequency-domain low-coherence interferometry (ACT-FD-LCI) system without a reference mirror with those of the conventional frequency-domain low-coherence interferometry (FD-LCI) system with a reference mirror. The standard deviation of temperature measurement was less than 0.04 °C at temperatures below 550 °C for a typical thickness of 480 μm, as determined from the measured optical path length. The robustness of performance against disturbances has been markedly improved, as compared with a precision of 0.28 °C in the conventional FD-LCI system with the reference mirror. In particular, we have confirmed that the ACTFD-LCI system has a large tolerance to disturbances due to dispersion and changes in the polarization of the signal light owing to the removal of the reference mirror.

    DOI: 10.7567/JJAP.54.01AB03

    Web of Science

    Scopus

  139. Carbon nanowall scaffold to control culturing of cervical cancer cells 査読有り

    Hitoshi Watanabe, Hiroki Kondo, Yukihiro Okamoto, Mineo Hiramatsu, Makoto Sekine, Yoshinobu Baba, and Masaru Hori

      105 巻   2014年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4902054

  140. Recovery of atom density drift caused by change in reactor wall conditions by real-time autonomous control 査読有り

    Toshiya Suzuki, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine and Masaru Hori

      47 巻 ( 42 )   2014年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/0022-3727/47/42/422002

  141. Epitaxial growth of GaN by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) in the downflow of a very high frequency (VHF) N2/H2 excited plasma - effect of TMG flow rate and VHF power 査読有り

    Yi Lu, Hiroki Kondo, Kenji Ishikawa, Osamu Oda, Keigo Takeda, Makoto Sekine, Hiroshi Amano, and Masaru Hori

      391 巻   頁: 97-103   2014年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2014.01.014

  142. Hierarchical regrowth of flowerlike nanographene sheets on oxygen-plasma-treated carbon nanowalls 査読有り

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori

      7 巻 ( 4 ) 頁: 046201   2014年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/APEX.7.046201

  143. Effects of nitrogen plasma post-treatment on electrical conduction of carbon nanowalls 査読有り

    Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

      53 巻 ( 4 ) 頁: 040307   2014年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.53.040307

  144. Nanostructure modification to carbon nanowall surface employing hydrogen peroxide solution 査読有り

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori

      53 巻 ( 4 ) 頁: 040305:1-4   2014年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.53.040305

  145. Spatiotemporal behaviors of absolute density of atomic oxygen in a planar type of Ar/O2 non-equilibrium atmospheric pressure plasma jet 査読有り

    Fengdong Jia, Kenji Ishikawa, Keigo Takeda, Hiroyuki Kano, Jagath Kularatne, Hiroki Kondo, Makoto Sekine and Masaru Hori

        2014年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/0963-0252/23/2/025004

  146. A CMOS Sensor Platform with 1.2 mu m x 2.05 mu m Electroless-Plated 1024 x 1024 Microelectrode Array for High-Sensitivity Rapid Direct Bacteria Counting 査読有り

    Ota Shoko, Niitsu Kiichi, Kondo Hiroki, Hori Masaru, Nakazato Kazuo

        頁: 460-463   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    Web of Science

  147. Live Demonstration: A CMOS Sensor Platform with 1.2 mu m x 2.05 mu m Electroless-Plated 1024 x 1024 Microelectrode Array for High-Sensitivity Rapid Direct Bacteria Counting 査読有り

    Shoko Ota, Kiichi Niitsu, Hiroki Kondo, Masaru Hori, Kazuo Nakazato

        頁: 185 - 185   2014年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    Web of Science

  148. Chemical reactions during plasma-enhanced atomic layer deposition of SiO2 films employing aminosilane and O2/Ar plasma at 50°C 査読有り

    Yi Lu, Akiko Kobayashi, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

      53 巻 ( 1 ) 頁: 010305:1-4   2013年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.53.010305

  149. Effect of Indirect Nonequilibrium Atmospheric Pressure Plasma on Anti-Proliferative Activity against Chronic Chemo-Resistant Ovarian Cancer Cells In Vitro and In Vivo 査読有り

    Fumi Utsumi, Hiroaki Kajiyama, Kae Nakamura, Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Hiroki Kondo, Hiroyuki Kano, Masaru Hori, and Fumitaka Kikkawa

        2013年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1371/journal.pone.0081576

  150. High H Radical Density Produced by 1-m-length Atmospheric Pressure Microwave Plasma System 査読有り

    Hitoshi Itoh, Yusuke Kubota, Yusaku Kashiwagi, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Hirotaka Toyoda, and Masaru Hori

        2013年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.52.11NE01

  151. Field Emissions from Organic Nanorods Armored with Metal Nanoparticles 査読有り

    Toshiya Suzuki, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

        頁: 1-4   2013年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.52.120203

  152. Density Control of Carbon Nanowalls Grown by CH4/H2 plasma and Their Electrical Properties 査読有り

    Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

      68 巻   頁: 380-388   2013年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.carbon.2013.11.014

  153. Rapid measurement of substrate temperatures by frequency-domain low-coherence interferometry 査読有り

    Takayoshi Tsutsumi, Takayuki Ohta, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori, and Masafumi Ito

        2013年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4827426

  154. Atomic Oxygen Etching from the Top Edges of Carbon Nanowalls 査読有り

    Shimoeda Hironao, Kondo Hiroki, Ishikawa Kenji, HIRAMATSU Mineo, SEKINE Makoto, HORI Masaru

      6 巻 ( 9 ) 頁: "095201-1"-"095201-4"   2013年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  155. Surface chemical modification of carbon nanowalls for wide-range control of surface wettability 国際共著 国際誌

    Watanabe H., Kondo H., Hiramatsu M., Sekine M., Kumar S., Ostrikov K., Hori M.

    Plasma Processes and Polymers   10 巻 ( 7 ) 頁: 582 - 592   2013年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Plasma Processes and Polymers  

    Carbon nanowalls (CNWs) are self-assembled, free-standing, few-layered graphenenano-structures with large surface area, and thin graphene edges. For their application to nanobiotechnology, the effects of chemisorbed species on surface wettability were investigated. The surfaces of as-grown CNWs obtained using CH4/H2 mixture were hydrophilic. After Ar atmospheric pressure plasma treatments for up to 30 s, the contact angles of water droplets on the CNWs decreased from 51° to 5°, owing to a result of oxidation only at edges and surface defects. They increased up to 147°by CF4 plasma treatment at low pressure. The wide-range control of surface wettability of CNWs was realized by post-growth plasma treatments. We also demonstrated detection of bovine serum albumin using surface-modified CNWs as electrodes. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

    DOI: 10.1002/ppap.201200141

    Web of Science

    Scopus

  156. A Development of Atmospheric Pressure Plasma Equipment and Its Applications for Treatment of Ag Films Formed from Nano-Particle Ink 査読有り

    H Itoh, Y Kubota, Y Kashiwagi, K Takeda, K Ishikawa, H Kondo, M Sekine, H Toyoda and M Hori

      441 巻   2013年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: doi:10.1088/1742-6596/441/1/012019

  157. Surface morphology on high-temperature plasma-etched gallium nitride 査読有り

    Ryosuke Kometani, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

        頁: 325-328   2013年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.14723/tmrsj.38.325

  158. A High-Temperature Nitrogen Plasma Etching for Preserving Smooth and Stoichiometric GaN Surface 査読有り

    Kometani Ryosuke, Ishikawa Kenji, Takeda Keigo, KONDO Hiroki, SEKINE Makoto, HORI Masaru

      6 巻 ( 5 ) 頁: 056201-1 - 056201-4   2013年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  159. Wavelength Dependence of Photon-Induced Interface Defects in Hydrogenated Silicon Nitride/Si Structure during Plasma Etching Processes 査読有り 国際共著 国際誌

    Fukasawa Masanaga, Matsugai Hiroyasu, Honda Takahiro, Miyawaki Yudai, Kondo Yusuke, Takeda Keigo, Kondo Hiroki, Ishikawa Kenji, Sekine Makoto, Nagahata Kazunori, Uesawa Fumikatsu, Hori Masaru, Tatsumi Tetsuya

    JAPANESE JOURNAL OF APPLIED PHYSICS   52 巻 ( 5 )   2013年5月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    The wavelength dependence of SiNx:H/Si interface defect generation caused by vacuum ultraviolet (VUV)/UV radiation from plasma etching processes was investigated. VUV radiation (λ < 200 nm) had almost no impact on the generation of defects at the SiNx:H/Si interface, since all the radiation in this wavelength range was absorbed in the upper SiN x:H film. However, UV radiation (200 < λ < 400 nm) was able to reach the underlying SiNx:H/Si interface and damage the interface. Direct UV radiation reaching the SiNx:H/Si interface dissociated the chemical bonds at the interface and generated interface-trapped charges. The estimated total energy of absorbed photons (Etotal; 200 < λ < 400 nm) at the interface layer seems to be proportional to the interface-trapped charge density (Dit) measured by capacitance-voltage measurement. However, the mechanism underlying the relationship between Etotal and Dit is not yet clear. Visible radiation (λ>400nm) had no influence on damage generation on the SiNx:H/Si structure, since the visible radiation was transmitted through upper SiNx:H film and underlying interface layer. The results revealed that UV radiation transmitted through the upper dielectrics can cause the electrical characteristics of underlying metal-oxidesemiconductor (MOS) devices to fluctuate. © 2013 The Japan Society of Applied Physics.

    DOI: 10.7567/JJAP.52.05ED01

    Web of Science

    Scopus

  160. Supercritical Fluid Deposition of High-Density Nanoparticles of Photocatalytic TiO₂ on Carbon Nanowalls 査読有り

    Horibe Takeyoshi, Kondo Hiroki, Ishikawa Kenji, KANO Hiroyuki, SEKINE Makoto, HIRAMATSU Mineo, HORI Masaru

      6 巻 ( 4 ) 頁: 045103-1 - 045103-3   2013年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  161. Graphene Nanowalls 招待有り 査読有り

    Mineo Hiramatsu, Hiroki Kondo and Masaru Hori

        2013年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.5772/3358

  162. Etching-Enhancement Followed by Nitridation on Low-k SiOCH Film in Ar/C5F10O Plasma 査読有り

    Y. Miyawaki, E. Shibata, Y. Kondo, K. Takeda, H. Kondo, K. Ishikawa, H. Okamoto, M. Sekine, M. Hori

      52 巻 ( 2 ) 頁: pp.020204:1-4   2013年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.52.020204

  163. Surface roughness development on ArF-photoresist studied by beam-irradiation of CF4 plasma 査読有り

    T. Takeuchi, K. Ishikawa, Y. Setsuhara, K. Takeda, H. Kondo, M. Sekine, M. Hori

      46 巻   頁: pp. 102001:1-5   2013年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/0022-3727/46/10/102001

  164. Development of High-Density Nitrogen Radical Source for Low Mosaicity and High Rate Growth of InGaN Films in Molecular Beam Epitaxy 査読有り

    Chen Shang, Kawai Yohjiro, Kondo Hiroki, Ishikawa Kenji, Takeda Keigo, Kano Hiroyuki, Sekine Makoto, Amano Hiroshi, Hori Masaru

      52 巻 ( 2 ) 頁: 021001-1 - 021001-5   2013年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.52.021001

    Web of Science

    Scopus

  165. Fabrication of Graphene-Based Films Using Microwave-Plasma-Enhanced Chemical Vapor Deposition 査読有り

    M. Hiramatsu, M. Naito, H. Kondo, and M. Hori

        2013年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.52.01AK04

  166. Nucleation Control of Carbon Nanowalls Using Inductively Coupled Plasma-Enhanced Chemical Vapor Deposition 査読有り

    M. Hiramatsu, Y. Nihashi, H. Kondo, and M. Hori

        2013年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.52.01AK05

  167. Impact of hydrogen radical-injection plasma on fabrication of microcrystalline silicon thin film for solar cells 査読有り

    Abe Yusuke, Kawashima Sho, Fukushima Atsushi, Lu Ya, Takeda Keigo, Kondo Hiroki, Ishikawa Kenji, Sekine Makoto, Hori Masaru

      113 巻 ( 3 ) 頁: 033304:1 - 033304:6   2013年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4778608

    Web of Science

    Scopus

  168. Development of the sputtering yields of ArF photoresist after the onset of argon ion bombardment 査読有り

    T. Takeuchi, C. Corbella, S. Grosse-Kreul, A. von Keudell, K. Ishikawa, H. Kondo, K. Takeda, M. Sekine, M. Hori

      113 巻   頁: P.014306:1-6   2013年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  169. Fabrication of Carbon Nanowalls on Carbon Fiber Paper for Fuel Cell Application 査読有り 国際共著 国際誌

    Hiramatsu Mineo, Mitsuguchi Shinji, Horibe Takeyoshi, Kondo Hiroki, Hori Masaru, Kano Hiroyuki

    JAPANESE JOURNAL OF APPLIED PHYSICS   52 巻 ( 1 )   2013年1月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Carbon nanowalls (CNWs) can be described as self-assembled, vertically standing, few-layered graphene sheet nanostructures. In order to demonstrate the usefulness of CNWs in fuel cell application, CNWs were directly grown on carbon fiber paper (CFP) using the inductively coupled plasma-enhanced chemical vapor deposition (ICP-CVD) method. Subsequently, highly dispersed platinum (Pt) nanoparticles were formed on the surface of CNWs using metal-organic chemical fluid deposition (MOCFD) employing a supercritical fluid (SCF). Moreover, a single proton exchange membrane (PEM) fuel cell unit using a Pt-supported CNW/CFP electrode was constructed, and its voltage-current characteristics were measured. This configuration ensures that all the supported Pt nanoparticles are in electrical contact with the external electrical circuit. Such a design would improve Pt utilization and potentially decrease Pt usage. Pt-supported CNWs grown on CFP will be well suited to the application in electrodes of fuel cells. © 2013 The Japan Society of Applied Physics.

    DOI: 10.7567/JJAP.52.01AK03

    Web of Science

    Scopus

  170. Surface loss probability of H radicals on silicon thin films in SiH4/H-2 plasma 国際共著 国際誌

    Abe Yusuke, Fukushima Atsushi, Takeda Keigo, Kondo Hiroki, Ishikawa Kenji, Sekine Makoto, Hori Masaru

    JOURNAL OF APPLIED PHYSICS   113 巻 ( 1 )   2013年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Applied Physics  

    The surface loss probability of H radicals was investigated in SiH 4/H2 plasma using vacuum ultraviolet resonance absorption spectroscopy. The surface loss probability was calculated from the decay curve of the H radical density in the plasma afterglow and increased with the SiH 4 flow rate. Silicon thin films deposited on the chamber wall were analyzed to investigate the relation between the surface loss probability and the surface condition. The surface reaction of H radicals is influenced by deposition precursors, such as SiH3 radicals. The density of H radicals significantly decreased with heating of the chamber wall up to 473 K. The surface loss probability of H radicals was estimated to be ca. 1 at 473 K. Quantitative measurements of the surface loss probability of H radicals in SiH4/H2 plasma are expected to be particularly important for understanding the surface reactions that occur during the deposition of silicon thin films. © 2013 American Institute of Physics.

    DOI: 10.1063/1.4773104

    Web of Science

    Scopus

  171. Suppressive Effect of Interface Reaction and Water Absorption by Al Incorporation into Pr-oxide Film 査読有り 国際共著 国際誌

    Takeuchi W., Furuta K., Kato K., Sakashita M., Kondo H., Nakatsuka O., Zaima S.

    15TH INTERNATIONAL CONFERENCE ON THIN FILMS (ICTF-15)   417 巻 ( 1 ) 頁: 012017 (6 pages)   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:Journal of Physics: Conference Series  

    We have investigated the effects of Al incorporation into a Pr-oxide/Si gate stack formed by atomic layer deposition. The PrAlOx (PAO) layers show an amorphous structure by the incorporation of Al into the Pr-oxide. The PAO sample with 10%-Al shows good C-V characteristics without a hump, and the interface state density (Dit) is as low as 5 × 1010 cm-2 eV-1. The amount of Si in the Pr-oxide film decreases by the Al incorporation. We deduce that Al incorporation into a Pr-oxide layer inhibits reaction at the interface of the PAO/Si substrate. We found that Al incorporation into the Pr-oxide is effective against the inhibition of moisture incorporation into the oxide film. The Pr(OH)3 component, estimated by x-ray photoelectron spectroscopy, increases near the surface of the Pr-oxide and PAO films with 10%-Al after 300 days, while the SiOx component does not change. The Pr(OH)3 component decreases with increasing Al incorporation. The Dit of the PAO/Si sample with 10%-Al increases after 300 days. After post metallization annealing of the sample in N 2, Dit decreases with increasing annealing temperature.

    DOI: 10.1088/1742-6596/417/1/012017

    Web of Science

    Scopus

  172. Critical flux ratio of hydrogen radical to film precursor in microcrystalline silicon deposition for solar cells 査読有り

    Yusuke Abe, Atsushi Fukushima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

      Vol.101 巻   頁: pp.172109-1:4   2012年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4764065

  173. プラズマナノテクノロジーによる10mmサイズ、超高アスペクト比有機ナノピラーの室温近傍形成とフレキシブルディスプレイへの応用

    堀 勝、鈴木 俊哉、竹田 圭吾、近藤 博基、石川 健治、関根 誠

    名古屋大学ベンチャー・ビジネス・ラボラトリーニュース/2012, Summer, No.33   17 巻 ( 1 ) 頁: 研究紹介(1)   2012年8月

     詳細を見る

    記述言語:日本語  

  174. 電子顕微鏡分光と第一原理計算によるリチウム電池正極の機能元素電子状態解析 査読有り

    巽 一厳, 武藤 俊介, 近藤 広規, 佐々木 厳, 右京 良雄

    セラミックス   47 巻 ( 7 ) 頁: 528 - 533   2012年7月

     詳細を見る

    掲載種別:研究論文(学術雑誌)   出版者・発行元:日本セラミックス協会  

  175. Real-time in situ electron spin resonance measurements on fungal spores of Penicillium digitatum during exposure of oxygen plasmas 査読有り 国際共著 国際誌

    Ishikawa K., Mizuno H., Tanaka H., Tamiya K., Hashizume H., Ohta T., Ito M., Iseki S., Takeda K., Kondo H., Sekine M., Hori M.

    Applied Physics Letters   101 巻 ( 1 )   2012年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Letters  

    We report the kinetic analysis of free radicals on fungal spores of Penicillium digitatum interacted with atomic oxygen generated plasma electric discharge using real time in situ electron spin resonance (ESR) measurements. We have obtained information that the ESR signal from the spores was observed and preliminarily assignable to semiquinone radical with a g-value of around 2.004 and a line width of approximately 5G. The decay of the signal is possibly linked to the inactivation of the fungal spore. The real-time in situ ESR has proven to be a useful method to elucidate plasma-induced surface reactions on biological specimens. © 2012 American Institute of Physics.

    DOI: 10.1063/1.4733387

    Web of Science

    Scopus

  176. Photoluminescence recovery by in-situ exposure of plasma-damaged n-GaN to atomic hydrogen at room temperature 査読有り

    Chen Shang, Lu Yi, Kometani Ryosuke, Ishikawa Kenji, Kondo Hiroki, Tokuda Yutaka, Sekine Makoto, Hori Masaru

      2 巻 ( 2 ) 頁: pp.022149-1:6   2012年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4729448

    Web of Science

    Scopus

  177. Vacuum Ultraviolet and Ultraviolet Radiation-Induced Effect of Hydrogenated Silicon Nitride Etching: Surface Reaction Enhancement and Damage Generation 査読有り 国際共著 国際誌

    Fukasawa Masanaga, Miyawaki Yudai, Kondo Yusuke, Takeda Keigo, Kondo Hiroki, Ishikawa Kenji, Sekine Makoto, Matsugai Hiroyasu, Honda Takayoshi, Minami Masaki, Uesawa Fumikatsu, Hori Masaru, Tatsumi Tetsuya

    JAPANESE JOURNAL OF APPLIED PHYSICS   51 巻 ( 2 )   2012年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Photon-enhanced etching of SiN x:H films caused by the interaction between vacuum ultraviolet (VUV)/ultraviolet (UV) radiation and radicals in the fluorocarbon plasma was investigated by a technique with a novel sample setup of the pallet for plasma evaluation. The simultaneous injection of UV radiation and radicals causes a dramatic etch rate enhancement of SiN x:H films. Only UV radiation causes the film shrinkage of SiN x:H films owing to hydrogen desorption from the film. Capacitance-voltage characteristics of SiN x:H/Si substrates were studied before and after UV radiation. The interface trap density increased monotonically upon irradiating the UV photons with a wavelength of 248 nm. The estimated effective interface trap generation probability is 4.74 × 10 -7 eV -1·photon -1. Therefore, the monitoring of the VUV/UV spectra during plasma processing and the understanding of its impact on the surface reaction, film damage and electrical performance of underlying devices are indispensable to fabricate advanced devices. © 2012 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.51.026201

    Web of Science

    Scopus

  178. Highly selective etching of SiO2 over Si3N4 and Si in capacitivlly coupled plasma employing C5HF7 gas 査読有り

    Y.Miyawaki, Y. Kondo, M. Sekine, K. Ishikawa, T. Hayashi, K. Takeda, H. Kondo, M. Hori

      52 巻   頁: pp. 016201:1-9   2012年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.52.016201

  179. Novel diffraction gratings fabricated by means of plasma nano-technologies 査読有り 国際共著 国際誌

    Ebizuka N., Sekine M., Ishikawa K., Kondo H., Hori M., Sasaki M., Bianco A., Zerbi F. Maria, Hirahara Y., Aoki W.

    MODERN TECHNOLOGIES IN SPACE-AND GROUND-BASED TELESCOPES AND INSTRUMENTATION II   8450 巻   2012年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:Proceedings of SPIE - The International Society for Optical Engineering  

    A volume phase holographic grating (VPHG) achieves very high diffraction efficiency up to 100% for S or P polarized light at the first diffraction order. However, diffraction efficiency of the VPHG for non-polarized light becomes low according as Bragg angle becomes large, and bandwidth of diffraction efficiency becomes narrow according as refractive index modulation of grating lattice becomes small. A volume binary grating with rectangular lattice, consists of high and low refractive index media with large or small duty ratio, is able to achieve very high efficiency nearly 100% and a wide band width for both S and P polarization light. We have successfully fabricated germanium immersion gratings of step groove shape with resolving power of 45,000 at 10 micron by using a nano-precision 3D grinding machine and ELID (ELectrolytic In-process Dressing) method. However, the method requires a large amount of machine times and efforts. We had proposed a novel immersion grating with slot shape lattice of total reflection mirrors, which achieves high performance and lower fabrication cost. We describe the photolithography and the latest plasma nano-technologies for fabrications of the novel diffraction gratings in our presentation. We also introduce birefringence volume gratings in this article. © 2012 SPIE.

    DOI: 10.1117/12.925869

    Web of Science

    Scopus

  180. Electron Spin Resonance (ESR) observation of radicals on biological organism interacted with plasmas 査読有り

    Kenji Ishikawa, Hiroko Moriyama, Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Sachiko Iseki, Hiromasa Tanaka, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

    Materials Research Society Symposium Proceedings   1469 巻   頁: 39 - 42   2012年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    We report the kinetic analysis of radicals on fungal spores of Penicillium digitatum interacted with charged-neutral oxygen species (Oz.ast
    ) generated plasma discharge using real time in situ electron spin resonance (ESR) measurements. The ESR signal from the spores was observed at a g-value of around 2.004 with a line width of approximately 5G. We have successfully obtained information regarding the reaction mechanism with free radicals and realtime in situ ESR has proven to be a useful method to elucidate plasma-induced surface reactions on biological specimens. ©2012 Materials Research Society.

    DOI: 10.1557/opl.2012.928

    Scopus

  181. Selective Killing of Ovarian Cancer Cells through Induction of Apoptosis by a Nonequilibrium Atmospheric Pressure Plasma 査読有り

    Hiromasa Tanaka, Sachiko Iseki, Kae Nakamura, Moemi Hayashi, Hiroki Kondo, Hiroaki Kajiyama, Hiroyuki Kano, Fumitaka Kikkawa, Masaru Hori

    Materials Research Society Symposium Proceedings   1469 巻   頁: 33 - 38   2012年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    Two independent ovarian cancer cell lines and fibroblast controls were treated with nonequilibrium atmospheric pressure plasma (NEAPP). Most ovarian cancer cells were detached from the culture dish by continuous plasma treatment to a single spot on the dish. Next, the plasma source was applied over the whole dish using a robot arm. In vitro cell proliferation assays showed that plasma treatments significantly decreased proliferation rates of ovarian cancer cells compared to fibroblast cells. FACS and Western blot analysis showed that plasma treatment of ovarian cancer cells induced apoptosis. NEAPP could be a promising tool for therapy for ovarian cancers. © 2012 Materials Research Society.

    DOI: 10.1557/opl.2012.927

    Scopus

  182. Feature Profiles on Plasma Etch of Organic Films by a Temporal Control of Radical Densities and Real-Time Monitoring of Substrate Temperature 査読有り

    Hiroshi Yamamoto, Hiroki Kuroda, Masafumi Ito, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Japanese Journal of Applied Physics (JJAP)     2011年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.51.016202

  183. Chemical bond modification in porous SiOCH films by H-2 and H-2/N-2 plasmas investigated by in situ infrared reflection absorption spectroscopy 査読有り 国際共著 国際誌

    Yamamoto Hiroshi, Asano Kohei, Ishikawa Kenji, Sekine Makoto, Hayashi Hisataka, Sakai Itsuko, Ohiwa Tokuhisa, Takeda Keigo, Kondo Hiroki, Hori Masaru

    JOURNAL OF APPLIED PHYSICS   110 巻 ( 12 )   2011年12月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Applied Physics  

    The modification of porous low-dielectric (low-k) SiOCH films by ashing plasma irradiation and subsequent exposure to air was investigated by in situ characterizations. Porous blanket SiOCH film surfaces were treated by a H 2 or H 2/N 2 plasma in a 100-MHz capacitively coupled plasma reactor. The individual or combined effects of light, radicals, and ions generated by the plasmas on the chemical bonds in the porous SiOCH films were characterized using an in situ evaluation and by in situ Fourier-transform infrared reflection absorption spectroscopy (IR-RAS). In situ IR-RAS analysis revealed that the number of Si-OH, Si-H, and Si-NH 2 bonds increased while the number of Si-CH 3 bonds decreased during exposure to a H 2 or H 2/N 2 plasma. Subsequent air exposure increased the number of Si-OH bonds by modifying Si-O-Si structures. The experimental results indicate that light emitted from a H 2 or H 2/N 2 plasma can break Si-CH 3 and Si-O-Si bonds and thereby generate dangling bonds. Radicals (e.g., N xH y and H radicals) can break Si-CH 3 and Si-O-Si bonds and Si-NH 2, Si-H, and Si-OH bonds could be formed. Si-NH 2, Si-H, and dangling bonds react with moisture in the air cause the formation of Si-OH bonds. The dehydroxylation reaction on Si-OH was found to be the origin of Si-O-Si network structures. The mechanism of the degradation of porous low-k SiOCH films induced by a H 2 or H 2/N 2 plasma is discussed based on the in situ characterization results. © 2011 American Institute of Physics.

    DOI: 10.1063/1.3671547

    Web of Science

    Scopus

  184. High-Performance Decomposition and Fixation of Dry Etching Exhaust Perfluoro-Compound Gases and Study of Their Mechanism 査読有り

    Kei Hattori, Masaaki Osato, Takeshi Maeda, Katsuya Okumura, Makoto Sekine, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   50 巻 ( 11 )   2011年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IOP PUBLISHING LTD  

    We report on the high-performance decomposition and fixation of perfluoro compounds (PFCs) exhausted from dry etching processes and their reaction mechanism with the fixation material prepared from Ca(OH)(2) and Al(OH)(3) mixture. Using gas chromatography-mass spectrometry (GCMS), powder X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), and other analysis methods, it was found that PFCs were successfully decomposed and fixated by the reaction only with calcium compounds, resulting in calcium fluoride (CaF2). Aluminum compounds existing very close to calcium compounds work as a catalyst so that the reaction progresses at much lower temperatures, in the range of 650 to 750 degrees C, compared with the direct decomposition by combustion. The reaction mechanism is discussed on the basis of the proposed microscopic reaction model. These results are useful for the development of more efficient abatement systems for the greenhouse gases in the exhaust of dry etching processes. (C) 2011 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.50.117301

    Web of Science

  185. Synchrotron x-ray analyses of crystalline and electronic structures of carbon nanowalls 査読有り

    Hiroki Kondo, Wakana Takeuchi, Masaru Hori, Shigeru Kimura, Yukako Kato, Takayuki Muro, Toyohiko Kinoshita, Osami Sakata, Hiroo Tajiri, Mineo Hiramatsu

    APPLIED PHYSICS LETTERS   99 巻 ( 21 )   2011年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:AMER INST PHYSICS  

    The electronic and crystal structures of carbon nanowalls (CNWs) were analyzed using synchrotron x-rays. Although they have branchless graphene sheets with high crystallinities comparable to those of highly oriented pyrolytic graphite, they also have small amount of fluorine atoms and slightly large interlayer spacing of basal plane. Soft x-ray emission spectra indicate that CNWs have similar but not identical electronic structures to HOPG. Such the chemical and crystallographic structures might be one of factors to induce characteristic electrical features of the CNWs. (C) 2011 American Institute of Physics. [doi:10.1063/1.3659470]

    DOI: 10.1063/1.3659470

    Web of Science

  186. Control of Interfacial Properties of Al2O3/Ge Gate Stack Structure Using Radical Nitridation Technique 査読有り 国際共著 国際誌

    Kato Kimihiko, Kyogoku Shinya, Sakashita Mitsuo, Takeuchi Wakana, Kondo Hiroki, Takeuchi Shotaro, Nakatsuka Osamu, Zaima Shigeaki

    JAPANESE JOURNAL OF APPLIED PHYSICS   50 巻 ( 10 )   2011年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    We have investigated the control of the interfacial properties of Al 2O3/Ge gate stack structures by the radical nitridation technique. In the Al2O3/Ge structures formed by the atomic layer deposition method, the interface state density increases with the deposition temperature due to the decrease in the thickness of the Ge oxide interlayer. On the other hand, the hysteresis width of the capacitance-voltage (C-V) characteristics decreases with increasing deposition temperature, which indicates a decrease in the oxide trap density near the interface. We also investigated the control of the interfacial structure by the radical nitridation of Al2O3/Ge to form an interfacial structure after the deposition of a high-k dielectric layer. The results of X-ray photoelectron spectroscopy reveal that an Al2O3/Ge3N 4/GeO2/Ge stack structure is formed after the radical nitridation owing to the minimal oxygen diffusion into the Al2O 3/Ge interface. Furthermore, the interfacial mixing is suppressed after radical nitridation at less than 300 °C. As a result, we can decrease the interface state density of the Al2O3/Ge sample after the radical nitridation by more than one order of magnitude compared with that without radical nitridation. © 2011 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.50.10PE02

    Web of Science

    Scopus

  187. Nucleation and Vertical Growth of Nano-Graphene Sheets 査読有り

    Hiroki Kondo, Masaru Hori and Mineo Hiramatsu

    Graphene - Synthesis, Characterization, Properties and Applications     2011年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.5772/23703

  188. Reactive Ion Etching of Carbon Nanowalls 査読有り

    Shingo Kondo, Hiroki Kondo, Yudai Miyawaki, Hajime Sasaki, Hiroyuki Kano, Mineo Hiramatsu, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   50 巻 ( 7 )   2011年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IOP PUBLISHING LTD  

    Two-dimensionally standing graphene sheets, i.e., carbon nanowalls (CNWs), were synthesized on a Si substrate employing a capacitively coupled fluorocarbon plasma-enhanced chemical vapor deposition system together with H radical injection. To apply CNWs in electronic devices and/or membrane filters, we have demonstrated the reactive ion etching (RIE) of CNWs. RIE employing H-2/N-2 gases showed that the CNW films were anisotropically etched at a relatively high rate of more than 250 nm/min. However, the 10-nm-thick interface layer between a CNW film and the Si substrate remained and the interface layer was not completely etched. In contrast, RIE employing Ar/H-2 gases enabled us to completely remove the interface layer. Ar/H-2 RIE was also carried out from the bottom surface of CNW films after exfoliating them from the Si substrate. As a result, a free-standing CNW film of 550 nm thickness without an interface layer as a membrane filter was successfully formed. (C) 2011 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.50.075101

    Web of Science

  189. Achieving high-growth-rate in GaN homoepitaxy using high-density nitrogen radical source 査読有り

    Yohjiro Kawai, Shang Chen1, Yoshio Honda, Masahito Yamaguchi, Hiroshi Amano, Hiroki Kondo, Mineo Hiramatsu, Hiroyuki Kano, Koji Yamakawa, Shoji Den, Masaru Hori

    Physica status solidi (c)   8 巻 ( 7-8 ) 頁: 2089-2091   2011年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssc.201000969

  190. Synergistic Formation of Radicals by Irradiation with Both Vacuum Ultraviolet and Atomic Hydrogen: A Real-Time In Situ Electron Spin Resonance Study 査読有り 国際共著 国際誌

    Ishikawa Kenji, Sumi Naoya, Kono Akihiko, Horibe Hideo, Takeda Keigo, Kondo Hiroki, Sekine Makoto, Hori Masaru

    JOURNAL OF PHYSICAL CHEMISTRY LETTERS   2 巻 ( 11 ) 頁: 1278 - 1281   2011年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Physical Chemistry Letters  

    We report on the surface modification of poly(tetrafluoroethylene) (PTFE) as an example of soft materials and biomaterials that occur under plasma discharge by kinetics analysis of radical formation using in situ real-time electron spin resonance (ESR) measurements. During irradiation with hydrogen plasma, simultaneous measurements of the gas-phase ESR signals of atomic hydrogen and the carbon dangling bond (C-DB) on PTFE were performed. Dynamic changes of the C-DB density were observed in real time, where the rate of density change was accelerated during initial irradiation and then became constant over time. It is noteworthy that C-DBs were formed synergistically by irradiation with both vacuum ultraviolet (VUV) and atomic hydrogen. The in situ real-time ESR technique is useful to elucidate synergistic roles during plasma surface modification. © 2011 American Chemical Society.

    DOI: 10.1021/jz2002937

    Web of Science

    Scopus

    PubMed

  191. 液中プラズマを用いたナノグラフェンの高速合成技術 (特集 「グラフェン」の実務的な視点での開発トレンド) 招待有り

    近藤博基、加納 浩之、堀 勝

    マテリアルステージ 11(2)     頁: 57-59   2011年5月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  192. プラズマCVD法によるカーボンナノウォールの制御合成 招待有り

    近藤博基、平松美根男、堀 勝

    マテリアルステージ 11(2)     頁: 19-21   2011年5月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  193. Formation and mechanism of ultrahigh density platinum nanoparticles on vertically grown graphene sheets by metal-organic chemical supercritical fluid deposition 査読有り

    Kota Mase, Hiroki Kondo, Shingo Kondo, Masaru Hori, Mineo Hiramatsu, Hiroyuki Kano

    APPLIED PHYSICS LETTERS   98 巻 ( 19 )   2011年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:AMER INST PHYSICS  

    An ultrahigh density over 10(13) cm(-2) of 2 nm diameter Pt nanoparticles was obtained by metal-organic chemical supercritical fluid deposition over the entire surface of vertically standing stacked graphene sheets (carbon nanowalls) on a substrate. The correlation between the surface defect density of graphene sheets and the density of Pt nanoparticles were investigated to clarify the support mechanism. The density of Pt nanoparticles increased with increase in the surface defect density. In addition, the semispherical cross-sectional shape of the nanoparticles indicated nucleation at the surface defects on the graphene sheets (98/100). (C) 2011 American Institute of Physics. [doi: 10.1063/1.3583672]

    DOI: 10.1063/1.3583672

    Web of Science

    Scopus

  194. Radical-controlled plasma processing for nanofabrication 査読有り 国際共著 国際誌

    Hori M., Kondo H., Hiramatsu M.

    Journal of Physics D: Applied Physics   44 巻 ( 17 ) 頁: 174027:1 - 174027:15   2011年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Physics D: Applied Physics  

    Nanofabrication processes employing reactive plasma, such as etching and deposition, were discussed in this paper on the basis of knowledge of reactive species in the plasma. The processing characteristics were studied based on the absolute density measurements of radicals and ions. In the case of organic low-k film etching employing N-H plasma, H and N radicals have different roles from each other; the H radicals contribute to the chemical etching, while the N radicals form the protection layer. Therefore, the ratio of H and N radical densities is an important factor for determining the etching performance. Furthermore, the radical injection technique, an active way to control the composition of radicals in the reaction field, was successfully applied to grow carbon nanowalls, self-organized, free-standing, layered graphenes. For example, with increasing density ratio of H and fluorocarbon (CFx) radicals, the density of carbon nanowalls decreases. In addition, according to the carbon nanowalls' growth by the simultaneous irradiation of CFx radicals, hydrogen atoms and Ar ions, the ion bombardment is crucial for the nucleation and vertical growth of carbon nanowalls. Identification and characterization of radicals and ions in the processing plasma could open the way to the precise controls of nano-scale plasma processing. © 2011 IOP Publishing Ltd.

    DOI: 10.1088/0022-3727/44/17/174027

    Web of Science

    Scopus

  195. Spatial Distributions of Electron, CF, and CF2 Radical Densities and Gas Temperature in DC-Superposed Dual-Frequency Capacitively Coupled Plasma Etch Reactor Employing Cyclic-C4F8/N-2/Ar Gas 査読有り 国際共著 国際誌

    Yamaguchi Tsuyoshi, Kimura Tetsuya, Koshimizu Chishio, Takeda Keigo, Kondo Hiroki, Ishikawa Kenji, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   50 巻 ( 5 )   2011年5月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    On a plasma etch reactor for a wafer of 300mm in diameter, the spatial distributions of the absolute densities of CF and CF2 radicals, electron density (ne), and the gas temperature (Tg) of N2 were measured employing the dual frequency of negative dc voltage superposed to a very high frequency (VHF) of 60 MHz capacitively coupled plasma (DS-2f-CCP) with the cyclic-(c-)C4F8/Ar/N2 gas mixture. The dc bias was superposed on the upper electrode with a frequency of 60MHz. The distributions of electron and radical densities were uniform within a diameter of about 260 mm, and took a monotonic decay in regions outside a diameter of 260mm on the reactor for 300mm wafers in the reactor. It was found that only CF2 density at the radial position between 150 and 180 mm, corresponding to the position of the Si focus ring, dropped, while CF density took a uniform distribution over a diameter of 260 mm. Additionally, at this position, the rotational temperature of N2 gas increased to be 100K larger than that at the center position. CF2 radical density was markedly affected by the modified surface loss probability of the material owing to coupling with surface temperature. © 2011 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.50.056101

    Web of Science

    Scopus

  196. Analysis of Local Leakage Current of Pr-Oxide Thin Films with Conductive Atomic Force Microscopy 査読有り

    Masaki Adachi, Yuzo Kato, Kimihiko Kato, Mitsuo Sakashita, Hiroki Kondo, Wakana Takeuchi, Osamu Nakatsuka and Shigeaki Zaima

    Japanese Journal of Applied Physics   50 巻 ( 4S )   2011年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.50.04DA08

  197. Effect of Pr Valence State on Interfacial Structure and Electrical Properties of Pr Oxide/PrON/Ge Gate Stack Structure 査読有り

    Kimihiko Kato, Mitsuo Sakashita, Wakana Takeuchi, Hiroki Kondo, Osamu Nakatsuka and Shigeaki Zaima

    Japanese Journal of Applied Physics   50 巻 ( 4S )   2011年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.50.04DA17

  198. Spontaneous formation of highly regular superlattice structure in InGaN epilayers grown by molecular beam epitaxy 査読有り 国際共著 国際誌

    Wu Z., Kawai Y., Fang Y., Chen C., Kondo H., Hori M., Honda Y., Yamaguchi M., Amano H.

    Applied Physics Letters   98 巻 ( 14 )   2011年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Letters  

    In this letter, we have investigated the structural properties of thick InGaN layers grown on GaN by plasma-assisted molecular beam epitaxy, using two growth rates of 1.0 and 3.6 Å/s. A highly regular superlattice (SL) structure is found to be spontaneously formed in the film grown at 3.6 Å/s but not in the film grown at 1.0 Å/s. The faster grown film also exhibits superior structural quality, which could be due to the surface roughness suppression caused by kinetic limitation, and the inhibition of the Frank-Read dislocation generation mechanism within the spontaneously formed SL structure. © 2011 American Institute of Physics.

    DOI: 10.1063/1.3574607

    Web of Science

    Scopus

  199. Electron field emission enhancement of carbon nanowalls by plasma surface nitridation 査読有り

    Takeuchi Wakana, Kondo Hiroki, Obayashi Tomomi, Hiramatsu Mineo, Hori Masaru

    APPLIED PHYSICS LETTERS   98 巻 ( 12 )   2011年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.3532114

    Web of Science

    Scopus

  200. Laser Scattering Diagnosis of a 60-Hz Non-Equilibrium Atmospheric Pressure Plasma Jet 査読有り

    Jia Fengdong, Sumi Naoya, Ishikawa Kenji, KANO Hiroyuki, INUI Hirotoshi, KULARATNE Jagath, TAKEDA Keigo, KONDO Hiroki, SEKINE Makoto, KONO Akihiro, HORI Masaru

    Applied physics express   4 巻 ( 2 ) 頁: 026101-1 - 026101-3   2011年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  201. Pr(EtCp)_3を用いた原子層成長法によるPr酸化膜の作製とその電気的特性 査読有り

    近藤 博基, 坂下 満男, 財満 鎭明

    真空   54 巻 ( 2 ) 頁: 110 - 113   2011年2月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人 日本真空学会  

    &nbsp;&nbsp;Growth properties and electrical properties of Pr oxide films by an atomic layer deposition (ALD) technique using Pr(EtCp)<sub>3</sub> are discussed in this paper. Slef-limiting growth of Pr oxide films at a rate of 0.07 nm/cycle and a thickness variation of less than 2% on 3-in. Si wafers were obtained. Polycrystalline cubic Pr<sub>2</sub>O<sub>3</sub> films were grown on Si(001) substrates, while epitaxial growth of the cubic Pr<sub>2</sub>O<sub>3</sub> film was found on a Si(111) substrate. Relatively fine capacitance-voltage curves were obtained for the Al/ALD-Pr oxide/Si(001) capacitors. The interface state density between the 130&deg;C-grown ALD-Pr oxide film and the Si(001) substrate is about 1&times;10<sup>11</sup> cm<sup>&minus;2</sup> eV<sup>&minus;1</sup>. The dielectric constant of the ALD-Pr oxide film grown at 250&deg;C was determined to be about 18, assuming that the dielectric constant of the interlayer is similar to that of SiO<sub>2</sub>.<br>

    DOI: 10.3131/jvsj2.54.110

    Scopus

    CiNii Books

  202. Laser Scattering Diagnosis of a 60-Hz Non-Equilibrium Atmospheric Pressure Plasma Jet 査読有り 国際共著 国際誌

    Fengdong Jia, Naoya Sumi, Kenji Ishikawa, Hiroyuki Kano, Hirotoshi Inui, Jagath Kularatne, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Akihiro Kono, Masaru Hori

    APPLIED PHYSICS EXPRESS   4 巻 ( 2 )   2011年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IOP PUBLISHING LTD  

    A non-equilibrium atmospheric pressure plasma jet excited by 60-Hz ac power was diagnosed by laser Thomson and laser Raman scattering. We obtained the spatial distributions of the electron density, electron temperature, and gas temperature. The results show that the plasma can generate an electron density of up to 10(21) m(-3), an electron temperature of approximately 1 eV, and a gas temperature as low as approximately 700 K, indicating that the plasma is in the non-equilibrium state. The laser scattering diagnostic method and the obtained data are useful in the application of the non-equilibrium atmospheric pressure plasma jet. (C) 2011 The Japan Society of Applied Physics

    DOI: 10.1143/APEX.4.026101

    Web of Science

  203. Behaviors of Absolute Densities of N, H, and NH3 at Remote Region of High-Density Radical Source Employing N-2-H-2 Mixture Plasmas 査読有り

    Shang Chen, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Makoto Sekine, Hiroyuki Kano, Shoji Den, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   50 巻 ( 1 ) 頁: 01AE03   2011年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IOP PUBLISHING LTD  

    For an innovation of molecular-beam-epitaxial (MBE) growth of gallium nitride (GaN), the measurements of absolute densities of N, H, and NH3 at the remote region of the radical source excited by plasmas have become absolutely imperative. By vacuum ultraviolet absorption spectroscopy (VUVAS) at a relatively low pressure of about 1 Pa, we obtained a N atom density of 9 x 10(12) cm(-3) for a pure nitrogen gas used, a H atom density of 7 x 10(12) cm(-3) for a gas composition of 80% hydrogen mixed with nitrogen gas were measured. The maximum density 2 x 10(13) cm(-3) of NH3 was measured by quadruple mass spectrometry (QMS) at H-2/(N-2 + H-2) = 60%. Moreover, we found that N atom density was considerably affected by processing history, where the characteristic instability was observed during the pure nitrogen plasma discharge sequentially after the hydrogen-containing plasma discharge. These results indicate imply the importance of establishing radical-based processes to control precisely the absolute densities of N, H, and NH3 at the remote region of the radical source. (C) 2011 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.50.01AE03

    Web of Science

  204. Al2O3界 面層およびラジカル窒化法によるHigh-k/Ge界面構造および電気的特性の制御

    加藤公彦, 京極真也, 坂下満男, 竹内和歌奈, 近藤博基, 中塚理, 財満鎭明

    特別研究会研究報告“ゲートスタック研究会 -材料・プロセス・評価の物理-”(第16回研究会     頁: 55-58   2011年1月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)  

  205. Pr酸化膜/Si構造へのAl導入による界面反応抑制効果

    古田和也, 竹内和歌奈, 加藤公彦, 坂下満男, 近藤博基, 中塚理, 財満鎭明

    特別研究会研究報告“ゲートスタック研究会 -材料・プロセス・評価の物理-”(第16回研究会     頁: 51-54   2011年1月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)  

  206. Pr酸化膜/PrON/Ge構造におけるPrの化学結合状態が電気的特性に及ぼす影響

    加藤公彦, 坂下満男, 竹内和歌奈, 近藤博基, 中塚理, 財満鎭明

    特別研究会研究報告“ゲートスタック研究会 -材料・プロセス・評価の物理-”(第16回研究会     頁: 99-102   2011年1月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)  

  207. 電流検出型原子間力顕微鏡を 用いた欠陥に起因するPr酸化膜のリーク電流機構の解明

    足立正樹, 加藤雄三, 坂下満男, 竹内和歌奈, 近藤博基, 中塚理, 財満鎭明

    特別研究会研究報告“ゲートスタック研究会 -材料・プロセス・評価の物理-”(第16回研究会     頁: 123-126   2011年1月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)  

  208. Controlled Synthesis of Carbon Nanowalls for Carbon Channel Engineering 査読有り

    Hiroki Kondo, Masaru Hori, Wakana Takeuchi, Mineo Hiramatsu

    Key Engineering Materials   470 巻   頁: 85-91   2011年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  209. Sticking coefficient of hydrogen radicals on ArF photoresist estimated by parallel plate structure in conjunction with numerical analysis 査読有り 国際共著

    Arkadiusz Malinowski, Makoto Sekine, Masaru Hori, Kenji Ishikawa, Hiroki Kondo, Toshiya Suzuki, Takuya Takeuchi, Hiroshi Yamamoto, Andrzej Jakubowski, Lidia Lukasiak, Daniel Tomaszewski

    International Conference on Simulation of Semiconductor Processes and Devices, SISPAD     頁: 235 - 238   2011年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    Investigation of radicals kinetic behavior and estimation of radical sticking coefficient become indispensable for establishing plasma processing control by its internal parameters. This approach is required for plasma processing of single-nanometer gate length field effect transistors and 3-diemnsional gates in particular. In our works we have developed new technique for radicals kinetic behavior investigation and its sticking coefficient estimation. Our approach is based on application of parallel plate structure in conjunction with numerical analysis. This approach allows for radicals behavior investigation apart from ions and ultraviolet photons. Moreover this approach allows for analysis role of radical direct and indirect fluxes. By comparison of measured profile thickness and simulated stuck radicals profile we were able to estimate hydrogen radical sticking probability to ArF photoresist. © 2011 IEEE.

    DOI: 10.1109/SISPAD.2011.6035090

    Scopus

  210. 原子層堆積法により形成したPrAlOの結晶構造および電気的特性 査読有り

    古田 和也, 竹内 和歌奈, 坂下 満男, 近藤 博基, 中塚 理, 財満 鎭明

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス   110 巻 ( 90 ) 頁: 39-42   2010年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    本研究ではSi基板上にPr(EtCp)_3,トリメチルアルミニウム(TMA)およびH_2Oを用いた原子層堆積(ALD)法を用いてPrAlO(PAO)膜を形成し,その電気的特性を明らかにした.X線光電子分光(XPS)法から,膜中に界面反応を引き起こしたSiが表面付近に偏析していることが分かり,Al導入によってそれが減少していることが分かった.このことは,Al導入によって界面反応が抑制されていることを示唆している.一方,Deep level transient spectroscopy (DLTS)の結果,Al導入によって浅い準位において界面準位密度が減少した.従って,浅い準位の界面準位密度は,界面反応に起因していると推測される.Alの導入によって界面反応の制御が可能であることを明らかにした.

  211. Optical Properties of Evolutionary Grown Layers of Carbon Nanowalls Analyzed by Spectroscopic Ellipsometry 査読有り

    Shinji Kawai, Shingo Kondo, Wakana Takeuchi, Hiroki Kondo, Mineo Hiramatsu, Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   49 巻 ( 6 ) 頁: 060220   2010年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:JAPAN SOC APPLIED PHYSICS  

    Carbon nanowalls (CNWs), vertically standing graphene sheets, grown by the radical injection plasma-enhanced chemical vapor deposition system were analyzed by spectroscopic ellipsometry. The refractive indexes (n), extinction coefficients (k), and optical band gaps (E(g)) of evolutionary growth layers were evaluated using the Tauc-Lorentz model with the effective medium approximation. It was observed that an amorphous carbon interfacial layer with n of 1.9-2.0 was formed prior to the growth of CNWs with n of 1.2-1.5. Moreover, the imaginary parts of complex dielectric functions analyzed using the Tauc-Lorentz model indicate the possibility that the CNWs have semiconducting features. (C) 2010 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.49.060220

    Web of Science

    Scopus

  212. Metal-organic chemical vapor deposition of high-dielectric-constant praseodymium oxide films using a cyclopentadienyl precursor 査読有り 国際共著 国際誌

    Kondo H., Sakurai S., Sakashita M., Sakai A., Ogawa M., Zaima S.

    Applied Physics Letters   96 巻 ( 1 ) 頁: 012105   2010年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Letters  

    Praseodymium (Pr) oxide films were grown by metal-organic chemical-vapor-deposition (CVD) using Pr (EtCp) 3. Using H 2 O as an oxidant, Pr2 O3 films with columnar structures are formed and its C concentration can be reduced to about one-tenth compared with the case using O2. Activation energy of 0.37 eV is derived for this CVD using H2 O. This CVD-Pr oxide film deposited at 300 °C has a dielectric constant of 26±3. Furthermore, conduction band offset of 1.0±0.1 eV and trap levels of 0.40±0.02 and 0.22±0.02 eV in the CVD-Pr2 O3 /Si structure were also determined by current conduction characteristics. © 2010 American Institute of Physics.

    DOI: 10.1063/1.3275706

    Web of Science

    Scopus

  213. 1.2 nm-SiONゲート絶縁膜における局所劣化現象の電流検出型原子間力顕微鏡を用いたナノスケール観察

    加藤雄三, 平安座朝誠, 坂下満男, 近藤博基, 財満鎭明

    特別研究会研究報告“ゲートスタック研究会 -材料・プロセス・評価の物理-”(第15回研究会),     頁: 105-108   2010年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  214. ミクタミクト高窒素組成Hf-Si-Nの結晶構造および電気的特性

    宮本和明, 近藤博基, 財満鎭明

    特別研究会研究報告“ゲートスタック研究会 -材料・プロセス・評価の物理-”(第15回研究会)     頁: 173-176   2010年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  215. Pr(EtCp)3を用いたPr酸化膜の原子層堆積とその結晶構造及び電気的特性

    古田和也, 松井裕高, 近藤博基, 坂下満男, 財満鎭明

    特別研究会研究報告“ゲートスタック研究会 -材料・プロセス・評価の物理-”(第15回研究会)     頁: 125-128   2010年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  216. ALD-Pr酸化膜/Ge3N4/Ge構造における界面構造と電気的特性

    加藤公彦, 近藤博基, 坂下満男, 財満鎭明

    特別研究会研究報告“ゲートスタック研究会 -材料・プロセス・評価の物理-”(第15回研究会)     頁: 121-124   2010年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  217. Measurement of Hydrogen Radical Density and Its Impact on Reduction of Copper Oxide in Atmospheric-Pressure Remote Plasma Using H-2 and Ar Mixture Gases 査読有り

    Hirotoshi Inui, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Hiroyuki Kano, Naofumi Yoshida, Masaru Hori

    APPLIED PHYSICS EXPRESS   3 巻 ( 12 ) 頁: 126101:1 - 126101:3   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:JAPAN SOC APPLIED PHYSICS  

    A 60 Hz alternating current excited atmospheric-pressure plasma with an ultrahigh electron density of over 10(16) cm(-3) employing H-2/Ar [p(H-2)/p(H-2 + Ar) 1-3%] gases was used to reduce copper oxides on copper. The remote plasma reduced CuO and Cu2O at room temperature. The ground-state hydrogen (H) radical density in the atmospheric-pressure plasma was measured by vacuum ultraviolet absorption spectroscopy using a micro hollow cathode lamp. The ratio of reduction of amount of CuO flux to the H radical flux was determined from the measured H radical density and gas temperature. (C) 2010 The Japan Society of Applied Physics

    DOI: 10.1143/APEX.3.126101

    Web of Science

  218. Control of crystalline and electronic structures of carbon nanowalls for their device applications 査読有り

    Hiroki Kondo, Masaru Hori, Mineo Hiramatsu

    TENCON 2010: 2010 IEEE REGION 10 CONFERENCE     頁: 972 - 975   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Controlling factors of crystalline and electronic structures of carbon nanowalls were investigated in this study. Precise measurements and controls of radicals and ions in the plasma make the controlled syntheses of CNWs possible. For example, density ratios of hydrogen (H) and carbon atoms are essential to determine the density and height of CNWs. Ar+ ion irradiation is also important for vertical-growth of graphene sheets. Vertical-growth of graphene sheets could occur only under Ar+ ion irradiation with suitable energy and flux for each process. On the other hand, by N-2 gas addition to C2F6/H-2/Ar mixture ambient, the CNWs with n-type conducting properties can be formed. These results obtained in this study suggest that the CNWs are promising as new functional device materials in various applications.

    DOI: 10.1109/TENCON.2010.5686534

    Web of Science

  219. HARD X-RAY PHOTOELECTRON SPECTROSCOPY ANALYSIS FOR ORGANIC-INORGANIC HYBRID MATERIALS FORMATION 査読有り

    Ken Cho, Kosuke Takenaka, Yuichi Setsuhara, Masaharu Shiratani, Makoto Sekine, Masaru Hori, Eiji Ikenaga, Hiroki Kondo, Osamu Nakatsuka, Shigeaki Zaima

    CHARACTERIZATION AND CONTROL OF INTERFACES FOR HIGH QUALITY ADVANCED MATERIALS III   219 巻   頁: 183 - +   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:AMER CERAMIC SOC  

    Interactions of nitrogen plasmas with polymer surfaces were investigated using hard x-ray photoelectron spectroscopy (HXPES) to complete depth analyses of the chemical bonding states in the nano-surface layer of polymethylmethacrylate (PMMA) films via. The PMMA films were exposed to the nitrogen plasmas sustained via inductive coupling of radio-frequency (RF) power with multiple low-inductance antenna (LIA) modules. The etching rate of the PMMA films was 38 nm/min. The surface roughness of PMMA increased from 0.3 rim to 0.7 urn with increased exposure time. The HXPES was carried out for non-destructive depth analysis of chemical bonding states in the nano-surface layer of PMMA films. The HXPES results indicated that nitrogen functionalities were formed in the shallower regions up to about 27 nm from the surface without showing significant degradation of the molecular structure of PMMA due to nitrogen plasma exposure.

    Web of Science

  220. Plasma surface treatment of polymers with inductivity-coupled RF plasmas driven by low-inductance antenna units 査読有り

    Yuichi Setsuhara, Ken Cho, Kosuke Takenaka, Akinori Ebe, Masaharu Shiratani, Makoto Sekine, Masaru Hori, Eiji Ikenaga, Hiroki Kondo, Osamu Nakatsuka, Shigeaki Zaima

    THIN SOLID FILMS   518 巻 ( 3 ) 頁: 1006 - 1011   2009年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:ELSEVIER SCIENCE SA  

    Plasma surface treatment of polymers has been carried out with argon/oxygen mixture plasmas driven by multiple low-inductance antenna units. Kinetic energy distribution of argon ions from the argon/oxygen mixture plasmas onto polymers showed considerable suppression of ion energies sufficiently less than 10 eV. Polyethyleneterephthalate (PET) films were exposed to argon/oxygen mixture plasma for 1-5 min on a water-cooled substrate holder. The etching depth of PET surface increased with increasing plasma-exposure time and the etching rate was 118 nm/min. Surface roughness of PET surface (root-mean-square value) increased from 0.5 nm to 2.7 nm with increasing plasma-exposure time from 0 min (original sample) to states of the PET surface. The HXPES analyses exhibited nano-surface modification of the PET surface without suffering degradation of molecular structures beneath. (C) 2009 Elsevier B.V. all rights reserved.

    DOI: 10.1016/j.tsf.2009.07.161

    Web of Science

  221. Novel method to introduce uniaxial tensile strain in Ge by microfabrication of Ge/Si1-xGex structures on Si(001) substrates 査読有り 国際共著 国際誌

    Mizutani Takuya, Nakatsuka Osamu, Sakai Akira, Kondo Hiroki, Ogawa Masaki, Zaima Shigeaki

    SOLID-STATE ELECTRONICS   53 巻 ( 11 ) 頁: 1198 - 1201   2009年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Solid-State Electronics  

    We have proposed a novel method to form by microfabrication a uniaxial tensile strained Ge layer due to the elastic strain relaxation of a Si1-xGex buffer layer on a Si(0 0 1) substrate. A fully strain-relaxed Ge layer on a compressive strained Si0.60Ge0.40 was epitaxially grown on Si(0 0 1) substrate and striped mesa lines were fabricated with a line width of 250 nm along the [1 1 0] direction. The strain of the Si0.60Ge0.40 layer was found to be elastically relaxed only along the direction perpendicular to the lines and a uniaxial tensile strained Ge layer was thus formed. The value of tensile strain of the Ge layer is estimated to be 0.25%. © 2009 Elsevier Ltd. All rights reserved.

    DOI: 10.1016/j.sse.2009.08.001

    Web of Science

    Scopus

  222. ラジカル窒化法による High-k/Ge 界面構造制御 査読有り

    加藤 公彦, 近藤 博基, 坂下 満男, 財満 鎭明

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス   109 巻 ( 87 ) 頁: 39-44   2009年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    高移動度Geチャネルを有するmetal-oxide-semiconductor(MOS)型トランジスタの実現に向け、熱処理や溶液処理に対してロバストな高誘電率金属酸化膜/Ge構造の構築が求められている。本研究では、高誘電率金属酸化膜/Ge構造における界面層として期待されるGe_3N_4膜に関し、ラジカル窒化法による形成過程を明らかにし、更にPr酸化膜/Ge_3N_4/Ge構造の電気的特性を評価した。ラジカル窒化法では、50-600℃の広い温度範囲でStoichiometryなGe_3N_4が形成された。一方、飽和膜厚の窒化温度依存性は窒化温度によって異なり、Ge_3N_4形成に支配的な拡散種が異なると考えられる。またAu/Ge_3N_4/Ge構造におけるリーク電流密度は、窒化温度300℃において最も小さいことがわかった。ラジカル窒化法と原子層堆積法によってPr酸化膜/Ge_3N_4/Ge構造を作製した場合、Pr酸化膜/Ge界面にはPr酸窒化膜が形成される。またAl/Pr酸化膜/Ge_3N_4/Ge MOSキャパシタでは、H_2およびN_2雰囲気での熱処理によって界面準位密度が大幅に減少することが分かった。

  223. LaAlO/Ge構造へのALD-Al_2O_3界面制御層挿入の効果 査読有り

    坂下 満男, 加藤 亮祐, 京極 真也, 近藤 博基, 財満 鎭明

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス   109 巻 ( 87 ) 頁: 61-66   2009年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    GeチャネルMOSFETは高速動作および低電圧動作デバイスとして期待され、また一方で、high-k材料によるゲート絶縁膜はEOTの低減に有効であり、high-k/Ge構造のMOSFETは次世代デバイスとして有望視されている。しかしながら、high-kゲート絶縁膜とGe基板との界面反応によって、ゲート絶縁膜の誘電率は低下し、また、界面準位密度は増加する。そこで、high-kゲート絶縁膜とGe基板との界面にALD法によって形成した極薄のAl_2O_3界面制御層を挿入し、その効果について検討した。なお、本研究ではhigh-kゲート絶縁膜としてLaAlO膜を用いた。厚さ1nm以下のAl_2O_3界面制御層によってGe界面での界面反応は効果的に抑制でき、界面反応によって形成されたGe-oxide層はAl_2O_3界面制御層の厚さの増加とともに減少することが分かった。また、0.4nm程度の厚さのAl_2O_3界面制御層において界面準位密度の低減効果を確認した。さらに、600℃の熱処理に対しても構造は変化せず、熱的にも安定であることが分かった。

  224. Pr(EtCp)_3を用いた原子層堆積法によるPr酸化膜の形成 査読有り

    近藤 博基, 古田 和也, 松井 裕高, 坂下 満男, 財満 鎭明

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス   109 巻 ( 87 ) 頁: 81-85   2009年6月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    Pr(EtCp)_3を用いた原子層堆積法(ALD)によるPr酸化膜の成長手法について研究を行い,ウェハー面内での膜厚ばらつきが2%以下であるPr酸化膜のALD成長を実現した.同ALDでは主として立方晶のPr_2O_3が形成したが,Si(100)基板上のPr_2O_3膜が多結晶構造であるのに対し,Si(111)基板上では立方晶Pr_2O_3がエピタキシャル成長することがわかった.一方,Al/ALD-Pr_2O_3/Si(100)およびAl/ALD-Pr_2O_3/Si(111)MOSキャパシタの容量-電圧特性によれば,ALDによって成長した立方晶Pr_2O_3の比誘電率は12.3〜16.8であった.電子銃蒸着法や化学気相蒸着法(CVD)で成長したPr酸化膜の結晶構造および成長条件との比較から,ALDプロセスにおいてH_2O分圧を最適化することにより,Pr酸化膜の結晶構造が制御可能であると考えられる。

  225. ラジカル窒化法によるHigh-k/Ge界面構造制御

    加藤公彦, 近藤博基, 坂下満男, 財満鎭明

    信学技報   109 巻 ( 87 ) 頁: 39-44   2009年6月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  226. LaAlO/Ge構造へのALD-Al2O3界面制御層挿入の効果

    坂下満男, 加藤亮祐, 京極真也, 近藤博基, 財満鎭明

    信学技報   109 巻 ( 87 ) 頁: 61-66   2009年6月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  227. Pr(EtCp)3を用いた原子層堆積法によるPr酸化膜の形成

    近藤博基, 古田和也, 松井裕高, 坂下満男, 財満鎭明

    信学技報   109 巻 ( 87 ) 頁: 81-85   2009年6月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  228. Thermal Stability and Scalability of Mictamict Ti-Si-N Metal-Oxide-Semiconductor Gate Electrodes 査読有り 国際共著 国際誌

    Kondo Hiroki, Furumai Kouhei, Sakashita Mitsuo, Sakai Akira, Zaima Shigeaki

    JAPANESE JOURNAL OF APPLIED PHYSICS   48 巻 ( 4 ) 頁: 04C012   2009年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    The annealing temperature and thickness dependences of electrical properties of mictamict Ti-Si-N gate metal-oxide-semiconductor (MOS) capacitors, and their relationships with the crystalline structure were investigated. The nanocrystallites in mictamict Ti-Si-N films sputtered in over 3.0% N2 ambient hardly grow even after postdeposition annealing (PDA) at temperatures below 900 °C. As the N2 concentration increases up to 3%, the resistivity of the Ti-Si-N films increases owing to an increase in the amount of Si3N4 components and the development of the amorphization. On the other hand, the resistivity decreases with increasing N2 concentration above 3%. This is attributed to the formation of Ti3N4 components, as revealed by X-ray photoelectron spectroscopy (XPS) analysis. The changes in resistivity and effective work function are also extremely small. Additionally, even when the Ti-Si-N film thickness is reduced to 5 nm, the Pt/Ti-Si-N stacked gate electrode maintains almost the same effective work function (4.6 eV). © 2009 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.48.04C012

    Web of Science

    Scopus

  229. アモルファスTi-Si-N MOSゲート電極の熱的安定性およびスケーラビリティ

    宮本和明,古米孝平,近藤博基,坂下満男,財満鎭明

    特別研究会研究報告“ゲートスタック研究会 -材料・プロセス・評価の物理-”(第14回研究会)     頁: 89-92   2009年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  230. アモルファスTi-Si-NおよびHf-Si-N MOSゲート電極の結晶構造と抵抗率の窒素濃度依存性

    近藤博基,宮本和明,古米孝平,坂下満男,財満鎭明

    特別研究会研究報告“ゲートスタック研究会 -材料・プロセス・評価の物理-”(第14回研究会)     頁: 191-194   2009年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  231. ラジカル窒化法によるGe3N4/Ge構造の形成過程

    加藤公彦,小田繁尚,近藤博基,財満鎭明

    特別研究会研究報告“ゲートスタック研究会 -材料・プロセス・評価の物理-”(第14回研究会)     頁: 163-166   2009年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  232. Al2O3界面層挿入によるLaAlO3/Ge 界面制御効果

    加藤亮祐,京極真也,坂下満男,近藤博基,財満鎭明

    特別研究会研究報告“ゲートスタック研究会 -材料・プロセス・評価の物理-”(第14回研究会)     頁: 133-136   2009年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  233. Pr(EtCp)3を用いたMOCVD法によるPr酸化膜の作製およびその電気的特性の評価

    松井裕高,櫻井晋也,近藤博基,坂下満男,財満鎭明

    特別研究会研究報告“ゲートスタック研究会 -材料・プロセス・評価の物理-”(第14回研究会)     頁: 125-128   2009年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  234. Analyses on Crystalline Structures of Carbon Nanowalls by Grazing-Incidence X-Ray Diffraction Using Synchrotron Light Source 招待有り 査読有り

    Wakana Takeuchi, Hiroki Kondo, Masaru Hori

    SPring-8 Research Frontier 2009     頁: 62-63   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  235. Formation of Uniaxial Tensile-strained Ge by Using Micro-patterning of Ge/Si<sub>1-x</sub>Ge<sub>x</sub>/Si Structures 査読有り 国際共著 国際誌

    Mizutani Takuya, Nakatsuka Osamu, Sakai Akira, Kondo Hiroki, Zaima Shigeaki

    Transactions of the Materials Research Society of Japan   34 巻 ( 2 ) 頁: 305 - 308   2009年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人 日本MRS  

    We have investigated the anisotropic strain structure of micro-patterned Ge/Si<sub>1-x</sub>Ge<sub>x</sub> mesa lines. The elastic strain-relaxation of a Si<sub>1-x</sub>Ge<sub>x</sub> layer by micro-patterning induces an uniaxial tensile strain into a Ge layer only for the direction perpendicular to the line. The pattern size dependence on the behavior of the elastic strain-relaxation of Si<sub>1-x</sub>Ge<sub>x</sub> layers is analyzed by FEM. Additionally, the XRD results for micro-patterned samples suggest that the overetching depth of the Si substrate also influenced the strain-relaxation of the Si<sub>1-x</sub>Ge<sub>x</sub> layers and the tensile-strain value of the Ge layers.

    DOI: 10.14723/tmrsj.34.305

  236. Formation of high-density Si nanodots by agglomeration of ultra-thin amorphous Si films 査読有り 国際共著 国際誌

    Kondo H., Ueyama T., Ikenaga E., Kobayashi K., Sakai A., Ogawa M., Zaima S.

    Thin Solid Films   517 巻 ( 1 ) 頁: 297 - 299   2008年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Thin Solid Films  

    High-density and similarly-sized Si nanodots were formed by annealing ultra-thin amorphous Si (a-Si) films deposited on SiO2/Si substrates in vacuum. Dependences of density and diameter of the Si nanodots on the a-Si film thickness and, annealing temperature and time were investigated by scanning electron microscopy. It is found that drastic increase (decrease) in the density (diameter) occurred at an a-Si thickness of 1 nm. By agglomeration of sub-nanometer thick a-Si films, a density larger than 1012 cm- 2, an average diameter smaller than 5 nm, and a dispersion of diameter less than 15% were achieved. © 2008 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.tsf.2008.08.079

    Web of Science

    Scopus

  237. Analysis of Uniaxial Tensile Strain in Microfabricated Ge/Si1-x Gex Structures on Si(001) Substrates

    T. Mizutani, O. Nakatsuka, A. Sakai, H. Kondo, S. Zaima

    4th International WorkShop on New Group IV Semiconductor Nanoelectronics     2008年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  238. MOCVD法によるPr酸化膜の作製およびその電気的特性評価 査読有り

    近藤博基, 櫻井晋也, 酒井朗, 小川正毅, 財満鎭明

    信学技報   108 巻 ( 80 ) 頁: 71-75   2008年6月

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  239. MOCVD法によるPr酸化膜の作製およびその電気的特性評価 招待有り 査読有り

    近藤博基, 櫻井晋也, 酒井朗, 小川正毅, 財満鎭明

    信学技報   108 巻 ( 80 ) 頁: 71-75   2008年6月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  240. Ge表面酸化および窒化処理と High-k ゲートスタック構造形成プロセス 査読有り

    近藤 博基, 坂下 満男, 中塚 理, 小川 正毅, 財満 鎭明

    電気学会研究会資料. EFM, 電子材料研究会   2008 巻 ( 1 ) 頁: 17-22   2008年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  241. Development of high-density radical source for radical nitridation process in ULSI technology development of high-density radical source for radical nitridation process in ULSI technology 査読有り

    H. Kondo, S. Oda, S. Takashima, A. Sakai, M. Ogawa, S. Zaima, M. Hori, S. Den, H. Kano

    The International Conference on Plasma-NanoTechnology and Science     2008年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  242. Ge基板上に作製したPr酸化膜の評価 査読有り

    坂下満男, 鬼頭伸幸, 加藤亮祐, 近藤博基, 中塚理, 酒井朗, 小川正毅, 財満鎭明

    ゲートスタック研究会 -材料・プロセス・評価の物理-(第13回研究会)     2008年1月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)  

  243. ミクタミクトTiSiNゲートMOSキャパシタの結晶構造及び電気的特性の評価 査読有り

    古米孝平, 近藤博基, 坂下満男, 酒井朗, 小川正毅, 財満鎭明

    ゲートスタック研究会 -材料・プロセス・評価の物理-(第13回研究会)     2008年1月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)  

  244. 窒素ラジカル暴露によるGe(001)表面処理 査読有り

    近藤博基, 藤田美里, 酒井朗, 小川正毅, 財満鎭明

    ゲートスタック研究会 -材料・プロセス・評価の物理-(第13回研究会)     2008年1月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)  

  245. Formation of Ge3N4/Ge structures using nitrogen radicals and their thermal stability 査読有り 国際共著 国際誌

    Kondo H., Oda S., Ogawa M., Zaima S.

    SIGE, GE, AND RELATED COMPOUNDS 3: MATERIALS, PROCESSING, AND DEVICES   16 巻 ( 10 ) 頁: 717 - +   2008年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:ECS Transactions  

    Formation of Ge3N4 on Ge(001) substrates by nitrogen radicals and their thermal stability were investigated in this study. A Ge 3N4/Ge structure with a root-mean-square surface roughness of 0.18 nm is successfully formed by layer-by-layer manner at a substrate temperature of 300°C. In contrast, island growth and thermal decomposition of Ge3N4 occur during the nitridation at 600°C, and consequently island structures and locally-flat areas are formed. Ge 3N4 thickness is saturated at a certain nitridation time and, not only saturation times but also saturation thicknesses are different depending on plasma condition. By a 2-step nitridation in which the nitridation at 300°C and 600°C for 900 sec were sequentially subjected to Ge surfaces, a Ge3N4 thickness much larger than that at the single-step nitridation can be obtained without surface roughening. ©The Electrochemical Society.

    DOI: 10.1149/1.2986828

    Web of Science

    Scopus

  246. シリコン表面の窒化初期過程とエネルギーバンドキャップの形成 招待有り 査読有り

    近藤博基, 財満鎭明, 堀勝, 酒井朗, 小川正毅

    真空   50 巻   頁: 665-671   2007年11月

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  247. Structural and Electrical Properties of Metal-germanide MOS Gate Electrodes 査読有り

    H. Kondo, D. Ikeno, Y. Kaneko, M. Sakashita, A. Sakai, M. Ogawa, S. Zaima

    The Sixth Pacific Rim Inernational Conference on Advanced Materials and Processing     2007年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  248. Pr-oxide-based dielectric films on Ge substrates 査読有り

    M. Sakashita, N. Kito, A. Sakai, H. Kondo, O. Nakatsuka, M. Ogawa, S. Zaima

    Extended Abstracts of the 2007 International Conference on Solid State Device and Materials   2007 巻 ( 85 ) 頁: 330 - 331   2007年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  249. Surface treatment of Ge(001) surface by radical nitridation 査読有り

    H. Kondo, M. Fujita, A. Sakai, M. Ogawa, S. Zaima

    Extended Abstracts of the 2007 International Conference on Solid State Device and Materials   2007 巻   頁: 1036-1037   2007年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  250. Si_<1-X>Ge_X/Si(001)構造における転位および歪の評価と制御技術(CS-5.異種材料融合デバイス技術,シンポジウム)

    中塚 理, 酒井 朗, 近藤 博基, 小川 正毅, 財満 鎭明

    電子情報通信学会ソサイエティ大会講演論文集   2007 巻 ( 2 ) 頁: "S-12"-"S-13"   2007年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  251. Development of new high-density radical sources and its application to radical nitridation of Ge surfaces

    H. Kondo, S. Oda, S. Takashima, A. Sakai, M. Ogawa, S. Zaima, M. Hori, S. Den, H. Kano

    The 20th Symposium on Plasma Science for Materials     2007年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)  

  252. Composition dependence of work function in metal (Ni,Pt)-germanide gate electrodes 査読有り

    Ikeno Daisuke, Kaneko Yukihiro, Kondo Hiroki, Sakashita Mitsuo, Sakai Akira, Ogawa Masaki, Zaima Shigeaki

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   46 巻 ( 4B ) 頁: 1865 - 1869   2007年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.46.1865

    Web of Science

    Scopus

  253. Growth and energy bandgap formation of silicon nitride films in radical nitridation 査読有り

    Kondo Hiroki, Kawaai Keigo, Sakai Akira, Hori Masaru, Zaima Shigeaki, Yasuda Yukio

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   46 巻 ( 1 ) 頁: 71 - 75   2007年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.46.71

    Web of Science

    Scopus

  254. Strain relaxation of patterned Ge and SiGe layers on Si(001) substrates 査読有り

    Mochizuki Shogo, Sakai Akira, Nakatsuka Osamu, Kondo Hiroki, Yukawa Katsunori, Izunome Koji, Senda Takeshi, Toyoda Eiji, Ogawa Masaki, Zaima Shigeaki

    SEMICONDUCTOR SCIENCE AND TECHNOLOGY   22 巻 ( 1 ) 頁: S132 - S136   2007年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/0268-1242/22/1/S31

    Web of Science

    Scopus

  255. Pt-germanideゲート電極の結晶構造及び電気的特性の評価”

    池野大輔, 古米孝平, 近藤博基, 坂下満男, 酒井朗, 小川正毅, 財満鎭明

    特別研究会研究報告“ゲートスタック研究会 –材料・プロセス・評価の物理-”(第12回研究会)     2007年1月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)  

  256. パルスレーザー蒸着法によるGe基板上へのPr酸化膜の作製とその構造及び電気的特性評価

    鬼頭伸幸, 坂下満男, 酒井朗, 中塚理, 近藤博基, 小川正毅, 財満鎭明

    特別研究会研究報告“ゲートスタック研究会 –材料・プロセス・評価の物理-”(第12回研究会)     2007年1月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)  

  257. Initial stage of processes and energy bandgap formation in nitridation of silicon surface using nitrogen radicals 査読有り

    Kondo H.

    Shinku/Journal of the Vacuum Society of Japan   50 巻 ( 11 ) 頁: 665-671   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    &nbsp;&nbsp;Initial stage of processes and energy bandgap formation in nitridation of silicon surfaces using nitrogen radicals have been studied. According to scanning tunneling microscopy observations and scanning tunneling spectroscopy measurements, at the initial stage of nitridation, linear defects perpendicular to dimmer rows were formed to coincide with an initial nitridation reaction preferentially at backbonds of surface Si atoms. After the nitride formation, the surface roughness depends only on substrate temperature regardless of radio frequency (RF) power, which means that the growth mode of nitrides is attributed to the surface migration. Contrary, the energy bandgap of silicon nitrides is significantly affected by not only substrate temperature but also RF power. Absorption and emission spectroscopy results suggest that the contribution of the excited-state nitrogen atoms to the nitridation increases with increasing the RF power. Control of surface migration and radical species is crucial to form the monolayer-thick nitride layer with both an atomically flat surface and a wide energy bandgap.<br>

    DOI: 10.3131/jvsj.50.665

    Scopus

  258. Silicide and germanide technology for contacts and metal gates in MOSFET applications 国際共著 国際誌

    Zaima S., Nakatsuka O., Kondo H., Sakashita M., Sakai A., Ogawa M.

    ECS Transactions   11 巻 ( 6 ) 頁: 197 - 205   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:ECS Transactions  

    We report silicide and germanide technology for ohmic contacts and metal gates of MOSFET applications. We have investigated control of crystalline and electrical properties of NiSi/Si contacts by the incorporation of third elements. Thermal stability and electrical properties of NiSi/Si contacts are effectively controlled by the incorporation of Ge and C. We have also systematically investigated the work function and the resistivity of various Ni and Pt germanides as metal gate materials. The low resistivity and tunable work function of these germanides and silcides are desirable for future CMOS devices. © The Electrochemical Society.

    DOI: 10.1149/1.2778377

    Scopus

  259. Dislocation structure and strain relaxation of SiGe and Ge sub-micron stripe lines on Si(001) substrates

    O. Nakatsuka, S. Mochizuki, A. Sakai, H. Kondo, K. Yukawa, M. Ogawa, S. Zaima

        2006年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  260. Interfacial structure of HfON/SiN/Si gate stacks

    O. Nakatsuka, M. Sakashita, H. Kondo, E. Ikenaga, M. Kobata, J.-J. Kim, H. Nohira, T. Hattori, A. Sakai, M. Ogawa, S. Zaima

    The 2nd International Workshop on Hard X-ray Photoelectron Spectroscopy     2006年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  261. Systematic characterization of Ni full silicide in sub-100 nm gate regions 査読有り

    D. Ito, A. Sakai, O. Nakatsuka, H. Kondo, Y. Akasaka, M. Ogawa, S. Zaima

        2006年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  262. Study of the gate insulator/silicon interface utilizing soft and hard X-ray photoelectron spectroscopy at Spring-8 査読有り 国際共著 国際誌

    Hattori T., Nohira H., Azuma K., Sakai K.W., Nakajima K., Suzuki M., Kimura K., Sugita Y., Ikenaga E., Kobayashi K., Takata Y., Kondo H., Zaima S.

    International Journal of High Speed Electronics and Systems   16 巻 ( 1 ) 頁: 353 - 364   2006年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:International Journal of High Speed Electronics and Systems  

    The chemical structures of SiO2/Si interfaces were studied by photoelectron spectroscopy using high-brilliance soft X-ray with photon energy ranging from 500 to 1500 eV at Super Photon ring 8 GeV(SPring-8) and it is able to probe a depth of about 1.2 to 3 nm with energy resolution of 100 meV. On the other hand, high-brilliance hard X-ray with photon energy ranging from 6 to 10 keV is able to probe a depth of about 8.5 to 12.5 nm with energy resolution of 100 meV. Hard photoelectron spectroscopy are particularly useful for studying the composition and the chemical structure of transition layer at high-k dielectric/silicon interface. © World Scientific Publishing Company.

    DOI: 10.1142/S0129156406003680

    Scopus

  263. Initial growth process of TiN films in ultrahigh-vacuum rapid thermal chemical vapor deposition 査読有り 国際共著 国際誌

    Okuda Y., Naito S., Nakatsuka O., Kondo H., Okuhara T., Sakai A., Zaima S., Yasuda Y.

    Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers   45 巻 ( 1A ) 頁: 49 - 53   2006年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers  

    We have investigated an initial stage of titanium nitride (TiN) growth on SiO2 substrates by ultrahigh-vacuum chemical vapor deposition with TiCl4 and NH3 as source materials. The behaviors of nucleation and grain growth of TiN have been clarified by atomic force microscopy and transmission electron microscopy. It was found that TiN film formation at an initial stage consists of three stages, which are characteristic of the lateral and subsequent vertical growth processes of grains. Deposition time dependence of the lateral growth of TiN grains clearly indicates that a process at 550°C is limited by the surface reaction, irrespective of the TiCl4 flow rate and a pretreatment for the substrates before the deposition. The pretreatment affects in the generation of additional nucleation sites on the SiO2 surface but does not affect the mechanisms of nucleation and grain growth. © 2006 The Japan Society of Applied Physics.

    DOI: 10.1143/JJAP.45.49

    Web of Science

    Scopus

  264. Electrical properties and bonding structures of germanium nitride/Ge(100) structures formed by radical nitridation 査読有り 国際共著 国際誌

    Kondo H., Yanagi I., Sakashita M., Sakai A., Ogawa M., Zaima S.

    ECS Transactions   3 巻 ( 7 ) 頁: 287 - 289   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:ECS Transactions  

    DOI: 10.1149/1.2355823

    Scopus

  265. Therapeutic effects of the combination of methotrexate and bucillamine in early rheumatoid arthritis: A multicenter, double-blind, randomized controlled study 査読有り

    Ichikawa Y.

    Modern Rheumatology   15 巻 ( 5 ) 頁: 323-328   2005年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1007/s10165-005-0420-z

    Scopus

  266. Nanoscale Observations for Degradation Phenomena in SiO_2 and High-k Gate Insulators Using Conductive-Atomic Force Microscopy 査読有り 国際共著 国際誌

    ZAIMA Shigeaki, SEKO Akiyoshi, WATANABE Yukihiko, SAGO Toshifumi, SAKASHITA Mitsuo, KONDO Hiroki, SAKAI Akira, OGAWA Masaki

    Extended abstracts of the ... Conference on Solid State Devices and Materials   2005 巻   頁: 236 - 237   2005年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    CiNii Books

    CiNii Research

  267. Analysis of stressed-gate SiO2 films with electron injection by conductive atomic force microscopy 査読有り

    A. Seko, Y. Watanabe, H. Kondo, A. Sakai, S. Zaima, Y. Yasuda

    Electronics and Communications in Japan Part Ii-Electronics   88 巻 ( 6 ) 頁: 18-26   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  268. 電流注入ストレスを加えたゲート酸化膜の電流検出型原子間力顕微鏡による解析 招待有り 査読有り

    世古 明義, 渡辺 行彦, 近藤 博基, 酒井 朗, 財満 鎭明, 安田 幸夫

    電子情報通信学会論文誌. C, エレクトロニクス = The transactions of the Institute of Electronics, Information and Communication Engineers. C   J87-C 巻 ( 8 ) 頁: 616-624   2004年10月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  269. 電流検出型原子間力顕微鏡を用いたゲート絶縁膜の局所リーク電流評価 査読有り

    世古 明義, 渡辺 行彦, 近藤 博基, 酒井 朗, 財満 鎭明, 安田 幸夫

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス   104 巻 ( 135 ) 頁: 31-36   2004年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    電流検出型原子間力顕微鏡法(Conductive Atomic Force Microscopy : C-AFM)を用いて、ゲート絶縁膜における局所リ-ク電流をナノスケール観察し、絶縁膜の信頼性劣化機構を解明した。MOSキャパシタにおいてストレス印加したゲートSiO_2膜をC-AFM観察したところ、電流像に局所なりーク電流スポットを観測がされ、その電流-電圧特性の解析などからストレス誘起欠陥に起因する局所リーク電流であることがわかった。更に、ストレス誘起欠陥における電荷充放電現象とマクロなデバイス特性劣化との相関、局所リーク電流と絶縁破壊現象との関連が明らかになった。また、高誘電率絶縁膜において、電流リークパスと結晶構造との相関を明らかにした。

  270. ラジカル窒化過程におけるエネルギーバンドギャップ形成機構のSTM/STS解析 査読有り

    近藤 博基, 河合 圭吾, 宮崎 香代子, 酒井 朗, 財満 鎭明, 安田 幸夫

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス   104 巻 ( 134 ) 頁: 27-32   2004年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    次世代ゲート絶縁膜として期待されるシリコン窒化膜について、ラジカル窒化法における初期成膜過程をSTMならびにSTSによって解析した。ラジカル窒化過程では、成膜様式(層状成長または島状成長)は窒化種に依存せず、成膜温度のみに依存するが、エネルギーバンドギャップはラジカルガンの高周波電源電力の変化に伴う窒化種の変化に強く依存することがわかった。原子レベルで平坦で、大きなエネルギーバンドギャップを持つラジカル窒化膜の形成には、窒化種の制御が重要であることがわかった。

  271. Nanoscale analysis of degradation phenomena in MOS gate insulators using conductive atomic force microscopy 国際共著 国際誌

    S. Zaima, H. Kondo, M. Sakashita, A. Sakai, Y. Yasuda

        2004年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  272. Thickness dependence of microscopic current-voltage characteristics in stressed SiO2 films 査読有り 国際共著 国際誌

    Y. Watanabe, A. Seko, H. Kondo, A. Sakai, S. Zaima, Y. Yasuda

        2004年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  273. Preparation and evaluation of NiGe gate electrodes for metal-oxide- semiconductor devices 査読有り 国際共著 国際誌

    Kaneko Y., Kondo H., Sakai A., Zaima S., Yasuda Y.

    Proceedings - Electrochemical Society   7 巻   頁: 1107 - 1111   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:Proceedings - Electrochemical Society  

    Next-generation metal-oxide-semiconductor (MOS) devices will require metal gate electrodes to eliminate some problems which conventional poly-Si gate electrodes have, such as gate depletion, high resistivity, chemical reaction with high-k dielectrics and so on. In this study, we have investigated the characteristics of NiGe gate electrodes for MOS devices. An interface between NiGe and gate SiO2 films was highly stable after post-deposition annealing at high temperature. The work function of the NiGe was found to depend on the annealing temperature and obtained to be ranging from 4.79 eV to 4.94 eV, which stands by the valence band side. The sheet resistance was an order of magnitude lower than that of the conventional poly-Si gate. Because of these features, NiGe is a promising candidate for PMOS device.

    Scopus

  274. Local discharging of carriers at nanometer scale defects in gate SiO2 thin films observed by conducting atomic force microscopy 国際共著 国際誌

    A. Seko, Y. Watanabe, H. Kondo, A. Sakai, S. Zaima, Y. Yasuda

        2003年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  275. 電子注入ストレスを加えたゲート酸化膜の電流検出型原子間力顕微鏡による解析 : ゲート絶縁膜劣化機構の微視的評価 査読有り

    世古 明義, 渡辺 行彦, 近藤 博基, 酒井 朗, 財満 鎭明, 安田 幸夫

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス   103 巻 ( 148 ) 頁: 1-6   2003年6月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    電流検出型原子間力顕微鏡法(Conducting Atomic Force Microscopy :C-AFM)を用いて、Metal-Oxide-Semiconductor(MOS)キャパシタで観測される絶縁膜劣化を、ナノスケールで直接観察する手法を開発した。定電流ストレスを印加したシリコン酸化膜を本手法によって観察した結果、Transient Stress-Induced Leakage Current (Transient-SILC)と考えられる局所リーク電流スポットが観測された。これにより、ストレス誘起される膜中欠陥の局所性と分布、それらに起因した局所的なリーク伝導機構が実験的に明らかになった。

  276. Direct detection of single nucleotide polymorphism (SNP) with genomic DNA by the ferrocenylnaphthalene diimide-based electrochemical hybridization assay (FND-EHA). 査読有り

    Nojima T, Yamashita K, Takagi A, Takagi M, Ikeda Y, Kondo H, Takenaka S

    Analytical sciences : the international journal of the Japan Society for Analytical Chemistry   19 巻 ( 1 ) 頁: 79 - 83   2003年1月

     詳細を見る

    掲載種別:研究論文(学術雑誌)  

    DOI: 10.2116/analsci.19.79

    PubMed

  277. Growth of silicon nanocrystals with high number density for floating dot memory 査読有り 国際共著 国際誌

    Naito S., Satake M., Kondo H., Sakashita M., Sakai A., Zaima S., Yasuda Y.

    Digest of Papers - Microprocesses and Nanotechnology 2003 - 2003 International Microprocesses and Nanotechnology Conference, MNC 2003     頁: 20 - 21   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:Digest of Papers - Microprocesses and Nanotechnology 2003 - 2003 International Microprocesses and Nanotechnology Conference, MNC 2003  

    In this paper, we have developed a novel growth of Si nanocrystals by using molecular beam deposition and post-growth oxidation. Formation of Si dots with a high number density (about 1/spl times/10/sup 12/ cm/sup -2/) and a small grain size (less than 10 nm in diameter) has been demonstrated. Memory operations of devices using these Si dots were also examined.

    DOI: 10.1109/IMNC.2003.1268498

    Scopus

  278. 高不純物濃度低次元系におけるホッピング伝導とクーロンブロッケード現象 査読有り

    近藤 博基

    博士号学位論文     1999年3月

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語  

    DOI: 10.11501/3152127

  279. Coulomb blockade phenomena in low-dimensional Si MOSFETs fabricated using focused-ion beam implantation 査読有り 国際共著 国際誌

    Kondo H., Baba S., Izumikawa K., Sakurai M., Zaima S., Yasuda Y.

    1999 International Microprocesses and Nanotechnology Conference     頁: 126 - 127   1999年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:1999 International Microprocesses and Nanotechnology Conference  

    We have investigated Coulomb blockade phenomena in low-dimensional Si metal-oxide-semiconductor field-effect-transistors (MOSFETs) with the very small length and very narrow width of channel regions, which are fabricated using e-beam lithography, dry etching and focused ion beam (FIB) implantation. Coulomb blockade phenomena have been found in Si nano-wires and narrow channel Si MOSFETs. In our previous study, the conduction mechanism and Coulomb blockade phenomena in one-dimensional p-type Si wires formed by FIB implantation has been reported. In the present study, we have successfully fabricated low-dimensional p-channel Si MOSFETs, whose source/drain regions with a width of 100 nm are formed by selective FIB implantation. Coulomb blockade phenomena and magnetoresistance are examined using the sample, as a function of channel length.

    DOI: 10.1109/IMNC.1999.797509

    Scopus

  280. Conductance oscillations in hopping conduction systems fabricated by focused ion beam implantation 査読有り

    Kondo H, Iwano H, Nakatsuka O, Kaga K, Zaima S, Yasuda Y

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   36 巻 ( 6B ) 頁: 4046 - 4048   1997年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

▼全件表示

書籍等出版物 7

  1. Nucleation and Vertical Growth of Nano-Graphene Sheets

    Hiroki Kondo, Masaru Hori and Mineo Hiramatsu ( 担当: 共著)

    Intech  2011年9月  ( ISBN:978-953-307-292-0

     詳細を見る

    記述言語:英語

  2. 単一電子トラップ直視技術の開発とそれを用いた極薄ゲート絶縁膜の劣化機構の解明

    近藤 博基 ( 担当: 共著)

    [出版者不明]  2005年 

     詳細を見る

    記述言語:日本語 著書種別:調査報告書

    CiNii Books

  3. New Progress on Graphene Research/Graphene Nanowalls

    Mineo Hiramatsu, Hiroki Kondo, Masaru Hori( 担当: 共著)

    InTech  2013年3月  ( ISBN:9789535110910

     詳細を見る

    担当ページ:10.5772/3358,Chapter9   記述言語:英語

  4. Nucleation and Vertical Growth of Nano-Graphene Sheets

    Hiroki Kondo, Masaru Hori, Mineo Hiramatsu( 担当: 共著)

    Intech  2011年9月  ( ISBN:9789533072920

     詳細を見る

    担当ページ:21-36   記述言語:英語

  5. 単一電子トラップ直視技術の開発とそれを用いた極薄ゲート絶縁膜の劣化機構の解明

    近藤 博基( 担当: 共著)

    [出版者不明]  2005年 

     詳細を見る

    担当ページ:.   記述言語:日本語

  6. 高不純物濃度低次元系におけるホッピング伝導とクーロンブロッケード現象 査読有り

    近藤 博基( 担当: 共著)

    名古屋大学  1999年3月 

     詳細を見る

    記述言語:日本語 著書種別:学術書

    CiNii Books

  7. 高不純物濃度低次元系におけるホッピング伝導とクーロンブロッケード現象

    近藤 博基( 担当: 共著)

    [出版者不明]  1999年 

     詳細を見る

    担当ページ:.   記述言語:日本語

▼全件表示

MISC 134

  1. 高効率エクソソーム解析に向けたカーボンナノウォールテンプレートの表面電位制御

    橋本拓海, 近藤博基, 田中宏昌, 石川健治, 堤隆嘉, 関根誠, 安井隆雄, 馬場嘉信, 平松美根男, 堀勝  

    応用物理学会春季学術講演会講演予稿集(CD-ROM)69th 巻   2022年

     詳細を見る

  2. レーザ脱離/イオン化質量分析のためのカーボンナノウォール表面の最適化特性【JST・京大機械翻訳】

    SAKAI Ryusei, ICHIKAWA Tomonori, IMAI Shun, KONDO Hiroki, ISHIKAWA Kenji, OHTA Takayuki, HIRAMATSU Mineo, SEKINE Makoto, HORI Masaru  

    プラズマプロセシング研究会プロシーディングス(CD-ROM)37th 巻   2019年

     詳細を見る

  3. 大気圧プラズマ処理による異種材料接合

    近藤博基, 堤隆嘉, 石川健治, 関根 誠, 堀 勝  

    化学工学(公益社団法人 化学工学会)82 巻 ( 9 ) 頁: 487-490   2018年9月

     詳細を見る

    記述言語:日本語   掲載種別:記事・総説・解説・論説等(学術雑誌)  

  4. Similarities And Differences In The Cellular Resposnses Between Plasma-Activated Medium-Treated Glioblastomas And Plasma-Activated Ringer's Lactate Solution-Treated Glioblastomas 査読有り

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Yasumasa Okazaki, Shinya Toyokuni, Shinichi Akiyama, Shoichi Maruyama, Fumitaka Kikkawa, Masaru Hori  

    Clinical Plasma Medicine9 巻   頁: 42-43   2018年2月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

    DOI: 10.1016/j.cpme.2017.12.066

  5. カーボンナノウォールの表面状態が燃料電池応用における電気化学的耐久性能に及ぼす効果の解明

    今井駿, 近藤博基, 石川健治, 平松美根男, 関根誠, 堀勝  

    応用物理学会春季学術講演会講演予稿集(CD-ROM)65th 巻   2018年

     詳細を見る

  6. 液中プラズマを用いて合成したカーボンナノフレークの酸素還元特性における分散溶媒効果

    濱地遼, 天野智貴, 今井駿, 近藤博基, 石川健治, 堀勝  

    応用物理学会秋季学術講演会講演予稿集(CD-ROM)79th 巻   2018年

     詳細を見る

  7. 高電位試験時における白金微粒子担持カーボンナノウォール電極の分極特性の変化

    今井駿, 近藤博基, 石川健治, 堤隆嘉, 平松美根男, 関根誠, 堀勝  

    応用物理学会秋季学術講演会講演予稿集(CD-ROM)79th 巻   2018年

     詳細を見る

  8. Growth of InN films by radical-enhanced metal organic chemical vapor deposition at a low temperature of 200 degrees C

    Shinnosuke Takai, Yi Lu, Osamu Oda, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori  

    JAPANESE JOURNAL OF APPLIED PHYSICS56 巻 ( 6 )   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:IOP PUBLISHING LTD  

    The InN films were deposited on GaN surfaces at a low temperature of 200 degrees C by radical-enhanced metal organic chemical vapor deposition (REMOCVD). The REMOCVD system can provide N radicals from the plasma of a N-2-H-2 mixture gas without using ammonia. Two types of GaN substrate, bulk GaN and GaN on Si(111), were used. The growth mode was modeled as a step flow on the basis of surface morphology observation by atomic force microscopy. (C) 2017 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.56.06HE08

    Web of Science

  9. 電子スピン共鳴法を活用したプラズマバイオ反応プロセスの診断

    石川健治, 近藤隆, 竹田圭吾, 呉準席, 橋爪博司, 田中宏昌, 近藤博基, 太田貴之, 伊藤昌文, 関根誠 堀勝  

    プラズマ・核融合学会誌93 巻 ( 5 ) 頁: 246-252   2017年5月

     詳細を見る

    記述言語:日本語   掲載種別:記事・総説・解説・論説等(学術雑誌)  

    J-GLOBAL

  10. Thermally enhanced formation of photon-induced damage on GaN films in Cl<inf>2</inf> plasma 査読有り

    Liu Z., Asano A., Imamura M., Ishikawa K., Takeda K., Kondo H., Oda O., Sekine M., Hori M.  

    Japanese Journal of Applied Physics56 巻 ( 9 )   2017年

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Deep ultraviolet (UV) photons emitted from Cl2 plasmas become a critical cause of degradation in both photoluminescence (PL) properties and surface stoichiometry as a result of plasma-induced damage on GaN films in Cl2 plasma etching at high temperatures. The damages were formed thermally by photon-irradiations of plasma UV emissions with wavelengths of >258-306 nm from Cl2 plasma at temperatures greater than 500 °C. The damage were observed with a depth of approximately 3.2 nm. The PL property degraded by the UV emission-induced damage at an early period of plasma etching and reached a constant value.

    DOI: 10.7567/JJAP.56.096501

    Scopus

  11. 白金微粒子担持カーボンナノウォールを用いた燃料電池用触媒電極における分極特性の解明

    今井駿, 内藤建一, 近藤博基, 石川健治, 平松美根男, 関根誠, 堀勝  

    応用物理学会春季学術講演会講演予稿集(CD-ROM)64th 巻   2017年

     詳細を見る

  12. ラジカル支援原子層制御ナノプロセス (小特集 原子を積んで膜をつくる : ALDプロセスの化学工学的展開)

    石川 健治, 小林 明子, 盧 翌, 竹田 圭吾, 近藤 博基, 関根 誠, 堀 勝  

    化学工学80 巻 ( 7 ) 頁: 424 - 427   2016年7月

     詳細を見る

    記述言語:日本語   出版者・発行元:化学工学会  

    CiNii Books

  13. C<sub>2</sub>F<sub>6</sub>/H<sub>2</sub>プラズマにより成長したカーボンナノウォールの電気化学的耐久性能

    今井駿, 近藤博基, 石川健治, 平松美根男, 関根誠, 堀勝  

    応用物理学会秋季学術講演会講演予稿集(CD-ROM)77th 巻   2016年

     詳細を見る

  14. プラズマが照射された液体中の活性種の分析

    石川健治, 田中宏昌, 倉家尚之, 橋爪博司, 竹田圭吾, 近藤博基, 関根誠, 中村香江, 梶山広明, 吉川史隆, 水野正明, 堀勝  

    プラズマ・核融合学会年会(Web)33rd 巻   2016年

     詳細を見る

  15. 非平衡大気圧プラズマプロセスによる微細孔内の流速制御

    伊藤巧, 石川健治, 小野島大介, 湯川博, 橋爪博司, 田中宏昌, 木原直人, 龍腰健太郎, 小高秀文, 竹田圭吾, 近藤博基, 関根誠, 馬場嘉信, 堀勝  

    応用物理学会春季学術講演会講演予稿集(CD-ROM)63rd 巻   2016年

     詳細を見る

  16. Plasma with high electron density and plasma-activated medium for cancer treatment

    Tanaka H., Mizuno M., Ishikawa K., Kondo H., Takeda K., Hashizume H., Nakamura K., Utsumi F., Kajiyama H., Kano H., Okazaki Y., Toyokuni S., Akiyama S., Maruyama S., Yamada S., Kodera Y., Kaneko H., Terasaki H., Hara H., Adachi T., Iida M., Yajima I., Kato M., Kikkawa F., Hori M.  

    Clinical Plasma Medicine3 巻 ( 2 ) 頁: 72 - 76   2015年12月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:Clinical Plasma Medicine  

    Cancer treatment using non-thermal atmospheric pressure plasma is a brand new and challenging approach for cancer therapy. Conventional cancer therapies are surgery, radio-therapy, and chemo-therapy. We propose plasma-therapy as the fourth cancer therapy. Plasma cancer therapy involves direct plasma treatment of cancers including melanomas, head and neck cancer, pancreatic cancer and liver metastasis, and indirect plasma treatment of cancers by using plasma irradiated solutions such as plasma-activated medium (PAM).We have been recently studying plasma cancer therapy using target cancers such as ovarian cancers, brain tumors, gastric cancers and skin cancers. We have developed a plasma source with ultrahigh electron density, which we have applied to these cancer cells. In addition, we found that plasma-irradiated medium itself can kill these cancer cells. This medium was termed plasma-activated medium (PAM). In vitro and in vivo studies have suggested that PAM is an important tool for cancer therapy especially for disseminated cancers that are currently untreatable.Although many dramatic therapeutic effects of plasma therapy on cancer cells have been reported, the molecular mechanisms of the anti-tumor effects of plasma remain to be elucidated. The greatest challenge for plasma medical science is to understand the complex system that mediates plasma inputs resulting in physiological outputs such as cell death of cancer cells and proliferation of normal cells. Intracellular molecular mechanisms of PAM are also being intensively studied in order to understand the mode of action of PAM. In this review, we summarize the latest understanding of plasma cancer treatments.

    DOI: 10.1016/j.cpme.2015.09.001

    Scopus

  17. フォトリソグラフィとUVキュア処理によるナノギャップ電極形成

    粂内 真子, 熊谷 慎也, 趙 享峻, 今井 駿, 近藤 博基, 石川 健治, 堀 勝, 佐々木 実  

    「センサ・マイクロマシンと応用システム」シンポジウム論文集 電気学会センサ・マイクロマシン部門 [編]32 巻   頁: 1 - 4   2015年10月

     詳細を見る

    記述言語:日本語   出版者・発行元:Institute of Electrical Engineers of Japan  

  18. シリコン薄膜形成プロセスにおけるプラズマ中の水素原子の計測とその挙動

    堀勝, 阿部祐介, 竹田圭吾, 石川健治, 近藤博基, 関根誠, 韓銓建  

    プラズマ・核融合学会誌91 巻 ( 5 ) 頁: 317   2015年5月

     詳細を見る

    記述言語:日本語   掲載種別:機関テクニカルレポート,技術報告書,プレプリント等  

    J-GLOBAL

  19. 白金微粒子担持カーボンナノウォールの耐久性能および劣化機構の解明

    今井駿, 近藤博基, 石川健治, 平松美根男, 関根誠, 堀勝  

    応用物理学会春季学術講演会講演予稿集(CD-ROM)62nd 巻   2015年

     詳細を見る

  20. 白金微粒子担持カーボンナノウォールの耐久性能および劣化機構の解明(II)

    今井駿, 近藤博基, 石川健治, 平松美根男, 関根誠, 堀勝  

    応用物理学会秋季学術講演会講演予稿集(CD-ROM)76th 巻   2015年

     詳細を見る

  21. Temporal changes in absolute atom densities in H-2 and N-2 mixture gas plasmas by surface modifications of reactor wall 査読有り

    Toshiya Suzuki, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Honi  

    JAPANESE JOURNAL OF APPLIED PHYSICS53 巻 ( 5 ) 頁: 050301:1-4   2014年5月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:IOP PUBLISHING LTD  

    Real time vacuum ultraviolet absorption spectroscopic (VUVAS) measurements revealed that temporal changes in absolute atom densities in H-2 and N-2 mixture gas (H-2/N-2) plasmas were affected by the conditions of the reactor inner wall-surface made of anodically-oxidized aluminum. Depending on preceding processes prior to the H-2/N-2 plasma process, N and H atom densities decreased for a nitrided wall surface, while no change occurred for a hydrogenated surface. Thus, the process history was revealed in the loss-properties of atoms on the reactor wall-surfaces. Suppressing the temporal variations in the gas-phase atom density is crucially important for realizing the precise control of the material processing with a high reproducibility. (C) 2014 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.53.050301

    Web of Science

  22. 白金微粒子担持カーボンナノウォールの電気化学特性

    今井駿, 近藤博基, 石川健治, 平松美根男, 関根誠, 堀勝  

    応用物理学会秋季学術講演会講演予稿集(CD-ROM)75th 巻   2014年

     詳細を見る

  23. Surface Chemical Modification of Carbon Nanowalls for Wide-Range Control of Surface Wettability

    Hitoshi Watanabe, Hiroki Kondo, Mineo Hiramatsu, Makoto Sekine, Shailesh Kumar, Kostya Ostrikov, Masaru Hori  

    PLASMA PROCESSES AND POLYMERS10 巻 ( 7 ) 頁: 582 - 592   2013年7月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:WILEY-V C H VERLAG GMBH  

    Carbon nanowalls (CNWs) are self-assembled, free-standing, few-layered graphenenano-structures with large surface area, and thin graphene edges. For their application to nanobiotechnology, the effects of chemisorbed species on surface wettability were investigated. The surfaces of as-grown CNWs obtained using CH4/H-2 mixture were hydrophilic. After Ar atmospheric pressure plasma treatments for up to 30s, the contact angles of water droplets on the CNWs decreased from 51 degrees to 5 degrees, owing to a result of oxidation only at edges and surface defects. They increased up to 147 degrees by CF4 plasma treatment at low pressure. The wide-range control of surface wettability of CNWs was realized by post-growth plasma treatments. We also demonstrated detection of bovine serum albumin using surface-modified CNWs as electrodes.

    DOI: 10.1002/ppap.201200141

    Web of Science

  24. Graphene Nanowalls

    Mineo Hiramatsu, Hiroki Kondo, Masaru Hori  

    Chapter 9 in Book "New Progress on Graphene Research"   2013年3月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

    DOI: 10.5772/3358

  25. I-1-2 高密度ラジカル源を用いた分子線エピタキシー法によるIII族窒化物エピタキシャル成長(窒化物半導体デバイスの精密加工プロセス-窒化物LEDに関わる先端デバイスプロセシング-,口頭発表)

    河合 洋次郎, 本田 善央, 山口 雅史, 天野 浩, 近藤 博基, 平松 美根男, 加納 浩之, 山川 晃司, 田 昭治, 堀 勝  

    IIP情報・知能・精密機器部門講演会講演論文集2013 巻 ( 0 ) 頁: 5 - 7   2013年3月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人日本機械学会  

    Although plasma-assisted molecular beam epitaxy(PA-MBE) is a promising technique for GaN growth,the growth rate obtained by this technique is lower than that obtained by metal organic vapor phase epitaxy(MOVPE).In order to improve the growth rate of the technique,high density radical source(HDRS) was developed.By vacuum ultraviolet absorption spectroscopy(VUVAS) measurement,two orders of magnitude higher radical density was confirmed in a comparison of the HDRS and a conventional radical source(CRS).While faster growth rate of 1.4μm/h in GaN homoepitaxy was achieved,better crystalline quality of InxGa_<(1-x)>N(x=0.03〜0.16) epilayers with approximately 1.4μm thickness were also achieved by introducing the HDRS in PA-MBE.

    DOI: 10.1299/jsmeiip.2013.5

    CiNii Books

    CiNii Research

  26. Surface loss probability of H radicals on silicon thin films in SiH4/H-2 plasma

    Yusuke Abe, Atsushi Fukushima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori  

    JOURNAL OF APPLIED PHYSICS113 巻 ( 1 )   2013年1月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:AMER INST PHYSICS  

    The surface loss probability of H radicals was investigated in SiH4/H-2 plasma using vacuum ultraviolet resonance absorption spectroscopy. The surface loss probability was calculated from the decay curve of the H radical density in the plasma afterglow and increased with the SiH4 flow rate. Silicon thin films deposited on the chamber wall were analyzed to investigate the relation between the surface loss probability and the surface condition. The surface reaction of H radicals is influenced by deposition precursors, such as SiH3 radicals. The density of H radicals significantly decreased with heating of the chamber wall up to 473 K. The surface loss probability of H radicals was estimated to be ca. 1 at 473 K. Quantitative measurements of the surface loss probability of H radicals in SiH4/H-2 plasma are expected to be particularly important for understanding the surface reactions that occur during the deposition of silicon thin films. (C) 2013 American Institute of Physics. [http://dx.doi.org/10.1063/1.4773104]

    DOI: 10.1063/1.4773104

    Web of Science

  27. 動物モデルを用いた非熱的大気圧プラズマによる抗腫瘍効果の検討

    飯田真智子, 矢嶋伊知朗, 中川薫, 大神信孝, 近藤博基, 竹田圭吾, 堀勝, 加納浩之, 加藤昌志  

    日本衛生学雑誌68 巻 ( Supplement )   2013年

     詳細を見る

  28. Individual Roles of Atoms and Ions during Hydrogen Plasma Passivation of Surface Defects on GaN Created by Plasma Etching

    Shang Chen, Kenji Ishikawa, Yi Lu, Ryosuke Kometani, Hiroki Kondo, Yutaka Tokuda, Takashi Egawa, Hiroshi Amano, Makoto Sekine, Masaru Hori  

    JAPANESE JOURNAL OF APPLIED PHYSICS51 巻 ( 11 ) 頁: 111002-1:5   2012年11月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:IOP PUBLISHING LTD  

    In the recovery of photoluminescence intensities for band-edge emissions at around 3.47 eV in the case of gallium nitride (GaN), we have studied the individual roles of hydrogen atoms (H) and hydrogen ions (H-n(+)). Surface defects such as nitrogen vacancies created by plasma etching were passivated by H termination. By utilizing hydrogen plasmas, we clarified the recovery efficiency by optical and stoichiometrical improvements with respect to the balance between the fluxes of H and H-n(+). By deflecting H-n(+) by applying an electric field, the efficiency was improved using an identical H dosage, since the simultaneous irradiation of the energetic H-n(+) promoted the desorption of the formed passivated Ga-H bonds. (C) 2012 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.51.111002

    Web of Science

  29. GRAPHENE FOREST DEVICES AS CELL SCAFFOLDS FOR STEM CELLS 査読有り

    Yukihiro Okamoto, Hitoshi Watanabe, Kazutoshi Kubo, Hiroki Kondo, Noritada Kaji, Manabu Tokeshi, Masaru Hori, Yoshinobu Baba  

    Micro Total Analysis Systems 2012   頁: 1633-1635   2012年10月

     詳細を見る

    記述言語:英語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

  30. プラズマナノテクノロジーによる10mmサイズ、超高アスペクト比有機ナノピラーの室温近傍形成とフレキシブルディスプレイへの応用

    堀 勝, 鈴木 俊哉, 竹田 圭吾, 近藤 博基, 石川 健治, 関根 誠  

    名古屋大学ベンチャー・ビジネス・ラボラトリーニュース/2012, Summer, No.3317 巻 ( 1 ) 頁: 研究紹介(1)   2012年8月

     詳細を見る

    記述言語:日本語   掲載種別:記事・総説・解説・論説等(学術雑誌)  

  31. Real-time in situ electron spin resonance measurements on fungal spores of Penicillium digitatum during exposure of oxygen plasmas

    Kenji Ishikawa, Hiroko Mizuno, Hiromasa Tanaka, Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Sachiko Iseki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori  

    APPLIED PHYSICS LETTERS101 巻 ( 1 )   2012年7月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:AMER INST PHYSICS  

    We report the kinetic analysis of free radicals on fungal spores of Penicillium digitatum interacted with atomic oxygen generated plasma electric discharge using real time in situ electron spin resonance (ESR) measurements. We have obtained information that the ESR signal from the spores was observed and preliminarily assignable to semiquinone radical with a g-value of around 2.004 and a line width of approximately 5G. The decay of the signal is possibly linked to the inactivation of the fungal spore. The real-time in situ ESR has proven to be a useful method to elucidate plasma-induced surface reactions on biological specimens. (C) 2012 American Institute of Physics. [http://dx.doi.org/10.1063/1.4733387]

    DOI: 10.1063/1.4733387

    Web of Science

  32. Selective killing of ovarian cancer cells through induction of apoptosis by nonequilibrium atmospheric pressure plasma

    Sachiko Iseki, Kae Nakamura, Moemi Hayashi, Hiromasa Tanaka, Hiroki Kondo, Hiroaki Kajiyama, Hiroyuki Kano, Fumitaka Kikkawa, Masaru Hori  

    APPLIED PHYSICS LETTERS100 巻 ( 11 ) 頁: 10.1063/1.3694928   2012年3月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:AMER INST PHYSICS  

    Two independent ovarian cancer cell lines and fibroblast controls were treated with nonequilibrium atmospheric pressure plasma (NEAPP). Most ovarian cancer cells were detached from the culture dish by continuous plasma treatment to a single spot on the dish. Next, the plasma source was applied over the whole dish using a robot arm. In vitro cell proliferation assays showed that plasma treatments significantly decreased proliferation rates of ovarian cancer cells compared to fibroblast cells. Flow cytometry and western blot analysis showed that plasma treatment of ovarian cancer cells induced apoptosis. NEAPP could be a promising tool for therapy for ovarian cancers. (C) 2012 American Institute of Physics. [http://dx.doi.org/10.1063/1.3694928]

    DOI: 10.1063/1.3694928

    Web of Science

  33. Ultrahigh-Speed Synthesis of Nanographene Using Alcohol In-Liquid Plasma

    Tatsuya Hagino, Hiroki Kondo, Kenji Ishikawa, Hiroyuki Kano, Makoto Sekine, Masaru Hori  

    APPLIED PHYSICS EXPRESS5 巻 ( 3 ) 頁: pp. 035101-1:3   2012年3月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:JAPAN SOC APPLIED PHYSICS  

    Ultrahigh-speed synthesis of high-crystallinity nanographene was realized using an alcohol in-liquid plasma, which was generated from a nonequilibrium microhollow atmospheric-pressure plasma with an ultrahigh electron density. The synthesis rates of carbon materials were 0.61 and 1.72 mg/min using ethanol and butanol, respectively. Multilayer nanographene structures obtained using ethanol had an interlayer spacing of 0.33 nm, corresponding to that of (002) planes in graphite. The G-, D-, D'-, and 2D- band peaks in the Raman spectrum also confirmed the formation of nanographene. The mechanism of gradual growth of six-membered ring structures was clarified by gas chromatography of the filtrate. (c) 2012 The Japan Society of Applied Physics

    DOI: 10.1143/APEX.5.035101

    Web of Science

  34. プラズマプロセス中における有機薄膜表面反応の実時間・その場観察電子スピン共鳴(ESR)解析(3)

    鷲見直也, 石川健治, 河野昭彦, 堀邊英夫, 竹田圭吾, 竹田圭吾, 近藤博基, 関根誠, 関根誠, 堀勝, 堀勝  

    応用物理学関係連合講演会講演予稿集(CD-ROM)59th 巻   頁: ROMBUNNO.17P-A7-9   2012年2月

     詳細を見る

    記述言語:日本語  

    J-GLOBAL

  35. Vacuum Ultraviolet and Ultraviolet Radiation-Induced Effect of Hydrogenated Silicon Nitride Etching: Surface Reaction Enhancement and Damage Generation

    Masanaga Fukasawa, Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Hiroyasu Matsugai, Takayoshi Honda, Masaki Minam, Fumikatsu Uesawa, Masaru Hori, Tetsuya Tatsumi  

    Jpn. J. Appl. Phys. 51 (2012) 02620151 (2012) 巻   2012年1月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

  36. Control of Super Hydrophobic and Super Hydrophilic Surfaces of Carbon Nanowalls Using Atmospheric Pressure Plasma Treatments

    Hitoshi Watanabe, Hiroki Kondo, Makoto Sekine, Mineo Hiramatsu, Masaru Hori  

    JAPANESE JOURNAL OF APPLIED PHYSICS51 巻 ( 1 )   2012年1月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:IOP PUBLISHING LTD  

    Super hydrophobic and super hydrophilic surface treatment methods for carbon nanowalls (CNWs) which are carbon nanostructures consisting of stacks of graphene sheets vertically standing on substrates and having ultra high aspect ratios of over 50, were developed using atmospheric pressure plasma, and their mechanisms were discussed on the basis of the elemental compositions of surfaces. The contact angle of water droplet on CNWs markedly decreased from 137.3 to 6.2 degrees with the atmospheric pressure plasma treatments using Ar gas. This indicates the super hydrophobic and super hydrophilic transition of CNW surfaces. Although a morphological change was hardly found, the surface compositions of fluorine and oxygen atoms markedly changed after the plasma treatment for only 5s. Furthermore, the treatment time dependence of the surface tension of CNWs indicates two different mechanisms at earlier and later stages of surface modification using atmospheric pressure plasma. (C) 2012 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.51.01AJ07

    Web of Science

  37. Direct current superposed dual-frequency capacitively coupled plasmas in selective etching of SiOCH over SiC

    Tsuyoshi Yamaguchi, Tatsuya Komuro, Chishio Koshimizu, Seigo Takashima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori  

    JOURNAL OF PHYSICS D-APPLIED PHYSICS45 巻 ( 2 )   2012年1月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:IOP PUBLISHING LTD  

    Superpositioning of negative dc bias in dual-frequency capacitively coupled plasmas (dc-superposed (DS)-CCP) was realized for the selective etching of carbon-doped silicon oxide (SiOCH) films over carbon-doped amorphous silicon (SiC) films, while the dc bias exceeded about -800 V. When a dc bias of -1200 V was superposed on 60 MHz VHF power on the top electrode opposed to a wafer on the bottom electrode biased with 13.56 MHz power, a selectivity of above 50 for SiOCH over SiC was obtained. From characterization of the plasma density and various chemical species in the gaseous phase, such as CF(2), CF and atomic N, the density of CF(2) significantly decreased with the application of dc bias ranging from -800 to -1200V. This indicated that CF(2) radicals were consumed at the surface of the counter electrode which was made of silicon. The bulk densities of the species including CF(2) were decreased, especially due to excess surface loss caused by the bombardment of highly energetic ions accelerated by the superposed dc bias, as well as the rf sheath for the superposition of the negative dc bias. The DS-CCP technology is thus concluded to be indispensable for yielding highly selective etching of SiOCH over SiC.

    DOI: 10.1088/0022-3727/45/2/025203

    Web of Science

  38. カーボンナノウォールの細胞培養基材への応用

    岡本行広, 久保和稔, 久保和稔, 渡邊均, 近藤博基, 渡慶次学, 渡慶次学, 堀勝, 馬場嘉信, 馬場嘉信, 馬場嘉信  

    日本化学会講演予稿集92nd 巻 ( 3 )   2012年

     詳細を見る

  39. 細胞培養に対するカーボンナノウォール足場の効果

    渡邊均, 近藤博基, 岡本行広, 関根誠, 平松美根男, 馬場嘉信, 堀勝  

    応用物理学会学術講演会講演予稿集(CD-ROM)73rd 巻   2012年

     詳細を見る

  40. Nucleation and Vertical Growth of Nano-Graphene Sheets

    Hiroki Kondo, Masaru Hori, Mineo Hiramatsu  

    Graphene - Synthesis, Characterization, Properties and Applications   2011年9月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

    DOI: 10.5772/23703

  41. プラズマプロセス中における有機薄膜表面反応の実時間・その場観察電子スピン共鳴(ESR)解析(2)

    鷲見直也, 石川健治, 河野昭彦, 堀邊英夫, 竹田圭吾, 竹田圭吾, 近藤博基, 関根誠, 関根誠, 堀勝, 堀勝  

    応用物理学会学術講演会講演予稿集(CD-ROM)72nd 巻   頁: ROMBUNNO.31A-M-9   2011年8月

     詳細を見る

    記述言語:日本語  

    J-GLOBAL

  42. Impacts of CF+, CF2+, CF3+, and Ar Ion Beam Bombardment with Energies of 100 and 400 eV on Surface Modification of Photoresist

    Takuya Takeuchi, Shinpei Amasaki, Hiroki Kondo, Kenji Ishikawa, Hirotaka Toyoda, Makoto Sekine, Song-Yun Kang, Ikuo Sawada, Masaru Hori  

    JAPANESE JOURNAL OF APPLIED PHYSICS50 巻 ( 8 )   2011年8月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:IOP PUBLISHING LTD  

    Photoresists used in advanced ArF-excimer laser lithography are not tolerant enough for plasma etching processes. Degradation of photoresists during etching processes might cause not only low selectivity, but also line edge roughness (LER) on the sidewalls of etched patterns. For a highly accurate processing, it is necessary to understand the mechanisms of etching photoresists and to construct a new plasma chemistry that realizes a nano scale precise pattern definition. In this study, the modified layers formed on the surface of a photoresist by the bombardment of fluorocarbon ions of CF+, CF2+, and CF3+, and argon (Ar) ions were analyzed by X-ray photoelectron spectroscopy (XPS). The etching yield of the modified steady-state surface was almost dependent on the mass of incident ion species. The surface composition was modified with increasing dosage of each ion species, and reached a specific steady state that was dependent on the ion species. The bombardment of F-rich ion species such as CF2+ and CF3+ resulted in the formation of not only fluorocarbon layers, but also graphite like structures on the surface. On the basis of these results, the surface reaction for the ion-beam-induced modification was discussed. (C) 2011 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.50.08JE05

    Web of Science

  43. Synergistic Formation of Radicals by Irradiation with Both Vacuum Ultraviolet and Atomic Hydrogen :A Real-time In Situ Electron Spin Resonance Study

    Kenji Ishikwa, Naoya Sumi, Akihiko Kono, Hideo Horibe, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori  

    Physical Chemistry2 巻 ( 11 ) 頁: 1278-1281   2011年7月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

  44. Control of interfacial properties of Pr-oxide/Ge gate stack structure by introduction of nitrogen

    Kimihiko Kato, Hiroki Kondo, Mitsuo Sakashita, Osamu Nakatsuka, Shigeaki Zaima  

    SOLID-STATE ELECTRONICS60 巻 ( 1 ) 頁: 70 - 74   2011年6月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:PERGAMON-ELSEVIER SCIENCE LTD  

    We have demonstrated the control of interfacial properties of Pr-oxide/Ge gate stack structure by the introduction of nitrogen. From C-V characteristics of Al/Pr-oxide/Ge3N4/Ge MOS capacitors, the interface state density decreases without the change of the accumulation capacitance after annealing. The TEM and TED measurements reveal that the crystallization of Pr-oxide is enhanced with annealing and the columnar structure of cubic-Pr2O3 is formed after annealing. From the depth profiles measured using XPS with Ar sputtering for the Pr-oxide/Ge3N4/Ge stack structure, the increase in the Ge component is not observed in a Pr-oxide film and near the interface between a Fr-oxide film and a Ge substrate. In addition, the N component segregates near the interface region, amorphous Pr-oxynitride (PrON) is formed at the interface. As a result, Pr-oxide/PrON/Ge stacked structure without the Ge-oxynitride interlayer is formed. (C) 2011 Elsevier Ltd. All rights reserved.

    DOI: 10.1016/j.sse.2011.01.029

    Web of Science

  45. Radical-controlled plasma processing for nanofabrication

    Masaru Hori, Hiroki Kondo, Mineo Hiramatsu  

    JOURNAL OF PHYSICS D-APPLIED PHYSICS44 巻 ( 17 )   2011年5月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:IOP PUBLISHING LTD  

    Nanofabrication processes employing reactive plasma, such as etching and deposition, were discussed in this paper on the basis of knowledge of reactive species in the plasma. The processing characteristics were studied based on the absolute density measurements of radicals and ions. In the case of organic low-k film etching employing N-H plasma, H and N radicals have different roles from each other; the H radicals contribute to the chemical etching, while the N radicals form the protection layer. Therefore, the ratio of H and N radical densities is an important factor for determining the etching performance. Furthermore, the radical injection technique, an active way to control the composition of radicals in the reaction field, was successfully applied to grow carbon nanowalls, self-organized, free-standing, layered graphenes. For example, with increasing density ratio of H and fluorocarbon (CFx) radicals, the density of carbon nanowalls decreases. In addition, according to the carbon nanowalls' growth by the simultaneous irradiation of CFx radicals, hydrogen atoms and Ar ions, the ion bombardment is crucial for the nucleation and vertical growth of carbon nanowalls. Identification and characterization of radicals and ions in the processing plasma could open the way to the precise controls of nano-scale plasma processing.

    DOI: 10.1088/0022-3727/44/17/174027

    Web of Science

  46. プラズマCVD法によるカーボンナノウォールの制御合成

    近藤博基, 平松美根男, 堀 勝  

    マテリアルステージ 11(2)   頁: 19-21   2011年5月

     詳細を見る

    記述言語:日本語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

  47. 液中プラズマを用いたナノグラフェンの高速合成技術 (特集 「グラフェン」の実務的な視点での開発トレンド)

    近藤博基, 加納 浩之, 堀 勝  

    マテリアルステージ 11(2)   頁: 57-59   2011年5月

     詳細を見る

    記述言語:日本語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

  48. Spontaneous formation of highly regular superlattice structure in InGaN epilayers grown by molecular beam epitaxy

    Z. H. Wu, Y. Kawai, Y. -Y. Fang, C. Q. Chen, H. Kondo, M. Hori, Y. Honda, M. Yamaguchi, H. Amano  

    APPLIED PHYSICS LETTERS98 巻 ( 14 )   2011年4月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:AMER INST PHYSICS  

    In this letter, we have investigated the structural properties of thick InGaN layers grown on GaN by plasma-assisted molecular beam epitaxy, using two growth rates of 1.0 and 3.6 angstrom/s. A highly regular superlattice (SL) structure is found to be spontaneously formed in the film grown at 3.6 angstrom/s but not in the film grown at 1.0 angstrom/s. The faster grown film also exhibits superior structural quality, which could be due to the surface roughness suppression caused by kinetic limitation, and the inhibition of the Frank-Read dislocation generation mechanism within the spontaneously formed SL structure. (C) 2011 American Institute of Physics. [doi: 10.1063/1.3574607]

    DOI: 10.1063/1.3574607

    Web of Science

  49. プラズマプロセス中における有機薄膜表面反応の実時間・その場観察電子スピン共鳴(ESR)解析

    鷲見直也, 石川健治, 河野昭彦, 堀邊英夫, 竹田圭吾, 竹田圭吾, 近藤博基, 関根誠, 関根誠, 堀勝, 堀勝  

    応用物理学関係連合講演会講演予稿集(CD-ROM)58th 巻   頁: ROMBUNNO.26P-EB-6   2011年3月

     詳細を見る

    記述言語:日本語  

    J-GLOBAL

  50. Laser Scattering Diagnosis of a 60-Hz Non-Equilibrium Atmospheric Pressure Plasma Jet

    Fengdong Jia, Naoya Sumi, Kenji Ishikawa, Hiroyuki Kano, Hirotoshi Inui, Jagath Kularatne, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Akihiro Kono, Masaru Hori  

    Applied Physics4 巻   頁: 026101   2011年1月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

  51. In Situ Electron Spin Resonance Study for Plasma Surface Interactions

    K. Ishikawa, N. Sumi, A. Kono, HORIBE,HIDEO, K. Takeda, H. Kondo, M. Sekine, M. Hori  

    7th International Conference on Reactive Plasmas55 巻 ( 7 ) 頁: 116-   2010年10月

  52. リアルタイム電子スピン共鳴によるプラズマ誘起表面反応の解析

    鷲見直也, 石川健治, 河野昭彦, 堀邊英夫, 竹田圭吾, 近藤博基, 関根誠, 関根誠, 堀勝, 堀勝  

    応用物理学会学術講演会講演予稿集(CD-ROM)71st 巻   頁: ROMBUNNO.16P-ZA-13   2010年8月

     詳細を見る

    記述言語:日本語  

    J-GLOBAL

  53. 和周波振動分光(SFG)によるポリフッ化ビニリデンの分極配向特性評価

    石川健治, 河野昭彦, 堀邊英夫, 竹田圭吾, 近藤博基, 関根誠, 堀勝  

    応用物理学会学術講演会講演予稿集(CD-ROM)71st 巻   頁: ROMBUNNO.14A-K-2   2010年8月

     詳細を見る

    記述言語:日本語  

    J-GLOBAL

  54. 原子層堆積法により形成したPrAlOの結晶構造および電気的特性

    古田 和也, 竹内 和歌奈, 坂下 満男, 近藤 博基, 中塚 理, 財満 鎭明  

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス110 巻 ( 90 ) 頁: 39 - 42   2010年6月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    本研究ではSi基板上にPr(EtCp)_3,トリメチルアルミニウム(TMA)およびH_2Oを用いた原子層堆積(ALD)法を用いてPrAlO(PAO)膜を形成し,その電気的特性を明らかにした.X線光電子分光(XPS)法から,膜中に界面反応を引き起こしたSiが表面付近に偏析していることが分かり,Al導入によってそれが減少していることが分かった.このことは,Al導入によって界面反応が抑制されていることを示唆している.一方,Deep level transient spectroscopy (DLTS)の結果,Al導入によって浅い準位において界面準位密度が減少した.従って,浅い準位の界面準位密度は,界面反応に起因していると推測される.Alの導入によって界面反応の制御が可能であることを明らかにした.

    CiNii Books

  55. Formation processes of Ge3N4 films by radical nitridation and their electrical properties 査読有り

    Kimihiko Kato, Hiroki Kondo, Mitsuo Sakashita, Shigeaki Zaima  

    THIN SOLID FILMS518 巻 ( 6 ) 頁: S226 - S230   2010年1月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:ELSEVIER SCIENCE SA  

    Formation processes of Ge3N4 by radical nitridation and electrical properties of Pr-oxide/Ge3N4/Ge structure were investigated. Stoichiometric Ge3N4 is Successfully formed by the radical nitridation at temperatures from 50 to 600 degrees C. Change in the nitridation temperature dependence of the saturated thickness of the Ge3N4 suggests different dominant diffusion species. Leakage current density through the Ge3N4 is minimized at a nitridation temperature of 300 degrees C. The XPS analyses of the Pr-oxide/Ge3N4/Ge suggest decomposition of Ge3N4 during atomic layer deposition of the Pr-oxide and formation of Pr-oxynitride at the Pr-oxide/Ge interface. An interface state density in the Al/Pr-oxide/Ge3N4/Ge capacitor is drastically reduced by forming gas annealing. (C) 2009 Published by Elsevier B.V.

    DOI: 10.1016/j.tsf.2009.10.094

    Web of Science

  56. Metal-organic chemical vapor deposition of high-dielectric-constant praseodymium oxide films using a cyclopentadienyl precursor 査読有り

    Hiroki Kondo, Shinnya Sakurai, Mitsuo Sakashita, Akira Sakai, Masaki Ogawa, Shigeaki Zaima  

    APPLIED PHYSICS LETTERS96 巻 ( 1 ) 頁: 012105   2010年1月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:AMER INST PHYSICS  

    Praseodymium (Pr) oxide films were grown by metal-organic chemical-vapor-deposition (CVD) using Pr(EtCp)(3). Using H2O as an oxidant, Pr2O3 films with columnar structures are formed and its C concentration can be reduced to about one-tenth compared with the case using O-2. Activation energy of 0.37 eV is derived for this CVD using H2O. This CVD-Pr oxide film deposited at 300 degrees C has a dielectric constant of 26 +/- 3. Furthermore, conduction band offset of 1.0 +/- 0.1 eV and trap levels of 0.40 +/- 0.02 and 0.22 +/- 0.02 eV in the CVD-Pr2O3/Si structure were also determined by current conduction characteristics.

    DOI: 10.1063/1.3275706

    Web of Science

  57. 1.2 nm-SiONゲート絶縁膜における局所劣化現象の電流検出型原子間力顕微鏡を用いたナノスケール観察

    加藤雄三, 平安座朝誠, 坂下満男, 近藤博基, 財満鎭明  

    特別研究会研究報告“ゲートスタック研究会 -材料・プロセス・評価の物理-”(第15回研究会),   頁: 105-108   2010年

     詳細を見る

    記述言語:日本語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

  58. Formation of Pr Oxide Films by Atomic Layer Deposition Using Pr(EtCp)(3) Precursor 査読有り

    Hiroki Kondo, Hirotaka Matsui, Kazuya Furuta, Mitsuo Sakashita, Shigeaki Zaima  

    JAPANESE JOURNAL OF APPLIED PHYSICS49 巻 ( 4 ) 頁: 04DA14   2010年

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:IOP PUBLISHING LTD  

    The formation of Pr oxide films by an atomic layer deposition (ALD) technique using Pr(EtCp)(3) and H2O was investigated in this study. The ALD-mode growth of Pr oxide films at a rate of 0.07 nm/cycle and a thickness variation of less than 2% on 3-in. Si wafers was achieved. Transmission electron microscopy (TEM) images and transmission electron diffraction (TED) patterns revealed that polycrystalline cubic Pr2O3 films were grown on Si(001) substrates. On the other hand, epitaxial growth of the cubic Pr2O3 film was clearly observed on a Si(111) substrate. According to X-ray photoelectron spectroscopy (XPS) analyses, the C content of the ALD-Pr oxide film grown at 130 degrees C is 1.6%. Relatively fine capacitance-voltage curves were observed for the Al/ALD-Pr oxide/Si(001) capacitors. The interface state density between the 130 degrees C-grown ALD-Pr oxide film and the Si(001) substrate is about 1 x 10(11) cm(-2) eV(-1). The dielectric constant of the ALD-Pr oxide film grown at 250 degrees C was determined to be about 18, assuming that the dielectric constant of the interlayers is similar to that of SiO2. (C) 2010 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.49.04DA14

    Web of Science

  59. Crystalline Structures and Electrical Properties of High-Nitrogen-Content Hf-Si-N Films 査読有り

    Kazuaki Miyamoto, Hiroki Kondo, Shigeaki Zaima  

    JAPANESE JOURNAL OF APPLIED PHYSICS49 巻 ( 4 ) 頁: 04DA11   2010年

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:IOP PUBLISHING LTD  

    The crystalline structures and electrical properties of high-nitrogen (N)-content Hf-Si-N films were investigated. When the N-2 concentration of a sputtering ambient increases from 9.0 to 13.0%, Hf-Si-N resistivity increases by a factor of more than 105 despite the almost constant N content of the film. According to X-ray diffraction profiles and X-ray photoelectron spectra, such high-N-content Hf-Si-N films consist of Hf3N4 and Si3N4, and have energy band gaps. These results indicate that Hf3N4 and high-N-content Hf-Si-N including Hf3N4 have semiconducting features. In Pt/Hf-Si-N/Pt structures with high-N-content Hf-Si-N, nonlinear current-voltage characteristics and hysteresis behaviors are also observed, which markedly change depending on N-2 concentration and postdeposition annealing temperature. Although the elemental composition and crystalline structure hardly change, the phase separation and segregation of nanocrystallites clearly develop. Therefore, these unique electrical characteristics are attributed to current conduction at grain boundaries or Pt/Hf-Si-N interfaces. (C) 2010 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.49.04DA11

    Web of Science

  60. Critical Factors for Nucleation and Vertical Growth of Two Dimensional Nano-Graphene Sheets Employing a Novel Ar+ Beam with Hydrogen and Fluorocarbon Radical Injection 査読有り

    Shingo Kondo, Hiroki Kondo, Mineo Hiramatsu, Makoto Sekine, Masaru Hori  

    APPLIED PHYSICS EXPRESS3 巻 ( 4 ) 頁: 045102   2010年

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:JAPAN SOC APPLIED PHYSICS  

    Two-dimensional nano-graphene sheets, standing vertically on carbon nanowalls (CNWs) substrates, were synthesized by multi-beam chemical vapor deposition employing three types of irradiation, Ar+ beam with tunable fluxes and energies, hydrogen ( H) and fluorocarbon radicals, which could be independently controlled. The CNWs growth processes were investigated by changing the Ar+ irradiation conditions. Irradiation of Ar+ ions with appropriate fluxes and energies on fluorocarbon layers evolved nanoislands for growth of the CNWs. By tuning the fluxes and energies of the incident Ar+ on amorphous carbon nanoislands, critical factors for controlling nucleation and growth of CNWs were determined. (C) 2010 The Japan Society of Applied Physics

    DOI: 10.1143/APEX.3.045102

    Web of Science

  61. ALD-Pr酸化膜/Ge3N4/Ge構造における界面構造と電気的特性

    加藤公彦, 近藤博基, 坂下満男, 財満鎭明  

    特別研究会研究報告“ゲートスタック研究会 -材料・プロセス・評価の物理-”(第15回研究会)   頁: 121-124   2010年

     詳細を見る

    記述言語:日本語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

  62. Ge/Si<sub>1-x</sub>Ge<sub>x</sub>/Si微細加工構造における異方性局所歪の評価と制御

    中塚理, 中塚理, 水谷卓也, 望月健太, 酒井朗, 近藤博基, 財満鎭明  

    豊田研究報告 ( 63 )   2010年

     詳細を見る

  63. シンクロトロンX線を用いたカーボンナノウオールの結晶構造の解析

    近藤博基, 竹内和歌奈, 廣沢一郎, 木村滋, 平松美根男, 堀勝  

    応用物理学会学術講演会講演予稿集(CD-ROM)71st 巻   2010年

     詳細を見る

  64. Pr(EtCp)3を用いたPr酸化膜の原子層堆積とその結晶構造及び電気的特性

    古田和也, 松井裕高, 近藤博基, 坂下満男, 財満鎭明  

    特別研究会研究報告“ゲートスタック研究会 -材料・プロセス・評価の物理-”(第15回研究会)   頁: 125-128   2010年

     詳細を見る

    記述言語:日本語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

  65. ミクタミクト高窒素組成Hf-Si-Nの結晶構造および電気的特性

    宮本和明, 近藤博基, 財満鎭明  

    特別研究会研究報告“ゲートスタック研究会 -材料・プロセス・評価の物理-”(第15回研究会)   頁: 173-176   2010年

     詳細を見る

    記述言語:日本語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

  66. Novel Method to Introduce Uniaxial Tensile Strain in Ge by Microfabrication of Ge/Si1-xGex Structures on Si(001) Substrates 査読有り

    T. Mizutani, O. Nakatsuka, A. Sakai, H. Kondo, M. Ogawa, S. Zaima  

    Solid-State Electronics53 巻 ( 11 ) 頁: 1198-1201   2009年9月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

    We have proposed a novel method to form by microfabrication a uniaxial tensile strained Ge layer due to the elastic strain relaxation of a Si1-xGex buffer layer on a Si(0 0 1) substrate. A fully strain-relaxed Ge layer on a compressive strained Si0.60Ge0.40 was epitaxially grown on Si(0 0 1) substrate and striped mesa lines were fabricated with a line width of 250 nm along the [1 1 0] direction. The strain of the Si0.60Ge0.40 layer was found to be elastically relaxed only along the direction perpendicular to the lines and a uniaxial tensile strained Ge layer was thus formed. The value of tensile strain of the Ge layer is estimated to be 0.25%.

  67. LaAlO/Ge構造へのALD-Al_2O_3界面制御層挿入の効果

    坂下 満男, 加藤 亮祐, 京極 真也, 近藤 博基, 財満 鎭明  

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス109 巻 ( 87 ) 頁: 61 - 66   2009年6月

     詳細を見る

    記述言語:日本語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    GeチャネルMOSFETは高速動作および低電圧動作デバイスとして期待され、また一方で、high-k材料によるゲート絶縁膜はEOTの低減に有効であり、high-k/Ge構造のMOSFETは次世代デバイスとして有望視されている。しかしながら、high-kゲート絶縁膜とGe基板との界面反応によって、ゲート絶縁膜の誘電率は低下し、また、界面準位密度は増加する。そこで、high-kゲート絶縁膜とGe基板との界面にALD法によって形成した極薄のAl_2O_3界面制御層を挿入し、その効果について検討した。なお、本研究ではhigh-kゲート絶縁膜としてLaAlO膜を用いた。厚さ1nm以下のAl_2O_3界面制御層によってGe界面での界面反応は効果的に抑制でき、界面反応によって形成されたGe-oxide層はAl_2O_3界面制御層の厚さの増加とともに減少することが分かった。また、0.4nm程度の厚さのAl_2O_3界面制御層において界面準位密度の低減効果を確認した。さらに、600℃の熱処理に対しても構造は変化せず、熱的にも安定であることが分かった。

    CiNii Books

  68. Pr(EtCp)_3を用いた原子層堆積法によるPr酸化膜の形成

    近藤 博基, 古田 和也, 松井 裕高, 坂下 満男, 財満 鎭明  

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス109 巻 ( 87 ) 頁: 81 - 85   2009年6月

     詳細を見る

    記述言語:日本語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    Pr(EtCp)_3を用いた原子層堆積法(ALD)によるPr酸化膜の成長手法について研究を行い,ウェハー面内での膜厚ばらつきが2%以下であるPr酸化膜のALD成長を実現した.同ALDでは主として立方晶のPr_2O_3が形成したが,Si(100)基板上のPr_2O_3膜が多結晶構造であるのに対し,Si(111)基板上では立方晶Pr_2O_3がエピタキシャル成長することがわかった.一方,Al/ALD-Pr_2O_3/Si(100)およびAl/ALD-Pr_2O_3/Si(111)MOSキャパシタの容量-電圧特性によれば,ALDによって成長した立方晶Pr_2O_3の比誘電率は12.3〜16.8であった.電子銃蒸着法や化学気相蒸着法(CVD)で成長したPr酸化膜の結晶構造および成長条件との比較から,ALDプロセスにおいてH_2O分圧を最適化することにより,Pr酸化膜の結晶構造が制御可能であると考えられる。

    CiNii Books

  69. ラジカル窒化法による High-k/Ge 界面構造制御

    加藤 公彦, 近藤 博基, 坂下 満男, 財満 鎭明  

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス109 巻 ( 87 ) 頁: 39 - 44   2009年6月

     詳細を見る

    記述言語:日本語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    高移動度Geチャネルを有するmetal-oxide-semiconductor(MOS)型トランジスタの実現に向け、熱処理や溶液処理に対してロバストな高誘電率金属酸化膜/Ge構造の構築が求められている。本研究では、高誘電率金属酸化膜/Ge構造における界面層として期待されるGe_3N_4膜に関し、ラジカル窒化法による形成過程を明らかにし、更にPr酸化膜/Ge_3N_4/Ge構造の電気的特性を評価した。ラジカル窒化法では、50-600℃の広い温度範囲でStoichiometryなGe_3N_4が形成された。一方、飽和膜厚の窒化温度依存性は窒化温度によって異なり、Ge_3N_4形成に支配的な拡散種が異なると考えられる。またAu/Ge_3N_4/Ge構造におけるリーク電流密度は、窒化温度300℃において最も小さいことがわかった。ラジカル窒化法と原子層堆積法によってPr酸化膜/Ge_3N_4/Ge構造を作製した場合、Pr酸化膜/Ge界面にはPr酸窒化膜が形成される。またAl/Pr酸化膜/Ge_3N_4/Ge MOSキャパシタでは、H_2およびN_2雰囲気での熱処理によって界面準位密度が大幅に減少することが分かった。

    CiNii Books

  70. *Effects of Atomic Layer Deposition-Al2O3 Interface Layers on Interfacial Properties of Ge Metal–Oxide–Semiconductor Capacitors 査読有り

    Ryosuke Kato, Shinya Kyogoku, Mitsuo Sakashita, Hiroki Kondo, Shigeaki Zaima  

    Japanese Journal of Applied Physics48 巻 ( 5 ) 頁: 05DA04   2009年5月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

    DOI: 10.1143/JJAP.48.05DA04

    Web of Science

  71. Nitrogen-Content Dependence of Crystalline Structures and Resistivity of Hf-Si-N Gate Electrodes for Metal-Oxide-Semiconductor Field-Effect Transistors 査読有り

    Kazuaki Miyamoto, Kouhei Furumai, Ben E. Urban, Hiroki Kondo, Shigeaki Zaima  

    JAPANESE JOURNAL OF APPLIED PHYSICS48 巻 ( 4 ) 頁: 045505   2009年4月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:IOP PUBLISHING LTD  

    The dependences of crystalline structures and resistivity of Hf-Si-N films on nitrogen content were investigated in this study. The nitrogen (N) content of Hf-Si-N films increases with increasing N-2 concentration in a N-2/Ar mixture ambient used in sputtering, and saturates to about 59% at N-2 concentrations of 4.8% and above. This indicates that all Hf and Si atoms form HfN and Si3N4 in the films, respectively. From X-ray diffraction (XRD) profiles, nanocrystallites exist even in as-deposited films with saturated N content. However, they hardly grow after post deposition annealing (PDA) at 900 degrees C. The resistivity values are almost constant at N-2 concentrations of 4.8% and below. On the other hand, they significantly increase with increasing N-2 concentration above 4.8% and consequently become unmeasurable at N-2 concentrations of 13.0% and above. The XRD profiles indicate that nanocrystallites segregating in those films are related to Hf3N4. (c) 2009 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.48.045505

    Web of Science

  72. Thermal Stability and Scalability of Mictamict Ti-Si-N Metal-Oxide-Semiconductor Gate Electrodes 査読有り

    Hiroki Kondo, Kouhei Furumai, Mitsuo Sakashita, Akira Sakai, Shigeaki Zaima  

    JAPANESE JOURNAL OF APPLIED PHYSICS48 巻 ( 4 ) 頁: 04C012   2009年4月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:IOP PUBLISHING LTD  

    The annealing temperature and thickness dependences of electrical properties of mictamict Ti-Si-N gate metal-oxide-semiconductor (MOS) capacitors, and their relationships with the crystalline structure were investigated. The nanocrystallites in mictamict Ti-Si-N films sputtered in over 3.0% N-2 ambient hardly grow even after postdeposition annealing (PDA) at temperatures below 900 degrees C. As the N-2 concentration increases up to 3%, the resistivity of the Ti-Si-N films increases owing to an increase in the amount of Si3N4 components and the development of the amorphization. On the other hand, the resistivity decreases with increasing N-2 concentration above 3%. This is attributed to the formation of Ti3N4 components, as revealed by X-ray photoelectron spectroscopy (XPS) analysis. The changes in resistivity and effective work function are also extremely small. Additionally, even when the Ti-Si-N film thickness is reduced to 5 nm, the Pt/Ti-Si-N stacked gate electrode maintains almost the same effective work function (4.6 eV). (C) 2009 The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.48.04C012

    Web of Science

  73. Al2O3界面層挿入によるLaAlO3/Ge 界面制御効果

    加藤亮祐, 京極真也, 坂下満男, 近藤博基, 財満鎭明  

    特別研究会研究報告“ゲートスタック研究会 -材料・プロセス・評価の物理-”(第14回研究会)   頁: 133-136   2009年

     詳細を見る

    記述言語:日本語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

  74. Formation of Uniaxial Tensile-strained Ge by Using Micro-patterning of Ge/Si<sub>1-x</sub>Ge<sub>x</sub>/Si Structures 査読有り

    Mizutani Takuya, Nakatsuka Osamu, Sakai Akira, Kondo Hiroki, Zaima Shigeaki  

    Transactions of the Materials Research Society of Japan34 巻 ( 2 ) 頁: 305 - 308   2009年

     詳細を見る

    記述言語:日本語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:一般社団法人 日本MRS  

    We have investigated the anisotropic strain structure of micro-patterned Ge/Si<sub>1-x</sub>Ge<sub>x</sub> mesa lines. The elastic strain-relaxation of a Si<sub>1-x</sub>Ge<sub>x</sub> layer by micro-patterning induces an uniaxial tensile strain into a Ge layer only for the direction perpendicular to the line. The pattern size dependence on the behavior of the elastic strain-relaxation of Si<sub>1-x</sub>Ge<sub>x</sub> layers is analyzed by FEM. Additionally, the XRD results for micro-patterned samples suggest that the overetching depth of the Si substrate also influenced the strain-relaxation of the Si<sub>1-x</sub>Ge<sub>x</sub> layers and the tensile-strain value of the Ge layers.

    DOI: 10.14723/tmrsj.34.305

  75. Analyses on Crystalline Structures of Carbon Nanowalls by Grazing-Incidence X-Ray Diffraction Using Synchrotron Light Source 招待有り 査読有り

    Wakana Takeuchi, Hiroki Kondo, Masaru Hori  

    SPring-8 Research Frontier 2009   頁: 62-63   2009年

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

  76. Ge/Si<sub>1-x</sub>Ge<sub>x</sub>/Siマイクロ構造形成による局所歪および転位挙動の制御

    水谷卓也, 望月健太, 中塚理, 近藤博基, 酒井朗, 財満鎭明  

    応用物理学関係連合講演会講演予稿集56th 巻 ( 1 )   2009年

     詳細を見る

  77. アモルファスTi-Si-NおよびHf-Si-N MOSゲート電極の結晶構造と抵抗率の窒素濃度依存性

    近藤博基, 宮本和明, 古米孝平, 坂下満男, 財満鎭明  

    特別研究会研究報告“ゲートスタック研究会 -材料・プロセス・評価の物理-”(第14回研究会)   頁: 191-194   2009年

     詳細を見る

    記述言語:日本語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

  78. アモルファスTi-Si-N MOSゲート電極の熱的安定性およびスケーラビリティ

    宮本和明, 古米孝平, 近藤博基, 坂下満男, 財満鎭明  

    特別研究会研究報告“ゲートスタック研究会 -材料・プロセス・評価の物理-”(第14回研究会)   頁: 89-92   2009年

     詳細を見る

    記述言語:日本語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

  79. Pr(EtCp)3を用いたMOCVD法によるPr酸化膜の作製およびその電気的特性の評価

    松井裕高, 櫻井晋也, 近藤博基, 坂下満男, 財満鎭明  

    特別研究会研究報告“ゲートスタック研究会 -材料・プロセス・評価の物理-”(第14回研究会)   頁: 125-128   2009年

     詳細を見る

    記述言語:日本語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

  80. ラジカル窒化法によるGe3N4/Ge構造の形成過程

    加藤公彦, 小田繁尚, 近藤博基, 財満鎭明  

    特別研究会研究報告“ゲートスタック研究会 -材料・プロセス・評価の物理-”(第14回研究会)   頁: 163-166   2009年

     詳細を見る

    記述言語:日本語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

  81. Formation of high-density Si nanodots by agglomeration of ultra-thin amorphous Si films 査読有り

    Hiroki Kondo, Tomonori Ueyama, Eiji Ikenaga, Keisuke Kobayashi, Akira Sakai, Masaki Ogawa, Shigeaki Zaima  

    THIN SOLID FILMS517 巻 ( 1 ) 頁: 297 - 299   2008年11月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:ELSEVIER SCIENCE SA  

    High-density and similarly-sized Si nanodots were formed by annealing ultra-thin amorphous Si (a-Si) films deposited on SiO2/Si substrates in vacuum. Dependences of density and diameter of the Si nanodots on the a-Si film thickness and, annealing temperature and time were investigated by scanning electron microscopy. It is found that drastic increase (decrease) in the density (diameter) occurred at an a-Si thickness of 1 nm. By agglomeration of sub-nanometer thick a-Si films, a density larger than 10(12) cm(-2), an average diameter smaller than 5 nm, and a dispersion of diameter less than 15% were achieved. (c) 2008 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.tsf.2008.08.079

    Web of Science

  82. Silicide and germanide technology for contacts and gates in MOSFET applications 招待有り 査読有り

    Shigeaki Zaima, Osamu Nakatsuka, Hiroki Kondo, Mitsuo Sakashita, Akira Sakai, Masaki Ogawa  

    THIN SOLID FILMS517 巻 ( 1 ) 頁: 80 - 83   2008年11月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:ELSEVIER SCIENCE SA  

    We report silicide and germanide technology for ohmic contacts and metal gates of MOSFETs in this paper. We have investigated the control technology of NiSi/Si contact properties by incorporating third elements such as Ge and C for future ULSI applications. The work function and resistivity of various Ni and Pt germanides have been also examined as metal gate materials. The low resistivity and tunable work function of these silicides and germanides are desirable for future CMOS devices. (c) 2008 Elsevier B.V. All rights reserved.

    DOI: 10.1016/j.tsf.2008.08.097

    Web of Science

  83. MOCVD法によるPr酸化膜の作製およびその電気的特性評価

    近藤博基, 櫻井晋也, 酒井朗, 小川正毅, 財満鎭明  

    信学技報108 巻 ( 80 ) 頁: 71-75   2008年6月

     詳細を見る

    記述言語:日本語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

  84. Ge表面酸化および窒化処理と High-k ゲートスタック構造形成プロセス

    近藤 博基, 坂下 満男, 中塚 理, 小川 正毅, 財満 鎭明  

    電気学会研究会資料. EFM, 電子材料研究会2008 巻 ( 1 ) 頁: 17 - 22   2008年5月

     詳細を見る

    記述言語:日本語  

    CiNii Books

    CiNii Research

  85. Crystalline and electrical properties of mictamict TiSiN gate metal-oxcide-semiconductor capacitors 査読有り

    Kouhei Furumai, Hiroki Kondo, Mitsuo Sakashita, Akira Sakai, Masaki Ogawa, Shigeaki Zaima  

    JAPANESE JOURNAL OF APPLIED PHYSICS47 巻 ( 4 ) 頁: 2420 - 2424   2008年4月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:IOP PUBLISHING LTD  

    The crystal structures and electrical characteristics of Ti-Si-N metal-oxide-semi conductor (MOS) gate electrodes in the mictamict state, which is a comprehensive term referring to amorphous structures both with and without nanocrystals, were investigated. By increasing the N-2 concentration of the sputtering ambient, the nitrogen (N) content of the Ti-Si-N films increased and consequently the Ti-Si-N films did not crystallize. At a N-2 concentration of more than 3.0%, the N content of the films was almost constant at about 53%, which indicates that all Ti and Si atoms deposited in the form of TiN and Si3N4, respectively. In such Ti-Si-N films with a saturated N content, only 2-3-nm-grain-size nanocrystallites formed, which were embedded in amorphous layers even after post-deposition annealing (PDA) above 900 degrees C. At the same time, with increasing N2 concentration of the sputtering ambient, the change in the film resistivity after the PDA became smaller and the capacitance equivalent thickness (CET) fluctuation gradually ceased to occur. The work function of the mictamict Ti-Si-N gate electrodes, which were deposited in 5.0% N-2 ambient and annealed at 500 degrees C, was determined to be 4.6eV.

    DOI: 10.1143/JJAP.47.2420

    Web of Science

  86. Ge MOSゲートスタックにおける界面反応の評価および制御技術

    財満鎭明, 近藤博基, 坂下満男, 中塚理, 酒井朗, 小川正毅  

    応用物理学関係連合講演会講演予稿集55th 巻   2008年

     詳細を見る

  87. パターン加工されたGe/Si<sub>1-x</sub>Ge<sub>x</sub>/Si(001)構造におけるGe層一軸性伸張歪構造の評価

    水谷卓也, 湯川勝規, 中塚理, 近藤博基, 酒井朗, 小川正毅, 財満鎭明  

    応用物理学関係連合講演会講演予稿集55th 巻 ( 1 )   2008年

     詳細を見る

  88. シクロペンタジエニル錯体原料を用いたMOCVD法による高誘電率Pr酸化膜の作製

    櫻井晋也, 近藤博基, 酒井朗, 小川正毅, 財満鎭明  

    応用物理学関係連合講演会講演予稿集55th 巻 ( 2 )   2008年

     詳細を見る

  89. ミクタミクトTiSiNゲートMOSキャパシタの電気的特性

    古米孝平, 近藤博基, 坂下満男, 酒井朗, 小川正毅, 財満鎭明  

    応用物理学関係連合講演会講演予稿集55th 巻 ( 2 )   2008年

     詳細を見る

  90. シリコン表面の窒化初期過程とエネルギーバンドキャップの形成 招待有り 査読有り

    近藤博基, 財満鎭明, 堀勝, 酒井朗, 小川正毅  

    真空50 巻   頁: 665-671   2007年11月

     詳細を見る

    記述言語:日本語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

    Initial stage of processes and energy bandgap formation in nitridation of silicon surfaces using nitrogen radicals have been studied.<br />
    According to scanning tunneling microscopy observations and scanning tunneling spectroscopy measurements, at the initial stage of<br />
    nitridation, linear defects perpendicular to dimmer rows were formed to coincide with an initial nitridation reaction preferentially at<br />
    backbonds of surface Si atoms. After the nitride formation, the surface roughness depends only on substrate temperature regardless of<br />
    radio frequency (RF) power, which means that the growth mode of nitrides is attributed to the surface migration. Contrary, the energy<br />
    bandgap of silicon nitrides is signiˆcantly aŠected by not only substrate temperature but also RF power. Absorption and emission<br />
    spectroscopy results suggest that the contribution of the excited-state nitrogen atoms to the nitridation increases with increasing the<br />
    RF power. Control of surface migration and radical species is crucial to form the monolayer-thick nitride layer with both an atomically<br />
    ‰at surface and a wide energy bandgap.

  91. Crystalline and electrical properties of mictamict TiSiN gate MOS capacitors

    FURUMAI Kouhei, KONDO Hiroki, SAKASHITA Mitsuo, SAKAI Akira, OGAWA Masaki, ZAIMA Shigeaki  

    Extended abstracts of the ... Conference on Solid State Devices and Materials2007 巻   頁: 342 - 343   2007年9月

     詳細を見る

    記述言語:英語  

    CiNii Books

  92. CS-5-2 Si_<1-X>Ge_X/Si(001)構造における転位および歪の評価と制御技術(CS-5.異種材料融合デバイス技術,シンポジウム)

    中塚 理, 酒井 朗, 近藤 博基, 小川 正毅, 財満 鎭明  

    電子情報通信学会ソサイエティ大会講演論文集2007 巻 ( 2 ) 頁: "S - 12"-"S-13"   2007年8月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    CiNii Books

    CiNii Research

  93. Ge(001)基板表面の窒素ラジカル処理効果

    近藤博基, 藤田美里, 酒井朗, 小川正毅, 財満鎭明  

    応用物理学会学術講演会講演予稿集68th 巻 ( 2 )   2007年

     詳細を見る

  94. Si基板上のSiGe微細構造における局所歪の評価

    中塚理, 近藤博基, 坂下満男, 酒井朗, 財満鎭明, 小川正毅  

    Research Report of CCRAST, Nagoya University ( 18 )   2007年

     詳細を見る

  95. Initial Stage of Processes and Energy Bandgap Formation in Nitridation of Silicon Surface Using Nitrogen Radicals

    H. Kondo, S. Zaima, M. Hori, A. Sakai, M. Ogawa  

    J. Vac. Soc. Jpn50 巻 ( 11 ) 頁: 665- 671   2007年

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

  96. ミクタミクトTiSiNゲート電極MOSキャパシタの結晶学的及び電気的特性

    古米孝平, 近藤博基, 酒井朗, 小川正毅, 財満鎭明  

    応用物理学関係連合講演会講演予稿集54th 巻 ( 2 )   2007年

     詳細を見る

  97. 高密度ラジカルソースの開発とラジカル窒化プロセスへの応用

    小田繁尚, 原安寛, 近藤博基, 高島成剛, 酒井朗, 小川正毅, 財満鎭明, 堀勝, 田昭治, 加納浩之  

    応用物理学関係連合講演会講演予稿集54th 巻 ( 2 )   2007年

     詳細を見る

  98. Composition Dependence of Work Function in Metal (Ni, Pt)-Germanide Gate Electrodes

    IKENO Daisuke, FURUMAI Kouhei, KONDO Hiroki, SAKASHITA Mitsuo, SAKAI Akira, OGAWA Masaki, ZAIMA Shigeaki  

    Extended abstracts of the ... Conference on Solid State Devices and Materials2006 巻   頁: 442 - 443   2006年9月

     詳細を見る

    記述言語:英語  

    CiNii Books

  99. Sub‐100nmゲート領域におけるNiシリサイド形成反応の観察

    伊東大介, 酒井朗, 中塚理, 近藤博基, 赤坂泰志, 奈良安雄, 小川正毅, 財満鎮明  

    応用物理学関係連合講演会講演予稿集53rd 巻 ( 2 ) 頁: 911   2006年3月

     詳細を見る

    記述言語:日本語  

    J-GLOBAL

  100. Initial growth process of TiN films in ultrahigh-vacuum rapid thermal chemical vapor deposition 査読有り

    Y Okuda, S Naito, O Nakatsuka, H Kondo, T Okuhara, A Sakai, S Zaima, Y Yasuda  

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS45 巻 ( 1A ) 頁: 49 - 53   2006年1月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:JAPAN SOC APPLIED PHYSICS  

    We have investigated an initial stage of titanium nitride (TiN) growth on SiO2 Substrates by ultrahigh-vacuum chemical vapor deposition with TiCl4 and NH3 as source materials. The behaviors of nucleation and grain growth of TiN have been clarified by atomic force microscopy and transmission electron microscopy. It was found that TiN film formation at ail initial stage consists of three stages. which are characteristic of the lateral and subsequent vertical growth processes of grains. Deposition time dependence of the lateral growth of TiN grains clearly indicates that a process at 550 degrees C is limited by the surface reaction, irrespective of the TiCl4 flow rate and a pretreatment for the substrates before the deposition. The pretreatment affects in the generation of additional nucleation sites on the SiO2 surface but does not affect the mechanisms of nucleation and Grain Growth.

    DOI: 10.1143/JJAP.45.49

    Web of Science

  101. Ni suicide and germanide technology for contacts and metal gates in MOSFET applications

    Zaima S., Nakatsuka O., Kondo H., Sakashita M., Sakai A., Ogawa M.  

    ICSICT-2006: 2006 8th International Conference on Solid-State and Integrated Circuit Technology, Proceedings   頁: 322 - 325   2006年

     詳細を見る

    出版者・発行元:ICSICT-2006: 2006 8th International Conference on Solid-State and Integrated Circuit Technology, Proceedings  

    DOI: 10.1109/ICSICT.2006.306218

    Scopus

  102. SiGeおよびGe/Si微細ヘテロ構造における歪および転位の評価と制御

    中塚理, 近藤博基, 坂下満男, 酒井朗, 小川正毅, 財満鎭明  

    Research Report of CCRAST, Nagoya University ( 17 )   2006年

     詳細を見る

  103. Si(001)基板上にパターン加工されたGeおよびSiGe層の歪緩和評価と制御

    望月省吾, 湯川勝規, 中塚理, 近藤博基, 酒井朗, 泉妻宏治, 仙田剛士, 豊田英二, 小川正毅, 財満鎮明  

    応用物理学関係連合講演会講演予稿集53rd 巻 ( 1 )   2006年

     詳細を見る

  104. 極薄アモルファスSi層の凝集とSiナノクラスタ形成過程

    近藤博基, 上山知紀, 酒井朗, 小川正毅, 財満鎭明  

    応用物理学会学術講演会講演予稿集67th 巻 ( 2 )   2006年

     詳細を見る

  105. Analysis of local breakdown process in stressed gate SiO2 films by conductive atomic force microscopy

    A Seko, Y Watanabe, H Kondo, A Sakai, S Zaima, Y Yasuda  

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS44 巻 ( 10 ) 頁: 7582 - 7587   2005年10月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:JAPAN SOC APPLIED PHYSICS  

    We have studied the processes of local degradation through current leakage leading to breakdown in gate SiO2 films by conductive atomic force microscopy (C-AFM). Electrical stress was applied to the SiO2 films in the form of metal-oxide-semiconductor capacitors. Leakage current spots caused by holes trapped at stress-induced defects appeared in current images of the stressed SiO2 films. During the C-AFM observation at the same area with a high electric field, currents at these leakage spots gradually increased and the breakdown finally occurred at these sites, whereas at background regions other than the leakage spots, leakage currents gradually decreased. In contrast, in the case of nonstressed SiO2 films, the breakdown occurs without showing any predictive signs. Degradation and breakdown mechanisms depending on the stress condition are discussed.

    DOI: 10.1143/JJAP.44.7582

    Web of Science

  106. 超高密度・極微細シリコンナノドットの形成技術とメモリ特性 (特集1 次世代不揮発メモリーの開発・高集積化とその市場)

    財満 鎭明, 内藤 慎哉, 近藤 博基  

    マテリアルステージ5 巻 ( 3 ) 頁: 25 - 30   2005年6月

     詳細を見る

    記述言語:日本語   出版者・発行元:技術情報協会  

  107. Fabrication and Evaluation of Floating Gate Memories with Surface-Nitrided Si Nanocrystals 査読有り

    Naito Shinya, Ueyama Tomonori, Kondo Hiroki, Sakashita Mitsuo, Sakai Akira, Ogawa Masaki, Zaima Shigeaki  

    Japanese Journal of Applied Physics44 巻 ( 7 ) 頁: 5687 - 5691   2005年

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:The Japan Society of Applied Physics  

    A floating-gate memory with surface-nitrided Si nanocrystals buried in a SiO<SUB>2</SUB> matrix has been fabricated employing radical nitridation. Si nanocrystals with a number density higher than 1&times;10<SUP>12</SUP> cm<SUP>&minus;2</SUP> and an average grain size smaller than 6 nm have been grown using an ultrathin amorphous Si layer predeposited on the SiO<SUB>2</SUB> surface. Since the radical nitridation of the formed Si nanocrystals effectively suppresses excess oxidation of nanocrystals during the control oxide formation, the Si nanocrystals have been successfully buried in the SiO<SUB>2</SUB> matrix without losing their number density, grain size and fine spherical shape. Electrical properties of the floating-gate memory were also examined. A flat band voltage shift larger than 1 V, which is consistent with the number density of Si nanocrystals, was observed in capacitance&ndash;voltage measurements.

    DOI: 10.1143/JJAP.44.5687

  108. MOSデバイス用NiGeゲート電極における仕事関数及び抵抗率のNi-Ge組成依存性

    池野大輔, 金子幸広, 近藤博基, 坂下満男, 酒井朗, 小川正毅, 財満鎮明  

    応用物理学関係連合講演会講演予稿集52nd 巻 ( 2 )   2005年

     詳細を見る

  109. ラジカル窒化法による表面窒化Siナノクリスタルを用いたドットメモリ作製と特性評価

    内藤慎哉, 上山知紀, 近藤博基, 坂下満男, 酒井朗, 小川正毅, 財満鎮明  

    応用物理学関係連合講演会講演予稿集52nd 巻 ( 2 )   2005年

     詳細を見る

  110. 電流注入ストレスを加えたゲート酸化膜の電流検出型原子間力顕微鏡による解析 招待有り 査読有り

    世古明義, 渡辺行彦, 近藤博基, 酒井朗, 財満鎭明, 安田幸夫  

    電子情報通信学会論文誌J87-C 巻 ( 8 ) 頁: 616-624   2004年10月

     詳細を見る

    記述言語:日本語   掲載種別:速報,短報,研究ノート等(学術雑誌)  

  111. Behavior of local current leakage in stressed gate SiO2 films analyzed by conductive atomic force microscopy

    A Seko, Y Watanabe, H Kondo, A Sakai, S Zaima, Y Yasuda  

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS43 巻 ( 7B ) 頁: 4683 - 4686   2004年7月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:JAPAN SOC APPLIED PHYSICS  

    We studied local leakage currents induced in stressed gate SiO2 films and their time dependence by conductive atomic force microscopy (C-AFM). The current-voltage characteristics of the leakage currents detected in the C-AFM observations indicate Fowler-Nordheim tunneling currents enhanced by holes trapped in the stressed SiO2 films. By repeated C-AFM observations at the same area, it was found that individual spot currents decrease at different rates. This result indicates hole detrapping with different time constants from the stress-induced defects that have different features.

    DOI: 10.1143/JJAP.43.4683

    Web of Science

  112. Detection and characterization of stress-induced defects in gate SiO2 films by conductive atomic force microscopy 査読有り

    Y Watanabe, A Seko, H Kondo, A Sakai, S Zaima, Y Yasuda  

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS43 巻 ( 7B ) 頁: 4679 - 4682   2004年7月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:JAPAN SOC APPLIED PHYSICS  

    We have demonstrated the detection of manometer-scale current-leakage sites in electrically stressed gate SiO2 films using a conductive atomic force microscope (C-AFM). Prior to C-AFM observations, the gate SiO2 films in metal-oxide-semiconductor capacitors were subjected to constant-current Fowler-Nordheim (FN) stress. Details of image contrasts and the relationship between the surface topography and the current image of the SiO2 films were examined. Two types of contrast were clearly observed in the current image: a sharp bright spot reflecting local current leakage were caused by hole trapping at stress-induced defects and a fuzzy bright contrasts originating from the SiO2 thickness fluctuation. The dependence of C-AFM images on the electron injection direction during FN stress application and the SiO2 film thickness clearly reveals that the stress-induced defects are distributed in the region within 2.6 nm from the SiO2/Si substrate interface.

    DOI: 10.1143/JJAP.43.4679

    Web of Science

  113. 電流検出型原子間力顕微鏡を用いたゲート絶縁膜の局所リーク電流評価

    世古 明義, 渡辺 行彦, 近藤 博基, 酒井 朗, 財満 鎭明, 安田 幸夫  

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス104 巻 ( 135 ) 頁: 31 - 36   2004年6月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    電流検出型原子間力顕微鏡法(Conductive Atomic Force Microscopy : C-AFM)を用いて、ゲート絶縁膜における局所リ-ク電流をナノスケール観察し、絶縁膜の信頼性劣化機構を解明した。MOSキャパシタにおいてストレス印加したゲートSiO_2膜をC-AFM観察したところ、電流像に局所なりーク電流スポットを観測がされ、その電流-電圧特性の解析などからストレス誘起欠陥に起因する局所リーク電流であることがわかった。更に、ストレス誘起欠陥における電荷充放電現象とマクロなデバイス特性劣化との相関、局所リーク電流と絶縁破壊現象との関連が明らかになった。また、高誘電率絶縁膜において、電流リークパスと結晶構造との相関を明らかにした。

    CiNii Books

  114. ラジカル窒化過程におけるエネルギーバンドギャップ形成機構のSTM/STS解析

    近藤 博基, 河合 圭吾, 宮崎 香代子, 酒井 朗, 財満 鎭明, 安田 幸夫  

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス104 巻 ( 134 ) 頁: 27 - 32   2004年6月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    次世代ゲート絶縁膜として期待されるシリコン窒化膜について、ラジカル窒化法における初期成膜過程をSTMならびにSTSによって解析した。ラジカル窒化過程では、成膜様式(層状成長または島状成長)は窒化種に依存せず、成膜温度のみに依存するが、エネルギーバンドギャップはラジカルガンの高周波電源電力の変化に伴う窒化種の変化に強く依存することがわかった。原子レベルで平坦で、大きなエネルギーバンドギャップを持つラジカル窒化膜の形成には、窒化種の制御が重要であることがわかった。

    CiNii Books

  115. Growth of silicon nanocrystal dots with high number density by ultra-high-vacuum chemical vapor deposition 査読有り

    S Naito, M Satake, H Kondo, M Sakashita, A Sakai, S Zaima, Y Yasuda  

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS43 巻 ( 6B ) 頁: 3779 - 3783   2004年6月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:JAPAN SOC APPLIED PHYSICS  

    Si nanocrystal growth using an amorphous Si (a-Si) layer pre-deposited on a SiO2 surface has been performed by ultra-high-vacuum chemical vapor deposition (UHV-CVD). It has been shown that high-density Si nanocrystals are formed by Si2H6 irradiation on to an a-Si surface and that Si atoms supplied from the a-Si layer contribute to the growth of Si nanocrystals. By changing the thickness of the a-Si layer, the number density and size of Si nanocrystals can be controlled systematically. A number density of 1.0 x 10(12) cm(-2) and an average diameter of 9.1 nm were achieved for Si nanocrystals on the SiO2/Si substrate in the case of using a 0.3-nm-thick a-Si layer. Si nanocrystals were successfully buried in a SiO2 matrix by post deposition processes and their diameters were found to range from 6 to 10 nm.

    DOI: 10.1143/JJAP.43.3779

    Web of Science

  116. Conductive atomic force microscopy analysis for local electrical characteristics in stressed SiO2 gate films 査読有り

    Y Watanabe, A Seko, H Kondo, A Sakai, S Zaima, Y Yasuda  

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS43 巻 ( 4B ) 頁: 1843 - 1847   2004年4月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:JAPAN SOC APPLIED PHYSICS  

    We have investigated microscopically the current leakage characteristics of SiO2 gate films in metal-oxide-semiconductor structure capacitors subjected to the Fowler-Nordheim (FN) constant current stress using a conductive atomic force microscope (C-AFM). Current images of C-AFM clearly reveal the leakage current spots in the samples in which the stress induced leakage current was confirmed by the macroscopic current-voltage (I-V) measurement. On the other hand, in the sample after the repeated macroscopic I-V measurement, there is a shift in threshold voltage for the appearance of current spots and its value directly corresponds to the voltage shift observed in the macroscopic capacitance-voltage measurements for this sample. The total number of current spots observable in the C-AFM scanned area critically depends on the substrate voltage: the spot number initially increases with the voltage to a certain value then decreases. The visibility of the current spot is well explained by the holes trapped locally at defect sites created in the stressed SiO2.

    DOI: 10.1143/JJAP.43.1843

    Web of Science

  117. Microscopic Analysis of Stress-Induced Leakage Current in Stressed Gate SiO2Films Using Conductive Atomic Force Microscopy 査読有り

    Watanabe Yukihiko, Seko Akiyoshi, Kondo Hiroki, Sakai Akira, Zaima Shigeaki, Yasuda Yukio  

    Japanese Journal of Applied Physics43 巻 ( 2 ) 頁: L144 - L147   2004年1月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:IOP Publishing  

    We have developed a method of microscopically analyzing the degradation of gate SiO2 films in actual metal-oxide-semiconductor (MOS) devices by conductive atomic force microscopy (C-AFM). In C-AFM images of electrically stressed SiO2 films, leakage current spots on a nanometer scale were successfully observed. The observed current spots show characteristic behaviors similar to the transient stress-induced leakage current which can be detected by macroscopic electrical measurements using MOS capacitors. The appearance of the current spots is discussed on the basis of the mechanism by which holes are trapped and detrapped by stress-induced defects in SiO2 films.

    DOI: 10.1143/jjap.43.l144

  118. NiGeゲートMOSキャパシタの作製と評価

    金子幸広, 近藤博基, 酒井朗, 財満鎮明, 安田幸夫  

    応用物理学関係連合講演会講演予稿集51st 巻 ( 2 )   2004年

     詳細を見る

  119. ストレス印加したゲートSiO<sub>2</sub>膜におけるホール放出現象の局所解析

    世古明義, 渡辺行彦, 近藤博基, 酒井朗, 財満鎮明, 安田幸夫  

    応用物理学関係連合講演会講演予稿集51st 巻 ( 2 )   2004年

     詳細を見る

  120. ストレス印加したゲートSiO<sub>2</sub>膜におけるホール捕獲位置の同定

    渡辺行彦, 世古明義, 近藤博基, 酒井朗, 財満鎮明, 安田幸夫  

    応用物理学会学術講演会講演予稿集65th 巻 ( 2 )   2004年

     詳細を見る

  121. ゲート酸化膜劣化のストレス極性依存性の電流検出型AFMを用いた解析

    渡辺行彦, 世古明義, 近藤博基, 酒井朗, 財満鎮明, 安田幸夫  

    応用物理学関係連合講演会講演予稿集51st 巻 ( 2 )   2004年

     詳細を見る

  122. ラジカル窒化過程におけるエネルギーバンドギャップ形成機構のSTM/STS解析

    河合圭悟, 宮崎香代子, 近藤博基, 酒井朗, 財満鎮明, 安田幸夫  

    応用物理学関係連合講演会講演予稿集51st 巻 ( 2 )   2004年

     詳細を見る

  123. 電流検出型AFMによるストレス印加ゲートSiO<sub>2</sub>膜の絶縁破壊進行過程の局所解析

    世古明義, 渡辺行雄, 近藤博基, 酒井朗, 小川正毅, 財満鎮明, 安田幸夫  

    応用物理学会学術講演会講演予稿集65th 巻 ( 2 )   2004年

     詳細を見る

  124. 極薄a-Si層を用いた高密度Siナノクリスタルの形成

    上山知紀, 内藤慎哉, 近藤博基, 坂下満男, 酒井朗, 小川正毅, 財満鎮明, 安田幸夫  

    応用物理学会学術講演会講演予稿集65th 巻 ( 2 )   2004年

     詳細を見る

  125. 分子線蒸着法を用いたシリコンナノドットメモリ構造の作製とその電気的特性の評価

    佐竹正城, 内藤慎哉, 上山知紀, 近藤博基, 坂下満男, 酒井朗, 財満鎮明, 安田幸夫  

    応用物理学関係連合講演会講演予稿集51st 巻 ( 2 )   2004年

     詳細を見る

  126. 電子注入ストレスを加えたゲート酸化膜の電流検出型原子間力顕微鏡による解析 : ゲート絶縁膜劣化機構の微視的評価

    世古 明義, 渡辺 行彦, 近藤 博基, 酒井 朗, 財満 鎭明, 安田 幸夫  

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス103 巻 ( 148 ) 頁: 1 - 6   2003年6月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    電流検出型原子間力顕微鏡法(Conducting Atomic Force Microscopy :C-AFM)を用いて、Metal-Oxide-Semiconductor(MOS)キャパシタで観測される絶縁膜劣化を、ナノスケールで直接観察する手法を開発した。定電流ストレスを印加したシリコン酸化膜を本手法によって観察した結果、Transient Stress-Induced Leakage Current (Transient-SILC)と考えられる局所リーク電流スポットが観測された。これにより、ストレス誘起される膜中欠陥の局所性と分布、それらに起因した局所的なリーク伝導機構が実験的に明らかになった。

    CiNii Books

  127. Si(111)基板上のPr酸化膜のエピタキシャル成長と電気的特性

    坂下真介, 坂下満男, 近藤博基, 酒井朗, 財満鎮明, 安田幸夫  

    応用物理学関係連合講演会講演予稿集50th 巻 ( 2 )   2003年

     詳細を見る

  128. ストレス誘起欠陥におけるホールトラップ-デトラップ現象のナノスケール観察

    世古明義, 渡辺行彦, 近藤博基, 酒井朗, 財満鎮明, 安田幸夫  

    応用物理学会学術講演会講演予稿集64th 巻 ( 2 )   2003年

     詳細を見る

  129. 分子線蒸着法による高密度シリコンナノドットの成長

    内藤慎哉, 佐竹正城, 近藤博基, 坂下満男, 酒井朗, 財満鎮明, 安田幸夫  

    応用物理学会学術講演会講演予稿集64th 巻 ( 2 )   2003年

     詳細を見る

  130. 電流検出型AFMを用いたストレス誘起リーク電流の解析

    世古明義, 渡辺行彦, 近藤博基, 酒井朗, 財満鎮明, 安田幸夫  

    応用物理学関係連合講演会講演予稿集50th 巻 ( 2 )   2003年

     詳細を見る

  131. 電気的ストレスを加えたゲート酸化膜の電流検出型原子間力顕微鏡を用いた局所電流解析

    渡辺行彦, 世古明義, 近藤博基, 酒井朗, 財満鎮明, 安田幸夫  

    応用物理学会学術講演会講演予稿集64th 巻 ( 2 )   2003年

     詳細を見る

  132. Coulomb blockade phenomena in Si metal-oxide-semiconductor field-effect transistors with nano-scale channels fabricated using focused-ion beam implantation 査読有り

    H Kondo, K Izumikawa, M Sakurai, S Baba, H Iwano, S Zaima, Y Yasuda  

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS38 巻 ( 12B ) 頁: 7222 - 7226   1999年12月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:JAPAN SOC APPLIED PHYSICS  

    We have fabricated Si metal-oxide-semiconductor held-effect transistors of small dimensions using focused-ion-beam (FIB) implantation and SiO2 implantation masks with the width of 63-118 nm and have investigated the Coulomb blockade phenomena in these devices. The source and drain regions are formed by FIB implantation with a beam diameter of about 100 nm and the effective channel length is estimated to be 27-82 nm. Periodic oscillations of conductance, which are considered to be Coulomn blockade osillations, are observed at temperatures below 13 K. The measured oscillation period of V-G is 1.2-3.1 V and the gate capacitance is estimated to be 0.053-0.14aF for different channel lengths. Furthermore, it is found the the oscillation period of V-G increases as the channel length increases, which indicates that the dot radius decreases with increasing channel length. Large negative magnetoresistance is distinctly observed at the top of oscillation peaks and, on the other hand, only weak magnetoresistance is obtained at the bottoms.

    DOI: 10.1143/JJAP.38.7222

    Web of Science

  133. Conductance oscillations in low-dimensional ion implanted regions annealed by rapid thermal annealing 査読有り

    H Kondo, K Kaga, S Baba, H Iwano, S Zaima, Y Yasuda  

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS38 巻 ( 4A ) 頁: 1843 - 1846   1999年4月

     詳細を見る

    記述言語:英語   掲載種別:速報,短報,研究ノート等(学術雑誌)   出版者・発行元:JAPAN SOC APPLIED PHYSICS  

    We have investigated the Coulomb blockade in gate-controlled hopping conduction systems made up of small-dimensional focused ion beam (FIB) implanted wires having a small non-implanted gap. Dot structures are formed in the gap by potential fluctuations caused by dispersed Ga impurities and implantation-induced defects. In the present work, the samples were subjected to two thermal treatment methods: furnace annealing at 600 degrees C for 30 min and rapid thermal annealing (RTA) at 900 degrees C for 30 s. In both samples, the conductance oscillates by varying the gate voltage at low temperatures, in which the nearest-neighbor hopping conduction is dominant. In the samples annealed by RTA, the oscillation amplitude becomes much larger compared with that of samples annealed at 600 degrees C, which suggests that implantation-induced defects are annihilated by the thermal treatment at high temperatures. There coexist periodic and random peaks in the observed oscillation, which originate from the Coulomb blockade and hopping path changes, respectively. From obtained measurements, the dot size is estimated to be about 24 nm for the sample annealed at 600 degrees C and 14 nm for the sample annealed at 900 degrees C. The width of tunneling barrier of the sample annealed at 900 degrees C was estimated to be about 14-17 nm.

    DOI: 10.1143/JJAP.38.1843

    Web of Science

  134. 集束イオンビーム装置を用いた微細MOSFET素子の作製及びクーロンブロッケード現象

    泉川健太, 桜井雅和, 加賀和孝, 近藤博基, 馬場伸一, 酒井朗, 財満鎮明, 安田幸夫  

    応用物理学会学術講演会講演予稿集60th 巻 ( 1 )   1999年

     詳細を見る

▼全件表示

講演・口頭発表等 1224

  1. Arイオン照射窒化ガリウム表面の塩素吸着層のイオンエネルギー依存性 (2) 招待有り

    谷川 将希, 堤 隆嘉, 谷出 敦, 近藤 博基, 関根 誠, 石川 健治, 堀 勝

    第67回応用物理学関係連合講演会  2020年3月14日  応用物理学会

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:東京都, 上智大学 四谷キャンパス   国名:日本国  

  2. Effect of multiphase plasma irradiation on alcohols for functional nanographene materials 招待有り 国際会議

    Hiroki Kondo and Masaru Hori

    Gaseous Electronics Symposium 3  2020年2月3日 

     詳細を見る

    開催年月日: 2020年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Rogla   国名:スロベニア共和国  

  3. Gene expression analyses revealed the differences of intracellular molecular mechanisms of PAM- and PAL-induced cell death 招待有り 国際会議

    Hiromasa Tanaka, Masaaki Mizuno, Yuko Katsumata, Kenji Ishikawa, Hiroki Kondo, Hiroshi Hashizume, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Nobuhisa Yoshikawa, Hiroaki Kajiyama, Fumitaka Kikkawa, and Masaru Hori

    12th Asian-European International Conference on Plasma Surface Engineering  2019年9月1日 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Jeju   国名:大韓民国  

  4. Plasma-assisted Synthesis and Modification of Carbon Nanowalls for Emerging Applications 招待有り 国際会議

    Hiroki Kondo, Takayoshi Tsutsumi, Keigo Takeda, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Mineo Hiramatsu

    International Conference Nano-M&D 2019: "Properties, Fabrication and Applications of Nano-Materials and Nano-Devices"  2019年6月8日 

     詳細を見る

    開催年月日: 2019年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Paestum   国名:イタリア共和国  

  5. Synthesis mechanism and electrochemical properties of nanographene materials obtained by in-liquid plasma method 招待有り 国際会議

    Hiroki Kondo, Keigo Takeda, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

    Global Conference on Carbon Nanotubes and Graphene Technologies  2019年3月29日 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Milano   国名:イタリア共和国  

  6. Nano-composite innovation based on carbon nanowalls and advanced plasma processes 招待有り 国際会議

    Hiroki Kondo, Takayoshi Tsutsumi, Makoto Sekine, Kenji Ishikawa, Masaru Hori, Mineo Hiramatsu

    7th International Conference on Advanced Plasma Technologies (ICAPT-7)  2019年2月25日 

     詳細を見る

    開催年月日: 2019年2月 - 2019年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Hue   国名:ベトナム社会主義共和国  

  7. カーボンナノウォールの構造制御とナノバイオ応用 招待有り

    近藤博基、堀勝、平松美根男(名古屋大学大学院工学研究科付属プラズマナノ工学研究センター、名城大学理工学部)

    仙台“プラズマフォーラム’’ 

     詳細を見る

    開催年月日: 2015年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:東北大学 大学院工学研究科 電子情報システム・応物系 1号館別館 451・453会議室   国名:日本国  

  8. High-density nitrogen plasma source for growing high In content InGaN by plasma-assisted MBE 国際会議

    Hiroki Kondo, Masaru Hori, Hiroshi Amano

    SPIE Photonics west 2015  2015年2月9日 

     詳細を見る

    開催年月日: 2015年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  9. 液中プラズマを用いたナノグラフェン合成における活性種の効果[II]

    近藤 博基, 濱地 遼, 堤 隆嘉, 石川 健治, 関根 誠, 堀 勝

    第68回応用物理学会春季学術講演会  2021年3月19日  応用物理学会

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  10. 塩素吸着を用いた窒化ガリウムの原子層エッチングプロセス特性のArイオンエネルギー依存性

    堤 隆嘉、長谷川 将希、中村 昭平、谷出 敦、近藤 博基、関根 誠、石川 健治、堀 勝

    第68回応用物理学会春季学術講演会  2021年3月16日  応用物理学会

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  11. リモート酸素ラジカルによるグラフェンのエッチング反応の分析

    胡 留剛、堤 隆嘉、蕭 世男、近藤 博基、石川 健治、関根 誠、堀 勝

    第68回応用物理学会春季学術講演会  2021年3月19日  応用物理学会

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  12. Crystalline Structures and Local Electrical Conductivity at Crossing Points of Carbon Nanowalls 国際会議

    Atsushi Ozaki, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    13th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/14th International Conference on Plasma-Nano Technology and Science (ISPlasma2021/IC-PLANTS2021)  2021年3月8日 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Meeting  

  13. Dependence of Nitrogen Concentrations on Cytotoxicity of Air-Free Ar-N2 Mixed Atmospheric Pressure Plasma-activeted Lactated Solutions 国際会議

    Daiki Ito, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine and Masaru Hori

    13th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/14th International Conference on Plasma-Nano Technology and Science (ISPlasma2021/IC-PLANTS2021)  2021年3月10日 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Meeting  

  14. Fablication of Pt-Supported Carbon Nanowalls for Polymer Electrolyte Fuel Cell 国際会議

    Takayuki Ohta, Hiroaki Iwata, Mineo Hiramatsu, Hiroki Kondo and Masaru Hori

    13th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/14th International Conference on Plasma-Nano Technology and Science (ISPlasma2021/IC-PLANTS2021)  2021年3月9日 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Meeting  

  15. Quantitative Analyses of Graphene Layer Etching Using Oxygen Radicals Generated in Remote Plasma for Realization of Atomic Layer Etching 国際会議

    Liugang Hu, Takayoshi Tsutsumi, Thi-Thuy-Nga Nguyen, Shih-Nan Hsiao, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    13th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/14th International Conference on Plasma-Nano Technology and Science (ISPlasma2021/IC-PLANTS2021)  2021年3月8日 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Meeting  

  16. Initial Growth Kinetics of Hydrogenated Amorphous Carbon Films Observed by Real- Time Ellipsometry 国際会議

    Jumpei Kurokawa, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine and Masaru Hori

    13th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/14th International Conference on Plasma-Nano Technology and Science (ISPlasma2021/IC-PLANTS2021)  2021年3月8日 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Meeting  

  17. Synthesis of Carbon Nanowalls on Different Metallic Substrates by RI- PECVD 国際会議

    Ngo Van Nong, Dennis Christy, Swapnil Ghodke, Hiroki Kondo, Osamu Oda and Masaru Hori

    13th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/14th International Conference on Plasma-Nano Technology and Science (ISPlasma2021/IC-PLANTS2021)  2021年3月9日 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Meeting  

  18. Reversible Change in Surface Morphology of Lipid Bilayer Induced by Indirect Plasma Irradiation 国際会議

    Hiroki Kondo, Takuya Tonami, Sotaro Yamaoka, Hiromasa Tanaka, Kenji Ishikawa, Makoto Sekine, Masafumi Ito and Masaru Hori

    13th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/14th International Conference on Plasma-Nano Technology and Science (ISPlasma2021/IC-PLANTS2021)  2021年3月9日 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Meeting  

  19. Effect of Wall-to-Wall Distance of Carbon Nanowalls on Survival Yield in Surface-Assisted Laser Desorption/Ionization Mass Spectrometry 国際会議

    Ryusei Sakai, Hiroki Kondo, Kenji Ishikawa, Takayuki Ohta, Mineo Hiramatsu, Naohiro Shimizu and Masaru Hori

    13th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/14th International Conference on Plasma-Nano Technology and Science (ISPlasma2021/IC-PLANTS2021)  2021年3月9日 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Meeting  

  20. Antitumor effect of plasma-activated Ringer’s acetate solution 国際会議

    Yuki Suda, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto

    30th Annual Meeting of Material Research Society of Japan (MRS-J)  2020年12月10日 

     詳細を見る

    開催年月日: 2020年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Meeting  

  21. Penetration of hydrogen atoms and termination of dangling bonds in amorphous carbon films 国際会議

    Hiroki Kondo, Yasuyuki Ohashi, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    73th Annual Gaseous Electronics Virtual Conference, Virtual Conference  2020年10月9日 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Meeting  

  22. Structure control of self-supporting graphene nanowalls synthesized by plasma enhanced chemical vapor deposition 国際会議

    Keigo Takeda, Motoaki Ishikawa, Mineo Hiramatsu, Hiroki Kondo, and Masaru Hori

    73th Annual Gaseous Electronics Virtual Conference  2020年10月7日 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Virtual Meeting  

  23. 表面支援レーザー脱離/イオン化質量分析法に向けたカーボンナノウォールの壁間隔依存性

    酒井 流星、近藤 博基、石川 健治、清水 尚博、太田 貴之、平松 美根男、堀 勝

    第81回応用物理学会秋季学術講演会  2020年9月9日  応用物理学会

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  24. 液中プラズマを用いたナノグラフェン合成における活性種の効果

    近藤 博基、濱地 遼、堤 隆嘉、石川 健治、関根 誠、堀 勝

    第81回応用物理学会秋季学術講演会  2020年9月9日  応用物理学会

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  25. カーボンナノウォールの局所電気伝導特性の解明

    尾崎 敦士、近藤 博基、石川 健治、堤 隆嘉、平松 美根男、関根 誠、堀 勝

    第81回応用物理学会秋季学術講演会  2020年9月9日  応用物理学会

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  26. Analysis of Ion Energy Dependence of Depth Profile of GaN by In-situ Surface Analysis 国際会議

    Masaki Hasagawa‚ Takayoshi Tsutsumi, Atsushi Tanide‚ Shohei Nakamura, Hiroki Kondo‚ Kenji Ishikawa‚ and Masaru Hori

    20th International Conference on Atomic Layer Deposition  2020年6月29日 

     詳細を見る

    開催年月日: 2020年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Virtual Meeting  

  27. 液中プラズマを用いたナノグラフェン合成における照射時間依存性[Ⅰ]

    濱地 遼, 近藤 博基, 堤 隆嘉, 石川 健治, 関根 誠, 堀 勝

    第67回応用物理学関係連合講演会  2020年3月12日  応用物理学会

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京都, 上智大学 四谷キャンパス   国名:日本国  

  28. プラズマプロセス中の基板温度分布の経時変化の解析

    堤 隆嘉, 石川 健治, 近藤 博基, 関根 誠, 堀 勝

    第67回応用物理学関係連合講演会  2020年3月15日  応用物理学会

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京都, 上智大学 四谷キャンパス   国名:日本国  

  29. 水素プラズマによる欠陥終端処理後のa-C:H膜に対する表面増強ラマン分光法による分析

    古橋 未悠, 野老山 貴行, 大橋 靖之, 近藤 博基, 上坂 裕之, 中島 悠也, 古木 辰也, 石川 健治, 堀 勝, 梅原 徳次

    第67回応用物理学関係連合講演会  2020年3月15日  応用物理学会

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京都, 上智大学 四谷キャンパス   国名:日本国  

  30. 液中プラズマ表面改質六方晶BN微粒子のESR測定

    伊藤 剛仁, 後藤 拓, 井上 健一, 石川 健治, 近藤 博基, 堀 勝, 清水 禎樹, 伯田 幸也, 寺嶋 和夫

    第67回応用物理学関係連合講演会  2020年3月12日  応用物理学会

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京都, 上智大学 四谷キャンパス   国名:日本国  

  31. 液中プラズマを用いたナノグラフェン合成における照射時間依存性 [II]

    近藤 博基, 濱地 遼, 堤 隆嘉, 石川 健治, 関根 誠, 堀 勝

    第67回応用物理学関係連合講演会  2020年3月12日  応用物理学会

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京都, 上智大学 四谷キャンパス   国名:日本国  

  32. Termination of Dangling Bonds in Amorphous Carbon Films by Hydrogen Atoms 国際会議

    Yasuyuki Ohashi, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology and Science (ISPlasma2020/IC-PLANTS2020)  2020年3月11日 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya   国名:日本国  

  33. Dynamic Morphological Change of Lipid Bilayer Induced by Indirect Plasma Irradiation 国際会議

    Hiroki Kondo, Takuya Tonami, Sotaro Yamaoka, Hiromasa Tanaka, Kenji Ishikawa, Makoto Sekine, Masafumi Ito, and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology and Science (ISPlasma2020/IC-PLANTS2020)  2020年3月11日 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya   国名:日本国  

  34. Measurement of Spatial Distribution of Absolute Hydrogen Radical Density in NonEquilibrium Atmospheric Pressure Plasma by Vacuum Ultraviolet Absorption Spectroscopy 国際会議

    Kaede Katsuno, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology and Science (ISPlasma2020/IC-PLANTS2020)  2020年3月11日 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya   国名:日本国  

  35. In-Liquid Plasma Synthesis of Iron-Nitrogen-Doped Carbon Nanoflakes with Highly Catalytic Activity 国際会議

    Ryo Hamaji, Tomoki Amano, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Keigo Takeda, Mineo Hiramatsu, and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology and Science (ISPlasma2020/IC-PLANTS2020)  2020年3月11日 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya   国名:日本国  

  36. Effect of Chamber Pressure on the Crystal Quality of InN Growth by Radical-Enhanced Metalorganic Chemical Vapor Deposition (REMOCVD) 国際会議

    Frank Wilson Amalraj, Naohiro Shimizu, Osamu Oda, Hiroki Kondo, Kenji Ishikawa, and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology and Science (ISPlasma2020/IC-PLANTS2020)  2020年3月11日 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya   国名:日本国  

  37. Ionization Enhancement Using CNWs in Laser Desorption / Ionization Mass Spectrometry 国際会議

    Ryusei Sakai, Hiroki Kondo, Kenji Ishikawa, Takayuki Ohta, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology and Science (ISPlasma2020/IC-PLANTS2020)  2020年3月11日 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya   国名:日本国  

  38. Fluctuation of Local Electrical Conductivity in Carbon Nanowalls Observed by Conductive Atomic Force Microscopy 国際会議

    Atsushi Ozaki, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology and Science (ISPlasma2020/IC-PLANTS2020)  2020年3月11日 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya   国名:日本国  

  39. In-plane distribution of electrical conductivity of carbon nanowalls perpendicular to substrate measured by conductive atomic force microscopy 国際会議

    Atsushi Ozaki, Hiroki Kondo, Takayoshi Tsutsumi, Makoto Sekine, Masaru Hori, and Mineo Hiramatsu

    7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020 and 4th International Symposium on Energy Research and Application  2020年1月10日 

     詳細を見る

    開催年月日: 2020年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Gyeong Gi-do   国名:大韓民国  

  40. Improvement of wool surface charging properties by plasma surface modification process 国際会議

    Tatsuyuki Moriyama, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, Kenji Ishikawa, and Masaru Hori

    7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020 and 4th International Symposium on Energy Research and Application  2020年1月10日 

     詳細を見る

    開催年月日: 2020年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Gyeong Gi-do   国名:大韓民国  

  41. Changes of resistive elements during degradation of carbon nanowalls electrodes for fuel cell synthesized employing a CH4/H2 mixture gas plasma 国際会議

    Hiroki Kondo, Shun Imai, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

    2019 Fall Meeting of Material Research Society (MRS)  2019年12月13日 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Boston   国名:アメリカ合衆国  

  42. Synthesis of Carbon Nanostrucures using Microwave-Exited Atmospheric Pressure Plasma 招待有り 国際会議

    M. Hiramatsu, K. Miyashita, T. Oyama, K. Takeda, H. Kondo, M. Hori

    2019 Fall Meeting of Material Research Society (MRS)  2019年12月12日 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Boston   国名:アメリカ合衆国  

  43. Physics and chemistry in low-temperature plasma bioapplications 招待有り 国際会議

    Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, Fumitaka Kikkawa, Masaaki Mizuno, and Masaru Hori

    7th East Asia Joint Symposium on Plasma and Electrostatics Technologies for Environmental Applications (EAPETEA-7)  2019年11月 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Okinawa   国名:日本国  

  44. Electrical, optical, and physicochemical behaviors of atmospheric pressure plasma jet generated in open air 招待有り

    Keigo Takeda, Kenji Ishikawa, Takayuki Tsutsumi, Hiroki Kondo, Makoto Sekine, Masaru Hori

    3rd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2019)  2019年11月6日 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Hefei   国名:中華人民共和国  

  45. Plasma Processing with Feedback Control of Wafer Temperature By Non-Contact Temperature Measurement System 国際会議

    T. Tsutsumi, H. Kondo, K. Ishikawa , K. Takeda, T. Ohta, M. Sekine, M. Ito, and M. Hori

    236th Fall Meeting of the Electrochemical Society (ECS)  2019年10月16日 

     詳細を見る

    開催年月日: 2019年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Atlanta   国名:アメリカ合衆国  

  46. Synthesis of carbon nanomaterials employing in-liquid plasma 招待有り 国際会議

    Makoto Sekine, Hiroki Kondo, Kenji Ishikawa, Masaru Hori, and Mineo Hiramatsu

    7th International Conference on Advanced Plasma Technologies  2019年2月25日 

     詳細を見る

    開催年月日: 2019年2月 - 2019年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Hue   国名:ベトナム社会主義共和国  

  47. Real-time control of a wafer temperature for uniform plasma process 国際会議

    Tsutsumi T.

    IEEE International Symposium on Semiconductor Manufacturing Conference Proceedings 

     詳細を見る

    開催年月日: 2019年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    DOI: 10.1109/ISSM.2018.8651183

    Scopus

  48. Control of internal plasma parameters toward atomic level processing 国際会議

    Sekine M.

    ECS Transactions 

     詳細を見る

    開催年月日: 2016年

    記述言語:英語   会議種別:口頭発表(一般)  

    DOI: 10.1149/07506.0021ecst

    Scopus

  49. 半導体ディバイス・プロセスの信頼性工学

    近藤博基

    第10回日本安全学教育研究会 

     詳細を見る

    開催年月日: 2015年8月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  50. Electrocatalytic Characteristic of Pt Nanoparticles-Supported Carbon Nanowalls for Fuel Cell Applications 国際会議

    Hiroki Kondo

    2015 MRS Spring Meeting & Exhibit 

     詳細を見る

    開催年月日: 2015年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  51. Electrocatalytic Property and Durability of Pt Nanoparticles-Supported Nanographene Synthesized by In-Liquid Plasma 国際会議

    Hiroki Kondo

    2015 MRS Spring Meeting and Exhibit 

     詳細を見る

    開催年月日: 2015年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  52. 次世代窒化ガリウム系デバイスのためのラジカル励起MOCVDによるGaN-ヘテロエピタキシャル成長に関する研究

    盧翌、岩本一希、小田修、石川健治、近藤博基、関根誠、堀勝(名大)

    ゲートスタック研究会ー材料・プロセス・評価の物理ー (第20回) 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:東レ研修センター   国名:日本国  

  53. ラジカル励起MOCVD法によるGaNホモエピタキシャル成長に関する研究

    岩本一希、盧翌、小田修、近藤博基、石川健治、関根誠、堀勝(名大)

    ゲートスタック研究会ー材料・プロセス・評価の物理ー (第20回) 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:東レ研修センター   国名:日本国  

  54. Selective and oriented growth of carbon nanowalls by plasma-enhanced chemical vapor deposition 国際会議

    H.Kondo

    The 75th IUVSTA Workshop on Sheath Phenomena in Plasma Processing of Advanced Materials(19th-23rd 2015,Slovenia) 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:スロベニア共和国  

  55. 地域イノベーションプラットフォーム概略紹介

    近藤博基

    大気圧プラズマによる超高速・超機能化異種材料接合オープンプラットフォーム 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  56. Live demonstration: A CMOS sensor platform with 1.2 μm × 2.05 μm electroless-plated 1024 × 1024 microelectrode array for high-sensitivity rapid direct bacteria counting 国際会議

     詳細を見る

    開催年月日: 2014年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    DOI: 10.1109/BioCAS.2014.6981688

    Scopus

  57. Growth Mechanism of Single-Walled Carbon Nanotubes from Pt Catalysts by Alcohol Catalytic CVD 国際会議

    Takahiro Maruyama, Hiroki Kondo, Akinari Kozawa, Takahiro Saida, Shigeya Naritsuka and Sumio Iijima

    2014 MRS Fall Meeting & Exhibit 

     詳細を見る

    開催年月日: 2014年11月 - 2014年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  58. Electron spin Resonance Study of Plasma-Activated-Media (PAM) 国際会議

    Masaru Hori, Naoyuki Kurake, Kenji Ishikawa, Hiromasa Tanaka, Takashi Kondo, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo and Makoto Sekine

    2014 MRS Fall Meeting & Exhibit 

     詳細を見る

    開催年月日: 2014年11月 - 2014年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  59. Plasma-Enhanced Synthesis and Edge-Modification of Carbon Nanowalls for Nano-Bio Applications 国際会議

    Hiroki Kondo, Mineo Hiramatsu, Makoto Sekine and Masaru Hori

    2014 MRS Fall Meeting & Exhibit 

     詳細を見る

    開催年月日: 2014年11月 - 2014年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  60. Controlled synthesis and electrocatalytic characteristics of Pt nanoparticles-supported nanographene synthesized by in-liquid plasma 国際会議

    Hiroki Kondo, Tomoki Amano, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Mineo Hiramatsu

    67th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  61. Growth of graphene-based films using aftergrow of inductively coupled plasma 国際会議

    Mineo Hiramatsu, Masakazu Tomatsu, Hiroki Kondo, Masaru Hori

    67th Annual Gaseous Electronics Conference