2024/03/28 更新

写真a

ツツミ タカヨシ
堤 隆嘉
TSUTSUMI Takayoshi
所属
低温プラズマ科学研究センター 半導体プロセス科学部門 講師
大学院担当
大学院工学研究科
職名
講師

学位 1

  1. 博士(工学) ( 2015年3月   名古屋大学 ) 

研究分野 1

  1. エネルギー / プラズマ応用科学

経歴 5

  1. 名古屋大学   低温プラズマ科学研究センター   講師

    2023年4月 - 現在

  2. 名古屋大学   低温プラズマ科学研究センター 物質科学部門   助教

    2019年4月 - 2023年3月

  3. 名古屋大学   大学院工学研究科 附属プラズマナノ工学研究センター   助教

    2017年9月 - 2019年3月

  4. 名古屋大学   大学院工学研究科 電子工学専攻 情報デバイス工学   助教

    2017年5月 - 2017年8月

  5. 名古屋大学   工学研究科   研究員

    2015年4月 - 2017年4月

      詳細を見る

    国名:日本国

学歴 1

  1. 名古屋大学   工学研究科   電子情報システム

    2012年4月 - 2015年3月

      詳細を見る

    国名: 日本国

所属学協会 1

  1. 応用物理学会

委員歴 9

  1. AAPPS-DPP2023   実行委員  

    2023年2月 - 2023年11月   

  2. 2023年国際固体素子・材料コンファレンス実行委員会   実行委員  

    2022年8月 - 2023年9月   

  3. 44th DPS2023 実行委員会   実行委員  

    2022年3月 - 2023年11月   

  4. IUMRS-ICYRAM   組織委員  

    2021年 - 2022年   

  5. 2019年国際固体素子・材料コンファレンス実行委員会   実行委員  

    2018年8月 - 2019年9月   

  6. ISPlasma / IC-PLANTS 実行委員会   実行委員  

    2017年4月 - 現在   

  7. IWOPA2 実行委員会   実行委員  

    2017年4月 - 2018年3月   

  8. 40th DPS2018 実行委員会   実行委員  

    2017年3月 - 2018年11月   

  9. DPS40周年記念事業準備委員会   実行委員  

    2017年3月 - 2018年11月   

▼全件表示

受賞 6

  1. The Best Oral Presentation Awards

    2021年3月   13th anniversary International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlsma2021) / 14th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2021)   Design of Removal Process of SnO2 on Glass by H2/Ar Plasma at Atmospheric Pressure and Medium Pressure

    Thi-Thuy-Nga Nguyen, Minoru Sasaki, Takayoshi Tsutsumi, Kenji Ishikawa and Masaru Hori

  2. The Best Short Presentation Awards

    2021年3月   13th anniversary International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlsma2021) / 14th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2021)   Study of Etching Process Using Gas Condensed Layer at Cryogenic Temperature 2

    Masahiro Hazumi, Suganthamalar Selvaraj, Shih-Nan Hsiao, Chihiro Abe, Toshiyuki Sasaki, Hisataka Hayashi, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

  3. The Best Oral Presentation Awards

    2019年3月   11th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlsma2019) / 12th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2019)   Control of sp2-C Fraction and Hardness of Amorphous Carbon Films by Formation of Precursor Radicals Depending on a Residence Time

    Hirotsugu Sugiura, Yasuyuki Ohashi, Lingyun Jia, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Toshio Hayashi, Keigo Takeda, Makoto Sekine and Masaru Hori

  4. 堀場雅夫賞

    2018年10月   株式会社 堀場製作所  

     詳細を見る

    受賞国:日本国

  5. Award of Encouragement of Research

    2016年12月  

     詳細を見る

    受賞区分:国内学会・会議・シンポジウム等の賞  受賞国:日本国

  6. 応用物理学会講演奨励賞

    2012年11月   応用物理学会  

     詳細を見る

    受賞区分:国内学会・会議・シンポジウム等の賞  受賞国:日本国

▼全件表示

 

論文 72

  1. O2 and Ar plasma processing over SiO2/Si stack: Effects of processing gas on interface defect generation and recovery 査読有り

    S. Nunomura; T. Tsutsumi; I. Sakata; M. Hori

    journal of Applied Physics   ( 135 ) 頁: 053301   2024年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/5.0184779

  2. Topographically-selective atomic layer etching of SiO<sub>2</sub> using radical fluorination of the surface followed by Ar ion bombardment

    Osonio, A; Tsutsumi, T; Mukherjee, B; Borude, R; Kobayashi, N; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   62 巻 ( 12 )   2023年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/ad0c46

    Web of Science

  3. Bias-supply timing tailored to the aspect ratio dependence of silicon trench etching in Ar plasma with alternately injected C4F8 and SF6

    Yoshie, T; Ishikawa, K; Nguyen, TTN; Hsiao, SN; Tsutsumi, T; Sekine, M; Hori, M

    APPLIED SURFACE SCIENCE   638 巻   2023年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.apsusc.2023.157981

    Web of Science

  4. MEMS nozzle for dry-capturing lily pollens in array and fixing on culture media for plasma bio-applications

    Liu, QY; Sugiyama, S; Han, G; Tsutsumi, T; Tanaka, H; Sasaki, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   62 巻 ( SN )   2023年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/acfb17

    Web of Science

  5. Deposition of carbon-based materials directly on copper foil and nickel foam as 2D-and 3D-networked metal substrates by in-liquid plasma

    Dela Vega, MSDC; Nguyen, TTN; Kondo, H; Tsutsumi, T; Ishikawa, K; Hori, M

    PLASMA PROCESSES AND POLYMERS   20 巻 ( 11 )   2023年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/ppap.202300036

    Web of Science

  6. Development of an experimental system for cell viability assays of yeasts using gas-temperature controllable plasma jets

    Yoshimura, S; Otsubo, Y; Yamashita, A; Johzuka, K; Tsutsumi, T; Ishikawa, K; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   62 巻 ( SL )   2023年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/acd4ca

    Web of Science

  7. Plasma processing and annealing for defect management at SiO<sub>2</sub>/Si interface

    Nunomura, S; Tsutsumi, T; Sakata, I; Hori, M

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B   41 巻 ( 5 )   2023年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1116/6.0002822

    Web of Science

  8. Manipulation of etch selectivity of silicon nitride over silicon dioxide to a-carbon by controlling substate temperature with a CF4/H2 plasma

    Hsiao, SN; Britun, N; Nguyen, TTN; Tsutsumi, T; Ishikawa, K; Sekine, M; Hori, M

    VACUUM   210 巻   2023年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.vacuum.2023.111863

    Web of Science

  9. Area-selective plasma-enhanced atomic layer etching (PE-ALE) of silicon dioxide using a silane coupling agent

    Osonio, AP; Tsutsumi, T; Oda, Y; Mukherjee, B; Borude, R; Kobayashi, N; Hori, M

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A   40 巻 ( 6 )   2022年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1116/6.0002044

    Web of Science

  10. Indoor Floor Heel Mark Removal Using Spark Discharges and Pressurized Airflow

    Sakamoto, Y; Tsutsumi, T; Tanaka, H; Ishikawa, K; Hashizume, H; Hori, M

    COATINGS   12 巻 ( 12 )   2022年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/coatings12121938

    Web of Science

  11. Effects of deposition precursors of hydrogenated amorphous carbon films on the plasma etching resistance based on mass spectrometer measurements and machine learning analysis

    Kurokawa, J; Kondo, H; Tsutsumi, T; Ishikawa, K; Sekine, M; Hori, M

    VACUUM   205 巻   2022年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.vacuum.2022.111351

    Web of Science

  12. Study of optical emission spectroscopy using modified Boltzmann plot in dual-frequency synchronized pulsed capacitively coupled discharges with DC bias at low-pressure in Ar/O<sub>2</sub>/C<sub>4</sub>F<sub>8</sub> plasma etching process

    Sahu, BB; Nakane, K; Ishikawa, K; Sekine, M; Tsutsumi, T; Gohira, T; Ohya, Y; Ohno, N; Hori, M

    PHYSICAL CHEMISTRY CHEMICAL PHYSICS   24 巻 ( 22 ) 頁: 13883 - 13896   2022年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1039/d2cp00289b

    Web of Science

    PubMed

  13. Ion-induced interface defects in a-Si:H/c-Si heterojunction: possible roles and kinetics of hot mobile hydrogens

    Nunomura, S; Tsutsumi, T; Nakane, K; Sato, A; Sakata, I; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   61 巻 ( 5 )   2022年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/ac5210

    Web of Science

  14. Low-temperature reduction of SnO2 by floating wire-assisted medium-pressure H-2/Ar plasma

    Thi-Thuy-Nga Nguyen, Sasaki Minoru, Hsiao Shih-Nan, Tsutsumi Takayoshi, Ishikawa Kenji, Hori Masaru

    PLASMA PROCESSES AND POLYMERS     2022年2月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/ppap.202100209

    Web of Science

  15. Nitrogen Atom Density Measurements in NAGDIS-T Using Vacuum Ultraviolet Absorption Spectroscopy

    Nishio, R; Kajita, S; Tanaka, H; Asaoka, K; Tsutsumi, T; Hori, M; Ohno, N

    PLASMA AND FUSION RESEARCH   17 巻   2022年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1585/pfr.17.1201004

    Web of Science

  16. Functional nitrogen science based on plasma processing: quantum devices, photocatalysts and activation of plant defense and immune systems

    Kaneko Toshiro, Kato Hiromitsu, Yamada Hideaki, Yamamoto Muneaki, Yoshida Tomoko, Attri Pankaj, Koga Kazunori, Murakami Tomoyuki, Kuchitsu Kazuyuki, Ando Sugihiro, Nishikawa Yasuhiro, Tomita Kentaro, Ono Ryo, Ito Tsuyohito, Ito Atsushi M., Eriguchi Koji, Nozaki Tomohiro, Tsutsumi Takayoshi, Ishikawa Kenji

    JAPANESE JOURNAL OF APPLIED PHYSICS   61 巻 ( SA )   2022年1月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/ac25dc

    Web of Science

  17. On the Etching Mechanism of Highly Hydrogenated SiN Films by CF<sub>4</sub>/D<sub>2</sub> Plasma: Comparison with CF<sub>4</sub>/H<sub>2</sub>

    Hsiao, SN; Nguyen, TTN; Tsutsumi, T; Ishikawa, K; Sekine, M; Hori, M

    COATINGS   11 巻 ( 12 )   2021年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/coatings11121535

    Web of Science

  18. Reaction Mechanism and Selectivity Control of Si Compound ALE Based on Plasma Modification and F-Radical Exposure

    Vervuurt R. H. J., Mukherjee B., Nakane K., Tsutsumi T., Hori M., Kobayashi N.

    LANGMUIR   37 巻 ( 43 ) 頁: 12663 - 12672   2021年11月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1021/acs.langmuir.1c02036

    Web of Science

  19. Effects of hydrogen content in films on the etching of LPCVD and PECVD SiN films using CF4/H-2 plasma at different substrate temperatures 査読有り

    Hsiao Shih-Nan, Britun Nikolay, Thi-Thuy-Nga Nguyen, Tsutsumi Takayoshi, Ishikawa Kenji, Sekine Makoto, Hori Masaru

    PLASMA PROCESSES AND POLYMERS     2021年8月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/ppap.202100078

    Web of Science

  20. Influences of substrate temperatures on etch rates of PECVD-SiN thin films with a CF4/H-2 plasma 査読有り

    Hsiao Shih-Nan, Nakane Kazuya, Tsutsumi Takayoshi, Ishikawa Kenji, Sekine Makoto, Hori Masaru

    APPLIED SURFACE SCIENCE   542 巻   2021年3月

     詳細を見る

    掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.apsusc.2020.148550

    Web of Science

  21. Selective etching of SiN against SiO2 and poly-Si films in hydrofluoroethane chemistry with a mixture of CH2FCHF2, O-2, and Ar 査読有り

    Hsiao Shih-Nan, Ishikawa Kenji, Hayashi Toshio, Ni Jiwei, Tsutsumi Takayoshi, Sekine Makoto, Hori Masaru

    APPLIED SURFACE SCIENCE   541 巻   2021年3月

     詳細を見る

    掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.apsusc.2020.148439

    Web of Science

  22. Reaction science of layer-by-layer thinning of graphene with oxygen neutrals at room temperature 査読有り

    Sugiura Hirotsugu, Kondo Hiroki, Higuchi Kimitaka, Arai Shigeo, Hamaji Ryo, Tsutsumi Takayoshi, Ishikawa Kenji, Hori Masaru

    CARBON   170 巻   頁: 93 - 99   2020年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.carbon.2020.07.052

    Web of Science

  23. Formation of spherical Sn particles by reducing SnO2 film in floating wire-assisted H-2/Ar plasma at atmospheric pressure 査読有り

    Thi-Thuy-Nga Nguyen, Sasaki Minoru, Tsutsumi Takayoshi, Ishikawa Kenji, Hori Masaru

    SCIENTIFIC REPORTS   10 巻 ( 1 )   2020年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1038/s41598-020-74663-z

    Web of Science

  24. Measurements of nitrogen atom density in a microwave-excited plasma jet produced under moderate pressures 査読有り

    Kim Jaeho, Takeda Keigo, Itagaki Hirotomo, Wang Xue-lun, Hirose Shingo, Ogiso Hisato, Shimizu Tetsuji, Kumagai Naoto, Tsutsumi Takayoshi, Kondo Hiroki, Hori Masaru, Sakakita Hajime

    IEEJ TRANSACTIONS ON ELECTRICAL AND ELECTRONIC ENGINEERING   15 巻 ( 9 ) 頁: 1281 - 1287   2020年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/tee.23194

    Web of Science

  25. Numerical analysis of coaxial dielectric barrier helium discharges: three-stage mode transitions and internal bullet propagation 査読有り

    Sato Yosuke, Ishikawa Kenji, Tsutsumi Takayoshi, Hori Masaru

    APPLIED PHYSICS EXPRESS   13 巻 ( 8 ) 頁: .   2020年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  26. Atomic nitrogen density measurements by actinometry method in the toroidal device NAGDIS-T 査読有り

    Kajita Shin, Asaoka Koji, Tanaka Hirohiko, Nishio Ryosuke, Tsutsumi Takayoshi, Hori Masaru, Ohno Noriyasu

    JAPANESE JOURNAL OF APPLIED PHYSICS   59 巻 ( 8 ) 頁: .   2020年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  27. In situ surface analysis of an ion-energy-dependent chlorination layer on GaN during cyclic etching using Ar+ ions and Cl radicals 査読有り

    Hasegawa Masaki, Tsutsumi Takayoshi, Tanide Atsushi, Nakamura Shohei, Kondo Hiroki, Ishikawa Kenji, Sekine Makoto, Hori Masaru

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A   38 巻 ( 4 )   2020年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1116/6.0000124

    Web of Science

  28. Numerical simulations of stable, high-electron-density atmospheric pressure argon plasma under pin-to-plane electrode geometry: effects of applied voltage polarity 査読有り

    Yosuke Sato, Kenji Ishikawa, Takayoshi Tsutsumi, Akio Ui, Masato Akita, Shotaro Oka, Masaru Hori

    Journal of Physics D: Applied Physics     2020年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6463/ab7df0

  29. Generation and Diagnostics of Ambient Air Glow Discharge in Centimeter-Order Gaps 査読有り

    Gamaleev Vladislav, Tsutsumi Takayoshi, Hiramatsu Mined, Ito Masafumi, Hori Masaru

    IEEE ACCESS   8 巻   頁: 72607 - 72619   2020年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/ACCESS.2020.2988091

    Web of Science

  30. Electron and negative ion dynamics in a pulsed 100 MHz capacitive discharge produced in an O-2 and Ar/O-2/C4F8 gas mixture 査読有り

    Sirse N., Tsutsumi T., Sekine M., Hori M., Ellingboe A. R.

    PLASMA SOURCES SCIENCE & TECHNOLOGY   29 巻 ( 3 )   2020年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6595/ab7086

    Web of Science

  31. Interaction of oxygen with polystyrene and polyethylene polymer films: A mechanistic study 査読有り

    Fukunaga Yusuke, Longo Roberto C., Ventzek Peter L. G., Lane Barton, Ranjan Alok, Hwang Gyeong S., Hartmann Greg, Tsutsumi Takayoshi, Ishikawa Kenji, Kondo Hiroki, Sekine Makoto, Hori Masaru

    JOURNAL OF APPLIED PHYSICS   127 巻 ( 2 )   2020年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.5127863

    Web of Science

  32. Etching characteristics of PECVD-prepared SiN films with CF<sub>4</sub>/D<sub>2</sub> and CF<sub>4</sub>/H<sub>2</sub> plasmas at different temperatures

    Hsiao, SN; Nguyen, TTN; Tsutsumi, T; Ishikawa, K; Sekine, M; Hori, M

    2020 INTERNATIONAL SYMPOSIUM ON SEMICONDUCTOR MANUFACTURING (ISSM)     2020年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/ISSM51728.2020.9377537

    Web of Science

  33. Gas-phase and film analysis of hydrogenated amorphous carbon films: Effect of ion bombardment energy flux on sp2 carbon structures 査読有り

    Hirotsugu Sugiura, Yasuyuki Ohashi, Kenji Ishikawa, Hiroki Kondo, Toshiaki Kato, Toshiro Kaneko, Keigo Takeda, Takayoshi Tsutsumi, Toshio Hayashi, Makoto Sekine, Masaru Hori

    Diamond and Related Materials   104 巻   頁: 107651   2019年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.diamond.2019.107651

  34. In Situ Monitoring of Surface Reactions during Atomic Layer Etching of Silicon Nitride Using Hydrogen Plasma and Fluorine Radicals 査読有り

    Nakane Kazuya, Vervuurt Rene H. J., Tsutsumi Takayoshi, Kobayashi Nobuyoshi, Hori Masaru

    ACS APPLIED MATERIALS & INTERFACES   11 巻 ( 40 ) 頁: 37263 - 37269   2019年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1021/acsami.9b11489

    Web of Science

  35. Gene Expression of Osteoblast-like Cells on Carbon-Nanowall as Scaffolds during Incubation with Electrical Stimulation 査読有り

    Ichikawa, T; Kondo, H; Ishikawa, K; Tsutsumi, T; Tanaka, H; Sekine, M; Hori, M

    ACS APPLIED BIO MATERIALS   2 巻 ( 7 ) 頁: 2698 - 2702   2019年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1021/acsabm.9b00178

    Web of Science

    PubMed

  36. Progress and perspectives in dry processes for emerging multidisciplinary applications: how can we improve our use of dry processes? 査読有り

    Iwase Taku, Kamaji Yoshito, Kang Song Yun, Koga Kazunori, Kuboi Nobuyuki, Nakamura Moritaka, Negishi Nobuyuki, Nozaki Tomohiro, Nunomura Shota, Ogawa Daisuke, Omura Mitsuhiro, Shimizu Tetsuji, Shinoda Kazunori, Sonoda Yasushi, Suzuki Haruka, Takahashi Kazuo, Tsutsumi Takayoshi, Yoshikawa Kenichi, Ishijima Tatsuo, Ishikawa Kenji

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/ab163a

    Web of Science

  37. Progress and perspectives in dry processes for nanoscale feature fabrication: fine pattern transfer and high-aspect-ratio feature formation 査読有り

    Iwase Taku, Kamaji Yoshito, Kang Song Yun, Koga Kazunori, Kuboi Nobuyuki, Nakamura Moritaka, Negishi Nobuyuki, Nozaki Tomohiro, Nunomura Shota, Ogawa Daisuke, Omura Mitsuhiro, Shimizu Tetsuji, Shinoda Kazunori, Sonoda Yasushi, Suzuki Haruka, Takahashi Kazuo, Tsutsumi Takayoshi, Yoshikawa Kenichi, Ishijima Tatsuo, Ishikawa Kenji

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/ab1638

    Web of Science

  38. Progress and perspectives in dry processes for leading-edge manufacturing of devices: toward intelligent processes and virtual product development 査読有り

    Iwase Taku, Kamaji Yoshito, Kang Song Yun, Koga Kazunori, Kuboi Nobuyuki, Nakamura Moritaka, Negishi Nobuyuki, Nozaki Tomohiro, Nunomura Shota, Ogawa Daisuke, Omura Mitsuhiro, Shimizu Tetsuji, Shinoda Kazunori, Sonoda Yasushi, Suzuki Haruka, Takahashi Kazuo, Tsutsumi Takayoshi, Yoshikawa Kenichi, Ishijima Tatsuo, Ishikawa Kenji

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/ab163b

    Web of Science

  39. Facile synthesis of SnO2-graphene composites employing nonthermal plasma and SnO2 nanoparticles-dispersed ethanol 査読有り

    Borude Ranjit R., Sugiura Hirotsugu, Ishikawa Kenji, Tsutsumi Takayoshi, Kondo Hiroki, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   52 巻 ( 17 )   2019年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6463/ab03c4

    Web of Science

  40. Effects of 3D structure on electrochemical oxygen reduction characteristics of Pt- nanoparticle-supported carbon nanowalls

    Imai, S; Naito, K; Kondo, H; Cho, HJ; Ishikawa, K; Tsutsumi, T; Sekine, M; Hiramatsu, M; Hori, M

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   52 巻 ( 10 ) 頁: 105503 - 105503   2019年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6463/aaf8e0

    Web of Science

    その他リンク: http://iopscience.iop.org/article/10.1088/1361-6463/aaf8e0/pdf

  41. Real-time control of a wafer temperature for uniform plasma process

    T. Tsutsumi, Y. Fuknaga, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    2018 International Symposium on Semiconductor Manufacturing     2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(その他学術会議資料等)  

    DOI: 10.1109/ISSM.2018.8651183

  42. Remotely floating wire-assisted generation of high-density atmospheric pressure plasma and SF<sub>6</sub>-added plasma etching of quartz glass

    Nguyen, TTN; Sasaki, M; Odaka, H; Tsutsumi, T; Ishikawa, K; Hori, M

    JOURNAL OF APPLIED PHYSICS   125 巻 ( 6 ) 頁: 063304 - 063304   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.5081875

    Web of Science

  43. Liquid dynamics in response to an impinging low-temperature plasma jet

    Brubaker, TR; Ishikawa, K; Kondo, H; Tsutsumi, T; Hashizume, H; Tanaka, H; Knecht, SD; Bilén, SG; Hori, M

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   52 巻 ( 7 )   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6463/aaf460

    Web of Science

  44. Control of sp2-C cluster incorporation of amorphous carbon films grown by H-radical-injection CH4/H2 plasma-enhanced chemical vapor deposition 査読有り

    Hirotsugu Sugiura, Lingyun Jia, Yasuyuki Ohashi, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Toshio Hayashi, Keigo Takeda, Makoto Sekine, Masaru Hori

    Japanese Journal of Applied Physics   58 巻 ( 3 ) 頁: 030912   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: doi.org/10.7567/1347-4065/aafd49

  45. Single-Step, Low-Temperature Simultaneous Formations and in Situ Binding of Tin Oxide Nanoparticles to Graphene Nanosheets by In-Liquid Plasma for Potential Applications in Gas Sensing and Lithium-Ion Batteries 査読有り

    Ranjit R. Borude, Hirotsugu Sugiura, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo, Nobuyuki Ikarashi, Masaru Hori

    ACS Appplied Nano Materials   2 巻 ( 2 ) 頁: 649-654   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1021/acsanm.8b02201

  46. Effect of electrical stimulation on proliferation and bone-formation by osteoblast-like cells cultured on carbon nanowalls scaffolds

    Ichikawa, T; Tanaka, S; Kondo, H; Ishikawa, K; Tsutsumi, T; Sekine, M; Hori, M

    APPLIED PHYSICS EXPRESS   12 巻 ( 2 )   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1882-0786/aaf469

    Web of Science

  47. Narrow free-standing features fabricated by top-down self-limited trimming of organic materials using precisely temperature-controlled plasma etching system

    Fukunaga, Y; Tsutsumi, T; Kondo, H; Ishikawa, K; Sekine, M; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻 ( 2 )   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/aaf92a

    Web of Science

  48. Modifications of surface and bulk properties of magnetron-sputtered carbon films employing a post-treatment of atmospheric pressure plasma 査読有り

    Borude Ranjit R., Sugiura Hirotsugu, Ishikawa Kenji, Tsutsumi Takayoshi, Kondo Hiroki, Han Jeon Geon, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻 ( SA )   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/aaec87

    Web of Science

  49. Effects of Ion Bombardment Energy Flux on Chemical Compositions and Structures of Hydrogenated Amorphous Carbon Films Grown by a Radical-Injection Plasma-Enhanced Chemical Vapor Deposition 査読有り

    H. Sugiura, H. Kondo, T. Tsutsumi, K. Ishikawa, M. Hori

    C   5 巻 ( 1 ) 頁: 8   2019年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/c5010008

  50. Electrochemical Reaction in Hydrogen Peroxide and Structural Change of Platinum Nanoparticle-Supported Carbon Nanowalls Grown Using Plasma-Enhanced Chemical Vapor Deposition 査読有り

    Masakazu Tomatsu , Mineo Hiramatsu, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Masaru Hori

    C   5 巻 ( 1 ) 頁: 7   2019年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/c5010007

  51. Polyethylene terephthalate (PET) surface modification by VUV and neutral active species in remote oxygen or hydrogen plasmas 査読有り

    Yan Zhang, Kenji Ishikawa, Miran Mozetič, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, Masaru Hori

    Plasma Processes and Polymers     2019年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/ppap.201800175

  52. Pt nanoparticle-supported carbon nanowalls electrode with improved durability for fuel cell applications using C<sub>2</sub>F<sub>6</sub>/H<sub>2</sub> plasma-enhanced chemical vapor deposition

    Imai, S; Kondo, H; Hyungjun, C; Ishikawa, K; Tsutsumi, T; Sekine, M; Hiramatsu, M; Hori, M

    APPLIED PHYSICS EXPRESS   12 巻 ( 1 )   2019年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1882-0786/aaf0ab

    Web of Science

  53. Reaction mechanisms between chlorine plasma and a spin-on-type polymer mask for high-temperature plasma etching 査読有り

    Zhang Yan, Imamura Masato, Ishikawa Kenji, Tsutsumi Takayoshi, Kondo Hiroki, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 10 )   2018年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.106502

    Web of Science

  54. Temperature dependence on plasma-induced damage and chemical reactions in GaN etching processes using chlorine plasma 査読有り

    Zecheng Liu, Kenji Ishikawa, Masato Imamura, Takayoshi Tsutsumi, Hiroki Kondo, Osamu Oda, Makoto Sekine, Masaru Hori

    Japanese Journal of Applied Physics   57 巻 ( 6 )   2018年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:Japan Society of Applied Physics  

    Plasma-induced damage (PID) on GaN was optimally reduced by high-temperature chlorine plasma etching. Energetic ion bombardments primarily induced PID involving stoichiometry, surface roughness, and photoluminescence (PL) degradation. Chemical reactions under ultraviolet (UV) irradiation and chlorine radical exposure at temperatures higher than 400 °C can be controlled by taking into account the synergism of simultaneous photon and radical irradiations to effectively reduce PID.

    DOI: 10.7567/JJAP.57.06JD01

    Web of Science

    Scopus

  55. Effects of gas residence time of CH4/H2 on sp2 fraction of amorphous carbon films and dissociated methyl density during radical-injection plasma-enhanced chemical vapor deposition 査読有り

    Hirotsugu Sugiura, Lingyun Jia, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Toshio Hayashi, Keigo Takeda, Makoto Sekine, Masaru Hori

    Japanese Journal of Applied Physics   57 巻 ( 6 )   2018年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:Japan Society of Applied Physics  

    Quadruple mass spectrometric measurements of CH3 density during radical-injection plasma-enhanced chemical vapor deposition to consider the sp2 fraction of amorphous carbon (a-C) films were performed. The sp2 fraction of the a-C films reached a minimum of 46%, where the CH3 density was maximum for a residence time of 6 ms. The sp2 fraction of the a-C films was tailored with the gaseous phase CH3 density during the deposition. This knowledge is useful for understanding the formation mechanism of bonding structures in the a-C films, which enables the precise control of their electronic properties.

    DOI: 10.7567/JJAP.57.06JE03

    Web of Science

    Scopus

  56. Nanographene synthesis employing in-liquid plasmas with alcohols or hydrocarbons 査読有り

    Atsushi Ando, Kenji Ishikawa, Hiroki Kondo, Takayoshi Tsutsumi, Keigo Takeda, Takayuki Ohta, Masafumi Ito, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    Japanese Journal of Applied Physics   57 巻 ( 2 )   2018年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japan Society of Applied Physics  

    Graphenes of nanometer-scale grain size (nanographenes) were synthesized using in-liquid plasmas with alcohols or hydrocarbons. This method of nanographene synthesis showed a trade-off relationship between crystallinity and synthesis rate. The high crystallinity of nanographenes synthesized with alcohols was evaluated from the small full width at half maxima (FWHM) of the G band in Raman scattering spectra. On the other hand, in the case of using hydrocarbons such as n-hexane and benzene, a significantly high synthesis rate was obtained but the crystallinity of nanographenes was low. It was found that hydroxyl groups and oxygen atoms of liquid sources play important roles in determining the crystallinity of synthesized nanographenes.

    DOI: 10.7567/JJAP.57.026201

    Web of Science

    Scopus

  57. Rapid growth of micron-sized graphene flakes using in-liquid plasma employing iron phthalocyanine-added ethanol 査読有り

    Tomoki Amano, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Keigo Takeda, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    Applied Physics Express   11 巻 ( 1 )   2018年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japan Society of Applied Physics  

    Giant graphene flakes on the micron scale were synthesized and grown in plasmas in liquid-phase pure ethanol with added iron phthalocyanine (FePc) in a solvent. At atmospheric pressure, plasmas were generated in the gas phase filled with Ar and in the liquid phases comprising bubbles and liquid solutions. In the mixture of FePc in ethanol, nanographene sheets aggregated to form giant graphene flakes, as confirmed by the D, G, and 2D bands in the corresponding Raman spectra. Therefore, a bottom-up approach of graphite synthesis from pure ethanol with additives and a catalyst was realized by in-liquid plasma processing.

    DOI: 10.7567/APEX.11.015102

    Web of Science

    Scopus

  58. Real-time control of a wafer temperature for uniform plasma process

    Tsutsumi, T; Fuknaga, Y; Ishikawa, K; Kondo, H; Sekine, M; Hori, M

    2018 INTERNATIONAL SYMPOSIUM ON SEMICONDUCTOR MANUFACTURING (ISSM)     頁: .   2018年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  59. Measurement of F-, O- and CF3- densities in 60 and 100 MHz asymmetric capacitively coupled plasma discharge produced in an Ar/O2/C4F8 gas mixture 査読有り

    N. Sirse, T. Tsutsumi, M. Sekine, M. Hori, A. R. Ellingboe

    Journal of Physics D: Applied Physics   50 巻 ( 33 ) 頁: 335205   2017年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6463/aa77c4

  60. Temperature dependence of protection layer formation on organic trench sidewall in H2/N2 plasma etching with control of substrate temperature 査読有り

    Y. Fukunaga, T. Tsutsumi, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    Japanese Journal of Applied Physics   56 巻 ( 7 ) 頁: 076202   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.56.076202

  61. Investigation of the radially resolved oxygen dissociation degree and local mean electron energy in oxygen plasmas in contact with different surface materials 査読有り

    T. Tsutsumi, A. Greb, A. R. Gibson, M. Hori, D. O'Connell, T. Gans

    Journal of Applied Physics   121 巻 ( 14 ) 頁: 143301   2017年4月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4979855

  62. Electron behaviors in afterglow of synchronized dc-imposed pulsed fluorocarbon-based plasmas 査読有り

    T. Ueyama, Y. Fukunaga, T. Tsutsumi, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Iwata, Y. Ohya, H. Sugai, M. Hori

    Japanese Journal of Applied Physics   56 巻 ( 6S2 ) 頁: 06HC03   2017年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.56.06HC03

  63. Absolute density of precursor SiH3 radicals and H atoms in H2-diluted SiH4 gas plasma for deposition of microcrystalline silicon films 査読有り

    Y. Abe, K. Ishikawa, K. Takeda, T. Tsutsumi, A. Fukushima, H. Kondo, M. Sekine, M. Hori

    Applied Physics Letters   110 巻 ( 4 ) 頁: 043902   2017年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4974821

  64. Advanced Plasma Etching Processing: Atomic Layer Etching for Nanoscale Devices 査読有り

    T. Tsutsumi, M. Zaitsu, A. Kobayashi, N. Kobayashi, M. Hori

    PLASMA NANO SCIENCE AND TECHNOLOGY   77 巻 ( 3 ) 頁: 25 - 28   2017年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:ELECTROCHEMICAL SOC INC  

    Plasma processes for deposition and etching are required for development of the next generation semiconductor devices. To achieve high performance of nanoscale devices, the continuous development of fabrication processes is necessary. An atomic layer etching (ALE) process achieves this continuous development, since the process has advantages such as more precise, higher controllability and repeatability. We have developed ALE process for SiO2 to achieve high controllability and repeatability. The ALE process is a cyclic process composed of two steps: a first step which deposits a fluorocarbon film on the SiO2 surface using an Ar/C4F8 plasma, followed by an O-2 plasma etching step. The O-2 plasma etching suppresses forming a carbon-rich film on the target material surface and maintains the chamber conditions by removing the fluorocarbon on the chamber walls. The ALE process for SiO2 exhibits high reproducibility and has the potential to allow uniform EPC values over large wafer surfaces.

    DOI: 10.1149/07703.0025ecst

    Web of Science

  65. Atomic layer etching of SiO2 by alternating an O2 plasma with fluorocarbon film deposition 査読有り

    T. Tsutsumi, H. Kondo, M. Hori, M. Zaitsu, A. Kobayashi, T. Nozawa, N. Kobayashi

    Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films   35 巻 ( 1 ) 頁: 01A103   2016年11月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1116/1.4971171

  66. The role of plasma chemistry on functional silicon nitride film properties deposited at low-temperature by mixing two frequency powers using PECVD 査読有り

    B. B. Sahu, Y. Y. Yin, T. Tsutsumi, M. Hori, Jeon G. Han

    Physical Chemistry Chemical Physics   18 巻 ( 18 ) 頁: 13033   2016年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1039/C6CP00986G

  67. Control of Internal Plasma Parameters Toward Atomic Level Processing 査読有り

    M. Sekine, T. Tsutsumi, Y. Fukunaga, K. Takeda, H. Kondo, K. Ishikawa, M. Hori

    ECS Transactions   75 巻 ( 6 ) 頁: 21   2016年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/07506.0021ecst

  68. Real-time temperature-monitoring of Si substrate during plasma processing and its heat-flux analysis 査読有り

    T. Tsutsumi, K. Ishikawa, K. Takeda, H. Kondo, T. Ohta, M. Ito, M. Sekine, M. Hori

    Japanese Journal of Applied Physics   55 巻 ( 1S ) 頁: 01AB04   2015年11月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.55.01AB04

  69. Feedback Control System of Wafer Temperature for Advanced Plasma Processing and its Application to Organic Film Etching 査読有り

    T. Tsutsumi, Y. Fukunaga, K. Ishikawa, K. Takeda, H. Kondo, T. Ohta, M. Ito, M. Sekine, M. Hori

    IEEE Transactions on Semiconductor Manufacturing   28 巻 ( 4 ) 頁: 515   2015年8月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/TSM.2015.2470554

  70. Wavelength Dependence for Silicon-Wafer Temperature Measurement by Autocorrelation-type Frequency-Domain Low-Coherence Interferometry 査読有り

    T. Tsutsumi, T. Ohta, K Takeda, M. Ito, M. Hori

    Applied Optics   54 巻 ( 23 ) 頁: 7088   2015年8月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1364/AO.54.007088

  71. Robust characteristics of semiconductor-substrate temperature-measurement method using auto-correlation type frequency-domain low-coherence interferometry 査読有り

    T. Tsutsumi, T. Ohta, K. Ishikawa, K. Takeda, H. Kondo, M. Sekine, M. Hori, M. Ito

    Japanese Journal of Applied Physics   54 巻 ( 1S ) 頁: 01AB03   2014年11月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.54.01AB03

  72. Rapid measurement of substrate temperatures by frequency-domain low-coherence interferometry 査読有り

    T. Tsutsumi, T. Ohta, K. Ishikawa, K. Takeda, H. Kondo, M. Sekine, M. Hori, M. Ito

    Applied Physics Letters   103 巻 ( 18 ) 頁: 182102   2013年10月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4827426

▼全件表示

MISC 3

  1. 液中プラズマによるナノグラフェンの高速合成と機能化

    近藤博基,堤隆嘉,石川健治,堀勝,平松美根男  

    表面と真空67 巻 ( 2 ) 頁: 77 - 82   2024年

     詳細を見る

  2. 窒化物半導体プラズマエッチングにおける原子層反応制御と低ダメージプロセス

    堤 隆嘉、石川 健治、近藤 博基、関根 誠、堀 勝  

    プラズマ・核融合学会誌97 巻 ( 9 ) 頁: 517 - 521   2021年9月

  3. 高速かつ高精度非接触, リアルタイム基板温度計測システムの開発~超微細加工プラズマプロセスのイノベーションに向けて~

    堤 隆嘉  

    プラズマエレクトロニクス分科会会報58 巻   頁: 19   2013年6月

講演・口頭発表等 320

  1. Control of etching profile by bias supply timing in cyclic process using C4F8/SF6 gas modulated plasma 国際会議

    T. Yoshie, K. Ishikawa, T. Tsutsumi, M. Sekine, M. Hori

    The 44th International Symposium on Dry Process (DPS2023)   2023年11月22日 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Aichi   国名:日本国  

  2. Defect characterization at SiO2/Si interface throughout plasma processing and annealing 国際会議

    S.Nunomura, T.Tsutsumi, I. Sakata and M Hori

    The 44th International Symposium on Dry Process (DPS2023)   2023年11月22日 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Aichi   国名:日本国  

  3. A pseudo-wet cryogenic plasma etching of SiO2 investigated with in-situ surface monitoring 国際会議

    S-N Hsiao, M. Sekine, K. Ishikawa, T.Tsutsumi, and M. Hori Y Iijima, R. Suda, Y. Kihara

    The 44th International Symposium on Dry Process (DPS2023)   2023年11月22日 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Aichi   国名:日本国  

  4. Electron-Beam-Assisted Self-limiting fluorination of GaN surface using XeF2 for Atomic Layer Etching 国際会議

    Y. Izumi, T. Tsutsumi, H Kondo, M. Sekine, M. Hori, K. Ishikawa

    The 44th International Symposium on Dry Process (DPS2023)   2023年11月21日 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Aichi   国名:日本国  

  5. Compositions of Ions Related with Electrode Materials in Pulsed Plasma for High-Aspect-Ratio Hole Etching 国際会議

    K. Toji, T. Tsutsumi, S-N. Hsiao, M. Sekine, M. Hori, K. Ishikawa

    The 44th International Symposium on Dry Process (DPS2023)   2023年11月21日 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Aichi   国名:日本国  

  6. Etch selectivities of SiO2 and SiN against a-C films using CF4/H2 plasma at low temperature 国際会議

    Y. Imai, S-N. Hsiao, M. Sekine, K. Ishikawa, T. Tsutsumi, M. Iwata, M. Tomura, Y. Iijima, K. Matsushima, M. Hori

    The 44th International Symposium on Dry Process (DPS2023)   2023年11月21日 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Aichi   国名:日本国  

  7. Etching Selectivities of SiO2 and SiN Against a-C Films Using CF4/H2 with a Pseudo-Wet Plasma Etching Mechanism 国際会議

    Yusuke Imai, S. Hsiao, M. Sekine, T. Tsutsumi, K. Ishikawa, M. Iwata, M. Tamura, Y. Iijima, T. Gohira, K. Matsushima, Y. Ohya, M. Hori,

    AVS 69th International Symposium and Exhibition (AVS 69)  2023年11月6日 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Portland   国名:アメリカ合衆国  

  8. Transient behavior of cycle process in Ar plasma with alternately injected C4F8 and SF6 国際会議

    T. Yoshie, K. Ishikawa, TTN Nguyen, S. Hsiao, T. Tsutsumi, M. Sekine, M. Hori

    13th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2023)  2023年11月8日 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Busan   国名:大韓民国  

  9. Plasma-based pseudo-wet mechanism for cryogenic SiO2 etching using hydrogen-contained fluorocarbon gases with an in-situ surface analysis 国際会議

    S. Hsiao, M. Sekine, T. Tsutsumi, K. Ishikawa, M. Iwata, M. Tomura, Y. Iijima, T. Gohira, K. Matsushima, Y. Ohya, M. Hori

    The 76th Annual Gaseous Electronics Conference (GEC76)  2023年10月11日 

     詳細を見る

    開催年月日: 2023年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Michigan League   国名:アメリカ合衆国  

  10. Plasma-Enhanced Deposition Mechanism of Hydrogenated Amorphous Carbon Analyzed ByCombining Reactive Species Measurement and Machine Learning 招待有り 国際会議

    H. Kondo, T. Tsutsumi, K. Ishikawa, M. Sekine, H. Kousaka, M. Hori

    244th ECS Meeting  2023年10月11日 

     詳細を見る

    開催年月日: 2023年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Gothenburg   国名:スウェーデン王国  

  11. Selective removal of graphene by irradiation of remote oxygen plasma 国際会議

    L. Hu, K. Ishikawa, T. Tsutsumi, TTN Nguyen, S. Hsiao, H. Kondo, M. Sekine, M. Hori

    Global Plasma Forum in Aomori  2023年10月16日 

     詳細を見る

    開催年月日: 2023年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Aomori   国名:日本国  

  12. In-liquid plasma synthesis, morphological control, and functionalization of nanographene materials 国際会議

    H. Kondo, T. Tsutsumi, M. Hiramatsu, M. Hori

    THERMEC'2023  2023年7月3日 

     詳細を見る

    開催年月日: 2023年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Vienna   国名:オーストリア共和国  

  13. Plasma Synthesis of Graphene-Based Materials: Functionalization and Applications 招待有り 国際共著 国際会議

    H.Kondo, H.Zhou, T.Tsutsumi, K.Ishikawa, M.Sekine, and M.Hori

    THERMEC'2023  2023年7月3日 

     詳細を見る

    開催年月日: 2023年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Vienna   国名:オーストリア共和国  

  14. Recent Progress in the Synthesis of Functional and Three-Dimensional Carbon Nano-Composites By Gas-Liquid Interface Plasma 国際会議

    H.Kondo, H.Zhou, T.Tsutsumi, K.Ishikawa, M.Sekine, and M.Hori

    243rd ECS Meeting 

     詳細を見る

    開催年月日: 2023年5月 - 2023年6月

    会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  15. A comparative study on the CF4/H2 and HF/H2 plasmas for etching of highly hydrogenated SiN films 国際会議

    Shih-Nan Hsiao, Nikolay Britun, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    25th International Symposium on Plasma Chemistry (ISPC25) 

     詳細を見る

    開催年月日: 2023年5月

    会議種別:口頭発表(招待・特別)  

    国名:日本国  

  16. Global and local contribution analysis of process parameters in Plasma enhanced chemical vapor deposition of amorphous carbon har 国際会議

    Yusuke Ando, Jumpei Kurokawa, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    The 4th International Conference on Data Driven Plasma Sciences ( ICDDPS-4 ) 

     詳細を見る

    開催年月日: 2023年4月

    会議種別:口頭発表(一般)  

    国名:日本国  

  17. 熱酸化膜/シリコン界面でのプラズマ誘起欠陥の発生と修復

    布村 正太、坂田 功、堤 隆嘉、堀 勝

    第70回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  18. 成膜パラメータの寄与度解析に基づく、 水素化アモルファスカーボン薄膜のエッチ耐性の向上

    安藤 悠介、近藤 博基、石川 健治、堤 隆嘉、関根 誠、堀 勝

    第70回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  19. Characterization of Plasmas and Polymerized Hydrofluorocarbon Films in Capacitively Coupled CF4/H2 Plasmas 国際会議

    Yusuke Imai, Shih-Nan Hsiao, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023) 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  20. Self-Limited Fluorination of Electron Beam-Irradiated GaN Surface 国際会議

    Yusuke Izumi, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023) 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  21. Contribution Analysis of Process Parameters in Plasma-Enhanced Chemical Vapor Deposition of Amorphous Carbon 国際会議

    Yusuke Ando, Jumpei Kurokawa, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023) 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  22. Positive and Negative Ion Behaviors in DC-Imposed Ar/SF6 Pulsed Plasma 国際会議

    Kazuki Toji, Takayoshi Tsutsumi, Kenji Ishikawa, Shih-Nan Hsiao, Makoto Sekine and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023) 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  23. Etching Behavior of Lamellar Poly(styrene-b-2-vinylpyridine) Block Copolymer under N2/H2 Plasma Process 国際会議

    Ma. Shanlene Dela Vega, Ayane Kitahara, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Atsushi Takano, Yushu Matsushita and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023) 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  24. Study on Plasma Process Using Adsorbed C7F14 as an Etchant 国際会議

    Kohei Masuda, Makoto Sekine, Kenji Ishikawa, Shih-Nan Hsiao, Takayoshi Tsutsumi, Hiroki Kondo and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023) 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  25. Morphological Effect of Carbon Nanowalls on Exosome Capture 国際会議

    Takumi Hashimoto, Hiroki Kondo, Hiromasa Tanaka, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Takao Yasui, Yoshinobu Baba, Mineo Hiramatsu and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023) 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  26. MEMS Nozzle for Capturing Lily Pollen in Array and Fixing on Culture Media 国際会議

    Sachiko Sugiyama, Gang Han, Takayoshi Tsutsumi, Hiromasa Tanaka and Minoru Sasaki

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023) 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  27. Plasma diagnostics and characteristics of hydrofluorocarbon films in capacitively coupled CF4/H2 plasmas 国際会議

    Shih-Nan Hsiao, Yusuke Imai, Nicolay Britun, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    International Symposium on Semiconductor Manufacturing 2022 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:英語   会議種別:口頭発表(一般)  

  28. Ion Induced Damage in Plasma Enhanced Atomic Layer Etching Processing 国際会議

    Takayoshi TSUTSUMI, Hiroki KONDO, Kenji ISHIKAWA, Makoto SEKINE, Masaru HORI

     詳細を見る

    開催年月日: 2022年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  29. Time-resolved measurement of ion energy distribution in pulsed Ar/SF6 plasma 国際会議

    Kazuki TOJI, Kenji ISHIKAWA, Takayoshi TSUTSUMI, Shih nan HSIAO, Makoto SEKINE, Masaru HORI

     詳細を見る

    開催年月日: 2022年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  30. Isotropic Plasma-enhanced Atomic Layer Etching of SiO2 using F radicals and Ar plasma 国際会議

    A. Osonio, T. Tsutsumi, B. Mukherjee, R. Borude, N. Kobayashi, and M. Hori

    The 43rd International Symposium on Dry Process (DPS2022) 

     詳細を見る

    開催年月日: 2022年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  31. Synthesis, Functionalization, and Three-Dimensional Structuring of Carbon Nanomaterials By Gas-Liquid Interface Plasma 招待有り 国際会議

    H. Kondo, T. Tsutsumi, K. Ishikawa, M. Sekine, and M. Hori

    242nd ECS Meeting 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  32. Achieving selective etching of SiN and SiO2 over amorphous carbon during CF4/H2 by controlling substrate temperature 国際会議

    Shih-Nan Hsiao, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  33. Langmuir probe and Laser Photodetachment Study of Afterglow Phase in Dual RF Frequency Pulsed Plasma Etching Processes Operated with Synchronized DC Bias 国際会議

    Makoto Sekine, Bibhuti B Sahu, Shogo Hattori, Takayoshi Tsutsumi, Nikolay Britun, Kenji Ishikawa, Hirohiko Tanaka, Taku Gohira, Noriyasu Ohno, Masaru Hori

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  34. Topographically-selective atomic layer etching of SiO2 using fluorine-containing plasma 国際会議

    Airah Osonio, Takayoshi Tsutsumi, Bablu Mukherjee, Ranjit Borude, Nobuyoshi Kobayashi, and Masaru Hori

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  35. Development of an experimental system for cell viability assays of yeasts using gas-temperature controllable plasma jets 国際会議

    Shinji Yoshimura, Yoko Otsubo, Akira Yamashita, Katsuki Johzuka, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  36. 水素化アモルファスカーボン薄膜の合成機構における活 性種の寄与度の機械学習を用いた解析

    近藤 博基, 黒川 純平, 堤 隆嘉, 関根 誠, 石川 健治, 堀 勝

    2022年 第83回 応用物理学会 秋季学術講演 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  37. イオン照射角制御によるカーボンナノウォールの配向成長

    射場 信太朗, 近藤 博基, 石川 健治, 堤 隆嘉, 平松 美根男, 関根 誠, 堀 勝

    2022年 第83回 応用物理学会 秋季学術講演 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  38. Machine learning and contribution analysis of radicals to the properties of hydrogenated amorphous carbon films grown by a plasma-enhanced chemical vapor deposition 国際会議

    Hiroki Kondo, Jumpei Kurokawa, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Masaru Hori

    THE 22ND INTERNATIONAL VACUUM CONGRESS IVC-22 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  39. In situ monitoring hydrogen fluoride molecular density and its effects on etch selectivity of SiN over SiO2 films with hydrogen-contained fluorocarbon down-flow plasmas 国際会議

    Shih-Nan Hsiao, Nicolay Britun, Thi-Thuy-Naga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    THE 22ND INTERNATIONAL VACUUM CONGRESS IVC-22 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  40. Nanostructure Control and Modification of Poly(styrene-b-2-vinylpyridine) Block Copolymer in H2/N2 Plasma Process 招待有り 国際会議

    Ma. shanlene Dela Cruz DELA VEGA, Ayane KITAHARA, Thi-thuy-nga NGUYEN, Takayoshi TSUTSUMI, Atsushi TAKANO, Yushu MATSUSHITA, Masaru HORI

    The 5th International Union of Materials Research Societies International Conference of Young Researchers on Advanced Materials (IUMRS-ICYRAM2022) 

     詳細を見る

    開催年月日: 2022年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  41. Interfacial reaction of gas-liquid plasma in the synthesis of functional carbon nanomaterials 招待有り 国際会議

    Hiroki KONDO, Takayoshi TSUTSUMI, Kenji ISHIKAWA, Masaru HORI

    The 5th International Union of Materials Research Societies International Conference of Young Researchers on Advanced Materials (IUMRS-ICYRAM2022) 

     詳細を見る

    開催年月日: 2022年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  42. Study of etching process using CHF3 gas condensed layer in cryogenic region 国際会議

    Kuangda Sun, Chieh-Ju Liao, Shih-Nan Hsiao, Makoto Sekine, Toshiyuki Sasaki, Chihiro Abe, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori

    1st International Workshop on Plasma Cryo Etching Processes(PlaCEP2022) 

     詳細を見る

    開催年月日: 2022年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  43. Transient Behaviors of Gaseous and Surface Reactions in a Cycle of Pasivation and Etch Steps Using Ar-Based C4F8 and SF6 Plasma 国際会議

    Kenji Ishikawa, Taito Yoshie, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, Masaru Hori

    MRS Spring Meetings & Exhibits 

     詳細を見る

    開催年月日: 2022年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  44. C4F8/SF6ガス変調サイクルにおいてバイアス印加位相がエッチング形状に及ぼす影響

    吉江 泰斗、堤 隆嘉、石川 健治、近藤 博基、関根 誠、堀 勝

    第69回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2022年3月

    会議種別:口頭発表(一般)  

  45. 窒化ガリウムの基板昇温時サイクルエッチング特性

    南 吏玖、中村 昭平、谷出 敦、石川 健治、堤 隆嘉、近藤 博基、関根 誠、堀 勝

    第69回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2022年3月

    会議種別:口頭発表(一般)  

  46. プラズマ励起化学気相堆積法におけるカーボンナノウォールの配向成長に対するイオン照射角度の効果

    射場 信太朗、近藤 博基、石川 健治、堤 隆嘉、平松 美根男、関根 誠、堀 勝

    第69回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2022年3月

    会議種別:口頭発表(一般)  

  47. 高効率エクソソーム解析に向けたカーボンナノウォールテンプレートの 表面電位制御

    橋本 拓海、近藤 博基、田中 宏昌、石川 健治、堤 隆嘉、関根 誠、安井 隆雄、馬場 嘉信、平松 美根男、堀 勝

    第69回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2022年3月

    会議種別:口頭発表(一般)  

  48. ラジカル注入型プラズマ励起化学気相堆積法で成長したカーボンナノウォールの3次元構造解析

    近藤 博基、尾崎 敦士、堤 隆嘉、関根 誠、石川 健治、堀 勝、平松 美根男

    第69回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2022年3月

    会議種別:口頭発表(一般)  

  49. C3H6 / H2プラズマを用いた水素化アモルファスカーボン成膜における成膜前駆体と膜特性の相関関係

    黒川 純平、光成 正、近藤 博基、堤 隆嘉、関根 誠、石川 健治、堀 勝

    第69回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2022年3月

    会議種別:口頭発表(一般)  

  50. In-Liquid Plasma Coating of Graphite Films on Metal Surface Immersed in Ethanol 国際会議

    Ma. Shanlene D.C. Dela Vega, Hiroki Kondo, Takayoshi Tsutsumi, Thi-Thuy-Nga Nguyen, Kenji Ishikawa, Makoto Sekine, Magdaleno R. Vasquez Jr., and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma Nanotechnology and Science 

     詳細を見る

    開催年月日: 2022年3月

    会議種別:口頭発表(一般)  

    国名:日本国  

  51. Manipulation of etch selectivity of silicon nitride over silicon dioxide by controlling substrate temperature with a CF4/H2 plasma 国際会議

    Shih-Nan Hsiao, Nicolay Britun, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma Nanotechnology and Science 

     詳細を見る

    開催年月日: 2022年3月

    会議種別:口頭発表(一般)  

    国名:日本国  

  52. Feature profiles in cyclic etch using C4F8 and SF6 gas-modulated plasma 国際会議

    Taito Yoshie, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma Nanotechnology and Science 

     詳細を見る

    開催年月日: 2022年3月

    会議種別:口頭発表(一般)  

    国名:日本国  

  53. Correlation analysis between radicals in gas phase and etch resistance of hydrogenated amorphous carbon film 国際会議

    Jumpei Kurokawa, Tadashi Mitsunari, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, Kenji Ishikawa, and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma Nanotechnology and Science 

     詳細を見る

    開催年月日: 2022年3月

    会議種別:口頭発表(一般)  

    国名:日本国  

  54. Effect of Air Introduction between Electrodes on Rapid Removal Process of Polymer Contamination on Floor by Atmospheric Pressure Plasma 国際会議

    Yoshihiro Sakamoto, Takayoshi Tsutsumi, and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma Nanotechnology and Science 

     詳細を見る

    開催年月日: 2022年3月

    会議種別:ポスター発表  

    国名:日本国  

  55. Simple external filter and tuning for operation in dual radio frequency plasmas using compensated Langmuir probe 国際会議

    B. B. Sahu, M. Sekine, T. Tsutsumi, K. Ishikawa, H. Shogo, H. Tanaka, N. Ohno, and M. Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma Nanotechnology and Science 

     詳細を見る

    開催年月日: 2022年3月

    会議種別:口頭発表(一般)  

    国名:日本国  

  56. Effect of Substrate Temperature on Morphology of Carbon Nanowalls Grown by a Radical-Injection Plasma-Enhanced Chemical Vapor Deposition Using C2F6 /H2 Mixture Gas 国際会議

    Takumi Hashimoto, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma Nanotechnology and Science 

     詳細を見る

    開催年月日: 2022年3月

    会議種別:ポスター発表  

    国名:日本国  

  57. Spectroscopy of H2/CH4/N2 Plasma for Carbon Nanowalls Growth 国際会議

    Dennis Christy, Takayoshi Tsutsumi, Ngo Van Nong, Osamu Oda, Masaru Hori and Nikolay Britun

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma Nanotechnology and Science 

     詳細を見る

    開催年月日: 2022年3月

    会議種別:口頭発表(一般)  

    国名:日本国  

  58. Three-Dimensional Morphological Analysis of Carbon Nanowalls 国際会議

    Hiroki Kondo, Atsushi Ozaki, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Uroš Cvelbar and Mineo Hiramatsu

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma Nanotechnology and Science 

     詳細を見る

    開催年月日: 2022年3月

    会議種別:口頭発表(一般)  

    国名:日本国  

  59. Effect of RF Stage-Bias on Morphology of Carbon Nanowalls Grown by a Radical-Injection Plasma-Enhanced Chemical Vapor Deposition 国際会議

    Shintaro Iba, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma Nanotechnology and Science 

     詳細を見る

    開催年月日: 2022年3月

    会議種別:口頭発表(一般)  

    国名:日本国  

  60. 真空紫外原子吸光分光法による大気圧マイクロ波Ar/O2プラズマのO原子密度計測

    岩田悠揮、小笠原知裕、鈴木陽香、堤隆嘉、堀勝、豊田浩孝

     詳細を見る

    開催年月日: 2022年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

  61. C2F6/H2混合ガスを用いてラジカル注入型プラズマ励起化学気相堆積法により成長されたカーボンナノウォールのモフォロジー制御

    橋本拓海、近藤博基、石川健治、堤隆嘉、関根誠、平松美根男、堀勝

     詳細を見る

    開催年月日: 2022年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

  62. 液中プラズマプロセスを用いたメタノール内金属基板上へのナノグラフェンの成膜

    デラヴェガマリアシャンリン、近藤博基、堤隆嘉、グエン ティ・トゥイーンガ、石川健治、関根誠、ヴァスケズマグダレノジュニア、堀勝

     詳細を見る

    開催年月日: 2022年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

  63. カーボンナノウォールの形態と高さが光透過率に及ぼす影響

    射場 信太朗、近藤 博基、石川 健治、関根 誠、堤 隆嘉、平松 美根男、堀 勝

     詳細を見る

    開催年月日: 2022年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

  64. Optical transmission of carbon nanowalls from ultra-violet region to infra-red region 国際会議

    S. Iba, H. Kondo, K. Ishikawa, T. Tsutsumi, M. Sekine, M. Hiramatsu, and M. Hori

    Material Research Meeting (MRM2021) 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  65. Three-dimensional structural analysis of carbon nanowalls synthesized by a radical-injection plasma-enhanced chemical vapor deposition system 国際会議

    Hiroki Kondo, Atsushi Ozaki, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Uroš Cvelbar, Mineo Hiramatsu

    Material Research Meeting (MRM2021) 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  66. Spatial Distribution Measurement of Atomic Oxygen from Atmospheric-Pressure Microwave Line Plasma by Atomic Absorption Spectroscopy 国際会議

    Tomohiro Ogasawara, Yuki Iwata, Haruka Suzuki, Takayoshi Tsutsumi, Masaru Hori and Hirotaka Toyoda

    12th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-12) 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:台湾  

  67. Comparison of CF4/H2 and HF/H2 Plasmas for Etching of PECVD-Prepared SiN Films 国際会議

    Shih-Nan Hsiao, Nicolay Britun, Thi-Thuy-Nga Nga, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    12th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-12) 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:台湾  

  68. Behavior of Hydrogen Atom in Atmospheric Pressure Micro-Hollow Cathode Discharge 国際会議

    Keigo Takeda, Takayoshi Tsutsumi, Mineo Hiramatsu, Masaru Hori

    12th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-12) 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:台湾  

  69. Random forest model for property control of plasma 国際会議

    J. Kurokawa, T. Tsutsumi, K. Ishikawa, H. Kondo, M. Sekine, and M. Hori

    The 42nd International Symposium on Dry Process (DPS2021) 

     詳細を見る

    開催年月日: 2021年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  70. Selective plasma-enhanced atomic layer etching of SiO using a silane coupling agent 国際会議

    A. Osonio, T. Tsutsumi, B. Mukherjee, R. Borude, N. Kobayashi, M. Hori

    The 42nd International Symposium on Dry Process (DPS2021) 

     詳細を見る

    開催年月日: 2021年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  71. Transient effects in cyclic processes on fabrications of high-aspect-ratio trenches 国際会議

    T. Yoshie, T. Tsutsumi, K. Ishikawa, M. Hori

    The 42nd International Symposium on Dry Process (DPS2021) 

     詳細を見る

    開催年月日: 2021年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  72. Control of Interface Layers for Selective Atomic Layer Etching 招待有り 国際会議

    Takayoshi Tsutsumi, R. Vervuurt, N. Kobayashi, and Masaru Hori

    67th AVS International Symposium and Exhibitio 

     詳細を見る

    開催年月日: 2021年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  73. Ar/C4F8/SF6を用いたガス変調サイクルプロセスにおける活性種の挙動2

    吉江 泰斗、堤 隆嘉、石川 健治、堀 勝

    第82回 応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

  74. カーボンナノウォールの光透過率に対する壁密度および高さの効果

    射場 信太朗、近藤 博基、石川 健治、堤 隆嘉、平松 美根男、関根 誠、堀 勝

    第82回 応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

  75. 窒化ガリウムのプラズマエッチング中その場分光エリプソメトリー観測

    南 吏玖、石川 健治、堤 隆嘉、近藤 博基、関根 誠、小田 修、堀 勝

    第82回 応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

  76. 原子層エッチングの反応素過程とその設計、制御

    石川 健治、Nguyen Thi-Thuy-Nga、堤 隆嘉、蕭 世男、近藤 博基、関根 誠、堀 勝

    第82回 応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

  77. 螺旋状窒素プラズマ中の窒素原子密度計測

    西尾 亮佑、梶田 信、大野 哲靖、田中 宏彦、浅岡 晃次、堤 隆嘉、堀 勝

    第82回 応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

  78. C3H6 / H2プラズマを用いたアモルファスカーボン成膜において水素ガス流量比が膜特性に与える影響

    黒川 純平、光成 正、堤 隆嘉、近藤 博基、関根 誠、石川 健治、堀 勝

    第82回 応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

  79. プラズマ誘起欠陥の発生と修復 ~モバイル水素の役割と考察~

    布村 正太、坂田 功、堤 隆嘉、堀 勝

    第82回 応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

  80. Development of the nanocarbon coating process on metal surfaces via in-liquid plasma 国際会議

    Ma. Shanlene D.C. Dela Vega, Hiroki Kondo, Takayoshi Tsutsumi, Thi-Thuy-Nga Nguyen, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    Interfinish 2020 World Congress 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  81. Application of Atmospheric Pressure Plasma to Rapid Dry Etching of Polymer Contamination on Floor 国際会議

    Y Sakamoto, T Tsutsumi, M Hori

    Interfinish 2020 World Congress 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  82. Numerical analysis of effects of applying voltage polarity on atmospheric pressure argon streamer discharge under pin-to-plane electrode geometry 国際会議

    Y. Sato, K. Ishikawa, T. Tsutsumi, A. Ui, M. Akita, S. Oka and M. Hori

    47th Conference on Plasma Physics 

     詳細を見る

    開催年月日: 2021年6月

    記述言語:英語   会議種別:口頭発表(一般)  

  83. 塩素吸着による窒化ガリウム原子層エッチングの表面反応の理想と現実

    堤 隆嘉, 長谷川 将希, 中村 昭平, 谷出 敦,近藤 博基, 関根 誠, 石川 健治, 堀 勝

    第227回 シリコンテクノロジー分科会 研究集会  2021年3月25日 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  84. 液中プラズマを用いたナノグラフェン合成における活性種の効果[II]

    近藤 博基、濱地 遼、堤 隆嘉、石川 健治、関根 誠、堀 勝

    第68回応⽤物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  85. 塩素吸着を用いた窒化ガリウムの原子層エッチングプロセス特性のArイオンエネルギー依存性

    堤 隆嘉、長谷川 将希、中村 昭平、谷出 敦、近藤 博基、関根 誠、石川 健治、堀 勝

    2021年第68回応⽤物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  86. リモート酸素ラジカルによるグラフェンのエッチング反応の分析

    胡 留剛、堤 隆嘉、蕭 世男、近藤 博基、石川 健治、関根 誠、堀 勝

    2021年第68回応⽤物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  87. マイクロ放電ホローカソードプラズマを用いた真空紫外吸収分光用自己吸収光源の分光診断

    竹田 圭吾、堤 隆嘉、平松 美根男、堀 勝

    2021年第68回応⽤物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  88. Ar/C4F8/SF6を用いたガス変調サイクルプロセスにおける活性種の挙動

    吉江 泰斗、三好 康史、堤 隆嘉、釘宮 克尚、石川 健治、堀 勝

    2021年第68回応⽤物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  89. プラズマ誘起欠陥の発生と修復 ~少数キャリアライフタイムによる定量評価~

    布村 正太、坂田 功、堤 隆嘉、堀 勝

    2021年第68回応⽤物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  90. Design of removal process of SnO2 on glass by H2/Ar plasma at atmospheric pressure and medium pressure 国際会議

    Thi-Thuy-Nga Nguyen, Minoru Sasaki, Takayoshi Tsutsumi, Kenji Ishikawa and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021年3月9日 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(一般)  

  91. Comparison of Nitrogen Atom Densities Measured with VUVAS and Actinometry in Spiral Shape Plasma 国際会議

    Ryosuke Nishio, Noriyasu Ohno, Shin Kajita, Hirohiko Tanaka, Koji Asaoka, Takayoshi Tsutsumi and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021年3月8日 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

  92. Microwave Nitrogen Plasma Jets under the Moderate Gas Pressure Region 国際会議

    Jaeho Kim, Keigo Takeda, Hirotomo Itagaki, Xue-lun Wang, Shingo Hirose, Hisato Ogiso, Tetsuji Shimizu, Naoto Kumagai, Takayoshi Tsutsumi, Hiroki Kondo, Masaru Hori and Hajime Sakakita

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021年3月8日 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  93. Crystalline structures and local electrical conductivity at crossing points of carbon nanowalls 国際会議

    Atsushi Ozaki, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021年3月8日 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(一般)  

  94. Ion-attachment mass spectrometric analysis of odorous gas decomposition by atmospheric pressure plasma 国際会議

    Tatsuyuki Moriyama, Yosuke Sato, Akio Ui, Shotaro Oka,Kenji Ishikawa, Takayoshi Tsutsumi and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021年3月8日 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

  95. Initial growth kinetics of hydrogenated amorphous carbon films observed by real-time ellipsometry 国際会議

    Jumpei Kurokawa, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi,Makoto Sekine and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021年3月8日 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

  96. Quantitative analyses of graphene layer etching using oxygen radicals generated in remote plasma for realization of atomic layer etching 国際会議

    Liugang Hu, Takayoshi Tsutsumi, Thi-Thuy-Nga Nguyen, Shih-Nan Hsiao, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021年3月8日 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

  97. Pressure and flow rate dependence of active species in gas modulation cycle process using Ar/C4F8/SF6 国際会議

    Taito Yoshie, Takayoshi Tsutsumi, Kenji Ishikawa, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021年3月8日 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

  98. Measurements of negative ion density and plasma parameters in Ar/O2/C4F8 etching plasmas by Langmuir probe-assisted laser photodetachment 国際会議

    B. B. Sahu, S.Hattori, T. Tsutsumi, N. Britun, M. Sekine, K. Ishikawa, H. Tanaka,T. Gohira, Y. Ohya, N. Ohno and M. Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021年3月8日 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

  99. Study of etching process using gas condensed layer at cryogenic temperature 2. Evaluation of cycle etching using gas condensed layer 国際会議

    M. Hazumi, S. Selvaraj, S. N. Hsiao, C. Abe, T. Sasaki, H. Hayashi, T. Tsutsumi, K. Ishikawa, M. Sekine and M. Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021年3月8日 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

  100. Dependence of nitrogen concentrations on cytotoxicity of air-free Ar-N2 mixed atmospheric pressure plasma-activeted lactated solutions 国際会議

    Daiki Ito, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021年3月8日 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:ポスター発表  

  101. Study of etching process using gas condensed layer at cryogenic temperature 1. Evaluation of CHF3 condensed layer thickness on SiO2 surface 国際会議

    S. Selvaraj, M. Hazumi, S. N. Hsiao, C. Abe, T. Sasaki, H. Hayashi, T. Tsutsumi, K. Ishikawa, M. Sekine, and M. Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021年3月8日 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(一般)  

  102. Effects on substrate temperature on the etching behaviors of PECVD- and LPCVD-prepared SiN thin films with CF4/H2 plasmas 国際会議

    Shih-Nan Hsiao, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021年3月8日 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(一般)  

  103. Etching characteristics of PECVD prepared SiN films with CF4/H2 and CF4/D2 plasmas at different substrate temperatures 国際会議

    Shih-Nan Hsiao, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    International Symposium on Semiconductor Manufacturing 2020 (ISSM2020)  2020年12月15日 

     詳細を見る

    開催年月日: 2020年12月

    記述言語:英語   会議種別:口頭発表(一般)  

  104. Antitumor effect of plasma-activated Ringer’s acetate solution 国際会議

    Yuki SudaU, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, Masaru Hori

    2020年12月10日 

     詳細を見る

    開催年月日: 2020年12月

    記述言語:英語   会議種別:口頭発表(一般)  

  105. Nitrogen Atom Density Measurements from Vacuum Ultraviolet Absorption Spectroscopy and Actinometry in Spiral Shape Plasma 国際会議

    Ryosuke Nishio, Noriyasu Ohno, Shin Kajita, Hirohiko Tanaka, Koji Asaoka, Takayoshi Tsutsumi and Masaru Hori

    The 29th International Toki Conference on Plasma and Fusion Research  2020年10月28日 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:ポスター発表  

  106. Time resolved measurements of electron density and temperature by an electrostatic probe with conditional averaging method in pulsed capacitively coupled plasmas 国際会議

    S. Hattori, B. B. Sahu, H. Tanaka, T. Tsutsumi, S. Kajita, M. Sekine, M. Hori, N. Ohno

    The 29th International Toki Conference on Plasma and Fusion Research  2020年10月28日 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:ポスター発表  

  107. Interactions of floating-wire-assisted atmospheric-pressure H2/Ar plasma with SnO2 film on glass substrate forming spherical Sn particles 国際会議

    Thi-Thuy-Nga Nguyen, Minoru Sasaki, Hidefumi Odaka, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori

    73th Annual Gaseous Electronics Virtual Conference  2020年10月8日 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  108. Penetration of hydrogen atoms and termination of dangling bonds in amorphous carbon films 国際会議

    Hiroki Kondo, Yasuyuki Ohashi, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    73th Annual Gaseous Electronics Virtual Conference  2020年10月9日 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  109. カーボンナノウォールの局所電気伝導特性の解明

    尾崎 敦士、近藤 博基、石川 健治、堤 隆嘉、平松 美根男、関根 誠、堀 勝

    第81回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  110. 液中プラズマを用いたナノグラフェン合成における活性種の効果

    近藤 博基、濱地 遼、堤 隆嘉、石川 健治、関根 誠、堀 勝

    第81回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  111. Analysis of Ion Energy Dependence of Depth Profile of GaN by In-situ Surface Analysis 国際会議

    Masaki Hasagawa‚ Takayoshi Tsutsumi, Atsushi Tanide‚ Shohei Nakamura, Hiroki Kondo‚ Kenji Ishikawa‚ Masaru Hori

    20th International Conference on Atomic Layer Deposition  2020年6月29日 

     詳細を見る

    開催年月日: 2020年6月 - 2020年7月

    記述言語:英語   会議種別:口頭発表(一般)  

  112. プラズマプロセス中の基板温度分布の経時変化の解析

    堤 隆嘉、石川 健治、近藤 博基、関根 誠、堀 勝

    2020年第67回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:ポスター発表  

  113. Arイオン照射窒化ガリウム表面の塩素吸着層のイオンエネルギー依存性 (2)

    長谷川 将希、堤 隆嘉、谷出 敦、近藤 博基、関根 誠、石川 健治、堀 勝

    2020年第67回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  114. 液中プラズマを用いたナノグラフェン合成における照射時間依存性 [II]

    近藤 博基、濱地 遼、 堤 隆嘉,、石川 健治、関根 誠、堀 勝

    2020年第67回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

  115. 液中プラズマを用いたナノグラフェン合成における照射時間依存性[Ⅰ]

    濱地 遼、近藤 博基、堤 隆嘉、石川 健治、関根 誠、堀 勝

    2020年第67回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

  116. プラズマ誘起欠陥の発生と修復 ~Arイオン照射の効果~

    布村 正太、中根 一也、堤 隆嘉、松原 浩司、堀 勝

    2020年第67回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

  117. 極低温領域におけるCHF3ガス凝縮層を用いたエッチングプロセスの研究

    羽澄 匡広, スガンサマラー セルヴァラジ, 蕭 世男, 関根 誠, 林 久貴, 佐々木 俊行, 阿部 知央, 堤 隆嘉, 石川 健治, 堀 勝

    2020年第67回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

  118. プラズマ表面処理が自己集積化膜の分子配向に及ぼす影響

    織田 祥成、堤 隆嘉、石川 健治、堀 勝

    2020年第67回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

  119. Termination of Dangling Bonds in Amorphous Carbon Films by Hydrogen Atoms 国際会議

    Yasuyuki Ohashi, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:口頭発表(一般)  

  120. In-Liquid Plasma Synthesis of Iron-Nitrogen-Doped Carbon Nanoflakes with Highly Catalytic Activity 国際会議

    Ryo Hamaji, Tomoki Amano, Hiroki Kondo, Takayoshi Tsutsumi, 1Kenji Ishikawa, Makoto Sekine, Keigo Takeda, Mineo Hiramatsu and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:口頭発表(一般)  

  121. Fluctuation of Local Electrical Conductivity in Carbon Nanowalls Observed by Conductive Atomic Force Microscopy 国際会議

    Atsushi Ozaki, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:口頭発表(一般)  

  122. Generation of Repulsing Glow Discharge in Centimeter Order Air Gaps 国際会議

    Vladislav Gamaleev, Takayoshi Tsutsumi and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:ポスター発表  

  123. Formation of Spherical Sn Particles from SnO2 Film by Atmospheric-Pressure Plasma 国際会議

    Thi-Thuy-Nga Nguyen, Minoru Sasaki, Hidefumi Odaka, Takayoshi Tsutsumi, Kenji Ishikawa and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:ポスター発表  

  124. Etching Process Using CHF3 Gas Condensed Layer in Cryogenic Region 国際会議

    Masahiro Hazumi, Suganthamalar Selvaraj, Shih-Nan Hsiao, Chihiro Abe, Toshiyuki Sasaki, Hisataka Hayashi, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:ポスター発表  

  125. Influence of Temperature on Etch Rate of SiN Films with CF4/H2 Plasma 国際会議

    Shin-Nan Hsiao, Kazuya Nakane, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:ポスター発表  

  126. Measurement of Spatial Distribution of Absolute Hydrogen Radical Density in Non Equilibrium Atmospheric Pressure Plasma by Vacuum Ultraviolet Absorption Spectroscopy 国際会議

    Kaede Katsuno, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:ポスター発表  

  127. Self-Absorbing Effect of Micro-Discharge Hollow Cathode Plasma as Light Source for Vacuum Ultraviolet Absorption Spectroscopy 国際会議

    Sho Shimizu, Keigo Takeda, Takayoshi Tsutsumi, Mineo Hiramatsu and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:ポスター発表  

  128. Analysis and Control of Surface Reaction in Plasma Enhanced Atomic Layer Etching Processes 招待有り 国際会議

    Takayoshi Tsutsumi

    7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020 4th International Symposium on Energy Research and Application 

     詳細を見る

    開催年月日: 2020年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  129. In-plane distribution of electrical conductivity of carbon nanowalls perpendicular to substrate measured by conductive atomic force microscopy 国際会議

    Atsushi Ozaki, Hiroki Kondo, Takayoshi Tsutsumi, Makoto Sekine, Masaru Hori, Mineo Hiramatsu

    7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020 4th International Symposium on Energy Research and Application 

     詳細を見る

    開催年月日: 2020年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  130. Improvement of wool surface charging properties by plasma surface modification process 国際会議

    T. Moriyama, T. Tsutsumi, H. Kondo, M. Sekine, K. Ishikawa, and M. Hori

    7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020 4th International Symposium on Energy Research and Application 

     詳細を見る

    開催年月日: 2020年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  131. Nano-scale pattern formation of organic material with precisely wafer-temperature-controlled plasma etch system 国際会議

    Yusuke Fukunaga, Makoto Sekine, Takayoshi Tsutsumi, Hiroki Kondo, Kenji Ishikawa, and Masaru Hori

    The 11th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-11)  

     詳細を見る

    開催年月日: 2019年12月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  132. Synthesis and crystallinity of nanographene using in-liquid plasma of ethanol 国際会議

    Ryo Hamaji, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Keigo Takeda, Mineo Hiramatsu, and Masaru Hori

    MATERIALS RESEARCH MEETING 2019 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  133. Modification of lipid metabolism in Coccomyxa sp. treated by non-equilibrium atmosphere pressure plasma 国際会議

    Takumi Kato, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    MATERIALS RESEARCH MEETING 2019 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  134. Changes of resistive elements during degradation of carbon nanowalls electrodes for fuel cell synthesized employing a CH4/H2 mixture gas plasma 招待有り 国際会議

    Hiroki Kondo, Shun Imai, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

    MATERIALS RESEARCH MEETING 2019 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  135. Atomic hydrogen exposures of radical-injection CH4/H2 plasma-enhanced chemical vapor deposited amorphous carbon films 国際会議

    Yasuyuki Ohashi, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Masaru Hori

    MATERIALS RESEARCH MEETING 2019 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  136. In-situ analyses of GaN surfaces irradiated by a Cl2 plasma for atomic layer etching 招待有り

    Masaki Hasegawa, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Hiroki Kondo, Atsushi Tanide, and Masaru Hori

    第16回 赤﨑記念研究センターシンポジウム 第21回 CIRFEセミナー「窒化物半導体研究の新展開:新規デバイスの創出をめざして」 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  137. Electrical, optical, and physicochemical behaviors of atmospheric pressure plasma jet generated in open air 招待有り 国際会議

    Keigo Takeda, Kenji Ishikawa, Takayuki Tsutsumi, Hiroki Kondo, Makoto Sekine, Masaru Hori

    3rd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2019) 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:中華人民共和国  

  138. Time-resolved diagnosis of afterglow phase in synchro-bias of negative direct current on pulsed plasmas 国際会議

    K. Nakane, T. Tsutsumi, S.-N. Hsiao, K. Ishikawa, M. Sekine, T. Gohira, Y. Ohya, M. Hori

    The 41st International Symposium on Dry Process (DPS2019) 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  139. ALE of SiC by Plasma Modification and F-radical Etching Studied by in-situ Surface Spectroscopy 国際会議

    R. H. J. Vervuurt, K. Nakane, T. Tsutsumi, M. Hori, N. Kobayashi

    The 41st International Symposium on Dry Process (DPS2019) 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  140. Etching characteristics of silicon nitride film in plasma of fluoroethane (CH2FCHF2), Ar, and O2 mixture 国際会議

    J. Ni, S.-N. Hsiao, T. Hayashi, K. Ishikawa, T. Tsutsumi, M. Sekine, M. Hori

    The 41st International Symposium on Dry Process (DPS2019) 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  141. Suppression of etch pit formation in GaN etching using H2-added Cl2 plasma at 400°C 国際会議

    T. Omichi, A. Tanide, K. Ishikawa, T. Tsutsumi, H. Kondo, M. Sekine, M. Hor

    The 41st International Symposium on Dry Process (DPS2019) 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  142. Effects of functional groups in raw material molecules on synthesis rate and structures of nanographene materials synthesized by in-liquid plasma using alcohols 国際会議

    H. Kondo, A. Ando, T. Tsutsumi, K. Takeda, T. Ohta, K. Ishikawa, M. Sekine, M. Ito, M. Hiramatsu, M. Hori

    The 41st International Symposium on Dry Process (DPS2019) 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  143. Surface functionalization of wool fabrics using plasma process 国際会議

    T. Moriyama, T. Tsutsumi, H. Kondo, M. Sekine, K. Ishikawa, and M. Hori

    29th Annual Meeting of MRS-Japan 2019  

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  144. Plasma Processing with Feedback Control of Wafer Temperature By Non-Contact Temperature Measurement System 招待有り 国際会議

    T. Tsutsumi, H. Kondo, K. Ishikawa , K. Takeda, T. Ohta, M. Sekine, M. Ito, and M. Hori

    236th ECS meeting 

     詳細を見る

    開催年月日: 2019年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  145. High-aspect-ratio organic-pattern formation with self-limiting manner by controlling plasma process based on substrate temperature measurement. 国際会議

    Makoto Sekine,Yusuke Fukunaga,Takayoshi Tsutsumi,Kenji Ishikawa,Hiroki Kondo,Masaru Hori

    The 72nd Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2019年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  146. Effect of mixing alcohol source on synthesis of nanographene by in-liquid plasma 国際会議

    Hiroki Kondo, Atsushi Ando, Takayoshi Tsutsumi, Keigo Takeda, Takayuki Ohta, Kenji Ishikawa, Makoto Sekine, Masafumi Ito, Mineo Hiramatsu, Masaru Hori

    The 72nd Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2019年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  147. Mechanism of Aqueous Reactions in Non-equilibrium Atmospheric Pressure Plasma-activated Lactec Solutions

    Yang Liu、Kenji Ishikawa、Hiroshi Hashizumi、Hiromasa Tanaka、Takayoshi Tsutsumi、Hiroki Kondo、Makoto Sekine、Masaru Hori

    2019年 第80回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  148. Composition of ion species in pulsed dual frequency CCP with synchronized dc bias using fluorocarbon gases 国際会議

    Kazuya Nakane, Shin-Nan Hsiao , Takayoshi Tsutsumi, Taku Gohira, Kenji Ishikawa, Makoto Sekin, Yoshinobu Ohya and Masaru Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  149. Intracellular responses of Coccomyxa sp. during culture in plasma-treated nutrient solution 国際会議

    Takumi Kato, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  150. H2-added Cl2 plasma etching of GaN at high temperature 国際会議

    Takahiro Omichi, Atsushi Tanide, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  151. Intracellular response of HeLa cells treated by plasma- activated Ringer's lactate solution 国際会議

    Shogo Maeda, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, Fumitaka Kikkawa, Masaaki Mizuno and Masaru Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  152. In situ surface analysis of Ga dangling sites and chlorination layers for determining atomic layer etching properties of GaN 国際会議

    Masaki Hasegawa, Takayoshi Tsutsumi, Atsushi Tanide, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  153. Disordering in crystallinity induced by intermediates in synthesis of nanographene using in-liquid plasma 国際会議

    Ryo Hamaji, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Keigo Takeda, Mineo Hiramatsu, and Masaru Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  154. Hydrogen atom exposure for termination of dangling bonds in amorphous carbon films 国際会議

    Yasuyuki Ohashi, Hirotsugu Sugiura, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Masaru Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  155. Vacuum Ultraviolet Absorption Spectroscopy with Self-absorbing Micro-discharge Hollow Cathode Lamp 国際会議

    S. Shimizu, N. Kishi, K. Takeda, T. Tsutsumi, M. Hiramatsu, and M. Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  156. In-liquid plasma synthesis of iron-nitrogen-doped carbon nanosheets with highly electro- catalytic activity for fuel cell application 国際会議

    R. Hamaji, T. Amano, H. Kondo, T. Tsutsumi, K. Ishikawa, M. Sekine, K. Takeda, M. Hiramatsu, M. Hori

    International Conference on Solid State Devices and Materials 2019 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  157. Highly-durable carbon nanowalls electrodes for fuel cell synthesized employing a C2F6/H2 mixture gas plasma 国際会議

    H. Kondo, S. Imai, T. Tsutsumi, K. Ishikawa, M.Sekine, M. Hiramatsu, M.Hori

    International Conference on Solid State Devices and Materials 2019 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  158. 自己吸収型マイクロ放電ホローカソード光源を用いた水素プラズマの真空紫外吸収分光計測

    清水 奨、竹田 圭吾、堤 隆嘉、平松 美根男、堀 勝

    2019年 第80回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  159. Arイオン照射窒化ガリウム表面の塩素吸着層のイオンエネルギー依存性

    長谷川 将希、堤 隆嘉、近藤 博基、関根 誠、石川 健治、堀 勝

    2019年 第80回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  160. SiNx の ALE におけるフッ素ラジカルの In-situ エッチング反応解析

    中根 一也、ルネイ ヘリンカス ヨセフ フェーフィート、堤 隆嘉、小林 伸好、堀 勝

    2019年 第80回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  161. 非平衡大気圧Ar プラズマ源における放電形状の経時変化および水素ラジカル密度空間分布計測

    勝野 楓、堤 隆嘉、石川 健治、竹田 圭吾、橋爪 博司、田中 宏昌、近藤 博基、関根 誠、堀 勝

    2019年 第80回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  162. Selectivity during Plasma ALE of Si-Compounds: Reaction Mechanism Studied by in-situ Surface Spectroscopy 国際会議

    René Vervuurt, Kazuya Nakane, Takayoshi Tsutsumi, Masaru Hori, Nobuyoshi Kobayashi

    AVS 19th International Conference on Atomic Layer Deposition (ALD 2019)/6th International Atomic Layer Etching Workshop (ALE 2019) 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  163. Electrical conductivity for vertical direction of radical injection plasma enhanced chemical vapor deposited carbon nanowalls (RI-PECVD-CNW) 国際会議

    Atsushi Ozaki, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Masaru Hori

    XXXIV International Conference on Phenomena in Ionized Gases(XXXIV ICPIG)/10th International Conference on Reactive Plasmas(ICRP-10) 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  164. Effects of Ion Bombardment Energy Flux on Chemical Compositions and Structures of Hydrogenated Amorphous Carbon Films Grown by a Radical-Injection Plasma-Enhanced Chemical Vapor Deposition 国際会議

    Hitotsugu Sugiura, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori

    XXXIV International Conference on Phenomena in Ionized Gases(XXXIV ICPIG)/10th International Conference on Reactive Plasmas(ICRP-10) 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  165. Effects of fluorine introduction and termination of CNWs on their electrochemical reactions 国際会議

    Hiroki Kondo, Masakazu Tomatsu, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Mineo Hiramatsu

    XXXIV International Conference on Phenomena in Ionized Gases(XXXIV ICPIG)/10th International Conference on Reactive Plasmas(ICRP-10) 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  166. Electron loss process in afterglow of pulsed magnetic neutral loop discharge using Ar/O2/ CF4 or C4F8 国際会議

    X. Xie, J. Ni, T. Tsutsumi, K. Ishikawa, H. Kondo, M. Sekine, and M. Hori

    XXXIV International Conference on Phenomena in Ionized Gases(XXXIV ICPIG)/10th International Conference on Reactive Plasmas(ICRP-10) 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  167. Control of the Interface Layer in ALE Process by Alternating O2 Plasma with Fluorocarbon Deposition for High Selectivity Etching 国際会議

    Takayoshi Tsutsumi, Akiko Kobayashi, Nobuyoshi Kobayashi, Masaru Hori

    AVS 19th International Conference on Atomic Layer Deposition (ALD 2019)/6th International Atomic Layer Etching Workshop (ALE 2019) 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  168. Chlorinated Surface Layer of GaN in Quasi Atomic Layer Etching of Cyclic Processes of Chlorine Adsorption and Ion Irradiation 国際会議

    Masaki Hasegawa, Takayoshi Tsutsumi, Atsushi Tanide, Hiroki Kondo, Makoto Sekine, Kenji Ishikawa, Masaru Hori

    AVS 19th International Conference on Atomic Layer Deposition (ALD 2019)/6th International Atomic Layer Etching Workshop (ALE 2019) 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  169. プラズマ支援原子層プロセスにおける表界面反応層制御・診断 招待有り

    堤 隆嘉,近藤 博基,石川 健治,関根 誠,堀 勝

    第4回 Atomic Layer Process (ALP) Workshop 

     詳細を見る

    開催年月日: 2019年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  170. Plasma-assisted Synthesis and Modification of Carbon Nanowalls for Emerging Applications 招待有り 国際会議

    Hiroki Kondo, Takayoshi Tsutsumi, Keigo Takeda, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Mineo Hiramatsu

    International Conference NANO-M&D2019 Fabrication, Properties and Applications of Nano-Materials and Nano-Devices 

     詳細を見る

    開催年月日: 2019年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:イタリア共和国  

  171. Gene expression dynamics of glioblastoma cells in plasma-activated medium and plasma-activated Ringer's lactate solution 国際会議

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Makoto Sekine, Hiroki Kondo, Hiroshi Hashizume, Takayoshi Tsutsumi, Kae Nakamura, Nobuhisa Yoshikawa, Hiroaki Kajiyama, Shinya Toyokuni, Fumitaka Kikkawa, Masaru Hori

    24th International Symposium on Plasma Chemistry (ISPC24) 

     詳細を見る

    開催年月日: 2019年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:イタリア共和国  

  172. Precisely wafer-temperature-controlled plasma etching and its application for nano-scale pattern fabrication of organic material 国際会議

    Makoto Sekine, Yusuke Fukunaga, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Masaru Hori

    24th International Symposium on Plasma Chemistry (ISPC24) 

     詳細を見る

    開催年月日: 2019年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:イタリア共和国  

  173. In-liquid plasma formation at low temperature of in situ binding SnO2/Graphene 国際会議

    Kenji Ishikawa, Rajit R.Borude, Hirotsugu Sugiura, Takayoshi Tsusumi, Hiroki Kondo, Nobuyuki Ikarashi, and Masaru Hori

    10th International Workshop on Microplasmas IWM-10 

     詳細を見る

    開催年月日: 2019年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  174. Synthesis mechanism and electrochemical properties of nanographene materials obtained by in-liquid plasma method 招待有り 国際会議

    Hiroki Kondo, Keigo Takeda, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

    2019 Global Conference on Carbon Nanotubes and Graphene Technologies 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:イタリア共和国  

  175. Floating-Wire-Assisted Atmospheric Pressure Plasma for High-Speed and Large-Area Glass Treatment 国際会議

    Thi-Thuy-Nga Nguyen, Minoru Sasaki, Hidefumi Odaka, Takayoshi Tsutsumi, Kenji Ishikawa and Masaru Hori

    11th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma Nanotechnology and Science 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  176. High-Speed Atomic Force Microscopy of Dynamical Changes of Supported Lipid Bilayers Containing Cholesterol in Plasma-Activated Lactec 国際会議

    Sotaro Yamaoka, Hiroki Kondo, Hiroshi Hashizume, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Hiromasa Tanaka and Masaru Hori

    11th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma Nanotechnology and Science 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  177. Electrochemical Impedance Analysis of Pt Nanoparticles-Supported Carbon Nanowalls for Fuel Cell Application 国際会議

    Shun Imai, Hiroki Kondo, Hyungjun Cho, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    11th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma Nanotechnology and Science 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  178. Ligh Source Wavelength Characteristics for Silicon-Wafer Temperature Measurement by Frequency-Domain Lowcoherence Interferometry 国際会議

    Takayoshi Tsutsumi, Kenji Ishikawa, Takayuki Ohta, Masafumi Ito, Hiroki Kondo, Makoto Sekine and Masaru Hori

    11th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma Nanotechnology and Science 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  179. Effects of Hydroxy Group on Synthesis Rate and Crystallinity of Nanographene by In-liquid Plasma 国際会議

    Hiroki Kondo, Keigo Takeda, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine and Masaru Hori

    11th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma Nanotechnology and Science 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  180. Synthesis, Characterization, and Study of Electrical Properties of Tin oxide-Graphene Composite Synthesized by Liquid Phase Plasma 国際会議

    Ranjit Borude, Hirotsugu Sugiura, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo and Masaru Hori

    11th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma Nanotechnology and Science 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  181. GaN film Etching at H-added Chlorine Plasma at High Temperature at 400 degree C 国際会議

    Takahiro Omichi, Atsushi Tanide, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine and Masaru Hori

    11th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma Nanotechnology and Science 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  182. Control of sp2-C Fraction and Hardness of Amorphous Carbon Films by Formation of Precursor Radicals Depending on a Residence Time 国際会議

    Hirotsugu Sugiura, Yasuyuki Ohashi, Lingyun Jia, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Toshio Hayashi, Keigo Takeda, Makoto Sekine and Masaru Hori

    11th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma Nanotechnology and Science 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  183. Aqueous Reactions in Non-equilibrium Atmospheric Pressure Plasma-activated Ringer s Lactate Solutions 国際会議

    Yang Liu, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Takyoshi Tsutsumi, Fumitaka Kikkawa, Kae Nakamura, Masaaki Mizuno, Shinya Toyokuni and Hiroki Kondo

    11th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma Nanotechnology and Science 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  184. Organic High Aspect Ratio Pattern Formation with Self-Limitation Manner by Plasma-Controlled Process based on Substrate Temperature Measurement 国際会議

    Yusuke Fukunaga, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine and Masaru Hori

    11th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma Nanotechnology and Science 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  185. Lipid Accumulation of Pseudochoricystis Ellipsoidea by Irradiation of Nonequilibrium Atmospheric Pressure Plasma to Nourishing Solution 国際会議

    Takumi Kato, Yugo Hosoi, Sotaro Yamaoka, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine and Masaru Hori

    11th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma Nanotechnology and Science 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  186. Intracellular Reactive Oxygen Species Responses of HeLa Cells Treated with PlasmaActivated Organics 国際会議

    Shogo Maeda, Yugo Hosoi, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine and Masaru Hori

    11th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma Nanotechnology and Science 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  187. Elucidation of Electron Extinction Mechanism during Afterglow of Fluorocarbon Pulsed Plasma with Synchronized DC Bias 国際会議

    Kazuya Nakane, Toshinari Ueyama, Xie Xitong, Takayoshi Tsutsumi, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Yoshinobu Ohya and Masaru Hori

    11th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma Nanotechnology and Science 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  188. Regulation of Cell Proliferation Changing Duty Ratio of an Electrical Stimulation on Carbon Nanowalls Scaffold 国際会議

    Tomonori Ichikawa, Hiroki Kondo, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    11th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma Nanotechnology and Science 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  189. Electrical Characterizations of Non-equilibrium Atmospheric Pressure Plasma 国際会議

    Kaede Katsuno, Kenji Ishikawa, Takayoshi Tsutsumi, Keigo Takeda, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine and Masaru Hori

    11th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma Nanotechnology and Science 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  190. Carbon Nanoflakes Involving Fe-N-C Bonds by Growth of In-liquid Plasma of Ethanol and Iron Phthalocyanine Mixture 国際会議

    Ryo Hamaji, Tomoki Amano, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Keigo Takeda, Mineo Hiramatsu and Masaru Hori

    11th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma Nanotechnology and Science 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  191. Nano-composite innovation based on carbon nanowalls and advanced plasma processes 招待有り 国際会議

    Hiroki Kondo, Takayoshi Tsutsumi, Makoto Sekine, Kenji Ishikawa, Masaru Hori, Mineo Hiramatsu

    7th International Conference on Advanced Plasma Technologies 

     詳細を見る

    開催年月日: 2019年2月 - 2019年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:ベトナム社会主義共和国  

  192. フルオロカーボンガスを用いた2周波容量結合型パルスプラズマに おける粒子密度のDC重畳効果 招待有り

    中根 一也、植山 稔正、解 錫同、堤 隆嘉、竹田 圭吾、近藤 博基、石川 健治、関根 誠、大矢 欣伸、堀 勝

    第215回Siテクノロジー分科会研究会 

     詳細を見る

    開催年月日: 2019年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  193. Trimming Process with Feedback Control of Wafer Temperature for Organic Material 招待有り 国際会議

    T. Tsutsumi, Y. Fukunaga, K. Ishikawa, H. Kondo, M. Sekine, and M. Hori

    28th Annual Meeting of MRS-J 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  194. Cell responses of Pseudochoricystis ellipsoidea on atmospheric pressure plasma treatments 国際会議

    T. Kato, Y. Hosoi, S.Yamaoka, H.Hashizume, K.Ishikawa, H.Tanaka, T.Tsutsumi, H.Kondo, M.Sekine and M.Hori

    28th Annual Meeting of MRS-J 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  195. Effects and Mechanism of Electric Stimulation Through Carbon Nanowalls Scaffold on Proliferation and Differentiation of Cultured Cells 国際会議

    Hiroki Kondo, Tomonori Ichikawa, Kenji Ishikawa, Hiromasa Tanaka, Takayoshi Tsutsumi, Keigo Takeda, Makoto Sekine, Masaru Hori, Mineo Hiramatsu

    A Meeting of the Materials Research Society 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  196. In situ analysis of ion-irradiated and chlorinated GaN surface during cyclic etching processes 国際会議

    M. Hasegawa, T. Tsutsumi, A. Tanide, H. Kondo, M. Sekine, K. Ishikawa, M. Hori

    The 40th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  197. Synthesis of composite of tin oxide particles and graphene sheets employing the in-liquid plasma process 国際会議

    Ranjit R. Borude , Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo and Masaru Hori

    The 40th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  198. Effects of electrical and spectroscopic properties of nonequilibrium atmospheric pressure plasma source on adhesion strengths of a polymeric resin 国際会議

    K. Katsuno, T. Tsutsumi, K. Ishikawa, K. Takeda, T. Jindo, S. Takikawa, A. Niwa, S. Takashima, Y. Nonoyama, H. Hashizume, H. Tanaka, H. Kondo, M. Sekine, and M. Hori

    The 40th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  199. Etch Characteristics of Atomic Layer Etching by Alternating Fluorocarbon Deposition and Oxygen Plasma Etching 国際会議

    T. Tsutsumi, A. Kobayashi, T. Nozawa, N. Kobayashi and M. Hori

    The 40th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  200. Plasma Modification of Si-compound Surfaces: Opportunities for Atomic Layer Etching 国際会議

    R.H.J. Vervuurt, K. Nakane, T. Tsutsumi, A. Kobayashi, M. Hori and N. Kobayashi

    The 40th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  201. Defect termination mechanism in amorphous carbon films by atomic hydrogen radicals 国際会議

    The 40th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  202. High temperature etching of GaN with H2-added Cl2 plasma 国際会議

    T. Omichi, A. Tanide, K. Ishikawa, T. Tsutsumi, H. Kondo, M. Sekine, M. Hori

    The 40th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  203. Fundamental study of the interaction of plasma species with organic materials by experimental and computational approaches 国際会議

    Y. Fukunaga, P. Ventzek, B. Lane, A. Ranjan, G. S. Hwang, G. Hartmann, R. Upadhyay, L. L. Raja, T. Tsutsumi, K. Ishikawa, H. Kondo, M. Sekine, and M. Hori

    The 40th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  204. Floating-Wire-Assisted Atmospheric Pressure Plasma for High-Speed Glass Etching 国際会議

    Thi-Thuy-Nga Nguyen, M. Sasaki, H. Odaka, T. Tsutsumi, K. Ishikawa and M. Hori

    The 40th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  205. Effects of synchronized DC bias on densities of charged species in pulsed plasmas of fluorocarbon gases 国際会議

    K. Nakane, T. Ueyama, T. Tsutsumi, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, Y. Ohya, and M. Hori

    The 40th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  206. Spatiotemporal behavior of OH radical in AC-excited atmospheric pressure Ar plasma jet generated in open air 国際会議

    Keigo Takeda, Ren Kuramashi, Kenji Ishikawa ,Takayuki Tsutsumi, Masaru Hori

    71st Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  207. Floating-wire-assisted remote generation of high-density atmospheric pressure inductively coupled plasma 国際会議

    Thi-Thuy-Nga Nguyen, Minoru Sasaki, Hidefumi Odaka, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori

    71st Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  208. Behaviors of Charged Species in Afterglow of Dual Frequency Pulsed Capacitively Coupled Plasma with a Synchronous Negative DC-bias 国際会議

    T. Tsutsumi, T. Ueyama, K. Ishikawa, H. Kondo, M. Sekine, Y. Ohya, M. Hori

    AVS 65th INTERNATIONAL SYMPOSIUM & EXHIBITION 2018 

     詳細を見る

    開催年月日: 2018年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  209. Fundamental Studies of Plasma Species with Organic Materials of Varying Hydrogen and Oxygen Composition by Computational and Experimental Approaches 国際会議

    Y. Fukunaga, P. Ventzek, B. Lane, A. Ranjan, M. Sekine, T. Tsutsumi, H. Kondo, K. Ishikawa, R. Upadhyay, L. L. Raja, G. Hartmann, G. S. Hwang, M. Hori

    AVS 65th INTERNATIONAL SYMPOSIUM & EXHIBITION 2018 

     詳細を見る

    開催年月日: 2018年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  210. Fine Temperature Monitoring of Si Wafer for Plasma Processing 招待有り 国際会議

    Masafumi Ito, Takayuki Ohta, Takayoshi Tsutsumi, Masaru Hori

    AIMES2018 

     詳細を見る

    開催年月日: 2018年9月 - 2018年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:メキシコ合衆国  

  211. Si系材料のフルオロカーボンプラズマ支援原子層エッチング 招待有り

    堤 隆嘉、近藤 博基、石川 健治、関根 誠、小林 伸好、堀 勝

    第79回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2018年9月 - 2019年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  212. Cl2プラズマによるGaN高温エッチングのH2添加効果

    大道貴裕、 谷出敦、 石川健治、 堤隆嘉、 近藤博基、 関根誠、 堀勝

    第79回 応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  213. 原子状水素によるアモルファスカーボン膜の欠陥終端機構

    大橋 靖之, 杉浦 啓嗣, 近藤 博基, 石川 健治, 堤 隆嘉, 関根 誠, 堀 勝

    第79回 応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  214. 蓚酸カルシウム結晶化過程のプラズマ照射単糖溶液中活性有機物質

    岡部 萌、石川 健治、田中 宏昌、橋爪 博司、堤 隆嘉、近藤 博基、関根 誠、堀 勝

    第79回 応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  215. 高電位試験時における白金微粒子担持カーボンナノウォール電極の分極特性の変化

    今井 駿, 近藤 博基, 石川 健治, 堤 隆嘉, 平松 美根男, 関根 誠, 堀 勝

    第79回 応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  216. カーボンナノウォール足場上での電気刺激下細胞培養における分化制御機構

    市川 知範, 近藤 博基, 橋爪 博司, 田中 宏昌, 堤 隆嘉, 石川 健治, 堀 勝

    第79回 応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  217. In-situ表面解析手法による水素プラズマのSiNx表面改質機構

    中根一也、ルネイ ヘリンカス ヨセフ フェーフィート、堤隆嘉、小林明子、小林伸好、堀勝

    第79回 応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  218. シュードコリシスティス藻培養への大気圧プラズマ処理効果

    加藤拓海,細井祐吾, 山岡壮太郎, 橋爪博司, 石川健治, 田中宏昌, 堤隆嘉,近藤博基, 関根誠, 堀勝

    第79回 応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  219. 液中プラズマによるナノグラフェン合成におけるヒロドキシル基の効果

    近藤 博基、安藤 睦、石川 健治、堤 隆嘉、平松 美根男、関根 誠、堀 勝

    第79回 応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  220. プラズマ活性ラクテック(PAL)で培養したHeLa細胞応答メカニズム

    前田 昌吾、細井 祐吾、石川 健治、橋爪 博司、田中 宏昌、堤 隆嘉、近藤 博基、関根 誠、堀 勝

    第79回 応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  221. プラズマプロセス制御による線幅10 nmの高アスペクト有機薄膜パターン形成

    福永 裕介、堤 隆嘉、石川 健治、近藤 博基、関根 誠、堀 勝

    第79回 応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  222. ガス滞在時間に依存した成膜前駆体の生成に基づくアモルファスカーボン膜中のsp2炭素結合の制御

    杉浦 啓嗣、大橋 靖之、賈 凌雲、近藤 博基、石川 健治、堤 隆嘉、竹田 圭吾、関根 誠、堀 勝

    第79回 応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  223. Etching of glass by floating-wire assisted atmospheric pressure plasma

    Thi-Thuy-Nga Nguyen, Minoru Sasaki, Hidefumi Odaka, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori

     詳細を見る

    開催年月日: 2018年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  224. Arイオン照射窒化ガリウム表面の塩素吸着挙動

    長谷川将希,堤隆嘉,谷出敦,近藤博基,関根誠,石川健治,堀勝

    第79回 応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  225. Liquid phase plasma assisted synthesis of Tin oxide - Graphene composite

    Ranjit Borude, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo, Masaru Hori

     詳細を見る

    開催年月日: 2018年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  226. Aqueous Reactions of Radicals in Non-equilibrium Atmospheric Pressure Plasma-activated Lactec Solutions

    Yang Liu,Kaede Katsuno,Yugo Hosoi,Kenji Ishikawa,Hiroshi Hashizume,Hiromasa Tanaka,Takayoshi Tsutsumi,Hiroki Kondo,Makoto Sekine,Masaru Hori

     詳細を見る

    開催年月日: 2018年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  227. In situ Quantitative Analysis of Chlorine Adsorption on Ion-irradiated GaN for Atomic Layer Etching 国際会議

    Masaki Hasegawa, Takayoshi Tsutsumi, Hiroki Kondo, Kenji Ishikawa, Masaru Hori

    5th International Atomic Layer Etching Workshop 

     詳細を見る

    開催年月日: 2018年7月 - 2018年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  228. Mechanisms of plasma jets impinging upon liquids 国際会議

    T. Brubaker, K. Ishikawa, H. Hashizume, H. Tanaka, T. Tsutsumi, H. Kondo, S. Knecht, S. Bilén, and M. Hori

    ICPM7 

     詳細を見る

    開催年月日: 2018年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  229. パルス変調プラズマ中の準安定 Ar 密度変化と光ダメージの相関

    武田 直己、三好 康史、石川 健治、堤 隆嘉、竹田 圭吾、太田 貴之、近藤 博基、深沢 正永、辰巳 哲也、堀 勝

    第65回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  230. アルゴンイオン照射によるフッ素化Si(111)表面の形態変化

    浅野 敦紀, 堤 隆嘉,近藤 博基, 石川 健治, 関根 誠, 堀 勝

    第65回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  231. ラジカル注入型プラズマ励起化学気相堆積法において間欠水素プラズマ処理がアモルファスカーボン膜の結合構造に及ぼす効果

    杉浦 啓嗣、近藤 博基、石川 健治、堤 隆嘉、竹田 圭吾、関根 誠、堀 勝

    第65回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  232. 液中プラズマで合成した鉄含有カーボンナノフレークの酸素還元特性

    天野 智貴、近藤 博基、堤 隆嘉、石川 健治、平松 美根男、堀 勝

    第65回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  233. 窒化ガリウムの原子層エッチングに向けたラジカル吸着機構の解明

    長谷川 将希、堤 隆嘉、谷出 敦、近藤 博基、石川 健治、堀 勝

    第65回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  234. AC励起非平衡大気圧Arプラズマ生成活性種の時空間分布計測

    倉増 廉,竹田 圭吾,石川 健治,堤 隆嘉,橋爪 博司,田中 宏昌,近藤 博基,関根 誠,堀 勝

    第65回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  235. カーボンナノウォール足場上の細胞増殖に及ぼす電気刺激効果

    市川 知範、近藤 博基、橋爪 博司、田中 宏昌、堤 隆義、石川 健治、堀 勝

    第65回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  236. SUBSTRATE TEMPERATURE MEASURMENT AND CONTROL FOR FABRICATION OF ORGANIC DEVICES WITH NANOSTRUCTURE 招待有り 国際会議

    T. Tsutsumi

    International workshop on plasma synthesis of nanomaterials and its applications for sensor devices 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  237. Plasma Surface Treatment of Carbon Films Deposited by Magnetron Sputtering 国際会議

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  238. Visualization of Advection in Plasma-liquid Interactions: Flow Due to an Impinging Plasma Jet 国際会議

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  239. High Temperature Damegeless Etching of GaN Employing BCl3-Cl2 plasma 国際会議

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  240. Polycarbonate Surface after Atmospheric Pressure Plasma Treatments 国際会議

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  241. BEHAVIOR OF THE ELECTRON AND RONS IN GAS AND LIQUID PHASE OF LASER GENERATED PLASMA ACTIVATED MEDIUM 国際会議

    Y. Kurokawa, T. Tsutsumi, K. Takeda, K. Ishikawa, H. Hashizume, H. Tanaka, H. Kondo, M. Hori

    2nd International Workshop On Plasma Agriculture 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  242. A Novel Designed High-density Atomospheric Pressure Inductively Coupled Plasma Remotely Generated at the Downstream Side of a Quartz Tube Using a Floating Wire 国際会議

    Thi-Thuy-Nga Nguyen, Minoru Aasaki, Hidefumi Odaka, Takayoshi Tsutsumi, Kenji Ishikawa and Masaru Hori

    2nd International Workshop On Plasma Agriculture 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  243. Understanding morphology and chemical bonds of Si surface in cyclic CF4/Ar plasma process by surface analysis without air exposure 国際会議

    A. Asano, T. Tsutsumi, H. Kondo, K. Ishikawa, M. Hori

    10th Anniversary International Symposium on Advanced Plasma Science 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  244. REACTIVE SPECIES DENSITY PROFILES IN EFFULUENT OF THE ATMOSPHERIC PRESSURE PLASMA SOURCE 国際会議

    R. Kuramashi, K. Takeda, K. Ishikawa, T. Tsutsumi, H. Hashizume, H. Tanaka, H. Kondo, M. Sekine, M. Hori

    10th Anniversary International Symposium on Advanced Plasma Science 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  245. Cytotoxic substances in the laser generated plasma activated medium (LPAM) 国際会議

    Y. Kurokawa, K. Takeda, K. Ishikawa, H. Hashizume, H. Tanaka, T. Tsutsumi, H. Kondo, M. Hori

    10th Anniversary International Symposium on Advanced Plasma Science 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  246. Behavior of negative ions in asymmetric capacitively coupled plasma discharge produced in Ar/O2/C4F8 gas mixture at 100 MHz 国際会議

    H. Sugiura, L. Jia, H. Kondo, K. Ishikawa, T. Tsutsumi, M. Sekine, M. Hori 

    The 39th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  247. Behavios of electrons and fluorocarbon radicals in synchronized dc-imposed pulsed plasma 国際会議

    T. Tsutsumi, N. Sirse, M. Sekine, A. R. Ellingboe, M. Hori

    The 39th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  248. Effects of residence time on dissociation of precursors at deposition of amorphous carbon film by H2/CH4 plasma 国際会議

    T. Ueyama, T. Tsutsumi, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, Y. Ohya, M. Hori

    The 39th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  249. 非平衡大気圧プラズマ源における誘電体壁の放電特性への影響

    勝野 楓、石川 健治、堤 隆嘉、武田 圭吾、橋爪 博司、田中 宏昌、近藤 博基、関根 誠、堀 勝

    第78回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  250. ガラスの絶縁破壊微細貫通加工と絶縁性液体塗布の効果

    村上開士、吉武尚輝、石川健治、裏地啓一郎、龍腰健太郎、堤隆嘉、近藤博基、堀勝

    第78回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  251. 酸素プラズマ中の解離度および電子温度空間分布の表面材料依存性

    堤 隆嘉,Andrew R.Gibson,Deborah O’Connell,Timo Gans,堀 勝

    第78回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  252. 高温での窒化ガリウム低損傷塩素エッチング

    石川 健治, 劉 沢成, 今村 真人, 堤 隆嘉, 近藤 博基, 小田 修, 関根 誠, 堀 勝

    第78回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  253. ポリカーボネート表面のプラズマ処理による接着力増強効果

    高橋 美香, 倉家 尚之, 石川 健治, 近藤 真悟, 青木 孝司, 堤 隆嘉, 近藤 博基, 関根 誠, 堀 勝

    第78回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  254. ラジカル注入型プラズマ励起化学気相堆積法においてRFバイアス時間変調がアモルファスカーボン膜の結合構造に及ぼす効果

    杉浦 啓嗣、近藤 博基、石川 健治、堤 隆嘉、竹田 圭吾、関根 誠、堀 勝

    第78回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  255. Etch profile control of ALD-SiO2 film assisted by alternating ALE process of fluorocarbon deposition and O2 plasma etching 国際会議

    M. Zaitsu, T. Tsutsumi, A. Kobayashi, H. Kondo, M. Hori, T. Nozawa, N. Kobayashi

    4th International Workshop on Atomic Layer Etching 

     詳細を見る

    開催年月日: 2017年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  256. Advanced Plasma Etching Processing: Atomic Layer Etching for Nanoscale Devices 招待有り 国際会議

    T. Tsutsumi, M. Zaitsu, A. Kobayashi, N. Kobayashi, M. Hori

    231st Electrochemical Society 

     詳細を見る

    開催年月日: 2017年5月 - 2017年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  257. Energy Balance Analysis by High-Precise Wafer Temperature Monitoring in Plasma Processing 招待有り 国際会議

    T. Tsutsumi

    The 15th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics and The 9th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     詳細を見る

    開催年月日: 2017年4月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  258. Behavior of Electron and Negative Ion Density in Very High Frequency Capacitively Coupled Plasma

    T. Takayoshi, N. Sirse, A. R Ellingboe, M. Sekine, M. Hori

     詳細を見る

    開催年月日: 2017年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  259. 酸素プラズマによる新規原子層エッチングプロセス 招待有り

    堤 隆嘉, 財津 優, 小林 明子, 小林 伸好, 堀 勝

    第199回Siテクノロジー分科会研究会 

     詳細を見る

    開催年月日: 2017年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  260. Advanced Plasma Etching Processing with Feedback Control of Wafer Temperature for Fabrication of Atomic-Scale Organic Devices 国際会議

    T. Tsutsumi, Y. Fukunaga, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, and M. Hori

    26th Annual Meeting of MRS-J 

     詳細を見る

    開催年月日: 2016年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  261. Phase-resolved measurement of electron density in afterglow of synchronized dc-imposed pulsed plasmas of fluorocarbon based gases 国際会議

    T. Ueyama, M. Iwata, Y. Fukunaga, T. Tsutsumi, K. Takeda, K. Ishikawa, M. Sekine, Y. Ohya, M. Hori, and H. Sugai

    2016 International Symposium on Dry Process, G-3 

     詳細を見る

    開催年月日: 2016年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  262. Electron and negative ion densities in a CW and pulsed 100 MHz capacitively coupled plasma discharge 国際会議

    N. Sirse, A. Ellingboe, T. Tsutsumi, S. Makoto, M. Hori

    69th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2016年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:ドイツ連邦共和国  

  263. Control of Internal Plasma Parameters Toward Atomic Level Processing 招待有り 国際会議

    M. Sekine, T. Tsutsumi, Y. Fukunaga, K. Takeda, H. Kondo, K. Ishikawa, M. Hori

    PRiME 2016 

     詳細を見る

    開催年月日: 2016年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  264. Electron and negative ion diagnostic in a CW and pulsed, 100 MHz, capacitively coupled plasma discharge 国際会議

    N. Sirse, T. Tsutsumi, M. Sekine, M. Hori, A. Ellingboe

    The 6th International Conference on Microelectronics and Plasma Technology 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  265. DCシンクロパルスプラズマを用いた最先端絶縁膜エッチングプロセスにおける電子の電極間密度分布およびアフターグローでの挙動

    植山 稔正, 岩田 学, 福永 裕介, 堤 隆嘉, 竹田 圭吾, 近藤 博基, 石川 健治, 関根 誠, 大矢 欣伸, 堀 勝, 菅井 秀郎

    第77回秋季応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  266. Vertical distribution measurement of electron density and optical emission in afterglow of pulsed fluorocarbon plasma 国際会議

    T. Ueyama, M. Iwata, Y. Fukunaga, T. Tsutsumi, K. Takeda, , K. Ishikawa, M. Sekine, Y. Ohya, M. Hori, H. Sugai

    7th International Workshop on Plasma Spectroscopy 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  267. A novel atomic layer etching of SiO2 with alternating O2 plasma with fluorocarbon film deposition 国際会議

    T. Tsutsumi, M. Zaitsu, A. Kobayashi, H. Kondo, T. Nozawa, N. Kobayashi, M. Hori

    3rd International Workshop on Atomic Layer Etching 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アイルランド  

  268. 有機膜表面における活性種によるエッチング反応の基板温度依存性

    福永 裕介, 堤 隆嘉, 竹田 圭吾, 石川 健治, 近藤 博基, 関根 誠, 堀 勝

    第63回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  269. Low-temperature PECVD process of silicon nitride film with radical and plasma diagnostics 国際会議

    B. B. Sahu, Jeon G. Han, Y. Y. Yin, J. S. Lee, Su. B. Lee, T. Tsutsumi, K. Ishikawa, M. Hori

    8th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma Nanotechnology and Science 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  270. Control of Radial Distribusion of Wafer Temperature during Plasma Process 国際会議

    T. Tsutsumi, K. Ishikawa, K. Takeda, T. Ohta, M. Ito, H. Kondo, M. Sekine, M. Hori

    8th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma Nanotechnology and Science 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  271. Evaluation of Substrate Temperature Effect on Etch Profile Development by Intermittent Plasma Generation for Substrate Temperature Control 国際会議

    Y. Fukunaga, T. Tsutsumi, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    8th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma Nanotechnology and Science 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  272. Plasma Etching Process based on Teal-time Monitoring of Radical Density and Substrate Temperature 招待有り 国際会議

    K. Takeda, Y. Fukunaga, T. Tsutsumi, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    The 50th Winter Annual Conference of the Korean Vacuum Society 

     詳細を見る

    開催年月日: 2016年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  273. Atomic-scale plasma process based on substrate-temperature control system 招待有り 国際会議

    T. Tsutsumi, Y. Fukunaga, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, and M. Hori

    Asia international workshop in plasma science 

     詳細を見る

    開催年月日: 2016年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  274. Wafer temperature dependence of organic film etch reaction in H2/N2 plasma 国際会議

    Y. Fukunaga, T. Tsutsumi, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    The 37th International Symposium on Dry Process 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  275. Highly Precise Control of Substrate Temperature During Plasma Etching and the Effect on Etched Profile 国際会議

    Y. Fukunaga, T. Tsutsumi, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    The 21st Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & The Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  276. Role Of RF/UHF Hybrid Plasmas On The Low Temperature Deposition Of The SiNx: H Film In PECVD Process 国際会議

    J. Han, B. Sahu, K. Shin, T. Tsutsumi, M. Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  277. Silicon Substrate Temperature Measurement In Sputtering Processes Using Optical Low-coherence Interferometry 国際会議

    K. Hattori, T. Ohta, M. Ito, T. Tsutsumi, K. Takeda, M. Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  278. Prediction of Radial Distribution from Temporal Variation of Wafer Temperature in a Plasma Reactor 国際会議

    T. Tsutsumi, K. Ishikawa, K. Takeda, T. Ohta, M. Ito, H. Kondo, M. Sekine, M. Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  279. Influences of wafer temperature on etch rates and profiles of organic films in H2/N2 plasma 国際会議

    T. Tsutsumi, Y. Fukunaga, K. Takeda, K. Ishikawa, T. Ohta, M. Ito, H. Kondo, M. Sekine, M. Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  280. Investigation of Temperature Parameter on Si Substrate Temperature Measurement UsingSpectral-Domain Optical Low-Coherence Interferometry 国際会議

    K. Hattori, T. Ohta, M. Ito, T. Tsutsumi, K. Takeda, M. Hori

    7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma Nanotechnology and Science 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  281. Analysis of Temporal Changes on Substrate Temperature: Heat Fluxes during Plasma Processes and Influence of Chamber Parts 国際会議

    T. Tsutsumi, Y. Fukunaga, K. Ishikawa, K. Takeda, T. Ohta, M. Ito, H. Kondo, M. Sekine, M. Hori

    7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma Nanotechnology and Science 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  282. Etching Process with System of Control of Wafer Temperatures measured by Non-contact Fourier Domain Low Coherence Interferometry 国際会議

    Y. Fukunaga, T. Tsutsumi, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma Nanotechnology and Science 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  283. 有機膜エッチングにおける側壁保護作用の基板温度依存性

    福永 裕介, 堤 隆嘉, 竹田 圭吾, 石川 健治, 近藤 博基, 関根 誠, 堀 勝

    第62回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  284. プラズマプロセス中の基板温度制御のための熱流束モデルの解析

    堤 隆嘉, 福永 裕介, 石川 健治, 竹田 圭吾, 近藤 博基, 太田 貴之, 伊藤 昌文, 関根 誠, 堀 勝

    第62回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  285. 高速・高精度基板温度制御によるエッチング形状

    堤 隆嘉, 福永 祐介, 石川 健治, 竹田 圭吾, 近藤 博基, 太田 貴之, 伊藤 昌文, 関根 誠, 堀 勝

    応用物理学会SC東海地区学術講演会 2014 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  286. 高速・高精度基板温度センサとパルス放電による基板温度制御

    堤 隆嘉, 福永 裕介, 石川 健治, 竹田 圭吾, 近藤 博基, 太田 貴之, 伊藤 昌文, 関根 誠, 堀 勝

    第75回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  287. 基板温度制御による有機Low-k膜のエッチング形状制御

    福永 裕介, 堤 隆嘉, 竹田 圭吾, 石川 健治, 近藤 博基, 関根 誠, 堀 勝

    第75回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  288. 非接触基板温度計測システムを用いたプラズマプロセス中の基板温度および熱流速計測

    堤 隆嘉, 竹田 圭吾, 石川 健治, 近藤 博基, 太田 貴之, 伊藤 昌文, 関根 誠, 堀 勝

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  289. Effect of Ambient Air Engulfment on Generation of Activated Species in 60 Hz Non-Equilibrium Atmospheric Pressure Ar Plasma Jet 国際会議

    S. Liang, T. Tsutsumi, A. Ando, K. Sun, K. Takeda, H. Kondo, K. Ishikawa, H. Kano, M. Sekine, M. Hori

    7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  290. Measurement of Heat Fluxes of Si Substrate from Plasma by Using Frequency Low Coherence Interferometer 国際会議

    T. Tsutsumi, K. Ishikawa, K. Takeda, T. Ohta, M. Ito, H. Kondo, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  291. Accuracy of substrate temperature measurements using optical low coherence interferometry 国際会議

    T. Ohta, H. Kato, T. Tsutsumi, K. Takeda, M. Hori, M. Ito

    8th International Conference on Reactive Plasmas 31st Symposium on Plasma Processing 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  292. Rapid non-contact measurements of heat fluxes to substrate in nitorogen plasmas 国際会議

    T. Tsutsumi, K. Ishikawa, K. Takeda, T. Ohta, M. Ito, H. Kondo, M. Sekine, M. Hor

    8th International Conference on Reactive Plasmas 31st Symposium on Plasma Processing 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  293. 低コヒーレンス干渉計を用いた基板温度計測における計測精度の検討

    宇佐美 拓也, 加藤 寛人, 太田 貴之, 堤 隆嘉, 堀 勝, 伊藤 昌文

    電気学会プラズマ研究会 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  294. Rapid precise measurements of film-covered-substrate temperatures during plasma processes 招待有り 国際会議

    M. Ito, T. Tsutsumi, T. Ohta, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    The 1st International Conference on Surface Engineering 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  295. Non-contact measurements of substrate-temperature by frequency-domain low coherence interferometry 国際会議

    T. Tsutsumi, T. Ohta, K. Ishikawa, K Takeda, H. Kondo, M. Sekine, M. Hori, M. Ito

    AVS 60th International Symposium & Exhibition 

     詳細を見る

    開催年月日: 2013年10月 - 2013年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  296. Effect of thin films on wafer temperature during plasma processes investigated by non-contact temperature measurement technique 国際会議

    T. Tsutsumi, K. Takeda, K. Ishikawa, T. Ohta, M. Ito, H. Kondo, M. Sekine, M. Hori

    The XXXI International Conference on Phenomena in Ionized Gases 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:スペイン  

  297. Precise Rapid Measurement of Substrate Temperature by Frequency-Domain Optical Low-Coherence Interferometry 招待有り 国際会議

    M. Ito, T. Ohta, T. Tsutsumi, K. Takeda, M. Hori

    17th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 4th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     詳細を見る

    開催年月日: 2013年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  298. 低コヒーレンス干渉計を用いた基板温度計測における波長依存性

    加藤 寛人, 柴田 恭平, 太田 貴之, 堤 隆嘉, 堀 勝, 伊藤 昌文

    第60回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2013年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  299. 窒化ガリウム(GaN)向けたサファイア基板の周波数領域型低コヒーレンス干渉計による温度計測(Ⅱ) 招待有り

    堤 隆嘉, 竹田 圭吾, 石川 健治, 近藤 博基, 太田 貴之, 伊藤 昌文, 関根 誠, 堀 勝

    第60回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2013年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  300. Temperature measurement of carbon nanowall / silicon substrate using super-continuum light source on low-coherence interferometry 国際会議

    T. Hiraoka, H. Kato, T. Tsutsumi, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Hori

    The 6th International Conference on PLAsma Nano Technology & Science 

     詳細を見る

    開催年月日: 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  301. Measurement of carbon nanowalls / silicon substrate temperature by fourier-domain low-coherence interferometry 国際会議

    T. Hiraoka, T. Tsutsumi, H. Kato, K. Takeda, T. Ohta, H. Kondo, K. Ishikawa, M. Ito, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  302. Monitoring of wafer temperature in plasma processing using optical low-coherence interferometry 招待有り 国際会議

    T. Ohta, M. Ito, T. Tsutsumi, T. Hiraoka, K. Takeda, M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  303. Real time temperature measurements of film-covered-substrate employing Fourier domain low coherence interferometer during plasma processes 国際会議

    T. Tsutsumi, T. Hiraoka, K. Takeda, K. Ishikawa, T. Ohta, M. Ito, H. Kondo, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2013年1月 - 2013年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  304. Temperature measurement of substrate with a thin film using low-coherence interference 国際会議

    T. Tsutsumi, T. Hiraoka, K. Takeda, K. Ishikawa, H. Kondo, T. Ohta, M. Ito, M. Sekine, M. Hori

    65th Annual Gaseous Electronics Conference 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  305. Non-Contact Measurement of Wafer Temperature for plasma processing Using Low Coherence Interferometry 国際会議

    T. Ohta, T. Tsutsumi, M. Ito, K. Takeda, M. Hori

    The 11th Asia Pacific Conference on Plasma Science and Technology and 25th Symposium on Plasma Science for Materials 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  306. Non-Contact Temperature Measurement of Sapphire Substrate for GaN using Frequency Domain Low Coherence Interferometry 国際会議

    T. Tsutsumi, K. Takeda, K. Ishikawa, H. Kondo, T. Ohta, M. Ito, M. Sekine, M. Hori

    The 11th Asia Pacific Conference on Plasma Science and Technology and 25th Symposium on Plasma Science for Materials 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  307. 光干渉計を用いたプラズマプロセス中の半導体基板の温度計測技術

    堤 隆嘉

    第6回プラズマエレクトロニクス インキュベーションンホール 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  308. 窒化ガリウム(GaN)向けサファイア基板の周波数領域型低コヒーレンス干渉計による温度計測

    堤 隆嘉, 竹田 圭吾, 石川 健治, 近藤 博基, 太田 貴之, 伊藤 昌文, 関根 誠, 堀 勝

    秋季第73回応用物理学会学術講演会 

     詳細を見る

    開催年月日: 2012年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  309. High Resolution Temperature Monitoring System of Semiconductor Substrate Using Fourier Domain Low Coherence Interferometer 国際会議

    T. Tsutsumi, M. Hori, M. Sekine, K. Ishikawa, K. Takeda, H. Kondo, T. Ohta, M. Ito

    The 15th Korea-Japan Workshop for Advanced Plasma Process and Diagnostics 

     詳細を見る

    開催年月日: 2012年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  310. 光干渉計を用いたカーボンナノウォール/Si基板の基板温度計測

    平岡 丈弘, 夏目 将利, 加藤 寛人, 堤 隆嘉, 太田 貴之, 伊藤 昌文, 竹田 圭吾, 近藤 博基, 堀 勝

    第59回応用物理学関係連合講演会 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  311. Temperature Measurement of Carbon Nanowall/Silicon Substrate Using Fourier-Domain Low-coherence Interferometry 国際会議

    T. Hiraoka, M. Natsume, H. Kato, T. Tsutsumi, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Hori

    6th International Conference on PLAsma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  312. Non-contact Temperature Measurement of Silicon Wafer Using Frequency Domain Low Coherence Interferometer 国際会議

    T. Tsutsumi, T. Ohta, M. Ito, S. Tsuchitani, M. Hori

    5th International Conference on PLAsma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2012年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  313. 光干渉計を用いたプラズマプロセス中の非接触ウエア温度モニタリング

    太田 貴之, 堤 隆嘉, 伊藤 昌文, 堀 勝

    Plasma Conference 2011 Conference & Exhibition 

     詳細を見る

    開催年月日: 2011年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  314. 光干渉計を用いた非接触ウエハ温度・膜厚計測

    太田 貴之, 堤 隆嘉, 伊藤 昌文, 平岡 丈弘, 竹田 圭吾, 堀 勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     詳細を見る

    開催年月日: 2011年10月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  315. レーザ吸収分光法を用いたマイクロホローカソード放電中の準安定He原子密度と温度測定

    井上 真里, 太田 貴之, 家苗 毅司, 堤 隆嘉, 菊池 邦友, 土谷 茂樹, 伊藤 昌文, 堀 勝

    秋季第72回応用物理学会術講演会 

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  316. 周波数領域型低コヒーレンス干渉計を用いたSi基板の非接触温度分布計測実験

    堤 隆嘉, 太田 貴之, 菊池 邦友, 土谷 茂樹, 伊藤 昌文, 堀 勝

    秋季第72回応用物理学会術講演会 

     詳細を見る

    開催年月日: 2011年8月 - 2011年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  317. Temperature Measurement of Silicon Wafer Treated by Atmospheric Pressure Plasma Using Frequency Domain Low Coherence Interferometer 国際会議

    T. Tsutsumi, T. Ohta, M. Ito, M. Hori

    4th International Conference on PLAsma-Nano Technology & Science 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  318. High Resolution Measurement of Silicon Substrate Temperature Using Frequency Domain Low Coherence Interferometer for Plasma Processing 国際会議

    T. Tsutsumi, T. Ohta, M. Ito, M. Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  319. 周波数領域型低コヒーレンス干渉計を用いた高精度Si基板温度計測

    堤 隆嘉, 太田 貴之, 伊藤 昌文, 平岡 丈弘, 堀 勝

    秋季第71回応用物理学会術講演会 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  320. Area-selective Plasma-enhanced Atomic Layer Etching (PE-ALE) of Silicon Dioxide using a Silane Coupling Agent 招待有り

    Airah Osonio, Takayoshi Tsutsumi, Bablu Mukherjee, Ranjit Borude, Nobuyoshi Kobayashi, Masaru Hori

     詳細を見る

    会議種別:口頭発表(招待・特別)  

▼全件表示

科研費 4

  1. グリーンプラズマエッチングに向けた高アスペクト比孔内の活性種輸送特性の実験的解明

    研究課題/研究課題番号:23K03367  2023年4月 - 2026年3月

    科学研究費助成事業  基盤研究(C)

    堤 隆嘉

      詳細を見る

    担当区分:研究代表者 

    配分額:4550000円 ( 直接経費:3500000円 、 間接経費:1050000円 )

    本研究では、正負イオンおよびラジカルの活性種の時空間計測により高アスペクト比孔内の輸送特性の実験的解明を試みる。高アスペクト比エッチングは、アスペクト比の増加に伴い孔内底面へのラジカルおよびイオン供給不足によりエッチングレートが低下する問題を抱えている。バイアス電力をさらに増加させ活性種供給律速の問題を避けているが、この超高電力消費は環境・エネルギー問題の観点から解決すべき課題であり、超高アスペクト比孔内の活性種の輸送特性の実験的解明は、全人類の喫緊の課題である半導体製造プロセスのグリーン化に貢献し、次世代半導体デバイス製造のためのすべてのプラズマプロセスに資する科学的基盤の構築に寄与する。

  2. 低温プラズマ加工の理論-計算-計測の連携環境構築による一原子一分子制御工学の創成

    研究課題/研究課題番号:21H01073  2021年4月 - 2024年3月

    科学研究費助成事業  基盤研究(B)

    関根 誠, 堤 隆嘉, 石川 健治

      詳細を見る

    担当区分:研究分担者 

    次代電子情報ナノシステムの作製において,微細加工・プラズマエッチングの1原子1分子レベルの反応プロセス制御『アトミックスケールエンジニアリング』が要求される.プラズマエッチングの反応過程をⅠ)気相中反応,Ⅱ)活性種輸送,Ⅲ)表面反応の3段階に階層化し,理論-計算-実験を統合した研究基盤を構築するアプローチを探索しながら,プラズマと表面の相互作用の『アトミックスケールエンジニアリング』を学問体系化し,次代イノベーション電子情報デバイスの創出に貢献する基盤技術を開拓する.

  3. 原子層エッチングにおけるプラズマ誘起欠陥生成機構の解明

    2020年4月 - 2023年3月

    科学研究費補助金 

      詳細を見る

    担当区分:研究代表者 

  4. 高移動度InNチャネルに向けた高密度ラジカル照射下における初期成長機構の解明

    2018年4月 - 2021年3月

    科学研究費補助金  基盤研究(B)

      詳細を見る

    担当区分:研究分担者 

 

担当経験のある科目 (本学) 1

  1. ディジタル回路及び演習

    2017

担当経験のある科目 (本学以外) 1

  1. ディジタル回路及び演習

    名古屋大学)