Updated on 2024/04/02

写真a

 
TSUTSUMI Takayoshi
 
Organization
Center for Low-temperature Plasma Sciences (cLPS) Associate professor
Graduate School
Graduate School of Engineering
Title
Associate professor

Degree 1

  1. 博士(工学) ( 2015.3   名古屋大学 ) 

Research Areas 1

  1. Energy Engineering / Applied plasma science

Research History 6

  1. Nagoya University   Associate professor

    2024.4

  2. Nagoya University   Lecturer

    2023.4 - 2024.3

  3. Nagoya University   Center for Low-temperature Plasma Sciences (cLPS)   Assistant Professor

    2019.4 - 2023.3

  4. Nagoya University   Graduate School of Engineering Plasma Nanotechnology Research Center   Assistant Professor

    2017.9 - 2019.3

  5. Nagoya University   Graduate School of Engineering Electronics 2   Assistant Professor

    2017.5 - 2023.3

  6. Nagoya University   Researcher

    2015.4 - 2017.4

      More details

    Country:Japan

▼display all

Education 1

  1. Nagoya University   Graduate School, Division of Engineering

    2012.4 - 2015.3

      More details

    Country: Japan

Professional Memberships 2

  1. 応用物理学会

  2. 応用物理学会

Committee Memberships 9

  1. AAPPS-DPP2023   実行委員  

    2023.2 - 2023.11   

  2. 2023年国際固体素子・材料コンファレンス実行委員会   実行委員  

    2022.8 - 2023.9   

  3. 44th DPS2023 実行委員会   実行委員  

    2022.3 - 2023.11   

  4. IUMRS-ICYRAM   組織委員  

    2021 - 2022   

  5. 2019年国際固体素子・材料コンファレンス実行委員会   実行委員  

    2018.8 - 2019.9   

  6. ISPlasma / IC-PLANTS 実行委員会   実行委員  

    2017.4   

  7. IWOPA2 実行委員会   実行委員  

    2017.4 - 2018.3   

  8. 40th DPS2018 実行委員会   実行委員  

    2017.3 - 2018.11   

  9. DPS40周年記念事業準備委員会   実行委員  

    2017.3 - 2018.11   

▼display all

Awards 6

  1. The Best Short Presentation Awards

    2021.3   13th anniversary International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlsma2021) / 14th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2021)   Study of Etching Process Using Gas Condensed Layer at Cryogenic Temperature 2

    Masahiro Hazumi, Suganthamalar Selvaraj, Shih-Nan Hsiao, Chihiro Abe, Toshiyuki Sasaki, Hisataka Hayashi, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

  2. The Best Oral Presentation Awards

    2021.3   13th anniversary International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlsma2021) / 14th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2021)   Design of Removal Process of SnO2 on Glass by H2/Ar Plasma at Atmospheric Pressure and Medium Pressure

    Thi-Thuy-Nga Nguyen, Minoru Sasaki, Takayoshi Tsutsumi, Kenji Ishikawa and Masaru Hori

  3. The Best Oral Presentation Awards

    2019.3   11th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlsma2019) / 12th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2019)   Control of sp2-C Fraction and Hardness of Amorphous Carbon Films by Formation of Precursor Radicals Depending on a Residence Time

    Hirotsugu Sugiura, Yasuyuki Ohashi, Lingyun Jia, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Toshio Hayashi, Keigo Takeda, Makoto Sekine and Masaru Hori

  4. 堀場雅夫賞

    2018.10   株式会社 堀場製作所  

     More details

    Country:Japan

  5. Award of Encouragement of Research

    2016.12  

     More details

    Award type:Award from Japanese society, conference, symposium, etc.  Country:Japan

  6. 応用物理学会講演奨励賞

    2012.11   応用物理学会  

     More details

    Award type:Award from Japanese society, conference, symposium, etc.  Country:Japan

▼display all

 

Papers 72

  1. O2 and Ar plasma processing over SiO2/Si stack: Effects of processing gas on interface defect generation and recovery Reviewed

    S. Nunomura; T. Tsutsumi; I. Sakata; M. Hori

    journal of Applied Physics   ( 135 ) page: 053301   2024.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/5.0184779

  2. Topographically-selective atomic layer etching of SiO<sub>2</sub> using radical fluorination of the surface followed by Ar ion bombardment

    Osonio, A; Tsutsumi, T; Mukherjee, B; Borude, R; Kobayashi, N; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 62 ( 12 )   2023.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ad0c46

    Web of Science

  3. Bias-supply timing tailored to the aspect ratio dependence of silicon trench etching in Ar plasma with alternately injected C4F8 and SF6

    Yoshie, T; Ishikawa, K; Nguyen, TTN; Hsiao, SN; Tsutsumi, T; Sekine, M; Hori, M

    APPLIED SURFACE SCIENCE   Vol. 638   2023.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.apsusc.2023.157981

    Web of Science

  4. MEMS nozzle for dry-capturing lily pollens in array and fixing on culture media for plasma bio-applications

    Liu, QY; Sugiyama, S; Han, G; Tsutsumi, T; Tanaka, H; Sasaki, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 62 ( SN )   2023.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/acfb17

    Web of Science

  5. Deposition of carbon-based materials directly on copper foil and nickel foam as 2D-and 3D-networked metal substrates by in-liquid plasma

    Dela Vega, MSDC; Nguyen, TTN; Kondo, H; Tsutsumi, T; Ishikawa, K; Hori, M

    PLASMA PROCESSES AND POLYMERS   Vol. 20 ( 11 )   2023.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.202300036

    Web of Science

  6. Development of an experimental system for cell viability assays of yeasts using gas-temperature controllable plasma jets

    Yoshimura, S; Otsubo, Y; Yamashita, A; Johzuka, K; Tsutsumi, T; Ishikawa, K; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 62 ( SL )   2023.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/acd4ca

    Web of Science

  7. Plasma processing and annealing for defect management at SiO<sub>2</sub>/Si interface

    Nunomura, S; Tsutsumi, T; Sakata, I; Hori, M

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B   Vol. 41 ( 5 )   2023.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/6.0002822

    Web of Science

  8. Manipulation of etch selectivity of silicon nitride over silicon dioxide to a-carbon by controlling substate temperature with a CF4/H2 plasma

    Hsiao, SN; Britun, N; Nguyen, TTN; Tsutsumi, T; Ishikawa, K; Sekine, M; Hori, M

    VACUUM   Vol. 210   2023.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.vacuum.2023.111863

    Web of Science

  9. Area-selective plasma-enhanced atomic layer etching (PE-ALE) of silicon dioxide using a silane coupling agent

    Osonio, AP; Tsutsumi, T; Oda, Y; Mukherjee, B; Borude, R; Kobayashi, N; Hori, M

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A   Vol. 40 ( 6 )   2022.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/6.0002044

    Web of Science

  10. Indoor Floor Heel Mark Removal Using Spark Discharges and Pressurized Airflow

    Sakamoto, Y; Tsutsumi, T; Tanaka, H; Ishikawa, K; Hashizume, H; Hori, M

    COATINGS   Vol. 12 ( 12 )   2022.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3390/coatings12121938

    Web of Science

  11. Effects of deposition precursors of hydrogenated amorphous carbon films on the plasma etching resistance based on mass spectrometer measurements and machine learning analysis

    Kurokawa, J; Kondo, H; Tsutsumi, T; Ishikawa, K; Sekine, M; Hori, M

    VACUUM   Vol. 205   2022.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.vacuum.2022.111351

    Web of Science

  12. Study of optical emission spectroscopy using modified Boltzmann plot in dual-frequency synchronized pulsed capacitively coupled discharges with DC bias at low-pressure in Ar/O<sub>2</sub>/C<sub>4</sub>F<sub>8</sub> plasma etching process

    Sahu, BB; Nakane, K; Ishikawa, K; Sekine, M; Tsutsumi, T; Gohira, T; Ohya, Y; Ohno, N; Hori, M

    PHYSICAL CHEMISTRY CHEMICAL PHYSICS   Vol. 24 ( 22 ) page: 13883 - 13896   2022.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1039/d2cp00289b

    Web of Science

    PubMed

  13. Ion-induced interface defects in a-Si:H/c-Si heterojunction: possible roles and kinetics of hot mobile hydrogens

    Nunomura, S; Tsutsumi, T; Nakane, K; Sato, A; Sakata, I; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 61 ( 5 )   2022.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ac5210

    Web of Science

  14. Low-temperature reduction of SnO2 by floating wire-assisted medium-pressure H-2/Ar plasma

    Thi-Thuy-Nga Nguyen, Sasaki Minoru, Hsiao Shih-Nan, Tsutsumi Takayoshi, Ishikawa Kenji, Hori Masaru

    PLASMA PROCESSES AND POLYMERS     2022.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.202100209

    Web of Science

  15. Nitrogen Atom Density Measurements in NAGDIS-T Using Vacuum Ultraviolet Absorption Spectroscopy

    Nishio, R; Kajita, S; Tanaka, H; Asaoka, K; Tsutsumi, T; Hori, M; Ohno, N

    PLASMA AND FUSION RESEARCH   Vol. 17   2022.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1585/pfr.17.1201004

    Web of Science

  16. Functional nitrogen science based on plasma processing: quantum devices, photocatalysts and activation of plant defense and immune systems

    Kaneko Toshiro, Kato Hiromitsu, Yamada Hideaki, Yamamoto Muneaki, Yoshida Tomoko, Attri Pankaj, Koga Kazunori, Murakami Tomoyuki, Kuchitsu Kazuyuki, Ando Sugihiro, Nishikawa Yasuhiro, Tomita Kentaro, Ono Ryo, Ito Tsuyohito, Ito Atsushi M., Eriguchi Koji, Nozaki Tomohiro, Tsutsumi Takayoshi, Ishikawa Kenji

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 61 ( SA )   2022.1

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ac25dc

    Web of Science

  17. On the Etching Mechanism of Highly Hydrogenated SiN Films by CF<sub>4</sub>/D<sub>2</sub> Plasma: Comparison with CF<sub>4</sub>/H<sub>2</sub>

    Hsiao, SN; Nguyen, TTN; Tsutsumi, T; Ishikawa, K; Sekine, M; Hori, M

    COATINGS   Vol. 11 ( 12 )   2021.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3390/coatings11121535

    Web of Science

  18. Reaction Mechanism and Selectivity Control of Si Compound ALE Based on Plasma Modification and F-Radical Exposure

    Vervuurt R. H. J., Mukherjee B., Nakane K., Tsutsumi T., Hori M., Kobayashi N.

    LANGMUIR   Vol. 37 ( 43 ) page: 12663 - 12672   2021.11

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    DOI: 10.1021/acs.langmuir.1c02036

    Web of Science

  19. Effects of hydrogen content in films on the etching of LPCVD and PECVD SiN films using CF4/H-2 plasma at different substrate temperatures Reviewed

    Hsiao Shih-Nan, Britun Nikolay, Thi-Thuy-Nga Nguyen, Tsutsumi Takayoshi, Ishikawa Kenji, Sekine Makoto, Hori Masaru

    PLASMA PROCESSES AND POLYMERS     2021.8

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.202100078

    Web of Science

  20. Influences of substrate temperatures on etch rates of PECVD-SiN thin films with a CF4/H-2 plasma Reviewed

    Hsiao Shih-Nan, Nakane Kazuya, Tsutsumi Takayoshi, Ishikawa Kenji, Sekine Makoto, Hori Masaru

    APPLIED SURFACE SCIENCE   Vol. 542   2021.3

     More details

    Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.apsusc.2020.148550

    Web of Science

  21. Selective etching of SiN against SiO2 and poly-Si films in hydrofluoroethane chemistry with a mixture of CH2FCHF2, O-2, and Ar Reviewed

    Hsiao Shih-Nan, Ishikawa Kenji, Hayashi Toshio, Ni Jiwei, Tsutsumi Takayoshi, Sekine Makoto, Hori Masaru

    APPLIED SURFACE SCIENCE   Vol. 541   2021.3

     More details

    Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.apsusc.2020.148439

    Web of Science

  22. Reaction science of layer-by-layer thinning of graphene with oxygen neutrals at room temperature Reviewed

    Sugiura Hirotsugu, Kondo Hiroki, Higuchi Kimitaka, Arai Shigeo, Hamaji Ryo, Tsutsumi Takayoshi, Ishikawa Kenji, Hori Masaru

    CARBON   Vol. 170   page: 93 - 99   2020.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.carbon.2020.07.052

    Web of Science

  23. Formation of spherical Sn particles by reducing SnO2 film in floating wire-assisted H-2/Ar plasma at atmospheric pressure Reviewed

    Thi-Thuy-Nga Nguyen, Sasaki Minoru, Tsutsumi Takayoshi, Ishikawa Kenji, Hori Masaru

    SCIENTIFIC REPORTS   Vol. 10 ( 1 )   2020.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1038/s41598-020-74663-z

    Web of Science

  24. Measurements of nitrogen atom density in a microwave-excited plasma jet produced under moderate pressures Reviewed

    Kim Jaeho, Takeda Keigo, Itagaki Hirotomo, Wang Xue-lun, Hirose Shingo, Ogiso Hisato, Shimizu Tetsuji, Kumagai Naoto, Tsutsumi Takayoshi, Kondo Hiroki, Hori Masaru, Sakakita Hajime

    IEEJ TRANSACTIONS ON ELECTRICAL AND ELECTRONIC ENGINEERING   Vol. 15 ( 9 ) page: 1281 - 1287   2020.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/tee.23194

    Web of Science

  25. Numerical analysis of coaxial dielectric barrier helium discharges: three-stage mode transitions and internal bullet propagation Reviewed

    Sato Yosuke, Ishikawa Kenji, Tsutsumi Takayoshi, Hori Masaru

    APPLIED PHYSICS EXPRESS   Vol. 13 ( 8 ) page: .   2020.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Web of Science

  26. Atomic nitrogen density measurements by actinometry method in the toroidal device NAGDIS-T Reviewed

    Kajita Shin, Asaoka Koji, Tanaka Hirohiko, Nishio Ryosuke, Tsutsumi Takayoshi, Hori Masaru, Ohno Noriyasu

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 59 ( 8 ) page: .   2020.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Web of Science

  27. In situ surface analysis of an ion-energy-dependent chlorination layer on GaN during cyclic etching using Ar+ ions and Cl radicals Reviewed

    Hasegawa Masaki, Tsutsumi Takayoshi, Tanide Atsushi, Nakamura Shohei, Kondo Hiroki, Ishikawa Kenji, Sekine Makoto, Hori Masaru

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A   Vol. 38 ( 4 )   2020.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/6.0000124

    Web of Science

  28. Numerical simulations of stable, high-electron-density atmospheric pressure argon plasma under pin-to-plane electrode geometry: effects of applied voltage polarity Reviewed

    Yosuke Sato, Kenji Ishikawa, Takayoshi Tsutsumi, Akio Ui, Masato Akita, Shotaro Oka, Masaru Hori

    Journal of Physics D: Applied Physics     2020.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/ab7df0

  29. Generation and Diagnostics of Ambient Air Glow Discharge in Centimeter-Order Gaps Reviewed

    Gamaleev Vladislav, Tsutsumi Takayoshi, Hiramatsu Mined, Ito Masafumi, Hori Masaru

    IEEE ACCESS   Vol. 8   page: 72607 - 72619   2020.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1109/ACCESS.2020.2988091

    Web of Science

  30. Electron and negative ion dynamics in a pulsed 100 MHz capacitive discharge produced in an O-2 and Ar/O-2/C4F8 gas mixture Reviewed

    Sirse N, Tsutsumi T, Sekine M, Hori M, Ellingboe A. R

    PLASMA SOURCES SCIENCE & TECHNOLOGY   Vol. 29 ( 3 )   2020.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6595/ab7086

    Web of Science

  31. Interaction of oxygen with polystyrene and polyethylene polymer films: A mechanistic study Reviewed

    Fukunaga Yusuke, Longo Roberto C, Ventzek Peter L. G, Lane Barton, Ranjan Alok, Hwang Gyeong S, Hartmann Greg, Tsutsumi Takayoshi, Ishikawa Kenji, Kondo Hiroki, Sekine Makoto, Hori Masaru

    JOURNAL OF APPLIED PHYSICS   Vol. 127 ( 2 )   2020.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.5127863

    Web of Science

  32. Etching characteristics of PECVD-prepared SiN films with CF<sub>4</sub>/D<sub>2</sub> and CF<sub>4</sub>/H<sub>2</sub> plasmas at different temperatures

    Hsiao, SN; Nguyen, TTN; Tsutsumi, T; Ishikawa, K; Sekine, M; Hori, M

    2020 INTERNATIONAL SYMPOSIUM ON SEMICONDUCTOR MANUFACTURING (ISSM)     2020

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1109/ISSM51728.2020.9377537

    Web of Science

  33. Gas-phase and film analysis of hydrogenated amorphous carbon films: Effect of ion bombardment energy flux on sp2 carbon structures Reviewed

    Hirotsugu Sugiura, Yasuyuki Ohashi, Kenji Ishikawa, Hiroki Kondo, Toshiaki Kato, Toshiro Kaneko, Keigo Takeda, Takayoshi Tsutsumi, Toshio Hayashi, Makoto Sekine, Masaru Hori

    Diamond and Related Materials   Vol. 104   page: 107651   2019.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.diamond.2019.107651

  34. In Situ Monitoring of Surface Reactions during Atomic Layer Etching of Silicon Nitride Using Hydrogen Plasma and Fluorine Radicals Reviewed

    Nakane Kazuya, Vervuurt Rene H. J., Tsutsumi Takayoshi, Kobayashi Nobuyoshi, Hori Masaru

    ACS APPLIED MATERIALS & INTERFACES   Vol. 11 ( 40 ) page: 37263 - 37269   2019.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1021/acsami.9b11489

    Web of Science

  35. Gene Expression of Osteoblast-like Cells on Carbon-Nanowall as Scaffolds during Incubation with Electrical Stimulation Reviewed

    Tomonori Ichikawa, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Hiromasa Tanaka, Makoto Sekine, Masaru Hori

    ACS Applied Bio Materials   Vol. 2 ( 7 ) page: 2698 - 2702   2019.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1021/acsabm.9b00178

    Web of Science

    PubMed

  36. Progress and perspectives in dry processes for emerging multidisciplinary applications: how can we improve our use of dry processes? Reviewed

    Taku Iwase, Yoshito Kamaji, Song Yun Kang, Kazunori Koga, Nobuyuki Kuboi, Moritaka Nakamura, Nobuyuki Negishi, Tomohiro Nozaki, Shota Nunomura, Daisuke Ogawa, Mitsuhiro Omura, Tetsuji Shimizu, Kazunori Shinoda, Yasushi Sonoda, Haruka Suzuki, Kazuo Takahashi, Takayoshi Tsutsumi, Kenichi Yoshikawa, Tatsuo Ishijima, Kenji Ishikawa

    Japanese Journal of Applied Physics   Vol. 58   2019.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/ab163a

    Web of Science

  37. Progress and perspectives in dry processes for nanoscale feature fabrication: fine pattern transfer and high-aspect-ratio feature formation Reviewed

    Taku Iwase, Yoshito Kamaji, Song Yun Kang, Kazunori Koga, Nobuyuki Kuboi, Moritaka Nakamura, Nobuyuki Negishi, Tomohiro Nozaki, Shota Nunomura, Daisuke Ogawa, Mitsuhiro Omura, Tetsuji Shimizu, Kazunori Shinoda, Yasushi Sonoda, Haruka Suzuki, Kazuo Takahashi, Takayoshi Tsutsumi, Kenichi Yoshikawa, Tatsuo Ishijima, Kenji Ishikawa

    Japanese Journal of Applied Physics   Vol. 58   2019.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/ab1638

    Web of Science

  38. Progress and perspectives in dry processes for leading-edge manufacturing of devices: toward intelligent processes and virtual product development Reviewed

    Taku Iwase, Yoshito Kamaji, Song Yun Kang, Kazunori Koga, Nobuyuki Kuboi, Moritaka Nakamura, Nobuyuki Negishi, Tomohiro Nozaki, Shota Nunomura, Daisuke Ogawa, Mitsuhiro Omura, Tetsuji Shimizu, Kazunori Shinoda, Yasushi Sonoda, Haruka Suzuki, Kazuo Takahashi, Takayoshi Tsutsumi, Kenichi Yoshikawa, Tatsuo Ishijima, Kenji Ishikawa

    Japanese Journal of Applied Physics   Vol. 58   2019.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/ab163b

    Web of Science

  39. Facile synthesis of SnO2-graphene composites employing nonthermal plasma and SnO2 nanoparticles-dispersed ethanol Reviewed

    Borude Ranjit R, Sugiura Hirotsugu, Ishikawa Kenji, Tsutsumi Takayoshi, Kondo Hiroki, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 52 ( 17 )   2019.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/ab03c4

    Web of Science

  40. Effects of 3D structure on electrochemical oxygen reduction characteristics of Pt- nanoparticle-supported carbon nanowalls

    Imai Shun, Naito Kenichi, Kondo Hiroki, Cho Hyung Jun, Ishikawa Kenji, Tsutsumi Takayoshi, Sekine Makoto, Hiramatsu Mineo, Hori Masaru

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 52 ( 10 ) page: 105503 - 105503   2019.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IOP Publishing  

    DOI: 10.1088/1361-6463/aaf8e0

    Web of Science

    Other Link: http://iopscience.iop.org/article/10.1088/1361-6463/aaf8e0/pdf

  41. Real-time control of a wafer temperature for uniform plasma process

    T. Tsutsumi, Y. Fuknaga, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    2018 International Symposium on Semiconductor Manufacturing     2019.2

     More details

    Language:English   Publishing type:Research paper (other academic)  

    DOI: 10.1109/ISSM.2018.8651183

  42. Remotely floating wire-assisted generation of high-density atmospheric pressure plasma and SF6-added plasma etching of quartz glass

    Thi-Thuy-Nga Nguyen, Sasaki Minoru, Odaka Hidefumi, Tsutsumi Takayoshi, Ishikawa Kenji, Hori Masaru

    JOURNAL OF APPLIED PHYSICS   Vol. 125 ( 6 ) page: 063304 - 063304   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:AIP Publishing  

    DOI: 10.1063/1.5081875

    Web of Science

  43. Liquid dynamics in response to an impinging low-temperature plasma jet

    Brubaker T. R, Ishikawa K, Kondo H, Tsutsumi T, Hashizume H, Tanaka H, Knecht S. D, Bilen S. G, Hori M

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   Vol. 52 ( 7 )   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aaf460

    Web of Science

  44. Control of sp2-C cluster incorporation of amorphous carbon films grown by H-radical-injection CH4/H2 plasma-enhanced chemical vapor deposition Reviewed

    Hirotsugu Sugiura, Lingyun Jia, Yasuyuki Ohashi, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Toshio Hayashi, Keigo Takeda, Makoto Sekine, Masaru Hori

    Japanese Journal of Applied Physics   Vol. 58 ( 3 ) page: 030912   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: doi.org/10.7567/1347-4065/aafd49

  45. Single-Step, Low-Temperature Simultaneous Formations and in Situ Binding of Tin Oxide Nanoparticles to Graphene Nanosheets by In-Liquid Plasma for Potential Applications in Gas Sensing and Lithium-Ion Batteries Reviewed

    Ranjit R. Borude, Hirotsugu Sugiura, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo, Nobuyuki Ikarashi, Masaru Hori

    ACS Appplied Nano Materials   Vol. 2 ( 2 ) page: 649-654   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1021/acsanm.8b02201

  46. Narrow free-standing features fabricated by top-down self-limited trimming of organic materials using precisely temperature-controlled plasma etching system

    Fukunaga Yusuke, Tsutsumi Takayoshi, Kondo Hiroki, Ishikawa Kenji, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 58 ( 2 )   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/aaf92a

    Web of Science

  47. Modifications of surface and bulk properties of magnetron-sputtered carbon films employing a post-treatment of atmospheric pressure plasma Reviewed

    Borude Ranjit R., Sugiura Hirotsugu, Ishikawa Kenji, Tsutsumi Takayoshi, Kondo Hiroki, Han Jeon Geon, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 58 ( SA )   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/aaec87

    Web of Science

  48. Effect of electrical stimulation on proliferation and bone-formation by osteoblast-like cells cultured on carbon nanowalls scaffolds

    Ichikawa Tomonori, Tanaka Suiki, Kondo Hiroki, Ishikawa Kenji, Tsutsumi Takayoshi, Sekine Makoto, Hori Masaru

    APPLIED PHYSICS EXPRESS   Vol. 12 ( 2 )   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1882-0786/aaf469

    Web of Science

  49. Effects of Ion Bombardment Energy Flux on Chemical Compositions and Structures of Hydrogenated Amorphous Carbon Films Grown by a Radical-Injection Plasma-Enhanced Chemical Vapor Deposition Reviewed

    H. Sugiura, H. Kondo, T. Tsutsumi, K. Ishikawa, M. Hori

    C   Vol. 5 ( 1 ) page: 8   2019.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3390/c5010008

  50. Electrochemical Reaction in Hydrogen Peroxide and Structural Change of Platinum Nanoparticle-Supported Carbon Nanowalls Grown Using Plasma-Enhanced Chemical Vapor Deposition Reviewed

    Masakazu Tomatsu , Mineo Hiramatsu, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Masaru Hori

    C   Vol. 5 ( 1 ) page: 7   2019.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.3390/c5010007

  51. Polyethylene terephthalate (PET) surface modification by VUV and neutral active species in remote oxygen or hydrogen plasmas Reviewed

    Yan Zhang, Kenji Ishikawa, Miran Mozetič, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, Masaru Hori

    Plasma Processes and Polymers     2019.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/ppap.201800175

  52. Pt nanoparticle-supported carbon nanowalls electrode with improved durability for fuel cell applications using C2F6/H-2 plasma-enhanced chemical vapor deposition

    Imai Shun, Kondo Hiroki, Hyungjun Cho, Ishikawa Kenji, Tsutsumi Takayoshi, Sekine Makoto, Hiramatsu Mineo, Hori Masaru

    APPLIED PHYSICS EXPRESS   Vol. 12 ( 1 )   2019.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IOP PUBLISHING LTD  

    The electrochemical durability of Pt nanoparticles-supported carbon nanowalls (Pt/CNWs) determined from potential cycle tests was 88% performance after 20 000 cycles and 50% performance around 140 000 cycles when the CNWs were fabricated by the C2F6/H-2 plasma-enhanced chemical vapor deposition system (C2F6-CNWs). Even after the extended start/stop-simulation tests of fuel cell Pt/C2F6-CNWs, Pt was aggregated; however, the morphological structure of the CNWs was maintained and no corrosion was evident from scanning electron microscopy observations and Raman analysis. For graphene-based catalyst supports, i.e., the Pt/C2F6-CNWs, graphene crystallinity is essential to extend electrochemical durability by inhibiting corrosion during fuel cell operation. (C) 2018 The Japan Society of Applied Physics

    DOI: 10.7567/1882-0786/aaf0ab

    Web of Science

  53. Reaction mechanisms between chlorine plasma and a spin-on-type polymer mask for high-temperature plasma etching Reviewed

    Zhang Yan, Imamura Masato, Ishikawa Kenji, Tsutsumi Takayoshi, Kondo Hiroki, Sekine Makoto, Hori Masaru

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 10 )   2018.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.106502

    Web of Science

  54. Temperature dependence on plasma-induced damage and chemical reactions in GaN etching processes using chlorine plasma Reviewed

    Zecheng Liu, Kenji Ishikawa, Masato Imamura, Takayoshi Tsutsumi, Hiroki Kondo, Osamu Oda, Makoto Sekine, Masaru Hori

    Japanese Journal of Applied Physics   Vol. 57 ( 6 )   2018.6

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:Japan Society of Applied Physics  

    Plasma-induced damage (PID) on GaN was optimally reduced by high-temperature chlorine plasma etching. Energetic ion bombardments primarily induced PID involving stoichiometry, surface roughness, and photoluminescence (PL) degradation. Chemical reactions under ultraviolet (UV) irradiation and chlorine radical exposure at temperatures higher than 400 °C can be controlled by taking into account the synergism of simultaneous photon and radical irradiations to effectively reduce PID.

    DOI: 10.7567/JJAP.57.06JD01

    Web of Science

    Scopus

  55. Effects of gas residence time of CH4/H2 on sp2 fraction of amorphous carbon films and dissociated methyl density during radical-injection plasma-enhanced chemical vapor deposition Reviewed

    Hirotsugu Sugiura, Lingyun Jia, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Toshio Hayashi, Keigo Takeda, Makoto Sekine, Masaru Hori

    Japanese Journal of Applied Physics   Vol. 57 ( 6 )   2018.6

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:Japan Society of Applied Physics  

    Quadruple mass spectrometric measurements of CH3 density during radical-injection plasma-enhanced chemical vapor deposition to consider the sp2 fraction of amorphous carbon (a-C) films were performed. The sp2 fraction of the a-C films reached a minimum of 46%, where the CH3 density was maximum for a residence time of 6 ms. The sp2 fraction of the a-C films was tailored with the gaseous phase CH3 density during the deposition. This knowledge is useful for understanding the formation mechanism of bonding structures in the a-C films, which enables the precise control of their electronic properties.

    DOI: 10.7567/JJAP.57.06JE03

    Web of Science

    Scopus

  56. Nanographene synthesis employing in-liquid plasmas with alcohols or hydrocarbons Reviewed

    Atsushi Ando, Kenji Ishikawa, Hiroki Kondo, Takayoshi Tsutsumi, Keigo Takeda, Takayuki Ohta, Masafumi Ito, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    Japanese Journal of Applied Physics   Vol. 57 ( 2 )   2018.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japan Society of Applied Physics  

    Graphenes of nanometer-scale grain size (nanographenes) were synthesized using in-liquid plasmas with alcohols or hydrocarbons. This method of nanographene synthesis showed a trade-off relationship between crystallinity and synthesis rate. The high crystallinity of nanographenes synthesized with alcohols was evaluated from the small full width at half maxima (FWHM) of the G band in Raman scattering spectra. On the other hand, in the case of using hydrocarbons such as n-hexane and benzene, a significantly high synthesis rate was obtained but the crystallinity of nanographenes was low. It was found that hydroxyl groups and oxygen atoms of liquid sources play important roles in determining the crystallinity of synthesized nanographenes.

    DOI: 10.7567/JJAP.57.026201

    Web of Science

    Scopus

  57. Rapid growth of micron-sized graphene flakes using in-liquid plasma employing iron phthalocyanine-added ethanol Reviewed

    Tomoki Amano, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Keigo Takeda, Mineo Hiramatsu, Makoto Sekine, Masaru Hori

    Applied Physics Express   Vol. 11 ( 1 )   2018.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:Japan Society of Applied Physics  

    Giant graphene flakes on the micron scale were synthesized and grown in plasmas in liquid-phase pure ethanol with added iron phthalocyanine (FePc) in a solvent. At atmospheric pressure, plasmas were generated in the gas phase filled with Ar and in the liquid phases comprising bubbles and liquid solutions. In the mixture of FePc in ethanol, nanographene sheets aggregated to form giant graphene flakes, as confirmed by the D, G, and 2D bands in the corresponding Raman spectra. Therefore, a bottom-up approach of graphite synthesis from pure ethanol with additives and a catalyst was realized by in-liquid plasma processing.

    DOI: 10.7567/APEX.11.015102

    Web of Science

    Scopus

  58. Real-time control of a wafer temperature for uniform plasma process

    Tsutsumi T., Fuknaga Y., Ishikawa K., Kondo H., Sekine M., Hori M.

    2018 INTERNATIONAL SYMPOSIUM ON SEMICONDUCTOR MANUFACTURING (ISSM)     page: .   2018

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Web of Science

  59. Measurement of F-, O- and CF3- densities in 60 and 100 MHz asymmetric capacitively coupled plasma discharge produced in an Ar/O2/C4F8 gas mixture Reviewed

    N. Sirse, T. Tsutsumi, M. Sekine, M. Hori, A. R. Ellingboe

    Journal of Physics D: Applied Physics   Vol. 50 ( 33 ) page: 335205   2017.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6463/aa77c4

  60. Temperature dependence of protection layer formation on organic trench sidewall in H2/N2 plasma etching with control of substrate temperature Reviewed

    Y. Fukunaga, T. Tsutsumi, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori

    Japanese Journal of Applied Physics   Vol. 56 ( 7 ) page: 076202   2017.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.076202

  61. Investigation of the radially resolved oxygen dissociation degree and local mean electron energy in oxygen plasmas in contact with different surface materials Reviewed

    T. Tsutsumi, A. Greb, A. R. Gibson, M. Hori, D. O'Connell, T. Gans

    Journal of Applied Physics   Vol. 121 ( 14 ) page: 143301   2017.4

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4979855

  62. Electron behaviors in afterglow of synchronized dc-imposed pulsed fluorocarbon-based plasmas Reviewed

    T. Ueyama, Y. Fukunaga, T. Tsutsumi, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Iwata, Y. Ohya, H. Sugai, M. Hori

    Japanese Journal of Applied Physics   Vol. 56 ( 6S2 ) page: 06HC03   2017.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.06HC03

  63. Absolute density of precursor SiH3 radicals and H atoms in H2-diluted SiH4 gas plasma for deposition of microcrystalline silicon films Reviewed

    Y. Abe, K. Ishikawa, K. Takeda, T. Tsutsumi, A. Fukushima, H. Kondo, M. Sekine, M. Hori

    Applied Physics Letters   Vol. 110 ( 4 ) page: 043902   2017.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4974821

  64. Advanced Plasma Etching Processing: Atomic Layer Etching for Nanoscale Devices Reviewed

    T. Tsutsumi, M. Zaitsu, A. Kobayashi, N. Kobayashi, M. Hori

    PLASMA NANO SCIENCE AND TECHNOLOGY   Vol. 77 ( 3 ) page: 25 - 28   2017

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:ELECTROCHEMICAL SOC INC  

    Plasma processes for deposition and etching are required for development of the next generation semiconductor devices. To achieve high performance of nanoscale devices, the continuous development of fabrication processes is necessary. An atomic layer etching (ALE) process achieves this continuous development, since the process has advantages such as more precise, higher controllability and repeatability. We have developed ALE process for SiO2 to achieve high controllability and repeatability. The ALE process is a cyclic process composed of two steps: a first step which deposits a fluorocarbon film on the SiO2 surface using an Ar/C4F8 plasma, followed by an O-2 plasma etching step. The O-2 plasma etching suppresses forming a carbon-rich film on the target material surface and maintains the chamber conditions by removing the fluorocarbon on the chamber walls. The ALE process for SiO2 exhibits high reproducibility and has the potential to allow uniform EPC values over large wafer surfaces.

    DOI: 10.1149/07703.0025ecst

    Web of Science

  65. Atomic layer etching of SiO2 by alternating an O2 plasma with fluorocarbon film deposition Reviewed

    T. Tsutsumi, H. Kondo, M. Hori, M. Zaitsu, A. Kobayashi, T. Nozawa, N. Kobayashi

    Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films   Vol. 35 ( 1 ) page: 01A103   2016.11

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1116/1.4971171

  66. The role of plasma chemistry on functional silicon nitride film properties deposited at low-temperature by mixing two frequency powers using PECVD Reviewed

    B. B. Sahu, Y. Y. Yin, T. Tsutsumi, M. Hori, Jeon G. Han

    Physical Chemistry Chemical Physics   Vol. 18 ( 18 ) page: 13033   2016.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1039/C6CP00986G

  67. Control of Internal Plasma Parameters Toward Atomic Level Processing Reviewed

    M. Sekine, T. Tsutsumi, Y. Fukunaga, K. Takeda, H. Kondo, K. Ishikawa, M. Hori

    ECS Transactions   Vol. 75 ( 6 ) page: 21   2016

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/07506.0021ecst

  68. Real-time temperature-monitoring of Si substrate during plasma processing and its heat-flux analysis Reviewed

    T. Tsutsumi, K. Ishikawa, K. Takeda, H. Kondo, T. Ohta, M. Ito, M. Sekine, M. Hori

    Japanese Journal of Applied Physics   Vol. 55 ( 1S ) page: 01AB04   2015.11

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.55.01AB04

  69. Feedback Control System of Wafer Temperature for Advanced Plasma Processing and its Application to Organic Film Etching Reviewed

    T. Tsutsumi, Y. Fukunaga, K. Ishikawa, K. Takeda, H. Kondo, T. Ohta, M. Ito, M. Sekine, M. Hori

    IEEE Transactions on Semiconductor Manufacturing   Vol. 28 ( 4 ) page: 515   2015.8

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1109/TSM.2015.2470554

  70. Wavelength Dependence for Silicon-Wafer Temperature Measurement by Autocorrelation-type Frequency-Domain Low-Coherence Interferometry Reviewed

    T. Tsutsumi, T. Ohta, K Takeda, M. Ito, M. Hori

    Applied Optics   Vol. 54 ( 23 ) page: 7088   2015.8

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1364/AO.54.007088

  71. Robust characteristics of semiconductor-substrate temperature-measurement method using auto-correlation type frequency-domain low-coherence interferometry Reviewed

    T. Tsutsumi, T. Ohta, K. Ishikawa, K. Takeda, H. Kondo, M. Sekine, M. Hori, M. Ito

    Japanese Journal of Applied Physics   Vol. 54 ( 1S ) page: 01AB03   2014.11

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.54.01AB03

  72. Rapid measurement of substrate temperatures by frequency-domain low-coherence interferometry Reviewed

    T. Tsutsumi, T. Ohta, K. Ishikawa, K. Takeda, H. Kondo, M. Sekine, M. Hori, M. Ito

    Applied Physics Letters   Vol. 103 ( 18 ) page: 182102   2013.10

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4827426

▼display all

MISC 4

  1. 液中プラズマによるナノグラフェンの高速合成と機能化

    近藤博基,堤隆嘉,石川健治,堀勝,平松美根男

    表面と真空   Vol. 67 ( 2 ) page: 77 - 82   2024

     More details

  2. ドライエッチングと原子層エッチングの基礎と応用 Invited Reviewed

    堤 隆嘉

    第34回 プラズマエレクトロニクス講習会~プラズマプロセスの基礎と先端応用技術~     page: 45 - 59   2023

     More details

    Authorship:Lead author   Language:Japanese   Publishing type:Lecture material (seminar, tutorial, course, lecture, etc.)  

  3. 窒化物半導体プラズマエッチングにおける原子層反応制御と低ダメージプロセス

    堤 隆嘉、石川 健治、近藤 博基、関根 誠、堀 勝

    プラズマ・核融合学会誌   Vol. 97 ( 9 ) page: 517 - 521   2021.9

  4. 高速かつ高精度非接触, リアルタイム基板温度計測システムの開発~超微細加工プラズマプロセスのイノベーションに向けて~

    堤 隆嘉

    プラズマエレクトロニクス分科会会報   Vol. 58   page: 19   2013.6

Presentations 333

  1. パルスプラズマにおける負イオンエネルギー分布の時間 分解測定

    都地 一輝, 堤 隆嘉, 蕭 世男, 関根 誠, 堀 勝, 石川 健治

    第71回応用物理学会春期学術講演会  2024.3.24 

     More details

    Event date: 2024.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京   Country:Japan  

  2. カーボンハードマスクの膜特性に対する基板バイアス寄 与度の局所的顕在化

    安藤 悠介, 近藤 博基, 堤 隆嘉, 石川 健治, 関根 誠, 堀 勝

    第71回応用物理学会春期学術講演会  2024.3.24 

     More details

    Event date: 2024.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京   Country:Japan  

  3. プラズマ誘起欠陥の発生と修復~RIE-SiO2に伴うSiO2 / Si界面の欠陥評価~

    布村 正太, 堤 隆嘉, 深沢 正永, 堀 勝

    第71回応用物理学会春期学術講演会  2024.3.24 

     More details

    Event date: 2024.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:東京   Country:Japan  

  4. Prediction Model for RF Bias Effect on Etch Resistance of Amorphous Carbon in Plasma Enhanced Chemical Vapor Deposition International conference

    Y. Ando, H. Kondo, T. Tsutsumi, K. Ishikawa, M. Sekine, M. Hori

    ISPlasma2024/IC-PLANTS2024/APSPT-13  2024.3.4 

     More details

    Event date: 2024.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Aichi   Country:Japan  

  5. In-situ analysis of chemisorption reactions on SiO2 surface using carbon precursor containing halogen International coauthorship International conference

    L. Hu, T. Tsutsumi, N. Kobayashi, D. C. P. Raj, R. Borude, K. Ishikawa, M. Hori

    ISPlasma2024/IC-PLANTS2024/APSPT-13  2024.3.5 

     More details

    Event date: 2024.3

    Language:English   Presentation type:Poster presentation  

    Venue:Aichi   Country:Japan  

  6. In-situ observation of plasma-induced damage and radical adsorption for Atomic Layer Etching Invited

    SPP-41  2024.1.25 

     More details

    Event date: 2024.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  7. Control of etching profile by bias supply timing in cyclic process using C4F8/SF6 gas modulated plasma International conference

    T. Yoshie, K. Ishikawa, T. Tsutsumi, M. Sekine, M. Hori

    The 44th International Symposium on Dry Process (DPS2023)   2023.11.22 

     More details

    Event date: 2023.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Aichi   Country:Japan  

  8. Defect characterization at SiO2/Si interface throughout plasma processing and annealing International conference

    S.Nunomura, T.Tsutsumi, I. Sakata and M Hori

    The 44th International Symposium on Dry Process (DPS2023)   2023.11.22 

     More details

    Event date: 2023.11

    Language:English   Presentation type:Poster presentation  

    Venue:Aichi   Country:Japan  

  9. A pseudo-wet cryogenic plasma etching of SiO2 investigated with in-situ surface monitoring International conference

    S-N Hsiao, M. Sekine, K. Ishikawa, T.Tsutsumi, and M. Hori Y Iijima, R. Suda, Y. Kihara

    The 44th International Symposium on Dry Process (DPS2023)   2023.11.22 

     More details

    Event date: 2023.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Aichi   Country:Japan  

  10. Electron-Beam-Assisted Self-limiting fluorination of GaN surface using XeF2 for Atomic Layer Etching International conference

    Y. Izumi, T. Tsutsumi, H Kondo, M. Sekine, M. Hori, K. Ishikawa

    The 44th International Symposium on Dry Process (DPS2023)   2023.11.21 

     More details

    Event date: 2023.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Aichi   Country:Japan  

  11. Compositions of Ions Related with Electrode Materials in Pulsed Plasma for High-Aspect-Ratio Hole Etching International conference

    K. Toji, T. Tsutsumi, S-N. Hsiao, M. Sekine, M. Hori, K. Ishikawa

    The 44th International Symposium on Dry Process (DPS2023)   2023.11.21 

     More details

    Event date: 2023.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Aichi   Country:Japan  

  12. Etch selectivities of SiO2 and SiN against a-C films using CF4/H2 plasma at low temperature International conference

    Y. Imai, S-N. Hsiao, M. Sekine, K. Ishikawa, T. Tsutsumi, M. Iwata, M. Tomura, Y. Iijima, K. Matsushima, M. Hori

    The 44th International Symposium on Dry Process (DPS2023)   2023.11.21 

     More details

    Event date: 2023.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Aichi   Country:Japan  

  13. ドライエッチングと原子層エッチングの基礎と応用 Invited

    堤 隆嘉

    第34回 プラズマエレクトロニクス講習会  2023.11.17 

     More details

    Event date: 2023.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Venue:大阪   Country:Japan  

  14. Etching Selectivities of SiO2 and SiN Against a-C Films Using CF4/H2 with a Pseudo-Wet Plasma Etching Mechanism International conference

    Yusuke Imai, S. Hsiao, M. Sekine, T. Tsutsumi, K. Ishikawa, M. Iwata, M. Tamura, Y. Iijima, T. Gohira, K. Matsushima, Y. Ohya, M. Hori,

    AVS 69th International Symposium and Exhibition (AVS 69)  2023.11.6 

     More details

    Event date: 2023.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Portland   Country:United States  

  15. Transient behavior of cycle process in Ar plasma with alternately injected C4F8 and SF6 International conference

    T. Yoshie, K. Ishikawa, TTN Nguyen, S. Hsiao, T. Tsutsumi, M. Sekine, M. Hori

    13th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2023)  2023.11.8 

     More details

    Event date: 2023.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Busan   Country:Korea, Republic of  

  16. Plasma-based pseudo-wet mechanism for cryogenic SiO2 etching using hydrogen-contained fluorocarbon gases with an in-situ surface analysis International conference

    S. Hsiao, M. Sekine, T. Tsutsumi, K. Ishikawa, M. Iwata, M. Tomura, Y. Iijima, T. Gohira, K. Matsushima, Y. Ohya, M. Hori

    The 76th Annual Gaseous Electronics Conference (GEC76)  2023.10.11 

     More details

    Event date: 2023.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Michigan League   Country:United States  

  17. Plasma-Enhanced Deposition Mechanism of Hydrogenated Amorphous Carbon Analyzed ByCombining Reactive Species Measurement and Machine Learning Invited International conference

    H. Kondo, T. Tsutsumi, K. Ishikawa, M. Sekine, H. Kousaka, M. Hori

    244th ECS Meeting  2023.10.11 

     More details

    Event date: 2023.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Gothenburg   Country:Sweden  

  18. Selective removal of graphene by irradiation of remote oxygen plasma International conference

    L. Hu, K. Ishikawa, T. Tsutsumi, TTN Nguyen, S. Hsiao, H. Kondo, M. Sekine, M. Hori

    Global Plasma Forum in Aomori  2023.10.16 

     More details

    Event date: 2023.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Aomori   Country:Japan  

  19. カーボンハードマスクのプラズマエッチング耐性に対する,成膜過程におけるイオン照射効果

    安藤 悠介、近藤 博基、堤 隆嘉、石川 健治、関根 誠、堀 勝

    第84回応用物理学会秋季学術講演会  2023.9.21 

     More details

    Event date: 2023.9

    Language:Japanese   Presentation type:Oral presentation (general)  

  20. CF4/H2プラズマによるSiO2,SiN,a-C膜の低温エッチング

    今井 祐輔、蕭 世男、関根 誠、飯島 祐樹、須田 隆太郎、大矢 欣伸、木原 嘉英、堤 隆嘉、石川 健治、堀 勝

    第84回応用物理学会秋季学術講演会  2023.9.22 

     More details

    Event date: 2023.9

    Language:Japanese   Presentation type:Oral presentation (general)  

  21. 低温における絶縁膜の擬ウェットプラズマエッチング

    蕭 世男、関根 誠、飯島 祐樹、須田 隆太郎、大矢 欣伸、木原 嘉英、堤 隆嘉、石川 健治、堀 勝

    第84回応用物理学会秋季学術講演会  2023.9.22 

     More details

    Event date: 2023.9

    Language:Japanese   Presentation type:Oral presentation (general)  

  22. 容量結合型パルスプラズマにおける負イオンの電極材料依存性

    都地 一輝、堤 隆嘉、蕭 世男、関根 誠、石川 健治、堀 勝

    第84回応用物理学会秋季学術講演会  2023.9.22 

     More details

    Event date: 2023.9

    Language:Japanese   Presentation type:Oral presentation (general)  

  23. プラズマ誘起欠陥の発生と修復 ~酸素プラズマがSiO2/Si界面に及ぼす影響~

    布村 正太、堤 隆嘉、堀 勝

    第84回応用物理学会秋季学術講演会  2023.9.21 

     More details

    Event date: 2023.9

    Language:Japanese   Presentation type:Oral presentation (general)  

  24. 原子層エッチングにおけるプラズマ誘起欠陥へのラジカル吸着挙動

    オソニオ アイラ ペラロ、堤 隆嘉、石川 健治、堀 勝

    第84回応用物理学会秋季学術講演会  2023.9.21 

     More details

    Event date: 2023.9

    Language:Japanese   Presentation type:Oral presentation (general)  

  25. In-liquid plasma synthesis, morphological control, and functionalization of nanographene materials International conference

    H. Kondo, T. Tsutsumi, M. Hiramatsu, M. Hori

    THERMEC'2023  2023.7.3 

     More details

    Event date: 2023.7

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Vienna   Country:Austria  

  26. Plasma Synthesis of Graphene-Based Materials: Functionalization and Applications Invited International coauthorship International conference

    H.Kondo, H.Zhou, T.Tsutsumi, K.Ishikawa, M.Sekine, and M.Hori

    THERMEC'2023  2023.7.3 

     More details

    Event date: 2023.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Vienna   Country:Austria  

  27. Recent Progress in the Synthesis of Functional and Three-Dimensional Carbon Nano-Composites By Gas-Liquid Interface Plasma International conference

    H.Kondo, H.Zhou, T.Tsutsumi, K.Ishikawa, M.Sekine, and M.Hori

    243rd ECS Meeting 

     More details

    Event date: 2023.5 - 2023.6

    Presentation type:Oral presentation (invited, special)  

    Country:United States  

  28. A comparative study on the CF4/H2 and HF/H2 plasmas for etching of highly hydrogenated SiN films International conference

    Shih-Nan Hsiao, Nikolay Britun, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    25th International Symposium on Plasma Chemistry (ISPC25) 

     More details

    Event date: 2023.5

    Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  29. Global and local contribution analysis of process parameters in Plasma enhanced chemical vapor deposition of amorphous carbon har International conference

    Yusuke Ando, Jumpei Kurokawa, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    The 4th International Conference on Data Driven Plasma Sciences ( ICDDPS-4 ) 

     More details

    Event date: 2023.4

    Presentation type:Oral presentation (general)  

    Country:Japan  

  30. 熱酸化膜/シリコン界面でのプラズマ誘起欠陥の発生と修復

    布村 正太、坂田 功、堤 隆嘉、堀 勝

    第70回応用物理学会春季学術講演会 

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  31. 成膜パラメータの寄与度解析に基づく、 水素化アモルファスカーボン薄膜のエッチ耐性の向上

    安藤 悠介、近藤 博基、石川 健治、堤 隆嘉、関根 誠、堀 勝

    第70回応用物理学会春季学術講演会 

     More details

    Event date: 2023.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  32. Characterization of Plasmas and Polymerized Hydrofluorocarbon Films in Capacitively Coupled CF4/H2 Plasmas International conference

    Yusuke Imai, Shih-Nan Hsiao, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023) 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  33. Self-Limited Fluorination of Electron Beam-Irradiated GaN Surface International conference

    Yusuke Izumi, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023) 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  34. Contribution Analysis of Process Parameters in Plasma-Enhanced Chemical Vapor Deposition of Amorphous Carbon International conference

    Yusuke Ando, Jumpei Kurokawa, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023) 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  35. Positive and Negative Ion Behaviors in DC-Imposed Ar/SF6 Pulsed Plasma International conference

    Kazuki Toji, Takayoshi Tsutsumi, Kenji Ishikawa, Shih-Nan Hsiao, Makoto Sekine and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023) 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  36. Etching Behavior of Lamellar Poly(styrene-b-2-vinylpyridine) Block Copolymer under N2/H2 Plasma Process International conference

    Ma. Shanlene Dela Vega, Ayane Kitahara, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Atsushi Takano, Yushu Matsushita and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023) 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  37. Study on Plasma Process Using Adsorbed C7F14 as an Etchant International conference

    Kohei Masuda, Makoto Sekine, Kenji Ishikawa, Shih-Nan Hsiao, Takayoshi Tsutsumi, Hiroki Kondo and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023) 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  38. Morphological Effect of Carbon Nanowalls on Exosome Capture International conference

    Takumi Hashimoto, Hiroki Kondo, Hiromasa Tanaka, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Takao Yasui, Yoshinobu Baba, Mineo Hiramatsu and Masaru Hori

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023) 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  39. MEMS Nozzle for Capturing Lily Pollen in Array and Fixing on Culture Media International conference

    Sachiko Sugiyama, Gang Han, Takayoshi Tsutsumi, Hiromasa Tanaka and Minoru Sasaki

    15th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 16th International Conference on Plasma-Nano Technology & Science (ISPlasma2023/IC-PLANTS2023) 

     More details

    Event date: 2023.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  40. Plasma diagnostics and characteristics of hydrofluorocarbon films in capacitively coupled CF4/H2 plasmas International conference

    Shih-Nan Hsiao, Yusuke Imai, Nicolay Britun, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    International Symposium on Semiconductor Manufacturing 2022 

     More details

    Event date: 2022.12

    Language:English   Presentation type:Oral presentation (general)  

  41. Ion Induced Damage in Plasma Enhanced Atomic Layer Etching Processing International conference

    Takayoshi TSUTSUMI, Hiroki KONDO, Kenji ISHIKAWA, Makoto SEKINE, Masaru HORI

     More details

    Event date: 2022.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  42. Time-resolved measurement of ion energy distribution in pulsed Ar/SF6 plasma International conference

    Kazuki TOJI, Kenji ISHIKAWA, Takayoshi TSUTSUMI, Shih nan HSIAO, Makoto SEKINE, Masaru HORI

     More details

    Event date: 2022.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  43. Isotropic Plasma-enhanced Atomic Layer Etching of SiO2 using F radicals and Ar plasma International conference

    A. Osonio, T. Tsutsumi, B. Mukherjee, R. Borude, N. Kobayashi, and M. Hori

    The 43rd International Symposium on Dry Process (DPS2022) 

     More details

    Event date: 2022.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  44. Synthesis, Functionalization, and Three-Dimensional Structuring of Carbon Nanomaterials By Gas-Liquid Interface Plasma Invited International conference

    H. Kondo, T. Tsutsumi, K. Ishikawa, M. Sekine, and M. Hori

    242nd ECS Meeting 

     More details

    Event date: 2022.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:United States  

  45. Achieving selective etching of SiN and SiO2 over amorphous carbon during CF4/H2 by controlling substrate temperature International conference

    Shih-Nan Hsiao, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  

     More details

    Event date: 2022.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  46. Langmuir probe and Laser Photodetachment Study of Afterglow Phase in Dual RF Frequency Pulsed Plasma Etching Processes Operated with Synchronized DC Bias International conference

    Makoto Sekine, Bibhuti B Sahu, Shogo Hattori, Takayoshi Tsutsumi, Nikolay Britun, Kenji Ishikawa, Hirohiko Tanaka, Taku Gohira, Noriyasu Ohno, Masaru Hori

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  

     More details

    Event date: 2022.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  47. Topographically-selective atomic layer etching of SiO2 using fluorine-containing plasma International conference

    Airah Osonio, Takayoshi Tsutsumi, Bablu Mukherjee, Ranjit Borude, Nobuyoshi Kobayashi, and Masaru Hori

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  

     More details

    Event date: 2022.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  48. Development of an experimental system for cell viability assays of yeasts using gas-temperature controllable plasma jets International conference

    Shinji Yoshimura, Yoko Otsubo, Akira Yamashita, Katsuki Johzuka, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori

    11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)  

     More details

    Event date: 2022.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  49. 水素化アモルファスカーボン薄膜の合成機構における活 性種の寄与度の機械学習を用いた解析

    近藤 博基, 黒川 純平, 堤 隆嘉, 関根 誠, 石川 健治, 堀 勝

    2022年 第83回 応用物理学会 秋季学術講演 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  50. イオン照射角制御によるカーボンナノウォールの配向成長

    射場 信太朗, 近藤 博基, 石川 健治, 堤 隆嘉, 平松 美根男, 関根 誠, 堀 勝

    2022年 第83回 応用物理学会 秋季学術講演 

     More details

    Event date: 2022.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  51. Machine learning and contribution analysis of radicals to the properties of hydrogenated amorphous carbon films grown by a plasma-enhanced chemical vapor deposition International conference

    Hiroki Kondo, Jumpei Kurokawa, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Masaru Hori

    THE 22ND INTERNATIONAL VACUUM CONGRESS IVC-22 

     More details

    Event date: 2022.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  52. In situ monitoring hydrogen fluoride molecular density and its effects on etch selectivity of SiN over SiO2 films with hydrogen-contained fluorocarbon down-flow plasmas International conference

    Shih-Nan Hsiao, Nicolay Britun, Thi-Thuy-Naga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    THE 22ND INTERNATIONAL VACUUM CONGRESS IVC-22 

     More details

    Event date: 2022.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  53. Nanostructure Control and Modification of Poly(styrene-b-2-vinylpyridine) Block Copolymer in H2/N2 Plasma Process Invited International conference

    Ma. shanlene Dela Cruz DELA VEGA, Ayane KITAHARA, Thi-thuy-nga NGUYEN, Takayoshi TSUTSUMI, Atsushi TAKANO, Yushu MATSUSHITA, Masaru HORI

    The 5th International Union of Materials Research Societies International Conference of Young Researchers on Advanced Materials (IUMRS-ICYRAM2022) 

     More details

    Event date: 2022.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  54. Interfacial reaction of gas-liquid plasma in the synthesis of functional carbon nanomaterials Invited International conference

    Hiroki KONDO, Takayoshi TSUTSUMI, Kenji ISHIKAWA, Masaru HORI

    The 5th International Union of Materials Research Societies International Conference of Young Researchers on Advanced Materials (IUMRS-ICYRAM2022) 

     More details

    Event date: 2022.8

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  55. Study of etching process using CHF3 gas condensed layer in cryogenic region International conference

    Kuangda Sun, Chieh-Ju Liao, Shih-Nan Hsiao, Makoto Sekine, Toshiyuki Sasaki, Chihiro Abe, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori

    1st International Workshop on Plasma Cryo Etching Processes(PlaCEP2022) 

     More details

    Event date: 2022.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:France  

  56. Transient Behaviors of Gaseous and Surface Reactions in a Cycle of Pasivation and Etch Steps Using Ar-Based C4F8 and SF6 Plasma International conference

    Kenji Ishikawa, Taito Yoshie, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, Masaru Hori

    MRS Spring Meetings & Exhibits 

     More details

    Event date: 2022.5

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:United States  

  57. C4F8/SF6ガス変調サイクルにおいてバイアス印加位相がエッチング形状に及ぼす影響

    吉江 泰斗、堤 隆嘉、石川 健治、近藤 博基、関根 誠、堀 勝

    第69回応用物理学会春季学術講演会 

     More details

    Event date: 2022.3

    Presentation type:Oral presentation (general)  

  58. 窒化ガリウムの基板昇温時サイクルエッチング特性

    南 吏玖、中村 昭平、谷出 敦、石川 健治、堤 隆嘉、近藤 博基、関根 誠、堀 勝

    第69回応用物理学会春季学術講演会 

     More details

    Event date: 2022.3

    Presentation type:Oral presentation (general)  

  59. プラズマ励起化学気相堆積法におけるカーボンナノウォールの配向成長に対するイオン照射角度の効果

    射場 信太朗、近藤 博基、石川 健治、堤 隆嘉、平松 美根男、関根 誠、堀 勝

    第69回応用物理学会春季学術講演会 

     More details

    Event date: 2022.3

    Presentation type:Oral presentation (general)  

  60. 高効率エクソソーム解析に向けたカーボンナノウォールテンプレートの 表面電位制御

    橋本 拓海、近藤 博基、田中 宏昌、石川 健治、堤 隆嘉、関根 誠、安井 隆雄、馬場 嘉信、平松 美根男、堀 勝

    第69回応用物理学会春季学術講演会 

     More details

    Event date: 2022.3

    Presentation type:Oral presentation (general)  

  61. ラジカル注入型プラズマ励起化学気相堆積法で成長したカーボンナノウォールの3次元構造解析

    近藤 博基、尾崎 敦士、堤 隆嘉、関根 誠、石川 健治、堀 勝、平松 美根男

    第69回応用物理学会春季学術講演会 

     More details

    Event date: 2022.3

    Presentation type:Oral presentation (general)  

  62. C3H6 / H2プラズマを用いた水素化アモルファスカーボン成膜における成膜前駆体と膜特性の相関関係

    黒川 純平、光成 正、近藤 博基、堤 隆嘉、関根 誠、石川 健治、堀 勝

    第69回応用物理学会春季学術講演会 

     More details

    Event date: 2022.3

    Presentation type:Oral presentation (general)  

  63. In-Liquid Plasma Coating of Graphite Films on Metal Surface Immersed in Ethanol International conference

    Ma. Shanlene D.C. Dela Vega, Hiroki Kondo, Takayoshi Tsutsumi, Thi-Thuy-Nga Nguyen, Kenji Ishikawa, Makoto Sekine, Magdaleno R. Vasquez Jr., and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma Nanotechnology and Science 

     More details

    Event date: 2022.3

    Presentation type:Oral presentation (general)  

    Country:Japan  

  64. Manipulation of etch selectivity of silicon nitride over silicon dioxide by controlling substrate temperature with a CF4/H2 plasma International conference

    Shih-Nan Hsiao, Nicolay Britun, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma Nanotechnology and Science 

     More details

    Event date: 2022.3

    Presentation type:Oral presentation (general)  

    Country:Japan  

  65. Feature profiles in cyclic etch using C4F8 and SF6 gas-modulated plasma International conference

    Taito Yoshie, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma Nanotechnology and Science 

     More details

    Event date: 2022.3

    Presentation type:Oral presentation (general)  

    Country:Japan  

  66. Correlation analysis between radicals in gas phase and etch resistance of hydrogenated amorphous carbon film International conference

    Jumpei Kurokawa, Tadashi Mitsunari, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, Kenji Ishikawa, and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma Nanotechnology and Science 

     More details

    Event date: 2022.3

    Presentation type:Oral presentation (general)  

    Country:Japan  

  67. Effect of Air Introduction between Electrodes on Rapid Removal Process of Polymer Contamination on Floor by Atmospheric Pressure Plasma International conference

    Yoshihiro Sakamoto, Takayoshi Tsutsumi, and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma Nanotechnology and Science 

     More details

    Event date: 2022.3

    Presentation type:Poster presentation  

    Country:Japan  

  68. Simple external filter and tuning for operation in dual radio frequency plasmas using compensated Langmuir probe International conference

    B. B. Sahu, M. Sekine, T. Tsutsumi, K. Ishikawa, H. Shogo, H. Tanaka, N. Ohno, and M. Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma Nanotechnology and Science 

     More details

    Event date: 2022.3

    Presentation type:Oral presentation (general)  

    Country:Japan  

  69. Effect of Substrate Temperature on Morphology of Carbon Nanowalls Grown by a Radical-Injection Plasma-Enhanced Chemical Vapor Deposition Using C2F6 /H2 Mixture Gas International conference

    Takumi Hashimoto, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma Nanotechnology and Science 

     More details

    Event date: 2022.3

    Presentation type:Poster presentation  

    Country:Japan  

  70. Spectroscopy of H2/CH4/N2 Plasma for Carbon Nanowalls Growth International conference

    Dennis Christy, Takayoshi Tsutsumi, Ngo Van Nong, Osamu Oda, Masaru Hori and Nikolay Britun

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma Nanotechnology and Science 

     More details

    Event date: 2022.3

    Presentation type:Oral presentation (general)  

    Country:Japan  

  71. Three-Dimensional Morphological Analysis of Carbon Nanowalls International conference

    Hiroki Kondo, Atsushi Ozaki, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Uroš Cvelbar and Mineo Hiramatsu

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma Nanotechnology and Science 

     More details

    Event date: 2022.3

    Presentation type:Oral presentation (general)  

    Country:Japan  

  72. Effect of RF Stage-Bias on Morphology of Carbon Nanowalls Grown by a Radical-Injection Plasma-Enhanced Chemical Vapor Deposition International conference

    Shintaro Iba, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    14th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 15th International Conference on Plasma Nanotechnology and Science 

     More details

    Event date: 2022.3

    Presentation type:Oral presentation (general)  

    Country:Japan  

  73. 真空紫外原子吸光分光法による大気圧マイクロ波Ar/O2プラズマのO原子密度計測

    岩田悠揮、小笠原知裕、鈴木陽香、堤隆嘉、堀勝、豊田浩孝

     More details

    Event date: 2022.1

    Language:Japanese   Presentation type:Oral presentation (general)  

  74. C2F6/H2混合ガスを用いてラジカル注入型プラズマ励起化学気相堆積法により成長されたカーボンナノウォールのモフォロジー制御

    橋本拓海、近藤博基、石川健治、堤隆嘉、関根誠、平松美根男、堀勝

     More details

    Event date: 2022.1

    Language:Japanese   Presentation type:Oral presentation (general)  

  75. 液中プラズマプロセスを用いたメタノール内金属基板上へのナノグラフェンの成膜

    デラヴェガマリアシャンリン、近藤博基、堤隆嘉、グエン ティ・トゥイーンガ、石川健治、関根誠、ヴァスケズマグダレノジュニア、堀勝

     More details

    Event date: 2022.1

    Language:Japanese   Presentation type:Oral presentation (general)  

  76. カーボンナノウォールの形態と高さが光透過率に及ぼす影響

    射場 信太朗、近藤 博基、石川 健治、関根 誠、堤 隆嘉、平松 美根男、堀 勝

     More details

    Event date: 2022.1

    Language:Japanese   Presentation type:Oral presentation (general)  

  77. Optical transmission of carbon nanowalls from ultra-violet region to infra-red region International conference

    S. Iba, H. Kondo, K. Ishikawa, T. Tsutsumi, M. Sekine, M. Hiramatsu, and M. Hori

    Material Research Meeting (MRM2021) 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  78. Three-dimensional structural analysis of carbon nanowalls synthesized by a radical-injection plasma-enhanced chemical vapor deposition system International conference

    Hiroki Kondo, Atsushi Ozaki, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Uroš Cvelbar, Mineo Hiramatsu

    Material Research Meeting (MRM2021) 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  79. Spatial Distribution Measurement of Atomic Oxygen from Atmospheric-Pressure Microwave Line Plasma by Atomic Absorption Spectroscopy International conference

    Tomohiro Ogasawara, Yuki Iwata, Haruka Suzuki, Takayoshi Tsutsumi, Masaru Hori and Hirotaka Toyoda

    12th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-12) 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Taiwan, Province of China  

  80. Comparison of CF4/H2 and HF/H2 Plasmas for Etching of PECVD-Prepared SiN Films International conference

    Shih-Nan Hsiao, Nicolay Britun, Thi-Thuy-Nga Nga, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    12th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-12) 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Taiwan, Province of China  

  81. Behavior of Hydrogen Atom in Atmospheric Pressure Micro-Hollow Cathode Discharge International conference

    Keigo Takeda, Takayoshi Tsutsumi, Mineo Hiramatsu, Masaru Hori

    12th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-12) 

     More details

    Event date: 2021.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Taiwan, Province of China  

  82. Random forest model for property control of plasma International conference

    J. Kurokawa, T. Tsutsumi, K. Ishikawa, H. Kondo, M. Sekine, and M. Hori

    The 42nd International Symposium on Dry Process (DPS2021) 

     More details

    Event date: 2021.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  83. Selective plasma-enhanced atomic layer etching of SiO using a silane coupling agent International conference

    A. Osonio, T. Tsutsumi, B. Mukherjee, R. Borude, N. Kobayashi, M. Hori

    The 42nd International Symposium on Dry Process (DPS2021) 

     More details

    Event date: 2021.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  84. Transient effects in cyclic processes on fabrications of high-aspect-ratio trenches International conference

    T. Yoshie, T. Tsutsumi, K. Ishikawa, M. Hori

    The 42nd International Symposium on Dry Process (DPS2021) 

     More details

    Event date: 2021.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  85. Control of Interface Layers for Selective Atomic Layer Etching Invited International conference

    Takayoshi Tsutsumi, R. Vervuurt, N. Kobayashi, and Masaru Hori

    67th AVS International Symposium and Exhibitio 

     More details

    Event date: 2021.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:United States  

  86. Ar/C4F8/SF6を用いたガス変調サイクルプロセスにおける活性種の挙動2

    吉江 泰斗、堤 隆嘉、石川 健治、堀 勝

    第82回 応用物理学会秋季学術講演会 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

  87. 原子層エッチングの反応素過程とその設計、制御

    石川 健治、Nguyen Thi-Thuy-Nga、堤 隆嘉、蕭 世男、近藤 博基、関根 誠、堀 勝

    第82回 応用物理学会秋季学術講演会 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

  88. 螺旋状窒素プラズマ中の窒素原子密度計測

    西尾 亮佑、梶田 信、大野 哲靖、田中 宏彦、浅岡 晃次、堤 隆嘉、堀 勝

    第82回 応用物理学会秋季学術講演会 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

  89. C3H6 / H2プラズマを用いたアモルファスカーボン成膜において水素ガス流量比が膜特性に与える影響

    黒川 純平、光成 正、堤 隆嘉、近藤 博基、関根 誠、石川 健治、堀 勝

    第82回 応用物理学会秋季学術講演会 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

  90. プラズマ誘起欠陥の発生と修復 ~モバイル水素の役割と考察~

    布村 正太、坂田 功、堤 隆嘉、堀 勝

    第82回 応用物理学会秋季学術講演会 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

  91. 窒化ガリウムのプラズマエッチング中その場分光エリプソメトリー観測

    南 吏玖、石川 健治、堤 隆嘉、近藤 博基、関根 誠、小田 修、堀 勝

    第82回 応用物理学会秋季学術講演会 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

  92. カーボンナノウォールの光透過率に対する壁密度および高さの効果

    射場 信太朗、近藤 博基、石川 健治、堤 隆嘉、平松 美根男、関根 誠、堀 勝

    第82回 応用物理学会秋季学術講演会 

     More details

    Event date: 2021.9

    Language:Japanese   Presentation type:Oral presentation (general)  

  93. Development of the nanocarbon coating process on metal surfaces via in-liquid plasma International conference

    Ma. Shanlene D.C. Dela Vega, Hiroki Kondo, Takayoshi Tsutsumi, Thi-Thuy-Nga Nguyen, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    Interfinish 2020 World Congress 

     More details

    Event date: 2021.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  94. Application of Atmospheric Pressure Plasma to Rapid Dry Etching of Polymer Contamination on Floor International conference

    Y Sakamoto, T Tsutsumi, M Hori

    Interfinish 2020 World Congress 

     More details

    Event date: 2021.9

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  95. Numerical analysis of effects of applying voltage polarity on atmospheric pressure argon streamer discharge under pin-to-plane electrode geometry International conference

    Y. Sato, K. Ishikawa, T. Tsutsumi, A. Ui, M. Akita, S. Oka and M. Hori

    47th Conference on Plasma Physics 

     More details

    Event date: 2021.6

    Language:English   Presentation type:Oral presentation (general)  

  96. 塩素吸着による窒化ガリウム原子層エッチングの表面反応の理想と現実

    堤 隆嘉, 長谷川 将希, 中村 昭平, 谷出 敦,近藤 博基, 関根 誠, 石川 健治, 堀 勝

    第227回 シリコンテクノロジー分科会 研究集会  2021.3.25 

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

  97. 液中プラズマを用いたナノグラフェン合成における活性種の効果[II]

    近藤 博基、濱地 遼、堤 隆嘉、石川 健治、関根 誠、堀 勝

    第68回応⽤物理学会春季学術講演会 

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  98. 塩素吸着を用いた窒化ガリウムの原子層エッチングプロセス特性のArイオンエネルギー依存性

    堤 隆嘉、長谷川 将希、中村 昭平、谷出 敦、近藤 博基、関根 誠、石川 健治、堀 勝

    2021年第68回応⽤物理学会春季学術講演会 

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  99. リモート酸素ラジカルによるグラフェンのエッチング反応の分析

    胡 留剛、堤 隆嘉、蕭 世男、近藤 博基、石川 健治、関根 誠、堀 勝

    2021年第68回応⽤物理学会春季学術講演会 

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  100. マイクロ放電ホローカソードプラズマを用いた真空紫外吸収分光用自己吸収光源の分光診断

    竹田 圭吾、堤 隆嘉、平松 美根男、堀 勝

    2021年第68回応⽤物理学会春季学術講演会 

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  101. Ar/C4F8/SF6を用いたガス変調サイクルプロセスにおける活性種の挙動

    吉江 泰斗、三好 康史、堤 隆嘉、釘宮 克尚、石川 健治、堀 勝

    2021年第68回応⽤物理学会春季学術講演会 

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  102. プラズマ誘起欠陥の発生と修復 ~少数キャリアライフタイムによる定量評価~

    布村 正太、坂田 功、堤 隆嘉、堀 勝

    2021年第68回応⽤物理学会春季学術講演会 

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  103. Design of removal process of SnO2 on glass by H2/Ar plasma at atmospheric pressure and medium pressure International conference

    Thi-Thuy-Nga Nguyen, Minoru Sasaki, Takayoshi Tsutsumi, Kenji Ishikawa and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021.3.9 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Oral presentation (general)  

  104. Crystalline structures and local electrical conductivity at crossing points of carbon nanowalls International conference

    Atsushi Ozaki, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021.3.8 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Oral presentation (general)  

  105. Ion-attachment mass spectrometric analysis of odorous gas decomposition by atmospheric pressure plasma International conference

    Tatsuyuki Moriyama, Yosuke Sato, Akio Ui, Shotaro Oka,Kenji Ishikawa, Takayoshi Tsutsumi and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021.3.8 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

  106. Initial growth kinetics of hydrogenated amorphous carbon films observed by real-time ellipsometry International conference

    Jumpei Kurokawa, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi,Makoto Sekine and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021.3.8 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

  107. Quantitative analyses of graphene layer etching using oxygen radicals generated in remote plasma for realization of atomic layer etching International conference

    Liugang Hu, Takayoshi Tsutsumi, Thi-Thuy-Nga Nguyen, Shih-Nan Hsiao, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021.3.8 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

  108. Pressure and flow rate dependence of active species in gas modulation cycle process using Ar/C4F8/SF6 International conference

    Taito Yoshie, Takayoshi Tsutsumi, Kenji Ishikawa, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021.3.8 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

  109. Measurements of negative ion density and plasma parameters in Ar/O2/C4F8 etching plasmas by Langmuir probe-assisted laser photodetachment International conference

    B. B. Sahu, S.Hattori, T. Tsutsumi, N. Britun, M. Sekine, K. Ishikawa, H. Tanaka,T. Gohira, Y. Ohya, N. Ohno and M. Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021.3.8 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

  110. Study of etching process using gas condensed layer at cryogenic temperature 2. Evaluation of cycle etching using gas condensed layer International conference

    M. Hazumi, S. Selvaraj, S. N. Hsiao, C. Abe, T. Sasaki, H. Hayashi, T. Tsutsumi, K. Ishikawa, M. Sekine and M. Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021.3.8 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

  111. Dependence of nitrogen concentrations on cytotoxicity of air-free Ar-N2 mixed atmospheric pressure plasma-activeted lactated solutions International conference

    Daiki Ito, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021.3.8 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

  112. Study of etching process using gas condensed layer at cryogenic temperature 1. Evaluation of CHF3 condensed layer thickness on SiO2 surface International conference

    S. Selvaraj, M. Hazumi, S. N. Hsiao, C. Abe, T. Sasaki, H. Hayashi, T. Tsutsumi, K. Ishikawa, M. Sekine, and M. Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021.3.8 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Oral presentation (general)  

  113. Effects on substrate temperature on the etching behaviors of PECVD- and LPCVD-prepared SiN thin films with CF4/H2 plasmas International conference

    Shih-Nan Hsiao, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021.3.8 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Oral presentation (general)  

  114. Microwave Nitrogen Plasma Jets under the Moderate Gas Pressure Region International conference

    Jaeho Kim, Keigo Takeda, Hirotomo Itagaki, Xue-lun Wang, Shingo Hirose, Hisato Ogiso, Tetsuji Shimizu, Naoto Kumagai, Takayoshi Tsutsumi, Hiroki Kondo, Masaru Hori and Hajime Sakakita

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021.3.8 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Oral presentation (invited, special)  

  115. Comparison of Nitrogen Atom Densities Measured with VUVAS and Actinometry in Spiral Shape Plasma International conference

    Ryosuke Nishio, Noriyasu Ohno, Shin Kajita, Hirohiko Tanaka, Koji Asaoka, Takayoshi Tsutsumi and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021.3.8 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Poster presentation  

  116. Etching characteristics of PECVD prepared SiN films with CF4/H2 and CF4/D2 plasmas at different substrate temperatures International conference

    Shih-Nan Hsiao, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    International Symposium on Semiconductor Manufacturing 2020 (ISSM2020)  2020.12.15 

     More details

    Event date: 2020.12

    Language:English   Presentation type:Oral presentation (general)  

  117. Antitumor effect of plasma-activated Ringer’s acetate solution International conference

    Yuki SudaU, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, Masaru Hori

    2020.12.10 

     More details

    Event date: 2020.12

    Language:English   Presentation type:Oral presentation (general)  

  118. Nitrogen Atom Density Measurements from Vacuum Ultraviolet Absorption Spectroscopy and Actinometry in Spiral Shape Plasma International conference

    Ryosuke Nishio, Noriyasu Ohno, Shin Kajita, Hirohiko Tanaka, Koji Asaoka, Takayoshi Tsutsumi and Masaru Hori

    The 29th International Toki Conference on Plasma and Fusion Research  2020.10.28 

     More details

    Event date: 2020.10

    Language:English   Presentation type:Poster presentation  

  119. Time resolved measurements of electron density and temperature by an electrostatic probe with conditional averaging method in pulsed capacitively coupled plasmas International conference

    S. Hattori, B. B. Sahu, H. Tanaka, T. Tsutsumi, S. Kajita, M. Sekine, M. Hori, N. Ohno

    The 29th International Toki Conference on Plasma and Fusion Research  2020.10.28 

     More details

    Event date: 2020.10

    Language:English   Presentation type:Poster presentation  

  120. Interactions of floating-wire-assisted atmospheric-pressure H2/Ar plasma with SnO2 film on glass substrate forming spherical Sn particles International conference

    Thi-Thuy-Nga Nguyen, Minoru Sasaki, Hidefumi Odaka, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori

    73th Annual Gaseous Electronics Virtual Conference  2020.10.8 

     More details

    Event date: 2020.10

    Language:English   Presentation type:Oral presentation (general)  

  121. Penetration of hydrogen atoms and termination of dangling bonds in amorphous carbon films International conference

    Hiroki Kondo, Yasuyuki Ohashi, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    73th Annual Gaseous Electronics Virtual Conference  2020.10.9 

     More details

    Event date: 2020.10

    Language:English   Presentation type:Oral presentation (general)  

  122. カーボンナノウォールの局所電気伝導特性の解明

    尾崎 敦士、近藤 博基、石川 健治、堤 隆嘉、平松 美根男、関根 誠、堀 勝

    第81回応用物理学会秋季学術講演会 

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  123. 液中プラズマを用いたナノグラフェン合成における活性種の効果

    近藤 博基、濱地 遼、堤 隆嘉、石川 健治、関根 誠、堀 勝

    第81回応用物理学会秋季学術講演会 

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  124. Analysis of Ion Energy Dependence of Depth Profile of GaN by In-situ Surface Analysis International conference

    Masaki Hasagawa‚ Takayoshi Tsutsumi, Atsushi Tanide‚ Shohei Nakamura, Hiroki Kondo‚ Kenji Ishikawa‚ Masaru Hori

    20th International Conference on Atomic Layer Deposition  2020.6.29 

     More details

    Event date: 2020.6 - 2020.7

    Language:English   Presentation type:Oral presentation (general)  

  125. プラズマプロセス中の基板温度分布の経時変化の解析

    堤 隆嘉、石川 健治、近藤 博基、関根 誠、堀 勝

    2020年第67回応用物理学会春季学術講演会 

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Poster presentation  

  126. Arイオン照射窒化ガリウム表面の塩素吸着層のイオンエネルギー依存性 (2)

    長谷川 将希、堤 隆嘉、谷出 敦、近藤 博基、関根 誠、石川 健治、堀 勝

    2020年第67回応用物理学会春季学術講演会 

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

  127. 液中プラズマを用いたナノグラフェン合成における照射時間依存性 [II]

    近藤 博基、濱地 遼、 堤 隆嘉,、石川 健治、関根 誠、堀 勝

    2020年第67回応用物理学会春季学術講演会 

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (general)  

  128. 液中プラズマを用いたナノグラフェン合成における照射時間依存性[Ⅰ]

    濱地 遼、近藤 博基、堤 隆嘉、石川 健治、関根 誠、堀 勝

    2020年第67回応用物理学会春季学術講演会 

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (general)  

  129. プラズマ誘起欠陥の発生と修復 ~Arイオン照射の効果~

    布村 正太、中根 一也、堤 隆嘉、松原 浩司、堀 勝

    2020年第67回応用物理学会春季学術講演会 

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (general)  

  130. 極低温領域におけるCHF3ガス凝縮層を用いたエッチングプロセスの研究

    羽澄 匡広, スガンサマラー セルヴァラジ, 蕭 世男, 関根 誠, 林 久貴, 佐々木 俊行, 阿部 知央, 堤 隆嘉, 石川 健治, 堀 勝

    2020年第67回応用物理学会春季学術講演会 

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (general)  

  131. プラズマ表面処理が自己集積化膜の分子配向に及ぼす影響

    織田 祥成、堤 隆嘉、石川 健治、堀 勝

    2020年第67回応用物理学会春季学術講演会 

     More details

    Event date: 2020.3

    Language:Japanese   Presentation type:Oral presentation (general)  

  132. Termination of Dangling Bonds in Amorphous Carbon Films by Hydrogen Atoms International conference

    Yasuyuki Ohashi, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Oral presentation (general)  

  133. Formation of Spherical Sn Particles from SnO2 Film by Atmospheric-Pressure Plasma International conference

    Thi-Thuy-Nga Nguyen, Minoru Sasaki, Hidefumi Odaka, Takayoshi Tsutsumi, Kenji Ishikawa and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Poster presentation  

  134. Etching Process Using CHF3 Gas Condensed Layer in Cryogenic Region International conference

    Masahiro Hazumi, Suganthamalar Selvaraj, Shih-Nan Hsiao, Chihiro Abe, Toshiyuki Sasaki, Hisataka Hayashi, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Poster presentation  

  135. Influence of Temperature on Etch Rate of SiN Films with CF4/H2 Plasma International conference

    Shin-Nan Hsiao, Kazuya Nakane, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Poster presentation  

  136. Measurement of Spatial Distribution of Absolute Hydrogen Radical Density in Non Equilibrium Atmospheric Pressure Plasma by Vacuum Ultraviolet Absorption Spectroscopy International conference

    Kaede Katsuno, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Poster presentation  

  137. Self-Absorbing Effect of Micro-Discharge Hollow Cathode Plasma as Light Source for Vacuum Ultraviolet Absorption Spectroscopy International conference

    Sho Shimizu, Keigo Takeda, Takayoshi Tsutsumi, Mineo Hiramatsu and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Poster presentation  

  138. Generation of Repulsing Glow Discharge in Centimeter Order Air Gaps International conference

    Vladislav Gamaleev, Takayoshi Tsutsumi and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Poster presentation  

  139. In-Liquid Plasma Synthesis of Iron-Nitrogen-Doped Carbon Nanoflakes with Highly Catalytic Activity International conference

    Ryo Hamaji, Tomoki Amano, Hiroki Kondo, Takayoshi Tsutsumi, 1Kenji Ishikawa, Makoto Sekine, Keigo Takeda, Mineo Hiramatsu and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Oral presentation (general)  

  140. Fluctuation of Local Electrical Conductivity in Carbon Nanowalls Observed by Conductive Atomic Force Microscopy International conference

    Atsushi Ozaki, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials/13th International Conference on Plasma-Nano Technology & Science (ISPlasma2020/IC-PLANTS2020) 

     More details

    Event date: 2020.3

    Language:English   Presentation type:Oral presentation (general)  

  141. Analysis and Control of Surface Reaction in Plasma Enhanced Atomic Layer Etching Processes Invited International conference

    Takayoshi Tsutsumi

    7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020 4th International Symposium on Energy Research and Application 

     More details

    Event date: 2020.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  142. In-plane distribution of electrical conductivity of carbon nanowalls perpendicular to substrate measured by conductive atomic force microscopy International conference

    Atsushi Ozaki, Hiroki Kondo, Takayoshi Tsutsumi, Makoto Sekine, Masaru Hori, Mineo Hiramatsu

    7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020 4th International Symposium on Energy Research and Application 

     More details

    Event date: 2020.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  143. Improvement of wool surface charging properties by plasma surface modification process International conference

    T. Moriyama, T. Tsutsumi, H. Kondo, M. Sekine, K. Ishikawa, and M. Hori

    7th Korea-Japan Joint Symposium on Advanced Solar Cells 2020 4th International Symposium on Energy Research and Application 

     More details

    Event date: 2020.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  144. Nano-scale pattern formation of organic material with precisely wafer-temperature-controlled plasma etch system International conference

    Yusuke Fukunaga, Makoto Sekine, Takayoshi Tsutsumi, Hiroki Kondo, Kenji Ishikawa, and Masaru Hori

    The 11th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology (APSPT-11)  

     More details

    Event date: 2019.12

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  145. Synthesis and crystallinity of nanographene using in-liquid plasma of ethanol International conference

    Ryo Hamaji, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Keigo Takeda, Mineo Hiramatsu, and Masaru Hori

    MATERIALS RESEARCH MEETING 2019 

     More details

    Event date: 2019.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  146. Modification of lipid metabolism in Coccomyxa sp. treated by non-equilibrium atmosphere pressure plasma International conference

    Takumi Kato, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    MATERIALS RESEARCH MEETING 2019 

     More details

    Event date: 2019.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  147. Changes of resistive elements during degradation of carbon nanowalls electrodes for fuel cell synthesized employing a CH4/H2 mixture gas plasma Invited International conference

    Hiroki Kondo, Shun Imai, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

    MATERIALS RESEARCH MEETING 2019 

     More details

    Event date: 2019.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  148. Atomic hydrogen exposures of radical-injection CH4/H2 plasma-enhanced chemical vapor deposited amorphous carbon films International conference

    Yasuyuki Ohashi, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Masaru Hori

    MATERIALS RESEARCH MEETING 2019 

     More details

    Event date: 2019.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  149. In-situ analyses of GaN surfaces irradiated by a Cl2 plasma for atomic layer etching Invited

    Masaki Hasegawa, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Hiroki Kondo, Atsushi Tanide, and Masaru Hori

    第16回 赤﨑記念研究センターシンポジウム 第21回 CIRFEセミナー「窒化物半導体研究の新展開:新規デバイスの創出をめざして」 

     More details

    Event date: 2019.11

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  150. Surface functionalization of wool fabrics using plasma process International conference

    T. Moriyama, T. Tsutsumi, H. Kondo, M. Sekine, K. Ishikawa, and M. Hori

    29th Annual Meeting of MRS-Japan 2019  

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  151. Electrical, optical, and physicochemical behaviors of atmospheric pressure plasma jet generated in open air Invited International conference

    Keigo Takeda, Kenji Ishikawa, Takayuki Tsutsumi, Hiroki Kondo, Makoto Sekine, Masaru Hori

    3rd Asia-Pacific Conference on Plasma Physics (AAPPS-DPP2019) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:China  

  152. Time-resolved diagnosis of afterglow phase in synchro-bias of negative direct current on pulsed plasmas International conference

    K. Nakane, T. Tsutsumi, S.-N. Hsiao, K. Ishikawa, M. Sekine, T. Gohira, Y. Ohya, M. Hori

    The 41st International Symposium on Dry Process (DPS2019) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  153. ALE of SiC by Plasma Modification and F-radical Etching Studied by in-situ Surface Spectroscopy International conference

    R. H. J. Vervuurt, K. Nakane, T. Tsutsumi, M. Hori, N. Kobayashi

    The 41st International Symposium on Dry Process (DPS2019) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  154. Etching characteristics of silicon nitride film in plasma of fluoroethane (CH2FCHF2), Ar, and O2 mixture International conference

    J. Ni, S.-N. Hsiao, T. Hayashi, K. Ishikawa, T. Tsutsumi, M. Sekine, M. Hori

    The 41st International Symposium on Dry Process (DPS2019) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  155. Suppression of etch pit formation in GaN etching using H2-added Cl2 plasma at 400°C International conference

    T. Omichi, A. Tanide, K. Ishikawa, T. Tsutsumi, H. Kondo, M. Sekine, M. Hor

    The 41st International Symposium on Dry Process (DPS2019) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  156. Effects of functional groups in raw material molecules on synthesis rate and structures of nanographene materials synthesized by in-liquid plasma using alcohols International conference

    H. Kondo, A. Ando, T. Tsutsumi, K. Takeda, T. Ohta, K. Ishikawa, M. Sekine, M. Ito, M. Hiramatsu, M. Hori

    The 41st International Symposium on Dry Process (DPS2019) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  157. Plasma Processing with Feedback Control of Wafer Temperature By Non-Contact Temperature Measurement System Invited International conference

    T. Tsutsumi, H. Kondo, K. Ishikawa , K. Takeda, T. Ohta, M. Sekine, M. Ito, and M. Hori

    236th ECS meeting 

     More details

    Event date: 2019.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:United States  

  158. High-aspect-ratio organic-pattern formation with self-limiting manner by controlling plasma process based on substrate temperature measurement. International conference

    Makoto Sekine,Yusuke Fukunaga,Takayoshi Tsutsumi,Kenji Ishikawa,Hiroki Kondo,Masaru Hori

    The 72nd Annual Gaseous Electronics Conference 

     More details

    Event date: 2019.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  159. Effect of mixing alcohol source on synthesis of nanographene by in-liquid plasma International conference

    Hiroki Kondo, Atsushi Ando, Takayoshi Tsutsumi, Keigo Takeda, Takayuki Ohta, Kenji Ishikawa, Makoto Sekine, Masafumi Ito, Mineo Hiramatsu, Masaru Hori

    The 72nd Annual Gaseous Electronics Conference 

     More details

    Event date: 2019.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  160. Mechanism of Aqueous Reactions in Non-equilibrium Atmospheric Pressure Plasma-activated Lactec Solutions

    Yang Liu、Kenji Ishikawa、Hiroshi Hashizumi、Hiromasa Tanaka、Takayoshi Tsutsumi、Hiroki Kondo、Makoto Sekine、Masaru Hori

    2019年 第80回応用物理学会秋季学術講演会 

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  161. 非平衡大気圧Ar プラズマ源における放電形状の経時変化および水素ラジカル密度空間分布計測

    勝野 楓、堤 隆嘉、石川 健治、竹田 圭吾、橋爪 博司、田中 宏昌、近藤 博基、関根 誠、堀 勝

    2019年 第80回応用物理学会秋季学術講演会 

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  162. SiNx の ALE におけるフッ素ラジカルの In-situ エッチング反応解析

    中根 一也、ルネイ ヘリンカス ヨセフ フェーフィート、堤 隆嘉、小林 伸好、堀 勝

    2019年 第80回応用物理学会秋季学術講演会 

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  163. Composition of ion species in pulsed dual frequency CCP with synchronized dc bias using fluorocarbon gases International conference

    Kazuya Nakane, Shin-Nan Hsiao , Takayoshi Tsutsumi, Taku Gohira, Kenji Ishikawa, Makoto Sekin, Yoshinobu Ohya and Masaru Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  164. Intracellular responses of Coccomyxa sp. during culture in plasma-treated nutrient solution International conference

    Takumi Kato, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  165. H2-added Cl2 plasma etching of GaN at high temperature International conference

    Takahiro Omichi, Atsushi Tanide, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  166. Intracellular response of HeLa cells treated by plasma- activated Ringer's lactate solution International conference

    Shogo Maeda, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, Fumitaka Kikkawa, Masaaki Mizuno and Masaru Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  167. In situ surface analysis of Ga dangling sites and chlorination layers for determining atomic layer etching properties of GaN International conference

    Masaki Hasegawa, Takayoshi Tsutsumi, Atsushi Tanide, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  168. Disordering in crystallinity induced by intermediates in synthesis of nanographene using in-liquid plasma International conference

    Ryo Hamaji, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Keigo Takeda, Mineo Hiramatsu, and Masaru Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  169. Hydrogen atom exposure for termination of dangling bonds in amorphous carbon films International conference

    Yasuyuki Ohashi, Hirotsugu Sugiura, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Masaru Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  170. Vacuum Ultraviolet Absorption Spectroscopy with Self-absorbing Micro-discharge Hollow Cathode Lamp International conference

    S. Shimizu, N. Kishi, K. Takeda, T. Tsutsumi, M. Hiramatsu, and M. Hori

    The 12th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  171. In-liquid plasma synthesis of iron-nitrogen-doped carbon nanosheets with highly electro- catalytic activity for fuel cell application International conference

    R. Hamaji, T. Amano, H. Kondo, T. Tsutsumi, K. Ishikawa, M. Sekine, K. Takeda, M. Hiramatsu, M. Hori

    International Conference on Solid State Devices and Materials 2019 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  172. Highly-durable carbon nanowalls electrodes for fuel cell synthesized employing a C2F6/H2 mixture gas plasma International conference

    H. Kondo, S. Imai, T. Tsutsumi, K. Ishikawa, M.Sekine, M. Hiramatsu, M.Hori

    International Conference on Solid State Devices and Materials 2019 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  173. 自己吸収型マイクロ放電ホローカソード光源を用いた水素プラズマの真空紫外吸収分光計測

    清水 奨、竹田 圭吾、堤 隆嘉、平松 美根男、堀 勝

    2019年 第80回応用物理学会秋季学術講演会 

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  174. Arイオン照射窒化ガリウム表面の塩素吸着層のイオンエネルギー依存性

    長谷川 将希、堤 隆嘉、近藤 博基、関根 誠、石川 健治、堀 勝

    2019年 第80回応用物理学会秋季学術講演会 

     More details

    Event date: 2019.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  175. Selectivity during Plasma ALE of Si-Compounds: Reaction Mechanism Studied by in-situ Surface Spectroscopy International conference

    René Vervuurt, Kazuya Nakane, Takayoshi Tsutsumi, Masaru Hori, Nobuyoshi Kobayashi

    AVS 19th International Conference on Atomic Layer Deposition (ALD 2019)/6th International Atomic Layer Etching Workshop (ALE 2019) 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  176. Electrical conductivity for vertical direction of radical injection plasma enhanced chemical vapor deposited carbon nanowalls (RI-PECVD-CNW) International conference

    Atsushi Ozaki, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Masaru Hori

    XXXIV International Conference on Phenomena in Ionized Gases(XXXIV ICPIG)/10th International Conference on Reactive Plasmas(ICRP-10) 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  177. Effects of Ion Bombardment Energy Flux on Chemical Compositions and Structures of Hydrogenated Amorphous Carbon Films Grown by a Radical-Injection Plasma-Enhanced Chemical Vapor Deposition International conference

    Hitotsugu Sugiura, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori

    XXXIV International Conference on Phenomena in Ionized Gases(XXXIV ICPIG)/10th International Conference on Reactive Plasmas(ICRP-10) 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  178. Effects of fluorine introduction and termination of CNWs on their electrochemical reactions International conference

    Hiroki Kondo, Masakazu Tomatsu, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Mineo Hiramatsu

    XXXIV International Conference on Phenomena in Ionized Gases(XXXIV ICPIG)/10th International Conference on Reactive Plasmas(ICRP-10) 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  179. Electron loss process in afterglow of pulsed magnetic neutral loop discharge using Ar/O2/ CF4 or C4F8 International conference

    X. Xie, J. Ni, T. Tsutsumi, K. Ishikawa, H. Kondo, M. Sekine, and M. Hori

    XXXIV International Conference on Phenomena in Ionized Gases(XXXIV ICPIG)/10th International Conference on Reactive Plasmas(ICRP-10) 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  180. Control of the Interface Layer in ALE Process by Alternating O2 Plasma with Fluorocarbon Deposition for High Selectivity Etching International conference

    Takayoshi Tsutsumi, Akiko Kobayashi, Nobuyoshi Kobayashi, Masaru Hori

    AVS 19th International Conference on Atomic Layer Deposition (ALD 2019)/6th International Atomic Layer Etching Workshop (ALE 2019) 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  181. Chlorinated Surface Layer of GaN in Quasi Atomic Layer Etching of Cyclic Processes of Chlorine Adsorption and Ion Irradiation International conference

    Masaki Hasegawa, Takayoshi Tsutsumi, Atsushi Tanide, Hiroki Kondo, Makoto Sekine, Kenji Ishikawa, Masaru Hori

    AVS 19th International Conference on Atomic Layer Deposition (ALD 2019)/6th International Atomic Layer Etching Workshop (ALE 2019) 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  182. プラズマ支援原子層プロセスにおける表界面反応層制御・診断 Invited

    堤 隆嘉,近藤 博基,石川 健治,関根 誠,堀 勝

    第4回 Atomic Layer Process (ALP) Workshop 

     More details

    Event date: 2019.6

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  183. Plasma-assisted Synthesis and Modification of Carbon Nanowalls for Emerging Applications Invited International conference

    Hiroki Kondo, Takayoshi Tsutsumi, Keigo Takeda, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Mineo Hiramatsu

    International Conference NANO-M&D2019 Fabrication, Properties and Applications of Nano-Materials and Nano-Devices 

     More details

    Event date: 2019.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Italy  

  184. Gene expression dynamics of glioblastoma cells in plasma-activated medium and plasma-activated Ringer's lactate solution International conference

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Makoto Sekine, Hiroki Kondo, Hiroshi Hashizume, Takayoshi Tsutsumi, Kae Nakamura, Nobuhisa Yoshikawa, Hiroaki Kajiyama, Shinya Toyokuni, Fumitaka Kikkawa, Masaru Hori

    24th International Symposium on Plasma Chemistry (ISPC24) 

     More details

    Event date: 2019.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Italy  

  185. Precisely wafer-temperature-controlled plasma etching and its application for nano-scale pattern fabrication of organic material International conference

    Makoto Sekine, Yusuke Fukunaga, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Masaru Hori

    24th International Symposium on Plasma Chemistry (ISPC24) 

     More details

    Event date: 2019.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Italy  

  186. In-liquid plasma formation at low temperature of in situ binding SnO2/Graphene International conference

    Kenji Ishikawa, Rajit R.Borude, Hirotsugu Sugiura, Takayoshi Tsusumi, Hiroki Kondo, Nobuyuki Ikarashi, and Masaru Hori

    10th International Workshop on Microplasmas IWM-10 

     More details

    Event date: 2019.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  187. Synthesis mechanism and electrochemical properties of nanographene materials obtained by in-liquid plasma method Invited International conference

    Hiroki Kondo, Keigo Takeda, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

    2019 Global Conference on Carbon Nanotubes and Graphene Technologies 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Italy  

  188. Floating-Wire-Assisted Atmospheric Pressure Plasma for High-Speed and Large-Area Glass Treatment International conference

    Thi-Thuy-Nga Nguyen, Minoru Sasaki, Hidefumi Odaka, Takayoshi Tsutsumi, Kenji Ishikawa and Masaru Hori

    11th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma Nanotechnology and Science 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  189. High-Speed Atomic Force Microscopy of Dynamical Changes of Supported Lipid Bilayers Containing Cholesterol in Plasma-Activated Lactec International conference

    Sotaro Yamaoka, Hiroki Kondo, Hiroshi Hashizume, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Hiromasa Tanaka and Masaru Hori

    11th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma Nanotechnology and Science 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  190. Electrochemical Impedance Analysis of Pt Nanoparticles-Supported Carbon Nanowalls for Fuel Cell Application International conference

    Shun Imai, Hiroki Kondo, Hyungjun Cho, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    11th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma Nanotechnology and Science 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  191. Ligh Source Wavelength Characteristics for Silicon-Wafer Temperature Measurement by Frequency-Domain Lowcoherence Interferometry International conference

    Takayoshi Tsutsumi, Kenji Ishikawa, Takayuki Ohta, Masafumi Ito, Hiroki Kondo, Makoto Sekine and Masaru Hori

    11th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma Nanotechnology and Science 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  192. Effects of Hydroxy Group on Synthesis Rate and Crystallinity of Nanographene by In-liquid Plasma International conference

    Hiroki Kondo, Keigo Takeda, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine and Masaru Hori

    11th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma Nanotechnology and Science 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  193. Synthesis, Characterization, and Study of Electrical Properties of Tin oxide-Graphene Composite Synthesized by Liquid Phase Plasma International conference

    Ranjit Borude, Hirotsugu Sugiura, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo and Masaru Hori

    11th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma Nanotechnology and Science 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  194. GaN film Etching at H-added Chlorine Plasma at High Temperature at 400 degree C International conference

    Takahiro Omichi, Atsushi Tanide, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine and Masaru Hori

    11th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma Nanotechnology and Science 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  195. Control of sp2-C Fraction and Hardness of Amorphous Carbon Films by Formation of Precursor Radicals Depending on a Residence Time International conference

    Hirotsugu Sugiura, Yasuyuki Ohashi, Lingyun Jia, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Toshio Hayashi, Keigo Takeda, Makoto Sekine and Masaru Hori

    11th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma Nanotechnology and Science 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  196. Aqueous Reactions in Non-equilibrium Atmospheric Pressure Plasma-activated Ringer s Lactate Solutions International conference

    Yang Liu, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Takyoshi Tsutsumi, Fumitaka Kikkawa, Kae Nakamura, Masaaki Mizuno, Shinya Toyokuni and Hiroki Kondo

    11th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma Nanotechnology and Science 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  197. Organic High Aspect Ratio Pattern Formation with Self-Limitation Manner by Plasma-Controlled Process based on Substrate Temperature Measurement International conference

    Yusuke Fukunaga, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine and Masaru Hori

    11th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma Nanotechnology and Science 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  198. Lipid Accumulation of Pseudochoricystis Ellipsoidea by Irradiation of Nonequilibrium Atmospheric Pressure Plasma to Nourishing Solution International conference

    Takumi Kato, Yugo Hosoi, Sotaro Yamaoka, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine and Masaru Hori

    11th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma Nanotechnology and Science 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  199. Intracellular Reactive Oxygen Species Responses of HeLa Cells Treated with PlasmaActivated Organics International conference

    Shogo Maeda, Yugo Hosoi, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine and Masaru Hori

    11th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma Nanotechnology and Science 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  200. Elucidation of Electron Extinction Mechanism during Afterglow of Fluorocarbon Pulsed Plasma with Synchronized DC Bias International conference

    Kazuya Nakane, Toshinari Ueyama, Xie Xitong, Takayoshi Tsutsumi, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Yoshinobu Ohya and Masaru Hori

    11th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma Nanotechnology and Science 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  201. Regulation of Cell Proliferation Changing Duty Ratio of an Electrical Stimulation on Carbon Nanowalls Scaffold International conference

    Tomonori Ichikawa, Hiroki Kondo, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    11th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma Nanotechnology and Science 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  202. Electrical Characterizations of Non-equilibrium Atmospheric Pressure Plasma International conference

    Kaede Katsuno, Kenji Ishikawa, Takayoshi Tsutsumi, Keigo Takeda, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine and Masaru Hori

    11th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma Nanotechnology and Science 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  203. Carbon Nanoflakes Involving Fe-N-C Bonds by Growth of In-liquid Plasma of Ethanol and Iron Phthalocyanine Mixture International conference

    Ryo Hamaji, Tomoki Amano, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Keigo Takeda, Mineo Hiramatsu and Masaru Hori

    11th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma Nanotechnology and Science 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  204. Nano-composite innovation based on carbon nanowalls and advanced plasma processes Invited International conference

    Hiroki Kondo, Takayoshi Tsutsumi, Makoto Sekine, Kenji Ishikawa, Masaru Hori, Mineo Hiramatsu

    7th International Conference on Advanced Plasma Technologies 

     More details

    Event date: 2019.2 - 2019.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Viet Nam  

  205. フルオロカーボンガスを用いた2周波容量結合型パルスプラズマに おける粒子密度のDC重畳効果 Invited

    中根 一也、植山 稔正、解 錫同、堤 隆嘉、竹田 圭吾、近藤 博基、石川 健治、関根 誠、大矢 欣伸、堀 勝

    第215回Siテクノロジー分科会研究会 

     More details

    Event date: 2019.2

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  206. Trimming Process with Feedback Control of Wafer Temperature for Organic Material Invited International conference

    T. Tsutsumi, Y. Fukunaga, K. Ishikawa, H. Kondo, M. Sekine, and M. Hori

    28th Annual Meeting of MRS-J 

     More details

    Event date: 2018.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  207. Cell responses of Pseudochoricystis ellipsoidea on atmospheric pressure plasma treatments International conference

    T. Kato, Y. Hosoi, S.Yamaoka, H.Hashizume, K.Ishikawa, H.Tanaka, T.Tsutsumi, H.Kondo, M.Sekine and M.Hori

    28th Annual Meeting of MRS-J 

     More details

    Event date: 2018.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  208. Effects and Mechanism of Electric Stimulation Through Carbon Nanowalls Scaffold on Proliferation and Differentiation of Cultured Cells International conference

    Hiroki Kondo, Tomonori Ichikawa, Kenji Ishikawa, Hiromasa Tanaka, Takayoshi Tsutsumi, Keigo Takeda, Makoto Sekine, Masaru Hori, Mineo Hiramatsu

    A Meeting of the Materials Research Society 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  209. In situ analysis of ion-irradiated and chlorinated GaN surface during cyclic etching processes International conference

    M. Hasegawa, T. Tsutsumi, A. Tanide, H. Kondo, M. Sekine, K. Ishikawa, M. Hori

    The 40th International Symposium on Dry Process 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  210. Defect termination mechanism in amorphous carbon films by atomic hydrogen radicals International conference

    The 40th International Symposium on Dry Process 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  211. High temperature etching of GaN with H2-added Cl2 plasma International conference

    T. Omichi, A. Tanide, K. Ishikawa, T. Tsutsumi, H. Kondo, M. Sekine, M. Hori

    The 40th International Symposium on Dry Process 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  212. Fundamental study of the interaction of plasma species with organic materials by experimental and computational approaches International conference

    Y. Fukunaga, P. Ventzek, B. Lane, A. Ranjan, G. S. Hwang, G. Hartmann, R. Upadhyay, L. L. Raja, T. Tsutsumi, K. Ishikawa, H. Kondo, M. Sekine, and M. Hori

    The 40th International Symposium on Dry Process 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  213. Floating-Wire-Assisted Atmospheric Pressure Plasma for High-Speed Glass Etching International conference

    Thi-Thuy-Nga Nguyen, M. Sasaki, H. Odaka, T. Tsutsumi, K. Ishikawa and M. Hori

    The 40th International Symposium on Dry Process 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  214. Effects of synchronized DC bias on densities of charged species in pulsed plasmas of fluorocarbon gases International conference

    K. Nakane, T. Ueyama, T. Tsutsumi, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, Y. Ohya, and M. Hori

    The 40th International Symposium on Dry Process 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  215. Plasma Modification of Si-compound Surfaces: Opportunities for Atomic Layer Etching International conference

    R.H.J. Vervuurt, K. Nakane, T. Tsutsumi, A. Kobayashi, M. Hori and N. Kobayashi

    The 40th International Symposium on Dry Process 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  216. Synthesis of composite of tin oxide particles and graphene sheets employing the in-liquid plasma process International conference

    Ranjit R. Borude , Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo and Masaru Hori

    The 40th International Symposium on Dry Process 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  217. Effects of electrical and spectroscopic properties of nonequilibrium atmospheric pressure plasma source on adhesion strengths of a polymeric resin International conference

    K. Katsuno, T. Tsutsumi, K. Ishikawa, K. Takeda, T. Jindo, S. Takikawa, A. Niwa, S. Takashima, Y. Nonoyama, H. Hashizume, H. Tanaka, H. Kondo, M. Sekine, and M. Hori

    The 40th International Symposium on Dry Process 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  218. Etch Characteristics of Atomic Layer Etching by Alternating Fluorocarbon Deposition and Oxygen Plasma Etching International conference

    T. Tsutsumi, A. Kobayashi, T. Nozawa, N. Kobayashi and M. Hori

    The 40th International Symposium on Dry Process 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  219. Spatiotemporal behavior of OH radical in AC-excited atmospheric pressure Ar plasma jet generated in open air International conference

    Keigo Takeda, Ren Kuramashi, Kenji Ishikawa ,Takayuki Tsutsumi, Masaru Hori

    71st Annual Gaseous Electronics Conference 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  220. Floating-wire-assisted remote generation of high-density atmospheric pressure inductively coupled plasma International conference

    Thi-Thuy-Nga Nguyen, Minoru Sasaki, Hidefumi Odaka, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori

    71st Annual Gaseous Electronics Conference 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  221. Behaviors of Charged Species in Afterglow of Dual Frequency Pulsed Capacitively Coupled Plasma with a Synchronous Negative DC-bias International conference

    T. Tsutsumi, T. Ueyama, K. Ishikawa, H. Kondo, M. Sekine, Y. Ohya, M. Hori

    AVS 65th INTERNATIONAL SYMPOSIUM & EXHIBITION 2018 

     More details

    Event date: 2018.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  222. Fundamental Studies of Plasma Species with Organic Materials of Varying Hydrogen and Oxygen Composition by Computational and Experimental Approaches International conference

    Y. Fukunaga, P. Ventzek, B. Lane, A. Ranjan, M. Sekine, T. Tsutsumi, H. Kondo, K. Ishikawa, R. Upadhyay, L. L. Raja, G. Hartmann, G. S. Hwang, M. Hori

    AVS 65th INTERNATIONAL SYMPOSIUM & EXHIBITION 2018 

     More details

    Event date: 2018.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  223. Fine Temperature Monitoring of Si Wafer for Plasma Processing Invited International conference

    Masafumi Ito, Takayuki Ohta, Takayoshi Tsutsumi, Masaru Hori

    AIMES2018 

     More details

    Event date: 2018.9 - 2018.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Mexico  

  224. Si系材料のフルオロカーボンプラズマ支援原子層エッチング Invited

    堤 隆嘉、近藤 博基、石川 健治、関根 誠、小林 伸好、堀 勝

    第79回応用物理学会秋季学術講演会 

     More details

    Event date: 2018.9 - 2019.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  225. Cl2プラズマによるGaN高温エッチングのH2添加効果

    大道貴裕、 谷出敦、 石川健治、 堤隆嘉、 近藤博基、 関根誠、 堀勝

    第79回 応用物理学会秋季学術講演会 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  226. 原子状水素によるアモルファスカーボン膜の欠陥終端機構

    大橋 靖之, 杉浦 啓嗣, 近藤 博基, 石川 健治, 堤 隆嘉, 関根 誠, 堀 勝

    第79回 応用物理学会秋季学術講演会 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  227. 蓚酸カルシウム結晶化過程のプラズマ照射単糖溶液中活性有機物質

    岡部 萌、石川 健治、田中 宏昌、橋爪 博司、堤 隆嘉、近藤 博基、関根 誠、堀 勝

    第79回 応用物理学会秋季学術講演会 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  228. 高電位試験時における白金微粒子担持カーボンナノウォール電極の分極特性の変化

    今井 駿, 近藤 博基, 石川 健治, 堤 隆嘉, 平松 美根男, 関根 誠, 堀 勝

    第79回 応用物理学会秋季学術講演会 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  229. カーボンナノウォール足場上での電気刺激下細胞培養における分化制御機構

    市川 知範, 近藤 博基, 橋爪 博司, 田中 宏昌, 堤 隆嘉, 石川 健治, 堀 勝

    第79回 応用物理学会秋季学術講演会 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  230. In-situ表面解析手法による水素プラズマのSiNx表面改質機構

    中根一也、ルネイ ヘリンカス ヨセフ フェーフィート、堤隆嘉、小林明子、小林伸好、堀勝

    第79回 応用物理学会秋季学術講演会 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  231. シュードコリシスティス藻培養への大気圧プラズマ処理効果

    加藤拓海,細井祐吾, 山岡壮太郎, 橋爪博司, 石川健治, 田中宏昌, 堤隆嘉,近藤博基, 関根誠, 堀勝

    第79回 応用物理学会秋季学術講演会 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  232. 液中プラズマによるナノグラフェン合成におけるヒロドキシル基の効果

    近藤 博基、安藤 睦、石川 健治、堤 隆嘉、平松 美根男、関根 誠、堀 勝

    第79回 応用物理学会秋季学術講演会 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  233. プラズマ活性ラクテック(PAL)で培養したHeLa細胞応答メカニズム

    前田 昌吾、細井 祐吾、石川 健治、橋爪 博司、田中 宏昌、堤 隆嘉、近藤 博基、関根 誠、堀 勝

    第79回 応用物理学会秋季学術講演会 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  234. プラズマプロセス制御による線幅10 nmの高アスペクト有機薄膜パターン形成

    福永 裕介、堤 隆嘉、石川 健治、近藤 博基、関根 誠、堀 勝

    第79回 応用物理学会秋季学術講演会 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  235. ガス滞在時間に依存した成膜前駆体の生成に基づくアモルファスカーボン膜中のsp2炭素結合の制御

    杉浦 啓嗣、大橋 靖之、賈 凌雲、近藤 博基、石川 健治、堤 隆嘉、竹田 圭吾、関根 誠、堀 勝

    第79回 応用物理学会秋季学術講演会 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  236. Etching of glass by floating-wire assisted atmospheric pressure plasma

    Thi-Thuy-Nga Nguyen, Minoru Sasaki, Hidefumi Odaka, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori

     More details

    Event date: 2018.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  237. Arイオン照射窒化ガリウム表面の塩素吸着挙動

    長谷川将希,堤隆嘉,谷出敦,近藤博基,関根誠,石川健治,堀勝

    第79回 応用物理学会秋季学術講演会 

     More details

    Event date: 2018.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  238. Liquid phase plasma assisted synthesis of Tin oxide - Graphene composite

    Ranjit Borude, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo, Masaru Hori

     More details

    Event date: 2018.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  239. Aqueous Reactions of Radicals in Non-equilibrium Atmospheric Pressure Plasma-activated Lactec Solutions

    Yang Liu,Kaede Katsuno,Yugo Hosoi,Kenji Ishikawa,Hiroshi Hashizume,Hiromasa Tanaka,Takayoshi Tsutsumi,Hiroki Kondo,Makoto Sekine,Masaru Hori

     More details

    Event date: 2018.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  240. In situ Quantitative Analysis of Chlorine Adsorption on Ion-irradiated GaN for Atomic Layer Etching International conference

    Masaki Hasegawa, Takayoshi Tsutsumi, Hiroki Kondo, Kenji Ishikawa, Masaru Hori

    5th International Atomic Layer Etching Workshop 

     More details

    Event date: 2018.7 - 2018.8

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  241. Mechanisms of plasma jets impinging upon liquids International conference

    T. Brubaker, K. Ishikawa, H. Hashizume, H. Tanaka, T. Tsutsumi, H. Kondo, S. Knecht, S. Bilén, and M. Hori

    ICPM7 

     More details

    Event date: 2018.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  242. パルス変調プラズマ中の準安定 Ar 密度変化と光ダメージの相関

    武田 直己、三好 康史、石川 健治、堤 隆嘉、竹田 圭吾、太田 貴之、近藤 博基、深沢 正永、辰巳 哲也、堀 勝

    第65回応用物理学会春季学術講演会 

     More details

    Event date: 2018.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  243. ラジカル注入型プラズマ励起化学気相堆積法において間欠水素プラズマ処理がアモルファスカーボン膜の結合構造に及ぼす効果

    杉浦 啓嗣、近藤 博基、石川 健治、堤 隆嘉、竹田 圭吾、関根 誠、堀 勝

    第65回応用物理学会春季学術講演会 

     More details

    Event date: 2018.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  244. 液中プラズマで合成した鉄含有カーボンナノフレークの酸素還元特性

    天野 智貴、近藤 博基、堤 隆嘉、石川 健治、平松 美根男、堀 勝

    第65回応用物理学会春季学術講演会 

     More details

    Event date: 2018.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  245. 窒化ガリウムの原子層エッチングに向けたラジカル吸着機構の解明

    長谷川 将希、堤 隆嘉、谷出 敦、近藤 博基、石川 健治、堀 勝

    第65回応用物理学会春季学術講演会 

     More details

    Event date: 2018.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  246. AC励起非平衡大気圧Arプラズマ生成活性種の時空間分布計測

    倉増 廉,竹田 圭吾,石川 健治,堤 隆嘉,橋爪 博司,田中 宏昌,近藤 博基,関根 誠,堀 勝

    第65回応用物理学会春季学術講演会 

     More details

    Event date: 2018.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  247. カーボンナノウォール足場上の細胞増殖に及ぼす電気刺激効果

    市川 知範、近藤 博基、橋爪 博司、田中 宏昌、堤 隆義、石川 健治、堀 勝

    第65回応用物理学会春季学術講演会 

     More details

    Event date: 2018.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  248. アルゴンイオン照射によるフッ素化Si(111)表面の形態変化

    浅野 敦紀, 堤 隆嘉,近藤 博基, 石川 健治, 関根 誠, 堀 勝

    第65回応用物理学会春季学術講演会 

     More details

    Event date: 2018.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  249. SUBSTRATE TEMPERATURE MEASURMENT AND CONTROL FOR FABRICATION OF ORGANIC DEVICES WITH NANOSTRUCTURE Invited International conference

    T. Tsutsumi

    International workshop on plasma synthesis of nanomaterials and its applications for sensor devices 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  250. Plasma Surface Treatment of Carbon Films Deposited by Magnetron Sputtering International conference

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  251. Visualization of Advection in Plasma-liquid Interactions: Flow Due to an Impinging Plasma Jet International conference

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  252. Polycarbonate Surface after Atmospheric Pressure Plasma Treatments International conference

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  253. High Temperature Damegeless Etching of GaN Employing BCl3-Cl2 plasma International conference

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  254. BEHAVIOR OF THE ELECTRON AND RONS IN GAS AND LIQUID PHASE OF LASER GENERATED PLASMA ACTIVATED MEDIUM International conference

    Y. Kurokawa, T. Tsutsumi, K. Takeda, K. Ishikawa, H. Hashizume, H. Tanaka, H. Kondo, M. Hori

    2nd International Workshop On Plasma Agriculture 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  255. A Novel Designed High-density Atomospheric Pressure Inductively Coupled Plasma Remotely Generated at the Downstream Side of a Quartz Tube Using a Floating Wire International conference

    Thi-Thuy-Nga Nguyen, Minoru Aasaki, Hidefumi Odaka, Takayoshi Tsutsumi, Kenji Ishikawa and Masaru Hori

    2nd International Workshop On Plasma Agriculture 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  256. Understanding morphology and chemical bonds of Si surface in cyclic CF4/Ar plasma process by surface analysis without air exposure International conference

    A. Asano, T. Tsutsumi, H. Kondo, K. Ishikawa, M. Hori

    10th Anniversary International Symposium on Advanced Plasma Science 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  257. Cytotoxic substances in the laser generated plasma activated medium (LPAM) International conference

    Y. Kurokawa, K. Takeda, K. Ishikawa, H. Hashizume, H. Tanaka, T. Tsutsumi, H. Kondo, M. Hori

    10th Anniversary International Symposium on Advanced Plasma Science 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  258. REACTIVE SPECIES DENSITY PROFILES IN EFFULUENT OF THE ATMOSPHERIC PRESSURE PLASMA SOURCE International conference

    R. Kuramashi, K. Takeda, K. Ishikawa, T. Tsutsumi, H. Hashizume, H. Tanaka, H. Kondo, M. Sekine, M. Hori

    10th Anniversary International Symposium on Advanced Plasma Science 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  259. Behavior of negative ions in asymmetric capacitively coupled plasma discharge produced in Ar/O2/C4F8 gas mixture at 100 MHz International conference

    H. Sugiura, L. Jia, H. Kondo, K. Ishikawa, T. Tsutsumi, M. Sekine, M. Hori 

    The 39th International Symposium on Dry Process 

     More details

    Event date: 2017.12

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  260. Behavios of electrons and fluorocarbon radicals in synchronized dc-imposed pulsed plasma International conference

    T. Tsutsumi, N. Sirse, M. Sekine, A. R. Ellingboe, M. Hori

    The 39th International Symposium on Dry Process 

     More details

    Event date: 2017.12

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  261. Effects of residence time on dissociation of precursors at deposition of amorphous carbon film by H2/CH4 plasma International conference

    T. Ueyama, T. Tsutsumi, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, Y. Ohya, M. Hori

    The 39th International Symposium on Dry Process 

     More details

    Event date: 2017.12

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  262. 非平衡大気圧プラズマ源における誘電体壁の放電特性への影響

    勝野 楓、石川 健治、堤 隆嘉、武田 圭吾、橋爪 博司、田中 宏昌、近藤 博基、関根 誠、堀 勝

    第78回応用物理学会秋季学術講演会 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  263. ガラスの絶縁破壊微細貫通加工と絶縁性液体塗布の効果

    村上開士、吉武尚輝、石川健治、裏地啓一郎、龍腰健太郎、堤隆嘉、近藤博基、堀勝

    第78回応用物理学会秋季学術講演会 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  264. 酸素プラズマ中の解離度および電子温度空間分布の表面材料依存性

    堤 隆嘉,Andrew R.Gibson,Deborah O’Connell,Timo Gans,堀 勝

    第78回応用物理学会秋季学術講演会 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  265. 高温での窒化ガリウム低損傷塩素エッチング

    石川 健治, 劉 沢成, 今村 真人, 堤 隆嘉, 近藤 博基, 小田 修, 関根 誠, 堀 勝

    第78回応用物理学会秋季学術講演会 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  266. ポリカーボネート表面のプラズマ処理による接着力増強効果

    高橋 美香, 倉家 尚之, 石川 健治, 近藤 真悟, 青木 孝司, 堤 隆嘉, 近藤 博基, 関根 誠, 堀 勝

    第78回応用物理学会秋季学術講演会 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  267. ラジカル注入型プラズマ励起化学気相堆積法においてRFバイアス時間変調がアモルファスカーボン膜の結合構造に及ぼす効果

    杉浦 啓嗣、近藤 博基、石川 健治、堤 隆嘉、竹田 圭吾、関根 誠、堀 勝

    第78回応用物理学会秋季学術講演会 

     More details

    Event date: 2017.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  268. Etch profile control of ALD-SiO2 film assisted by alternating ALE process of fluorocarbon deposition and O2 plasma etching International conference

    M. Zaitsu, T. Tsutsumi, A. Kobayashi, H. Kondo, M. Hori, T. Nozawa, N. Kobayashi

    4th International Workshop on Atomic Layer Etching 

     More details

    Event date: 2017.7

    Language:English   Presentation type:Poster presentation  

    Country:United States  

  269. Advanced Plasma Etching Processing: Atomic Layer Etching for Nanoscale Devices Invited International conference

    T. Tsutsumi, M. Zaitsu, A. Kobayashi, N. Kobayashi, M. Hori

    231st Electrochemical Society 

     More details

    Event date: 2017.5 - 2017.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:United States  

  270. Energy Balance Analysis by High-Precise Wafer Temperature Monitoring in Plasma Processing Invited International conference

    T. Tsutsumi

    The 15th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics and The 9th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     More details

    Event date: 2017.4

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  271. Behavior of Electron and Negative Ion Density in Very High Frequency Capacitively Coupled Plasma

    T. Takayoshi, N. Sirse, A. R Ellingboe, M. Sekine, M. Hori

     More details

    Event date: 2017.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  272. 酸素プラズマによる新規原子層エッチングプロセス Invited

    堤 隆嘉, 財津 優, 小林 明子, 小林 伸好, 堀 勝

    第199回Siテクノロジー分科会研究会 

     More details

    Event date: 2017.2

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  273. Advanced Plasma Etching Processing with Feedback Control of Wafer Temperature for Fabrication of Atomic-Scale Organic Devices International conference

    T. Tsutsumi, Y. Fukunaga, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, and M. Hori

    26th Annual Meeting of MRS-J 

     More details

    Event date: 2016.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  274. Phase-resolved measurement of electron density in afterglow of synchronized dc-imposed pulsed plasmas of fluorocarbon based gases International conference

    T. Ueyama, M. Iwata, Y. Fukunaga, T. Tsutsumi, K. Takeda, K. Ishikawa, M. Sekine, Y. Ohya, M. Hori, and H. Sugai

    2016 International Symposium on Dry Process, G-3 

     More details

    Event date: 2016.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  275. Electron and negative ion densities in a CW and pulsed 100 MHz capacitively coupled plasma discharge International conference

    N. Sirse, A. Ellingboe, T. Tsutsumi, S. Makoto, M. Hori

    69th Annual Gaseous Electronics Conference 

     More details

    Event date: 2016.10

    Language:English   Presentation type:Poster presentation  

    Country:Germany  

  276. Control of Internal Plasma Parameters Toward Atomic Level Processing Invited International conference

    M. Sekine, T. Tsutsumi, Y. Fukunaga, K. Takeda, H. Kondo, K. Ishikawa, M. Hori

    PRiME 2016 

     More details

    Event date: 2016.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:United States  

  277. Electron and negative ion diagnostic in a CW and pulsed, 100 MHz, capacitively coupled plasma discharge International conference

    N. Sirse, T. Tsutsumi, M. Sekine, M. Hori, A. Ellingboe

    The 6th International Conference on Microelectronics and Plasma Technology 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  278. DCシンクロパルスプラズマを用いた最先端絶縁膜エッチングプロセスにおける電子の電極間密度分布およびアフターグローでの挙動

    植山 稔正, 岩田 学, 福永 裕介, 堤 隆嘉, 竹田 圭吾, 近藤 博基, 石川 健治, 関根 誠, 大矢 欣伸, 堀 勝, 菅井 秀郎

    第77回秋季応用物理学会秋季学術講演会 

     More details

    Event date: 2016.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  279. Vertical distribution measurement of electron density and optical emission in afterglow of pulsed fluorocarbon plasma International conference

    T. Ueyama, M. Iwata, Y. Fukunaga, T. Tsutsumi, K. Takeda, , K. Ishikawa, M. Sekine, Y. Ohya, M. Hori, H. Sugai

    7th International Workshop on Plasma Spectroscopy 

     More details

    Event date: 2016.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  280. A novel atomic layer etching of SiO2 with alternating O2 plasma with fluorocarbon film deposition International conference

    T. Tsutsumi, M. Zaitsu, A. Kobayashi, H. Kondo, T. Nozawa, N. Kobayashi, M. Hori

    3rd International Workshop on Atomic Layer Etching 

     More details

    Event date: 2016.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Ireland  

  281. 有機膜表面における活性種によるエッチング反応の基板温度依存性

    福永 裕介, 堤 隆嘉, 竹田 圭吾, 石川 健治, 近藤 博基, 関根 誠, 堀 勝

    第63回応用物理学会春季学術講演会 

     More details

    Event date: 2016.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  282. Low-temperature PECVD process of silicon nitride film with radical and plasma diagnostics International conference

    B. B. Sahu, Jeon G. Han, Y. Y. Yin, J. S. Lee, Su. B. Lee, T. Tsutsumi, K. Ishikawa, M. Hori

    8th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma Nanotechnology and Science 

     More details

    Event date: 2016.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  283. Control of Radial Distribusion of Wafer Temperature during Plasma Process International conference

    T. Tsutsumi, K. Ishikawa, K. Takeda, T. Ohta, M. Ito, H. Kondo, M. Sekine, M. Hori

    8th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma Nanotechnology and Science 

     More details

    Event date: 2016.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  284. Evaluation of Substrate Temperature Effect on Etch Profile Development by Intermittent Plasma Generation for Substrate Temperature Control International conference

    Y. Fukunaga, T. Tsutsumi, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    8th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma Nanotechnology and Science 

     More details

    Event date: 2016.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  285. Plasma Etching Process based on Teal-time Monitoring of Radical Density and Substrate Temperature Invited International conference

    K. Takeda, Y. Fukunaga, T. Tsutsumi, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    The 50th Winter Annual Conference of the Korean Vacuum Society 

     More details

    Event date: 2016.2

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  286. Atomic-scale plasma process based on substrate-temperature control system Invited International conference

    T. Tsutsumi, Y. Fukunaga, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, and M. Hori

    Asia international workshop in plasma science 

     More details

    Event date: 2016.2

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  287. Wafer temperature dependence of organic film etch reaction in H2/N2 plasma International conference

    Y. Fukunaga, T. Tsutsumi, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    The 37th International Symposium on Dry Process 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  288. Highly Precise Control of Substrate Temperature During Plasma Etching and the Effect on Etched Profile International conference

    Y. Fukunaga, T. Tsutsumi, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    The 21st Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & The Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  289. Role Of RF/UHF Hybrid Plasmas On The Low Temperature Deposition Of The SiNx: H Film In PECVD Process International conference

    J. Han, B. Sahu, K. Shin, T. Tsutsumi, M. Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  290. Silicon Substrate Temperature Measurement In Sputtering Processes Using Optical Low-coherence Interferometry International conference

    K. Hattori, T. Ohta, M. Ito, T. Tsutsumi, K. Takeda, M. Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  291. Prediction of Radial Distribution from Temporal Variation of Wafer Temperature in a Plasma Reactor International conference

    T. Tsutsumi, K. Ishikawa, K. Takeda, T. Ohta, M. Ito, H. Kondo, M. Sekine, M. Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  292. Influences of wafer temperature on etch rates and profiles of organic films in H2/N2 plasma International conference

    T. Tsutsumi, Y. Fukunaga, K. Takeda, K. Ishikawa, T. Ohta, M. Ito, H. Kondo, M. Sekine, M. Hori

    The 10th Asian-European International Conference On Plasma Surface Engineering 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Poster presentation  

    Country:Korea, Republic of  

  293. Investigation of Temperature Parameter on Si Substrate Temperature Measurement UsingSpectral-Domain Optical Low-Coherence Interferometry International conference

    K. Hattori, T. Ohta, M. Ito, T. Tsutsumi, K. Takeda, M. Hori

    7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma Nanotechnology and Science 

     More details

    Event date: 2015.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  294. Analysis of Temporal Changes on Substrate Temperature: Heat Fluxes during Plasma Processes and Influence of Chamber Parts International conference

    T. Tsutsumi, Y. Fukunaga, K. Ishikawa, K. Takeda, T. Ohta, M. Ito, H. Kondo, M. Sekine, M. Hori

    7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma Nanotechnology and Science 

     More details

    Event date: 2015.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  295. Etching Process with System of Control of Wafer Temperatures measured by Non-contact Fourier Domain Low Coherence Interferometry International conference

    Y. Fukunaga, T. Tsutsumi, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma Nanotechnology and Science 

     More details

    Event date: 2015.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  296. 有機膜エッチングにおける側壁保護作用の基板温度依存性

    福永 裕介, 堤 隆嘉, 竹田 圭吾, 石川 健治, 近藤 博基, 関根 誠, 堀 勝

    第62回応用物理学会春季学術講演会 

     More details

    Event date: 2015.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  297. プラズマプロセス中の基板温度制御のための熱流束モデルの解析

    堤 隆嘉, 福永 裕介, 石川 健治, 竹田 圭吾, 近藤 博基, 太田 貴之, 伊藤 昌文, 関根 誠, 堀 勝

    第62回応用物理学会春季学術講演会 

     More details

    Event date: 2015.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  298. 高速・高精度基板温度制御によるエッチング形状

    堤 隆嘉, 福永 祐介, 石川 健治, 竹田 圭吾, 近藤 博基, 太田 貴之, 伊藤 昌文, 関根 誠, 堀 勝

    応用物理学会SC東海地区学術講演会 2014 

     More details

    Event date: 2014.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  299. 高速・高精度基板温度センサとパルス放電による基板温度制御

    堤 隆嘉, 福永 裕介, 石川 健治, 竹田 圭吾, 近藤 博基, 太田 貴之, 伊藤 昌文, 関根 誠, 堀 勝

    第75回応用物理学会秋季学術講演会 

     More details

    Event date: 2014.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  300. 基板温度制御による有機Low-k膜のエッチング形状制御

    福永 裕介, 堤 隆嘉, 竹田 圭吾, 石川 健治, 近藤 博基, 関根 誠, 堀 勝

    第75回応用物理学会秋季学術講演会 

     More details

    Event date: 2014.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  301. 非接触基板温度計測システムを用いたプラズマプロセス中の基板温度および熱流速計測

    堤 隆嘉, 竹田 圭吾, 石川 健治, 近藤 博基, 太田 貴之, 伊藤 昌文, 関根 誠, 堀 勝

    第61回応用物理学会春季学術講演会 

     More details

    Event date: 2014.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  302. Effect of Ambient Air Engulfment on Generation of Activated Species in 60 Hz Non-Equilibrium Atmospheric Pressure Ar Plasma Jet International conference

    S. Liang, T. Tsutsumi, A. Ando, K. Sun, K. Takeda, H. Kondo, K. Ishikawa, H. Kano, M. Sekine, M. Hori

    7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  303. Measurement of Heat Fluxes of Si Substrate from Plasma by Using Frequency Low Coherence Interferometer International conference

    T. Tsutsumi, K. Ishikawa, K. Takeda, T. Ohta, M. Ito, H. Kondo, M. Sekine, M. Hori

    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  304. Accuracy of substrate temperature measurements using optical low coherence interferometry International conference

    T. Ohta, H. Kato, T. Tsutsumi, K. Takeda, M. Hori, M. Ito

    8th International Conference on Reactive Plasmas 31st Symposium on Plasma Processing 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  305. Rapid non-contact measurements of heat fluxes to substrate in nitorogen plasmas International conference

    T. Tsutsumi, K. Ishikawa, K. Takeda, T. Ohta, M. Ito, H. Kondo, M. Sekine, M. Hor

    8th International Conference on Reactive Plasmas 31st Symposium on Plasma Processing 

     More details

    Event date: 2014.2

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  306. 低コヒーレンス干渉計を用いた基板温度計測における計測精度の検討

    宇佐美 拓也, 加藤 寛人, 太田 貴之, 堤 隆嘉, 堀 勝, 伊藤 昌文

    電気学会プラズマ研究会 

     More details

    Event date: 2013.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  307. Rapid precise measurements of film-covered-substrate temperatures during plasma processes Invited International conference

    M. Ito, T. Tsutsumi, T. Ohta, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori

    The 1st International Conference on Surface Engineering 

     More details

    Event date: 2013.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Korea, Republic of  

  308. Non-contact measurements of substrate-temperature by frequency-domain low coherence interferometry International conference

    T. Tsutsumi, T. Ohta, K. Ishikawa, K Takeda, H. Kondo, M. Sekine, M. Hori, M. Ito

    AVS 60th International Symposium & Exhibition 

     More details

    Event date: 2013.10 - 2013.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  309. Effect of thin films on wafer temperature during plasma processes investigated by non-contact temperature measurement technique International conference

    T. Tsutsumi, K. Takeda, K. Ishikawa, T. Ohta, M. Ito, H. Kondo, M. Sekine, M. Hori

    The XXXI International Conference on Phenomena in Ionized Gases 

     More details

    Event date: 2013.7

    Language:English   Presentation type:Poster presentation  

    Country:Spain  

  310. Precise Rapid Measurement of Substrate Temperature by Frequency-Domain Optical Low-Coherence Interferometry Invited International conference

    M. Ito, T. Ohta, T. Tsutsumi, K. Takeda, M. Hori

    17th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 4th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials 

     More details

    Event date: 2013.5

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  311. 低コヒーレンス干渉計を用いた基板温度計測における波長依存性

    加藤 寛人, 柴田 恭平, 太田 貴之, 堤 隆嘉, 堀 勝, 伊藤 昌文

    第60回応用物理学会春季学術講演会 

     More details

    Event date: 2013.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  312. 窒化ガリウム(GaN)向けたサファイア基板の周波数領域型低コヒーレンス干渉計による温度計測(Ⅱ) Invited

    堤 隆嘉, 竹田 圭吾, 石川 健治, 近藤 博基, 太田 貴之, 伊藤 昌文, 関根 誠, 堀 勝

    第60回応用物理学会春季学術講演会 

     More details

    Event date: 2013.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  313. Temperature measurement of carbon nanowall / silicon substrate using super-continuum light source on low-coherence interferometry International conference

    T. Hiraoka, H. Kato, T. Tsutsumi, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Hori

    The 6th International Conference on PLAsma Nano Technology & Science 

     More details

    Event date: 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  314. Measurement of carbon nanowalls / silicon substrate temperature by fourier-domain low-coherence interferometry International conference

    T. Hiraoka, T. Tsutsumi, H. Kato, K. Takeda, T. Ohta, H. Kondo, K. Ishikawa, M. Ito, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  315. Monitoring of wafer temperature in plasma processing using optical low-coherence interferometry Invited International conference

    T. Ohta, M. Ito, T. Tsutsumi, T. Hiraoka, K. Takeda, M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  316. Real time temperature measurements of film-covered-substrate employing Fourier domain low coherence interferometer during plasma processes International conference

    T. Tsutsumi, T. Hiraoka, K. Takeda, K. Ishikawa, T. Ohta, M. Ito, H. Kondo, M. Sekine, M. Hori

    5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  317. Temperature measurement of substrate with a thin film using low-coherence interference International conference

    T. Tsutsumi, T. Hiraoka, K. Takeda, K. Ishikawa, H. Kondo, T. Ohta, M. Ito, M. Sekine, M. Hori

    65th Annual Gaseous Electronics Conference 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  318. Non-Contact Measurement of Wafer Temperature for plasma processing Using Low Coherence Interferometry International conference

    T. Ohta, T. Tsutsumi, M. Ito, K. Takeda, M. Hori

    The 11th Asia Pacific Conference on Plasma Science and Technology and 25th Symposium on Plasma Science for Materials 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  319. Non-Contact Temperature Measurement of Sapphire Substrate for GaN using Frequency Domain Low Coherence Interferometry International conference

    T. Tsutsumi, K. Takeda, K. Ishikawa, H. Kondo, T. Ohta, M. Ito, M. Sekine, M. Hori

    The 11th Asia Pacific Conference on Plasma Science and Technology and 25th Symposium on Plasma Science for Materials 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  320. 光干渉計を用いたプラズマプロセス中の半導体基板の温度計測技術

    堤 隆嘉

    第6回プラズマエレクトロニクス インキュベーションンホール 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  321. 窒化ガリウム(GaN)向けサファイア基板の周波数領域型低コヒーレンス干渉計による温度計測

    堤 隆嘉, 竹田 圭吾, 石川 健治, 近藤 博基, 太田 貴之, 伊藤 昌文, 関根 誠, 堀 勝

    秋季第73回応用物理学会学術講演会 

     More details

    Event date: 2012.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  322. High Resolution Temperature Monitoring System of Semiconductor Substrate Using Fourier Domain Low Coherence Interferometer International conference

    T. Tsutsumi, M. Hori, M. Sekine, K. Ishikawa, K. Takeda, H. Kondo, T. Ohta, M. Ito

    The 15th Korea-Japan Workshop for Advanced Plasma Process and Diagnostics 

     More details

    Event date: 2012.7

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  323. 光干渉計を用いたカーボンナノウォール/Si基板の基板温度計測

    平岡 丈弘, 夏目 将利, 加藤 寛人, 堤 隆嘉, 太田 貴之, 伊藤 昌文, 竹田 圭吾, 近藤 博基, 堀 勝

    第59回応用物理学関係連合講演会 

     More details

    Event date: 2012.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  324. Temperature Measurement of Carbon Nanowall/Silicon Substrate Using Fourier-Domain Low-coherence Interferometry International conference

    T. Hiraoka, M. Natsume, H. Kato, T. Tsutsumi, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Hori

    6th International Conference on PLAsma-Nano Technology & Science 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  325. Non-contact Temperature Measurement of Silicon Wafer Using Frequency Domain Low Coherence Interferometer International conference

    T. Tsutsumi, T. Ohta, M. Ito, S. Tsuchitani, M. Hori

    5th International Conference on PLAsma-Nano Technology & Science 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  326. 光干渉計を用いたプラズマプロセス中の非接触ウエア温度モニタリング

    太田 貴之, 堤 隆嘉, 伊藤 昌文, 堀 勝

    Plasma Conference 2011 Conference & Exhibition 

     More details

    Event date: 2011.11

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  327. 光干渉計を用いた非接触ウエハ温度・膜厚計測

    太田 貴之, 堤 隆嘉, 伊藤 昌文, 平岡 丈弘, 竹田 圭吾, 堀 勝

    応用物理学会プラズマエレクトロニクス分科会20周年記念特別シンポジウム 

     More details

    Event date: 2011.10

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  328. レーザ吸収分光法を用いたマイクロホローカソード放電中の準安定He原子密度と温度測定

    井上 真里, 太田 貴之, 家苗 毅司, 堤 隆嘉, 菊池 邦友, 土谷 茂樹, 伊藤 昌文, 堀 勝

    秋季第72回応用物理学会術講演会 

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  329. 周波数領域型低コヒーレンス干渉計を用いたSi基板の非接触温度分布計測実験

    堤 隆嘉, 太田 貴之, 菊池 邦友, 土谷 茂樹, 伊藤 昌文, 堀 勝

    秋季第72回応用物理学会術講演会 

     More details

    Event date: 2011.8 - 2011.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  330. Temperature Measurement of Silicon Wafer Treated by Atmospheric Pressure Plasma Using Frequency Domain Low Coherence Interferometer International conference

    T. Tsutsumi, T. Ohta, M. Ito, M. Hori

    4th International Conference on PLAsma-Nano Technology & Science 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  331. High Resolution Measurement of Silicon Substrate Temperature Using Frequency Domain Low Coherence Interferometer for Plasma Processing International conference

    T. Tsutsumi, T. Ohta, M. Ito, M. Hori

    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  332. 周波数領域型低コヒーレンス干渉計を用いた高精度Si基板温度計測

    堤 隆嘉, 太田 貴之, 伊藤 昌文, 平岡 丈弘, 堀 勝

    秋季第71回応用物理学会術講演会 

     More details

    Event date: 2010.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  333. Area-selective Plasma-enhanced Atomic Layer Etching (PE-ALE) of Silicon Dioxide using a Silane Coupling Agent Invited

    Airah Osonio, Takayoshi Tsutsumi, Bablu Mukherjee, Ranjit Borude, Nobuyoshi Kobayashi, Masaru Hori

     More details

    Presentation type:Oral presentation (invited, special)  

▼display all

KAKENHI (Grants-in-Aid for Scientific Research) 4

  1. グリーンプラズマエッチングに向けた高アスペクト比孔内の活性種輸送特性の実験的解明

    Grant number:23K03367  2023.4 - 2026.3

    科学研究費助成事業  基盤研究(C)

    堤 隆嘉

      More details

    Authorship:Principal investigator 

    Grant amount:\4550000 ( Direct Cost: \3500000 、 Indirect Cost:\1050000 )

    本研究では、正負イオンおよびラジカルの活性種の時空間計測により高アスペクト比孔内の輸送特性の実験的解明を試みる。高アスペクト比エッチングは、アスペクト比の増加に伴い孔内底面へのラジカルおよびイオン供給不足によりエッチングレートが低下する問題を抱えている。バイアス電力をさらに増加させ活性種供給律速の問題を避けているが、この超高電力消費は環境・エネルギー問題の観点から解決すべき課題であり、超高アスペクト比孔内の活性種の輸送特性の実験的解明は、全人類の喫緊の課題である半導体製造プロセスのグリーン化に貢献し、次世代半導体デバイス製造のためのすべてのプラズマプロセスに資する科学的基盤の構築に寄与する。

  2. Innovation in atomically controlled engineering of plasma etching technology with builiding a collaborative environment for theory, computation, and measurement

    Grant number:21H01073  2021.4 - 2024.3

    Grants-in-Aid for Scientific Research  Grant-in-Aid for Scientific Research (B)

      More details

    Authorship:Coinvestigator(s) 

  3. 原子層エッチングにおけるプラズマ誘起欠陥生成機構の解明

    2020.4 - 2023.3

    科学研究費補助金 

      More details

    Authorship:Principal investigator 

  4. 高移動度InNチャネルに向けた高密度ラジカル照射下における初期成長機構の解明

    2018.4 - 2021.3

    科学研究費補助金  基盤研究(B)

      More details

    Authorship:Coinvestigator(s) 

 

Teaching Experience (On-campus) 1

  1. ディジタル回路及び演習

    2017

Teaching Experience (Off-campus) 1

  1. ディジタル回路及び演習

    Nagoya University)