2024/03/27 更新

写真a

ウサミ ノリタカ
宇佐美 徳隆
USAMI Noritaka
所属
大学院工学研究科 物質プロセス工学専攻 物質創成工学 教授
大学院担当
大学院工学研究科
学部担当
工学部 マテリアル工学科
職名
教授
連絡先
メールアドレス

学位 1

  1. 博士(工学) ( 1998年1月   東京大学 ) 

研究キーワード 4

  1. 結晶成長

  2. 多結晶材料情報学

  3. 欠陥制御

  4. 太陽電池

研究分野 3

  1. ものづくり技術(機械・電気電子・化学工学) / 電気電子材料工学

  2. ナノテク・材料 / 薄膜、表面界面物性

  3. ナノテク・材料 / 結晶工学

現在の研究課題とSDGs 4

  1. 多結晶材料情報学の学理構築と高品質多結晶材料創製

  2. 脱炭素社会の早期実現に向けた次世代太陽電池に関する研究

  3. 非真空プロセスによるシリコン系多元混晶材料のエピタキシャル成長

  4. 量子計算機用高品質半導体基板創製に関する研究

経歴 10

  1. 名古屋大学   大学院工学研究科   教授

    2013年4月 - 現在

  2. 名古屋大学   未来社会創造機構脱炭素社会創造センター   教授

    2022年4月 - 現在

  3. 内閣府   政策統括官(科学技術・イノベーション担当)付 総合科学技術・イノベーション会議事務局   上席科学技術政策フェロー

    2018年4月 - 2020年3月

      詳細を見る

    国名:日本国

  4. 名古屋大学   未来材料・システム研究所 附属未来エレクトロニクス集積研究センター   教授

    2017年4月 - 2027年3月

  5. 筑波大学大学院数理物質科学研究科非常勤講師

    2015年10月 - 2016年3月

      詳細を見る

    国名:日本国

  6. 東北大学流体科学研究所客員教授

    2013年5月 - 2017年3月

      詳細を見る

    国名:日本国

  7. 東北大学   金属材料研究所   准教授

    2007年4月 - 2013年3月

      詳細を見る

    国名:日本国

  8. 東北大学   金属材料研究所   助教授

    2000年2月 - 2007年3月

      詳細を見る

    国名:日本国

  9. ドレスデン工大   応用光物理研究所   客員研究員

    1998年3月 - 1999年1月

      詳細を見る

    国名:ドイツ連邦共和国

  10. 東京大学   先端科学技術研究センター   助手

    1994年7月 - 2000年1月

      詳細を見る

    国名:日本国

▼全件表示

学歴 3

  1. 東京大学   工学系研究科   物理工学専攻

    1993年4月 - 1994年7月

      詳細を見る

    国名: 日本国

  2. 東京大学   工学系研究科   物理工学専攻

    1991年4月 - 1993年3月

      詳細を見る

    国名: 日本国

  3. 東京大学   工学部   物理工学科

    1987年4月 - 1991年3月

      詳細を見る

    国名: 日本国

所属学協会 6

  1. 日本太陽光発電学会   理事,前会長

    2020年10月 - 現在

  2. 応用物理学会   元理事

    1991年3月 - 現在

  3. 日本金属学会   東海支部理事

  4. 日本結晶成長学会   会員

  5. Material Research Society

  6. 日本MRS水素科学技術連携研究会

▼全件表示

委員歴 30

  1. 11th International Workshop on Modeling in Crystal Growth   Member of the international advisory committee  

    2023年12月 - 現在   

  2. 半導体の結晶成長と加工および評価に関する産学連携委員会   委員  

    2023年4月 - 現在   

  3. The 35th International Photovoltaic Science and Engineering Conference   プログラム副委員長  

    2023年4月 - 2025年3月   

      詳細を見る

    団体区分:その他

  4. 新潟大学カーボンニュートラル融合技術研究センター   運営委員  

    2022年10月 - 現在   

      詳細を見る

    団体区分:その他

  5. 10th International Workshop on Modeling in Crystal Growth   Member of the International Advisory Committee  

    2022年4月 - 2023年3月   

      詳細を見る

    団体区分:その他

  6. 3rd International Symposium on Crytal Growth Processes and Devices   Member of Scientific Advisory Committee  

    2022年4月 - 2023年3月   

      詳細を見る

    団体区分:学協会

  7. Indo-Japan Joint Workshop on Photovoltaics   Convenor  

    2022年4月 - 2023年3月   

      詳細を見る

    団体区分:学協会

  8. NIMS次期拠点プロジェクト   外部評価委員  

    2021年10月 - 現在   

  9. APAC Silicide 2022   Program committee member  

    2021年9月 - 2023年3月   

      詳細を見る

    団体区分:学協会

  10. 宮﨑大学テニュアトラック教員選考評価委員会   委員  

    2021年9月   

  11. 日本学術振興会産学協力委員会R032産業イノベーションのための結晶成長委員会   運営委員  

    2021年7月 - 現在   

      詳細を見る

    団体区分:学協会

  12. 9th International Symposium on Control of Semiconductor Interfaces   International Program Committee  

    2021年4月 - 2023年3月   

      詳細を見る

    団体区分:学協会

  13. 多結晶材料情報学応用技術研究会   座長  

    2021年4月 - 2023年3月   

  14. 新エネルギー・産業技術総合開発機構   技術委員  

    2021年1月 - 2026年3月   

      詳細を見る

    団体区分:その他

  15. 次世代の太陽光発電シンポジウム   プログラム委員  

    2020年10月 - 現在   

      詳細を見る

    団体区分:学協会

  16. 日本太陽光発電学会次世代セル・モジュール分科会   幹事  

    2020年10月 - 現在   

      詳細を見る

    団体区分:学協会

  17. International Advisory Committee of the International Photovoltaic Science and Engineering Conference   member  

    2020年8月 - 現在   

  18. International Advisory Committee of World Conference on Photovoltaic Energy Conversion   member  

    2020年8月 - 現在   

  19. The 22nd International Vacuum Congress   Chair of sub-program committee, Electronic Materials and Processing  

    2020年4月 - 2023年3月   

  20. 第8回シリコン材料の先端科学と技術国際シンポジウム   実行委員  

    2020年4月 - 2023年3月   

      詳細を見る

    団体区分:学協会

  21. The 8th Asian Conference on Crystal Growth and Crystal Technology   Co-Chair of Program and Award Selection Committee  

    2019年 - 2021年3月   

      詳細を見る

    団体区分:その他

  22. The 33rd International Photovoltaic Science and Engineering Conference   General Chair of Organizing Committee  

    2018年12月 - 2023年3月   

      詳細を見る

    団体区分:その他

  23. Member of the international advisory committee  

    2018年4月 - 2022年4月   

      詳細を見る

    団体区分:学協会

  24. The 10th International Workshop on Crystalline Silicon for Solar Cells   Co-Chair of the organizing committee  

    2016年4月 - 2018年4月   

  25. 東北大学金属材料研究所研究部共同利用委員会   委員  

    2016年4月 - 2018年3月   

  26. 東北大学産学連携先端材料研究開発センター運営評議委員会   委員  

    2015年4月 - 2019年3月   

  27. 日本学術振興会結晶加工と評価技術第145委員会   幹事  

    2013年 - 2023年3月   

  28. 日本学術振興会次世代の太陽光発電システム第175委員会   副委員長  

    2009年 - 2020年3月   

  29. International Advisory Committee of the International Workshop on Crystalline Silicon for Solar Cells   member  

    2006年10月 - 現在   

  30. 日本学術振興会結晶成長の科学と技術第161委員会   幹事長代理  

    2000年 - 2021年3月   

▼全件表示

受賞 11

  1. 科学技術分野の文部科学大臣表彰 科学技術賞(研究部門)

    2022年4月   文部科学省  

  2. 応用物理学会フェロー

    2021年9月   応用物理学会   シリコン系材料の多様な結晶成長とデバイス応用に関する研究

     詳細を見る

    受賞区分:国内学会・会議・シンポジウム等の賞 

  3. Photo & Illustration Contest 最優秀賞

    2018年9月   応用物理学会   Semicondoctor Nanoflower

     詳細を見る

    受賞区分:国内学会・会議・シンポジウム等の賞  受賞国:日本国

  4. SiliconPV Award

    2018年3月   SiliconPV 2018   3D Visualization and Analysis of Dislocation Clusters in Multicrystalline Si Ingot by Approach of Data Science

    Y. Hayama, T. Muramatsu, T. Matsumoto, K. Kutsukake, H. Kudo, N. Usami

     詳細を見る

    受賞区分:国際学会・会議・シンポジウム等の賞  受賞国:スイス連邦

  5. イノベイティブPV論文賞

    2017年7月   独立行政法人日本学術振興会   多結晶材料情報学によるスマートシリコンインゴットの創製に向けて

    "宇佐美徳隆、羽山優介、髙橋勲、松本哲也、工藤博章、横井達矢、松永克志、沓掛健太朗、大野裕 "

     詳細を見る

    受賞区分:国内学会・会議・シンポジウム等の賞  受賞国:日本国

  6. The best poster award

    2012年6月  

     詳細を見る

    受賞国:アメリカ合衆国

  7. The best paper award

    2009年11月  

     詳細を見る

    受賞国:大韓民国

  8. インテリジェント・コスモス奨励賞

    2008年8月   財団法人インテリジェント・コスモス学術振興財団  

     詳細を見る

    受賞国:日本国

  9. 安藤博記念学術奨励賞

    2000年7月   一般財団法人安藤研究所  

     詳細を見る

    受賞国:日本国

  10. Engineering Conference Foundation Fellowship on Silicon Heterostructrues

    1997年9月  

     詳細を見る

    受賞国:アメリカ合衆国

  11. Young Researcher Award of International Conference on Solid State Devices and Materials

    1993年8月  

     詳細を見る

    受賞国:日本国

▼全件表示

 

論文 559

  1. Exploring mc-Silicon Wafers: Utilizing Machine Learning to Enhance Wafer Quality Through Etching Studies 招待有り 査読有り 国際共著

    Madhesh Raji, Sreeja Balakrishnapillai Suseela, Srinivasan Manikkam, Gowthami Anbazhagan, Kentaro Kutsukake, Keerthivasan Thamotharan, Ramadoss Rajavel, Noritaka Usami, Ramasamy Perumalsamy

    Crystal Research and Technology     頁: 2300279-1 - 2300279-12   2024年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  2. Core-shell yarn-structured triboelectric nanogenerator for harvesting both waterdrop and biomechanics energies

    Wang, HT; Kurokawa, Y; Zhang, JH; Gotoh, K; Liu, X; Miyamoto, S; Usami, N

    APPLIED PHYSICS EXPRESS   17 巻 ( 1 )   2024年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1882-0786/ad1f06

    Web of Science

  3. Investigating impurities and surface properties in germanium co-doped multi-crystalline silicon: a combined computational and experimental investigation 査読有り 国際共著

    Keerthivasan, T; Anbu, G; Srinivasan, M; Kojima, T; Rath, JK; Usami, N; Vijayan, N; Madhesh, R; Balaji, C; Singh, M; Rao, C; Ramasamy, P

    JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS   35 巻 ( 1 )   2024年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1007/s10854-023-11750-7

    Web of Science

  4. Pioneering Multicrystalline Informatics 招待有り 査読有り

    Noritaka USAMI

    JSAP Review     2024年1月

     詳細を見る

    担当区分:筆頭著者, 最終著者, 責任著者   記述言語:英語  

  5. Microstructural, electrical, and optoelectronic properties of BaSi2 epitaxial films grown on Si substrates by close-spaced evaporation 査読有り 国際誌

    Hara Kosuke O., Takagaki Ryota, Arimoto Keisuke, Usami Noritaka

    JOURNAL OF ALLOYS AND COMPOUNDS   966 巻   2023年12月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jallcom.2023.171588

    Web of Science

  6. Free-Standing Electrode and Fixed Surface Tiny Electrode Implemented Triboelectric Nanogenerator with High Instantaneous Current 査読有り 国際共著

    Haitao Wang, Yasuyoshi Kurokawa, Jia Wang, Wentao Cai, Jia-Han Zhang, Shinya Kato, Noritaka Usami

    Small     頁: 2308531-1 - 2308531-9   2023年12月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: https://doi.org/10.1002/smll.202308531

  7. Multicrystalline Informatics Applied to Multicrystalline Silicon for Unraveling The Microscopic Root Cause of Dislocation Generation 査読有り

    Kenta Yamakoshi, Yutaka Ohno, Kentaro Kutsukake, Takuto Kojima, Tatsuya Yokoi, Hideto Yoshida, Hiroyuki Tanaka, Xin Liu, Hiroaki Kudo, Noritaka Usami

    Advanced Materials     頁: 2308599-1 - 2308599-13   2023年12月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: https://doi.org/10.1002/adma.202308599

  8. Hydrogenation of silicon-nanocrystals-embedded silicon oxide passivating contacts 招待有り 査読有り 国際誌

    Masashi Matsumi, Kazuhiro Gotoh, Markus Wilde, Yasuyoshi Kurokawa, Katsuyuki Fukutani and Noritaka Usami

    Nanotechnology     2023年11月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: https://doi.org/10.1088/1361-6528/ad115d

  9. Nanoscale Size Control of Si Pyramid Texture for Perovskite/Si Tandem Solar Cells Enabling Solution‐Based Perovskite Top‐Cell Fabrication and Improved Si Bottom‐Cell Response 査読有り 国際誌

    Yuqing Li, Hitoshi Sai, Calum McDonald, Zhihao Xu, Yasuyoshi Kurokawa, Noritaka Usami, Takuya Matsui

    Advanced Materials Interfaces     頁: 2300504-1 - 2300504-9   2023年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: https://doi.org/10.1002/admi.202300504

  10. Improvement of passivation performance of silicon nanocrystal/silicon oxide compound layer by two-step hydrogen plasma treatment 査読有り 国際誌

    Masashi Matsumi, Kazuhiro Gotoh, Markus Wilde, Yasuyoshi Kurokawa, Katsuyuki Fukutani, Noritaka Usami

    Solar Energy Materials and Solar Cells   262 巻   頁: 112358-1 - 112358-6   2023年9月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: https://doi.org/10.1016/j.solmat.2023.112538

  11. Thermoelectric properties of Mg2Si thin films prepared by thermal evaporation of Mg and face-to-face annealing 査読有り 国際誌

    Kurokawa Yasuyoshi, Sato Kaisei, Shibata Keisuke, Kato Shinya, Miyamoto Satoru, Gotoh Kazuhiro, Itoh Takashi, Usami Noritaka

    MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING   163 巻   2023年8月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.mssp.2023.107552

    Web of Science

  12. Quantitative evaluation of implied open-circuit voltage after metal electrode deposition on TiO (x) /Si heterostructures by photoluminescence imaging: impact of metallization on passivation performance 査読有り 国際誌

    Fukaya Shohei, Gotoh Kazuhiro, Matsui Takuya, Sai Hitoshi, Kurokawa Yasuyoshi, Usami Noritaka

    JAPANESE JOURNAL OF APPLIED PHYSICS   62 巻 ( SK )   2023年8月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/acc813

    Web of Science

  13. Impact of B2H6 plasma treatment on contact resistivity in silicon heterojunction solar cells 査読有り 国際誌

    Gotoh Kazuhiro, Ozaki Ryo, Morimura Motoo, Tanaka Aki, Iseki Yoshiko, Nakamura Kyotaro, Muramatsu Kazuo, Kurokawa Yasuyoshi, Ohshita Yoshio, Usami Noritaka

    JAPANESE JOURNAL OF APPLIED PHYSICS   62 巻 ( SK )   2023年8月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/acc953

    Web of Science

  14. 3D CNN and grad-CAM based visualization for predicting generation of dislocation clusters in multicrystalline silicon 査読有り 国際誌

    Kyoka Hara, Takuto Kojima, Kentaro Kutsukake, Hiroaki Kudo, and Noritaka Usami

    APL Machine Learning   1 巻   頁: 036106-1 - 036106-9   2023年7月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:英語  

    DOI: https://doi.org/10.1063/5.0156044

  15. A machine learning-based prediction of crystal orientations for multicrystalline materials 査読有り 国際誌

    Kyoka Hara, Takuto Kojima, Kentaro Kutsukake, Hiroaki Kudo, and Noritaka Usami

    APL Machine Learning   1 巻   頁: 026113-1 - 026113-9   2023年5月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: https://doi.org/10.1063/5.0138099

  16. Fabrication of light trapping structures specialized for near-infrared light by nanoimprinting for the application to thin crystalline silicon solar cells 査読有り 国際誌

    Kimata Yuto, Gotoh Kazuhiro, Miyamoto Satoru, Kato Shinya, Kurokawa Yasuyoshi, Usami Noritaka

    DISCOVER NANO   18 巻 ( 1 )   2023年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1186/s11671-023-03840-6

    Web of Science

  17. Preparation and thermoelectric characterization of boron-doped Si nanocrystals/silicon oxide multilayers 査読有り 国際誌

    Shibata Keisuke, Kato Shinya, Kurosawa Masashi, Gotoh Kazuhiro, Miyamoto Satoru, Usami Noritaka, Kurokawa Yasuyoshi

    JAPANESE JOURNAL OF APPLIED PHYSICS   62 巻 ( SC ) 頁: SC1074   2023年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/acb779

    Web of Science

  18. Performance enhancement of droplet-based electricity generator using a CYTOP intermediate layer 査読有り 国際誌

    Wang Haitao, Kurokawa Yasuyoshi, Gotoh Kazuhiro, Kato Shinya, Yamada Shigeru, Itoh Takashi, Usami Noritaka

    JAPANESE JOURNAL OF APPLIED PHYSICS   62 巻 ( SC ) 頁: SC1032   2023年4月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/acaca7

    Web of Science

  19. The impact on mc-Si ingot grown in a directional solidification furnace by partially replacing the susceptor bottom with an insulation material: A numerical investigation 査読有り 国際共著 国際誌

    Keerthivasan T., Liu Xin, Srinivasan M., Usami Noritaka, Anbu G., Aravindan G., Ramasamy P.

    JOURNAL OF CRYSTAL GROWTH   607 巻   頁: 127130-1 - 127130-7   2023年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2023.127130

    Web of Science

  20. Bayesian optimization of hydrogen plasma treatment in silicon quantum dot multilayer and application to solar cells 査読有り 国際誌

    Fuga Kumagai, Kazuhiro Gotoh, Satoru Miyamoto, Shinya Kato, Kentaro Kutsukake, Noritaka Usami and Yasuyoshi Kurokawa

    Discover Nano   43 巻   2023年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1186/s11671-023-03821-9

  21. Evaluation of Damage in Crystalline Silicon Substrate Induced by Plasma Enhanced Chemical Vapor Deposition of Amorphous Silicon Films 査読有り 国際誌

    Kojima H., Nishihara T., Gotoh K., Usami N., Hara T., Nakamura K., Ohshita Y., Ogura A.

    ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY   12 巻 ( 1 ) 頁: 015003   2023年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/2162-8777/acb4bb

    Web of Science

  22. Influence of post-oxidizing treatment on passivation performance on the spin-coated titanium oxide films on crystalline silicon 査読有り 国際誌

    Luo Hao, Nguyen Van Hoang, Gotoh Kazuhiro, Ajito Saya, Hojo Tomohiko, Kurokawa Yasuyoshi, Akiyama Eiji, Usami Noritaka

    THIN SOLID FILMS   764 巻   頁: 139597   2023年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.tsf.2022.139597

    Web of Science

  23. Quantitative evaluation of implied open-circuit voltage after metal electrode deposition on TiOx/Si heterostructures by photoluminescence imaging: Impact of metallization on passivation performance 招待有り 査読有り 国際誌

    Shohei Fukaya, Kazuhiro Gotoh, Takuya Matsui, Hitoshi Sai, Yasuyoshi Kurokawa, Noritaka Usami

    Japanese Journal of Applied Physics     2023年

     詳細を見る

    担当区分:最終著者   記述言語:英語  

  24. Impurity analysis of the effect of partial replacement of retort with an insulation material on mc-silicon grown in directional solidification furnace: Computational modeling 査読有り 国際共著 国際誌

    Keerthivasan T., Liu Xin, Srinivasan M., Usami Noritaka, Aravindan G., Ramasamy P.

    JOURNAL OF CRYSTAL GROWTH   599 巻   頁: 126892   2022年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2022.126892

    Web of Science

  25. Analysis of grain growth behavior of multicrystalline Mg2Si 査読有り 国際誌

    Deshimaru Takumi, Yamakoshi Kenta, Kutsukake Kentaro, Kojima Takuto, Umehara Tsubasa, Udono Haruhiko, Usami Noritaka

    JAPANESE JOURNAL OF APPLIED PHYSICS   62 巻 ( SD )   2022年11月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/aca032

    Web of Science

  26. Nanopyramid Texture Formation by One-Step Ag-Assisted Solution Process for High-Efficiency Monocrystalline Si Solar Cells 査読有り 国際誌

    Li Yuqing, Sai Hitoshi, Matsui Takuya, Xu Zhihao, Nguyen Van Hoang, Kurokawa Yasuyoshi, Usami Noritaka

    SOLAR RRL   6 巻 ( 11 )   2022年9月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/solr.202200707

    Web of Science

  27. Epitaxial growth of SiGe films by annealing Al-Ge alloyed pastes on Si substrate 査読有り 国際誌

    Fukuda Keisuke, Miyamoto Satoru, Nakahara Masahiro, Suzuki Shota, Dhamrin Marwan, Maeda Kensaku, Fujiwara Kozo, Uraoka Yukiharu, Usami Noritaka

    SCIENTIFIC REPORTS   12 巻 ( 1 )   2022年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1038/s41598-022-19122-7

    Web of Science

  28. Study on electrical activity of grain boundaries in silicon through systematic control of structural parameters and characterization using a pretrained machine learning model 査読有り 国際誌

    Fukuda Yusuke, Kutsukake Kentaro, Kojima Takuto, Ohno Yutaka, Usami Noritaka

    JOURNAL OF APPLIED PHYSICS   132 巻 ( 2 )   2022年7月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/5.0086193

    Web of Science

  29. Estimation of Crystal Orientation of Grains on Polycrystalline Silicon Substrate by Recurrent Neural Network 査読有り 国際誌

    Kato Hikaru, Kamibeppu Soichiro, Kojima Takuto, Matsumoto Tetsuya, Kudo Hiroaki, Takeuchi Yoshinori, Kutsukake Kentaro, Usami Noritaka

    IEEJ TRANSACTIONS ON ELECTRICAL AND ELECTRONIC ENGINEERING   17 巻 ( 11 ) 頁: 1685 - 1687   2022年7月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/tee.23676

    Web of Science

  30. Fabrication of BaSi2 homojunction diodes on Nb-doped TiO2 coated glass substrates by aluminum-induced crystallization and two-step evaporation method 査読有り 国際誌

    Kurokawa Yasuyoshi, Yoshino Takamasa, Gotoh Kazuhiro, Miyamoto Satoru, Usami Noritaka

    JAPANESE JOURNAL OF APPLIED PHYSICS   61 巻 ( SC )   2022年5月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/ac4077

    Web of Science

  31. Improved conversion efficiency of p-type BaSi2/n-type crystalline Si heterojunction solar cells by a low growth rate deposition of BaSi2 招待有り 査読有り 国際誌

    Fujiwara Michinobu, Takahashi Kazuma, Nakagawa Yoshihiko, Gotoh Kazuhiro, Itoh Takashi, Kurokawa Yasuyoshi, Usami Noritaka

    AIP ADVANCES   12 巻 ( 4 )   2022年4月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/5.0083812

    Web of Science

  32. Zn1-xGexOy Passivating Interlayers for BaSi2 Thin-Film Solar Cells 査読有り

    Yamashita Yudai, Takayanagi Kaori, Gotoh Kazuhiro, Toko Kaoru, Usami Noritaka, Suemasu Takashi

    ACS APPLIED MATERIALS & INTERFACES   14 巻 ( 11 ) 頁: 13828 - 13835   2022年3月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1021/acsami.1c23070

    Web of Science

  33. Effects of grain boundary structure and shape of the solid-liquid interface on the growth direction of the grain boundaries in multicrystalline silicon 査読有り 国際誌

    Fukuda Yusuke, Kutsukake Kentaro, Kojima Takuto, Usami Noritaka

    CRYSTENGCOMM   24 巻 ( 10 ) 頁: 1948 - 1954   2022年3月

     詳細を見る

    担当区分:最終著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1039/d1ce01573g

    Web of Science

  34. Data-Driven Optimization and Experimental Validation for the Lab-Scale Mono-Like Silicon Ingot Growth by Directional Solidification 査読有り 国際誌

    Liu Xin, Dang Yifan, Tanaka Hiroyuki, Fukuda Yusuke, Kutsukake Kentaro, Kojima Takuto, Ujihara Toru, Usami Noritaka

    ACS OMEGA   7 巻 ( 8 ) 頁: 6665 - 6673   2022年3月

     詳細を見る

    担当区分:最終著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1021/acsomega.1c06018

    Web of Science

  35. Silicon Nanocrystals Embedded in Nanolayered Silicon Oxide for Crystalline Silicon Solar Cells 査読有り 国際誌

    Tsubata Ryohei, Gotoh Kazuhiro, Matsumi Masashi, Wilde Markus, Inoue Tetsuya, Kurokawa Yasuyoshi, Fukutani Katsuyuki, Usami Noritaka

    ACS APPLIED NANO MATERIALS   5 巻 ( 2 )   2022年2月

     詳細を見る

    担当区分:最終著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1021/acsanm.1c03355

    Web of Science

  36. Zn1-x Gex Oy Passivating Interlayers for BaSi2 Thin-Film Solar Cells 査読有り 国際誌

    Yudai Yamashita, Kaori Takayanagi, Kazuhiro Gotoh, Kaoru Toko, Noritaka Usami, and Takashi Suemasu

    ACS Appl. Mater. Interfaces     2022年2月

     詳細を見る

    記述言語:英語  

  37. Fabrication of BaSi2 homojunction diodes on Nb-doped TiO2 coated glass substrates by aluminum-induced crystallization and two-step evaporation method 査読有り 国際誌

    Japanese Journal of Applied Physics     2022年2月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  38. Effects of grain boundary structure and shape of the solid–liquid interface on the growth direction of the grain boundaries in multicrystalline silicon 査読有り 国際誌

    Yusuke Fukuda, Kentaro Kutsukake, Takuto Kojima, Noritaka Usami

    CrystEngComm     2022年2月

     詳細を見る

    担当区分:最終著者   記述言語:英語  

    DOI: doi.org/10.1039/d1ce01573g

  39. Silicon Nanocrystals Embedded in Nanolayered Silicon Oxide for Crystalline Silicon Solar Cells 査読有り 国際誌

    ACS Appl. Nano Mater.   5 巻 ( 2 ) 頁: 1820 - 187   2022年1月

     詳細を見る

    担当区分:最終著者   記述言語:英語  

    DOI: doi.org/10.1021/acsanm.1c03355

  40. Fractal dimension analogous scale-invariant derivative of Hirsch's index 査読有り 国際誌

    Fujita Yuji, Usami Noritaka

    APPLIED NETWORK SCIENCE   7 巻 ( 1 )   2022年1月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1007/s41109-021-00443-x

    Web of Science

  41. Fine Line Screen-Printing Aluminum for Front side p(+) Metallization of High Efficiency Solar Cells 査読有り 国際共著 国際誌

    Tsuji Kosuke, Suzuki Shota, Dhamrin Marwan, Adrian Adrian, Buck Thomas, Usami Noritaka

    11TH INTERNATIONAL CONFERENCE ON CRYSTALLINE SILICON PHOTOVOLTAICS (SILICONPV 2021)   2487 巻   2022年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/5.0089217

    Web of Science

  42. Impact of chemically grown silicon oxide interlayers on the hydrogen distribution at hydrogenated amorphous silicon/crystalline silicon heterointerfaces 査読有り 国際誌

    Gotoh Kazuhiro, Wilde Markus, Ogura Shohei, Kurokawa Yasuyoshi, Fukutani Katsuyuki, Usami Noritaka

    APPLIED SURFACE SCIENCE   567 巻   2021年11月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.apsusc.2021.150799

    Web of Science

  43. Fabrication of heterojunction crystalline Si solar cells with BaSi2 thin films prepared by a two-step evaporation method 査読有り 国際誌

    Nakagawa Yoshihiko, Takahashi Kazuma, Fujiwara Michinobu, Hara Kosuke O., Gotoh Kazuhiro, Kurokawa Yasuyoshi, Itoh Takashi, Suemasu Takashi, Usami Noritaka

    JAPANESE JOURNAL OF APPLIED PHYSICS   60 巻 ( 10 )   2021年10月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/ac23ec

    Web of Science

  44. Improved Performance of Titanium Oxide/Silicon Oxide Electron-Selective Contacts by Implementation of Magnesium Interlayers 国際誌

    Nakagawa Yuta, Gotoh Kazuhiro, Inoue Tetsuya, Kurokawa Yasuyoshi, Usami Noritaka

    PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE   218 巻 ( 19 )   2021年10月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssa.202100296

    Web of Science

  45. Bayesian Optimization of Passivating Contacts for Crystalline Silicon Solar Cells 招待有り 査読有り 国際誌

    ECS Meeting Abstracts     2021年10月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語  

    DOI: doi.org/10.1149/MA2021-0213641mtgabs

  46. Contact control of Al/Si interface of Si solar cells by local contact opening method 査読有り 国際共著 国際誌

    Tsuji Kosuke, Suzuki Shota, Morishita Naoya, Kuroki Takashi, Nakahara Masahiro, Dhamrin Marwan, Adrian Adrian, Peng Zih-Wei, Buck Thomas, Usami Noritaka

    MATERIALS CHEMISTRY AND PHYSICS   270 巻   2021年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.matchemphys.2021.124833

    Web of Science

  47. Application of Bayesian optimization for high-performance TiOx/SiOy/c-Si passivating contact 査読有り 国際誌

    Miyagawa Shinsuke, Gotoh Kazuhiro, Kutsukake Kentaro, Kurokawa Yasuyoshi, Usami Noritaka

    SOLAR ENERGY MATERIALS AND SOLAR CELLS   230 巻   2021年9月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.solmat.2021.111251

    Web of Science

  48. Fabrication of Silicon Nanowire Metal-Oxide-Semiconductor Capacitors with Al2O3/TiO2/Al2O3 Stacked Dielectric Films for the Application to Energy Storage Devices 査読有り 国際誌

    Nezasa Ryota, Gotoh Kazuhiro, Kato Shinya, Miyamoto Satoru, Usami Noritaka, Kurokawa Yasuyoshi

    ENERGIES   14 巻 ( 15 )   2021年8月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/en14154538

    Web of Science

  49. Direct prediction of electrical properties of grain boundaries from photoluminescence profiles using machine learning 査読有り 国際誌

    Kutsukake Kentaro, Mitamura Kazuki, Usami Noritaka, Kojima Takuto

    APPLIED PHYSICS LETTERS   119 巻 ( 3 )   2021年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/5.0049847

    Web of Science

  50. Occurrence Prediction of Dislocation Regions in Photoluminescence Image of Multicrystalline Silicon Wafers Using Transfer Learning of Convolutional Neural Network 査読有り 国際誌

    Kudo Hiroaki, Matsumoto Tetsuya, Kutsukake Kentaro, Usami Noritaka

    IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES   E104A 巻 ( 6 ) 頁: 857 - 865   2021年6月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1587/transfun.2020IMP0010

    Web of Science

  51. Passivation mechanism of the high-performance titanium oxide carrier-selective contacts on crystalline silicon studied by spectroscopic ellipsometry 査読有り 国際誌

    Gotoh Kazuhiro, Miura Hiroyuki, Shimizu Ayako, Kurokawa Yasuyoshi, Usami Noritaka

    JAPANESE JOURNAL OF APPLIED PHYSICS   60 巻 ( SB )   2021年5月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/abd6dd

    Web of Science

  52. Mechanisms of carrier lifetime enhancement and conductivity-type switching on hydrogen-incorporated arsenic-doped BaSi2 査読有り 国際誌

    Aonuki Sho, Xu Zhihao, Yamashita Yudai, Gotoh Kazuhiro, Toko Kaoru, Usami Noritaka, Filonov Andrew B., Nikitsiuk Siarhei A., Migas Dmitri B., Shohonov Denis A., Suemasu Takashi

    THIN SOLID FILMS   724 巻   2021年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.tsf.2021.138629

    Web of Science

  53. Versatile fabrication of a passivation material, solute PEDOT:PSS, for a c-Si substrate using alcoholic solvents 査読有り 国際共著 国際誌

    Nguyen Van Hoang, Hoang Tuan K. A., Kurokawa Yasuyoshi, Usami Noritaka

    SUSTAINABLE ENERGY & FUELS   5 巻 ( 3 ) 頁: 666 - 670   2021年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1039/d0se01700k

    Web of Science

  54. Application of Bayesian optimization for improved passivation performance in TiOx/SiOy/c-Si heterostructure by hydrogen plasma treatment 査読有り 国際誌

    Miyagawa Shinsuke, Gotoh Kazuhiro, Kutsukake Kentaro, Kurokawa Yasuyoshi, Usami Noritaka

    APPLIED PHYSICS EXPRESS   14 巻 ( 2 )   2021年2月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1882-0786/abd869

    Web of Science

  55. Simulation study on lateral minority carrier transport in the surface inversion layer of the p-aSi:H/i-aSi:H/cSi heterojunction solar cell 査読有り 国際誌

    Kamioka Takefumi, Hayashi Yutaka, Gotoh Kazuhiro, Hara Tomohiko, Ozaki Ryo, Morimura Motoo, Shimizu Ayako, Nakamura Kyotaro, Usami Noritaka, Ogura Atsushi, Ohshita Yoshio

    JAPANESE JOURNAL OF APPLIED PHYSICS   60 巻 ( 2 )   2021年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/abdd02

    Web of Science

  56. Propagation of Crystal Defects during Directional Solidification of Silicon via Induction of Functional Defects 国際共著 国際誌

    Krenckel Patricia, Hayama Yusuke, Schindler Florian, Troetschler Theresa, Riepe Stephan, Usami Noritaka

    CRYSTALS   11 巻 ( 2 ) 頁: 1 - 10   2021年2月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/cryst11020090

    Web of Science

  57. Origin of recombination activity of non-coherent sigma 3{111} grain boundaries with a positive deviation in the tilt angle in cast-grown silicon ingots 査読有り 国際誌

    Ohno Yutaka, Tamaoka Takehiro, Yoshida Hideto, Shimizu Yasuo, Kutsukake Kentaro, Nagai Yasuyoshi, Usami Noritaka

    APPLIED PHYSICS EXPRESS   14 巻 ( 1 ) 頁: .   2021年1月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  58. Activation energy of hydrogen desorption from high-performance titanium oxide carrier-selective contacts with silicon oxide interlayers 査読有り 国際誌

    Gotoh Kazuhiro, Mochizuki Takeya, Hojo Tomohiko, Shibayama Yuki, Kurokawa Yasuyoshi, Akiyama Eiji, Usami Noritaka

    CURRENT APPLIED PHYSICS   21 巻   頁: 36 - 42   2021年1月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.cap.2020.10.002

    Web of Science

  59. Realization of the Crystalline Silicon Solar Cell Using Nanocrystalline Transport Path in Ultra-thin Dielectrics for Reinforced Passivating Contact

    Tsubata Ryohei, Gotoh Kazuhiro, Inoue Tetsuya, Kurokawa Yasuyoshi, Usami Noritaka

    2021 IEEE 48TH PHOTOVOLTAIC SPECIALISTS CONFERENCE (PVSC)     頁: 908 - 911   2021年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/PVSC43889.2021.9519096

    Web of Science

  60. The impact of highly excessive PbI2 on the correlation of MAPbI(3) perovskite morphology and carrier lifetimes 査読有り 国際共著 国際誌

    Van Hoang Nguyen, Hoang Tuan K. A., Kurokawa Yasuyoshi, Usami Noritaka

    JOURNAL OF MATERIALS CHEMISTRY C   8 巻 ( 41 ) 頁: 14481 - 14489   2020年11月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1039/d0tc04071a

    Web of Science

  61. Generation of dislocation clusters at triple junctions of random angle grain boundaries during cast growth of silicon ingots 査読有り 国際誌

    Ohno Yutaka, Tajima Kazuya, Kutsukake Kentaro, Usami Noritaka

    APPLIED PHYSICS EXPRESS   13 巻 ( 10 )   2020年10月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1882-0786/abbb1c

    Web of Science

  62. Determination of carrier recombination velocity at inclined grain boundaries in multicrystalline silicon through photoluminescence imaging and carrier simulation 査読有り 国際誌

    Mitamura Kazuki, Kutsukake Kentaro, Kojima Takuto, Usami Noritaka

    JOURNAL OF APPLIED PHYSICS   128 巻 ( 12 )   2020年9月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/5.0017823

    Web of Science

  63. Impact of Ge deposition temperature on parameters of c-Si solar cells with surface texture formed by etching of Si using SiGe islands as a mask 査読有り 国際共著 国際誌

    Nguyen V. H., Novikov A., Shaleev M., Yurasov D., Semma M., Gotoh K., Kurokawa Y., Usami N.

    MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING   114 巻   2020年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.mssp.2020.105065

    Web of Science

  64. Reactive deposition growth of highly (001)-oriented BaSi2 films by close-spaced evaporation 査読有り 国際誌

    Hara Kosuke O., Takizawa Shuhei, Yamanaka Junji, Usami Noritaka, Arimoto Keisuke

    MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING   113 巻   2020年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.mssp.2020.105044

    Web of Science

  65. Atomic hydrogen passivation for photoresponsivity enhancement of boron-doped p-BaSi2 films and performance improvement of boron-doped p-BaSi2/n-Si heterojunction solar cells 査読有り 国際共著 国際誌

    Xu Zhihao, Sato Takuma, Benincasa Louise, Yamashita Yudai, Deng Tianguo, Gotoh Kazuhiro, Toko Kaoru, Usami Noritaka, Filonov Andrew B., Migas Dmitri B., Shohonov Denis A., Suemasu Takashi

    JOURNAL OF APPLIED PHYSICS   127 巻 ( 23 )   2020年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/5.0005763

    Web of Science

  66. Impact of deposition of indium tin oxide double layers on hydrogenated amorphous silicon/crystalline silicon heterojunction 査読有り 国際誌

    Semma Masanori, Gotoh Kazuhiro, Wilde Markus, Ogura Shohei, Kurokawa Yasuyoshi, Fukutani Katsuyuki, Usami Noritaka

    AIP ADVANCES   10 巻 ( 6 )   2020年6月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/5.0009994

    Web of Science

  67. Significant enhancement of photoresponsivity in As-doped n-BaSi2 epitaxial films by atomic hydrogen passivation 査読有り 国際誌

    Aonuki Sho, Yamashita Yudai, Sato Takuma, Xu Zhihao, Gotoh Kazuhiro, Toko Kaoru, Terai Yoshikazu, Usami Noritaka, Suemasu Takashi

    APPLIED PHYSICS EXPRESS   13 巻 ( 5 ) 頁: .   2020年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  68. Undoped p-type BaSi2 emitter prepared by thermal evaporation and post-annealing for crystalline silicon heterojunction solar cells 査読有り 国際誌

    Kimura Yuki, Gotoh Kazuhiro, Kurokawa Yasuyoshi, Usami Noritaka

    APPLIED PHYSICS EXPRESS   13 巻 ( 5 )   2020年5月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1882-0786/ab8727

    Web of Science

  69. Scalable fabrication of GaN on amorphous substrates via MOCVD on highly oriented silicon seed layers 査読有り 国際共著 国際誌

    Hainey Mel Jr., Robin Yoann, Avit Geoffrey, Amano Hiroshi, Usami Noritaka

    JOURNAL OF CRYSTAL GROWTH   535 巻   2020年4月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2020.125522

    Web of Science

  70. 3D visualization of growth interfaces in cast Si ingot using inclusions distribution 査読有り 国際共著 国際誌

    Kamibeppu Soichiro, Krenckel Patricia, Troetschler Theresa, Hess Adam, Riepe Stephan, Usami Noritaka

    JOURNAL OF CRYSTAL GROWTH   535 巻   2020年4月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2020.125535

    Web of Science

  71. Drastic enhancement of photoresponsivity in C-doped BaSi2 films formed by radio-frequency sputtering 査読有り 国際誌

    Nemoto T., Matsuno S., Sato T., Gotoh K., Mesuda M., Kuramochi H., Toko K., Usami N., Suemasu T.

    JAPANESE JOURNAL OF APPLIED PHYSICS   59 巻   2020年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/ab69dc

    Web of Science

  72. Synthesis of Mg2Si thin film by thermal treatment under inert gas atmosphere and evaluation of film quality 査読有り 国際誌

    Horiba Issei, Fujiwara Michinobu, Nakagawa Yoshihiko, Gotoh Kazuhiro, Kurokawa Yasuyoshi, Itoh Takashi, Usami Noritaka

    JAPANESE JOURNAL OF APPLIED PHYSICS   59 巻   2020年4月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/ab6b79

    Web of Science

  73. Surface inversion layer effective minority carrier mobility as one of the measures of surface quality of the p-aSi:H/i-aSi:H/cSi heterojunction solar cell 査読有り 国際誌

    Kamioka Takefumi, Hayashi Yutaka, Gotoh Kazuhiro, Ozaki Ryo, Nakamura Kyotaro, Morimura Motoo, Naitou Shimako, Usami Noritaka, Ogura Atsushi, Ohshita Yoshio

    JAPANESE JOURNAL OF APPLIED PHYSICS   59 巻   2020年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/ab70a0

    Web of Science

  74. Preparation and thermoelectric characterization of phosphorus-doped Si nanocrystals/silicon oxide multilayers 査読有り 国際誌

    Kobayashi Hisayoshi, Akaishi Ryushiro, Kato Shinya, Kurosawa Masashi, Usami Noritaka, Kurokawa Yasuyoshi

    JAPANESE JOURNAL OF APPLIED PHYSICS   59 巻   2020年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/ab6346

    Web of Science

  75. Influence of the time-dependent vapor composition on structural properties of the BaSi2 thin films fabricated by vacuum evaporation 査読有り 国際誌

    Yoshino Takamasa, Nakagawa Yoshihiko, Kimura Yuki, Fujiwara Michinobu, Kurokawa Yasuyoshi, Usami Noritaka

    JAPANESE JOURNAL OF APPLIED PHYSICS   59 巻   2020年4月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/ab6b78

    Web of Science

  76. Fabrication of group IV semiconductor alloys on Si substrate applying Al paste with screen-printing 査読有り 国際誌

    Nakahara Masahiro, Matsubara Moeko, Suzuki Shota, Dhamrin Marwan, Miyamoto Satoru, Hainey Mel Forrest Jr., Usami Noritaka

    JAPANESE JOURNAL OF APPLIED PHYSICS   59 巻   2020年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.35848/1347-4065/ab6e0b

    Web of Science

  77. Effects of evaporation vapor composition and post-annealing conditions on carrier density of undoped BaSi2 evaporated films 査読有り 国際誌

    Kimura Yuki, Fujiwara Michinobu, Nakagawa Yoshihiko, Gotoh Kazuhiro, Kurokawa Yasuyoshi, Usami Noritaka

    JAPANESE JOURNAL OF APPLIED PHYSICS   59 巻   2020年4月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/ab6418

    Web of Science

  78. Effect of Si substrate modification on improving the crystalline quality, optical and electrical properties of thermally-evaporated BaSi2 thin-films for solar cell applications 査読有り 国際共著 国際誌

    Mai Thi Kieu Lien, Usami Noritaka

    INTERNATIONAL JOURNAL OF MODERN PHYSICS B   34 巻 ( 8 )   2020年3月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1142/S021797922050068X

    Web of Science

  79. Surface-orientation control of silicon thin films via aluminum-induced crystallization on monocrystalline cubic substrates 国際共著

    Hainey Mel Jr., Zhou Eddie (Chenhui), Viguerie Loic, Usami Noritaka

    JOURNAL OF CRYSTAL GROWTH   533 巻   2020年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2019.125441

    Web of Science

  80. Effect of hydrogen plasma treatment on the passivation performance of TiOx on crystalline silicon prepared by atomic layer deposition 査読有り 国際誌

    Miyagawa Shinsuke, Gotoh Kazuhiro, Ogura Shohei, Wilde Markus, Kurokawa Yasuyoshi, Fukutani Katsuyuki, Usami Noritaka

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A   38 巻 ( 2 )   2020年3月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1116/1.5134720

    Web of Science

  81. Effect of forming gas annealing on hydrogen content and surface morphology of titanium oxide coated crystalline silicon heterocontacts 査読有り 国際誌

    Nakagawa Yuta, Gotoh Kazuhiro, Wilde Markus, Ogura Shohei, Kurokawa Yasuyoshi, Fukutani Katsuyuki, Usami Noritaka

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A   38 巻 ( 2 )   2020年3月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1116/1.5134719

    Web of Science

  82. Point defects in BaSi2 thin films for photovoltaic applications studied by positron annihilation spectroscopy 国際共著

    Montes A., Eijt S. W. H., Tian Y., Gram R., Schut H., Suemasu T., Usami N., Zeman M., Serra J., Isabella O.

    JOURNAL OF APPLIED PHYSICS   127 巻 ( 8 )   2020年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.5126264

    Web of Science

  83. Effect of the Niobium-Doped Titanium Oxide Thickness and Thermal Oxide Layer for Silicon Quantum Dot Solar Cells as a Dopant-Blocking Layer 査読有り 国際誌

    Akaishi Ryushiro, Kitazawa Kohei, Gotoh Kazuhiro, Kato Shinya, Usami Noritaka, Kurokawa Yasuyoshi

    NANOSCALE RESEARCH LETTERS   15 巻 ( 1 )   2020年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1186/s11671-020-3272-8

    Web of Science

  84. Work function of indium oxide thin films on p-type hydrogenated amorphous silicon

    Semma Masanori, Gotoh Kazuhiro, Kurokawa Yasuyoshi, Usami Noritaka

    2020 47TH IEEE PHOTOVOLTAIC SPECIALISTS CONFERENCE (PVSC)     頁: 124 - 127   2020年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  85. Structural properties of triple junctions acting as dislocation sources in high-performance Si ingots

    Ohno Yutaka, Tajima Kazuya, Kutsukake Kentaro, Usami Noritaka

    2020 47TH IEEE PHOTOVOLTAIC SPECIALISTS CONFERENCE (PVSC)     頁: 2340 - 2340   2020年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  86. Fabrication of silicon-nanocrystals-embedded silicon oxide passivating contacts

    Tsubata Ryohei, Gotoh Kazuhiro, Kurokawa Yasuyoshi, Usami Noritaka

    2020 47TH IEEE PHOTOVOLTAIC SPECIALISTS CONFERENCE (PVSC)     頁: 969 - 972   2020年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  87. Application of artificial neural network to optimize sensor positions for accurate monitoring: an example with thermocouples in a crystal growth furnace 査読有り 国際誌

    Boucetta Abderahmane, Kutsukake Kentaro, Kojima Takuto, Kudo Hiroaki, Matsumoto Tetsuya, Usami Noritaka

    APPLIED PHYSICS EXPRESS   12 巻 ( 12 )   2019年12月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1882-0786/ab52a9

    Web of Science

  88. Tuning the Electrical Properties of Titanium Oxide Bilayers Prepared by Atomic Layer Deposition at Different Temperatures

    Gotoh Kazuhiro, Mochizuki Takeya, Kurokawa Yasuyoshi, Usami Noritaka

    PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE   216 巻 ( 22 )   2019年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pssa.201900495

    Web of Science

  89. Mossbauer spectroscopic microscope study on diffusion and segregation of Fe impurities in mc-Si wafer 査読有り

    Yoshida Yutaka, Watanabe Tomio, Ino Yuji, Kobayashi Masashi, Takahashi Isao, Usami Noritaka

    HYPERFINE INTERACTIONS   240 巻 ( 1 )   2019年9月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1007/s10751-019-1651-2

    Web of Science

  90. Hydrogen concentration at a-Si:H/c-Si heterointerfaces-The impact of deposition temperature on passivation performance

    Gotoh Kazuhiro, Wilde Markus, Kato Shinya, Ogura Shohei, Kurokawa Yasuyoshi, Fukutani Katsuyuki, Usami Noritaka

    AIP ADVANCES   9 巻 ( 7 )   2019年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.5100086

    Web of Science

  91. Marked enhancement of the photoresponsivity and minority-carrier lifetime of BaSi2 passivated with atomic hydrogen 国際共著

    Xu Zhihao, Shohonov Denis A., Filonov Andrew B., Gotoh Kazuhiro, Deng Tianguo, Honda Syuta, Toko Kaoru, Usami Noritaka, Migas Dmitri B., Borisenko Victor E., Suemasu Takashi

    PHYSICAL REVIEW MATERIALS   3 巻 ( 6 )   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1103/PhysRevMaterials.3.065403

    Web of Science

  92. Evidence of solute PEDOT:PSS as an efficient passivation material for fabrication of hybrid c-Si solar cells 査読有り 国際誌

    Van Hoang Nguyen, Kato Shinya, Gotoh Kazuhiro, Kurokawa Yasuyoshi, Usami Noritaka

    SUSTAINABLE ENERGY & FUELS   3 巻 ( 6 ) 頁: 1448 - 1454   2019年6月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1039/c9se00093c

    Web of Science

  93. Impact of size distributions of Ge islands as etching masks for anisotropic etching on formation of anti-reflection structures 国際共著

    Ota Yushi, Yurasov Dmitry, Novikov Alexey, Shaleev Mikhail, Gotoh Kazuhiro, Kurokawa Yasuyoshi, Usami Noritaka

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻 ( 4 )   2019年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/ab003b

    Web of Science

  94. Epitaxial growth of SiGe on Si substrate by printing and firing of Al-Ge mixed paste 査読有り 国際誌

    Fukami Shogo, Nakagawa Yoshihiko, Hainey Mel E. Jr., Gotoh Kazuhiro, Kurokawa Yasuyoshi, Nakahara Masahiro, Dhamrin Marwan, Usami Noritaka

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻 ( 4 )   2019年4月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1347-4065/ab00e5

    Web of Science

  95. Local Structure of High Performance TiOx Electron-Selective Contact Revealed by Electron Energy Loss Spectroscopy 査読有り

    Mochizuki Takeya, Gotoh Kazuhiro, Kurokawa Yasuyoshi, Yamamoto Takahisa, Usami Noritaka

    ADVANCED MATERIALS INTERFACES   6 巻 ( 3 )   2019年2月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/admi.201801645

    Web of Science

  96. Pole figure analysis from electron backscatter diffraction-an effective method of evaluating fiber-textured silicon thin films as seed layers for epitaxy 査読有り

    Hainey Mel Jr., Robin Yoann, Amano Hiroshi, Usami Noritaka

    APPLIED PHYSICS EXPRESS   12 巻 ( 2 )   2019年2月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/1882-0786/aafb26

    Web of Science

  97. 3D visualization and analysis of dislocation clusters in multicrystalline silicon ingot by approach of data science 査読有り

    Hayama Yusuke, Matsumoto Tetsuya, Muramatsu Tetsuro, Kutsukake Kentaro, Kudo Hiroaki, Usami Noritaka

    SOLAR ENERGY MATERIALS AND SOLAR CELLS   189 巻   頁: 239-244   2019年1月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.solmat.2018.06.008

    Web of Science

  98. Fabrication of Si1-xGex layer on Si substrate by Screen-Printing

    Nakahara Masahiro, Matsubara Moeko, Suzuki Shota, Fukami Shogo, Dhamrin Manvan, Usami Noritaka

    MRS ADVANCES   4 巻 ( 13 ) 頁: 749 - 754   2019年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1557/adv.2019.15

    Web of Science

  99. Effects of Surface Doping of Si Absorbers on the Band Alignment and Electrical Performance of TiO2-Based Electron-Selective Contacts 査読有り

    Lee Hyunju, Kamioka Takefumi, Usami Noritaka, Ohshita Yoshio

    MRS ADVANCES   4 巻 ( 13 ) 頁: 769-775   2019年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1557/adv.2019.164

    Web of Science

  100. Significant improvement on electrical properties of BaSi2 due to atomic H passivation by radio-frequency plasma 査読有り

    Xu Zhihao, Gotoh Kazuhiro, Deng Tianguo, Toko Kaoru, Usami Noritaka, Suemasu Takashi

    2019 IEEE 46TH PHOTOVOLTAIC SPECIALISTS CONFERENCE (PVSC)     頁: 12-14   2019年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  101. Fine Line Al Printing on Narrow Point Contact Opening for Front Side Metallization 査読有り

    Tsuji Kosuke, Suzuki Shota, Morishita Naoya, Kuroki Takashi, Nakahara Masahiro, Dhamrin Marwan, Peng Zih-Wei, Buck Thomas, Usami Noritaka

    9TH INTERNATIONAL CONFERENCE ON CRYSTALLINE SILICON PHOTOVOLTAICS (SILICONPV 2019)   2147 巻   2019年

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.5123846

    Web of Science

  102. Optimized electrical control of a Si/SiGe spin qubit in the presence of an induced frequency shift 査読有り 国際共著

    Takeda K., Yoneda J., Otsuka T., Nakajima T., Delbecq M. R., Allison G., Hoshi Y., Usami N., Itoh K. M., Oda S., Kodera T., Tarucha S.

    NPJ QUANTUM INFORMATION   4 巻   2018年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1038/s41534-018-0105-z

    Web of Science

  103. Application of weighted Voronoi diagrams to analyze nucleation sites of multicrystalline silicon ingots 査読有り

    Muramatsu Tetsuro, Hayama Yusuke, Kutsukake Kentaro, Maeda Kensaku, Matsumoto Tetsuya, Kudo Hiroaki, Fujiwara Kozo, Usami Noritaka

    JOURNAL OF CRYSTAL GROWTH   499 巻   頁: 62-66   2018年10月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2018.07.028

    Web of Science

  104. Fabrication and properties characterization of BaSi2 thin-films thermally-evaporated on Ge (100) modified substrates 査読有り

    Mai Thi Kieu Lien, Nakagawa Yoshihiko, Kurokawa Yasuyoshi, Usami Noritaka

    THIN SOLID FILMS   663 巻   頁: 14-20   2018年10月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.tsf.2018.08.004

    Web of Science

  105. Activation mechanism of TiOx passivating layer on crystalline Si

    Mochizuki Takeya, Gotoh Kazuhiro, Ohta Akio, Ogura Shohei, Kurokawa Yasuyoshi, Miyazaki Seiichi, Fukutani Katsuyuki, Usami Noritaka

    APPLIED PHYSICS EXPRESS   11 巻 ( 10 )   2018年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/APEX.11.102301

    Web of Science

  106. Fabrication of light-trapping structure by selective etching of thin Si substrates masked with a Ge dot layer and nanomasks 査読有り

    Hombe Atsushi, Kurokawa Yasuyoshi, Gotoh Kazuhiro, Akagi Seimei, Yamamoto Yuzo, Yurasov Dmitry, Novikov Alexey, Usami Noritaka

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 8 )   2018年8月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.08RF09

    Web of Science

  107. Investigation of effective near-infrared light-trapping structure with submicron diameter for crystalline silicon thin film solar cells

    Sei Miki, Kurokawa Yasuyoshi, Kato Shinya, Usami Noritaka

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 8 )   2018年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.08RB21

    Web of Science

  108. Influence of barrier layer's height on the performance of Si quantum dot solar cells 査読有り

    Kitazawa Kouhei, Akaishi Ryushiro, Ono Satoshi, Takahashi Isao, Usami Noritaka, Kurokawa Yasuyoshi

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 8 )   2018年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.08RF08

    Web of Science

  109. Impact of boron incorporation on properties of silicon solar cells employing p-type polycrystalline silicon grown by aluminum-induced crystallization

    Masuda Shota, Gotoh Kazuhiro, Takahashi Isao, Nakamura Kyotaro, Ohshita Yoshio, Usami Noritaka

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 8 )   2018年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.08RB12

    Web of Science

  110. Formation of light-trapping structure using Ge islands grown by gas-source molecular beam epitaxy as etching masks

    Ota Yushi, Hombe Atsushi, Nezasa Ryota, Yurasov Dmitry, Novikov Alexey, Shaleev Mikhail, Baidakova Natalie, Morozova Elena, Kurokawa Yasuyoshi, Usami Noritaka

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 8 )   2018年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.08RB04

    Web of Science

  111. Photovoltaic Science and Engineering FOREWORD

    Matsubara Koji, Yamada Akira, Minemoto Takashi, Itoh Takashi, Arafune Koji, Fujiwara Hiroyuki, Hayase Shuzi, Hiramoto Masahiro, Hishikawa Yoshihiro, Imaizumi Mitsuru, Ito Masakazu, Kaizuka Izumi, Kato Takuya, Komoto Keiichi, Kubo Takaya, Maitani Masato, Masuda Atsushi, Miyajima Shinsuke, Morita Kengo, Negami Takayuki, Ogimoto Kazuhiko, Ohdaira Keisuke, Ohshita Yoshio, Okada Yoshitaka, Okamoto Tamotsu, Osaka Itaru, Sai Hitoshi, Sakurai Takeaki, Shen Qing, Shibata Hajima, Sugaya Takeyoshi, Sugiyama Mutsumi, Takamoto Tatsuya, Tanaka Tooru, Terakawa Akira, Ueda Yuzuru, Usami Noritaka, Wakao Shinji, Yagi Shuhei, Yamanaka Sanshiro, Yoshida Yuji, Yoshita Masahiro

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 8 )   2018年8月

     詳細を見る

    掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.08R001

    Web of Science

  112. Effect of substrate type on the electrical and structural properties of TiO2 thin films deposited by reactive DC sputtering

    Cheng Xuemei, Gotoh Kazuhiro, Nakagawa Yoshihiko, Usami Noritaka

    JOURNAL OF CRYSTAL GROWTH   491 巻   頁: 120-125   2018年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2018.04.001

    Web of Science

  113. Improving the photoresponse spectra of BaSi2 layers by capping with hydrogenated amorphous Si layers prepared by radio-frequency hydrogen plasma

    Xu Zhihao, Gotoh Kazuhiro, Deng Tianguo, Sato Takuma, Takabe Ryota, Toko Kaoru, Usami Noritaka, Suemasu Takashi

    AIP ADVANCES   8 巻 ( 5 )   2018年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.5025021

    Web of Science

  114. BaSi2 formation mechanism in thermally evaporated films and its application to reducing oxygen impurity concentration

    Hara Kosuke O., Yamamoto Chiaya, Yamanaka Junji, Arimoto Keisuke, Nakagawa Kiyokazu, Usami Noritaka

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 4 )   2018年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.04FS01

    Web of Science

  115. Simple method for significant improvement of minority-carrier lifetime of evaporated BaSi2 thin film by sputtered-AlOx passivation

    N.M. Shaalan, K.O. Hara, C.T. Trinh, Y. Nakagawa, and N. Usami

    Materials Science in Semiconductor Processing   76 巻   頁: 37-41   2018年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  116. Simple method for significant improvement of minority-carrier lifetime of evaporated BaSi2 thin film by sputtered-AlOx passivation

    Shaalan N. M., Hara K. O., Trinh C. T., Nakagawa Y., Usami N.

    MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING   76 巻   頁: 37 - 41   2018年3月

     詳細を見る

    掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.mssp.2017.12.015

    Web of Science

  117. Formation of black silicon using SiGe self-assembled islands as a mask for selective anisotropic etching of silicon

    D. V. Yurasov, A. V. Novikov, M. V. Shaleev, N. A. Baidakova, E. E. Morozova, E. V. Skorokhodov, Y. Ota, A. Hombe, Y. Kurokawa, and N. Usami

    Materials Science in Semiconductor Processing   ( 75 ) 頁: 143-148   2018年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  118. Formation of black silicon using SiGe self-assembled islands as a mask for selective anisotropic etching of silicon

    Yurasov D. V., Novikov A. V., Shaleev M. V., Baidakova N. A., Morozova E. E., Skorokhodov E. V., Ota Y., Hombe A., Kurokawa Y., Usami N.

    MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING   75 巻   頁: 143 - 148   2018年3月

     詳細を見る

    掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.mssp.2017.11.032

    Web of Science

  119. BaSi2 formation mechanism in thermally-evaporated films and its application to reducing oxygen impurity concentration

    K.O. Hara, C. Yamamoto, J. Yamanaka, K. Arimoto, K. Nakagawa, and N. Usami

    Japanese Journal of Applied Physics   ( 57 ) 頁: 04FS01   2018年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  120. A quantum-dot spin qubit with coherence limited by charge noise and fidelity higher than 99.9%

    Yoneda Jun, Takeda Kenta, Otsuka Tomohiro, Nakajima Takashi, Delbecq Matthieu R., Allison Giles, Honda Takumu, Kodera Tetsuo, Oda Shunri, Hoshi Yusuke, Usami Noritaka, Itoh Kohei M., Tarucha Seigo

    NATURE NANOTECHNOLOGY   13 巻 ( 2 ) 頁: 102 - +   2018年2月

     詳細を見る

    掲載種別:研究論文(学術雑誌)  

    DOI: 10.1038/s41565-017-0014-x

    Web of Science

  121. Alternative simple method to realize p-type BaSi2 thin films for Si heterojunction solar cell applications

    Takahashi Kazuma, Nakagawa Yoshihiko, Hara Kosuke O., Takahashi Isao, Kurokawa Yasuyoshi, Usami Noritaka

    MRS ADVANCES   3 巻 ( 25 ) 頁: 1435-1442   2018年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1557/adv.2018.191

    Web of Science

  122. Suppression of Near-interface Oxidation in Thermally-evaporated BaSi2 Films and Its Effects on Preferred Orientation and the Rectification Behavior of n-BaSi2/p(+)-Si Diodes

    Hara Kosuke O., Arimoto Keisuke, Yamanaka Junji, Nakagawa Kiyokazu, Usami Noritaka

    MRS ADVANCES   3 巻 ( 25 ) 頁: 1387-1392   2018年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1557/adv.2018.31

    Web of Science

  123. Fabrication of silicon nanowire based solar cells using TiO2/Al2O3 stack thin films

    Kurokawa Yasuyoshi, Nezasa Ryota, Kato Shinya, Miyazaki Hisashi, Takahashi Isao, Usami Noritaka

    MRS ADVANCES   3 巻 ( 25 ) 頁: 1419-1426   2018年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1557/adv.2018.40

    Web of Science

  124. Local Structure of High Performance TiOx Passivating Layer Revealed by Electron Energy Loss Spectroscopy

    Mochizuki Takeya, Gotoh Kazuhiro, Ohta Akio, Kurokawa Yasuyoshi, Miyazaki Seiichi, Yamamoto Takahisa, Usami Noritaka

    2018 IEEE 7TH WORLD CONFERENCE ON PHOTOVOLTAIC ENERGY CONVERSION (WCPEC) (A JOINT CONFERENCE OF 45TH IEEE PVSC, 28TH PVSEC & 34TH EU PVSEC)     頁: 3896-3899   2018年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  125. Photoresponsivity improvement of BaSi2 epitaxial films by capping with hydrogenated amorphous Si layers by radio-frequency H-2 plasma

    Xu Zhihao, Gotoh Kazuhiro, Deng Tianguo, Sato Takuma, Toko Kaoru, Usami Noritaka, Suemasu Takashi

    2018 IEEE 7TH WORLD CONFERENCE ON PHOTOVOLTAIC ENERGY CONVERSION (WCPEC) (A JOINT CONFERENCE OF 45TH IEEE PVSC, 28TH PVSEC & 34TH EU PVSEC)     頁: 1871-1873   2018年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  126. Application of light trapping structure using Ge dot mask by alkaline etching to heterojunction solar cell

    Hombe Atsushi, Kurokawa Yasuyoshi, Gotoh Kazuhiro, Usami Noritaka

    2018 IEEE 7TH WORLD CONFERENCE ON PHOTOVOLTAIC ENERGY CONVERSION (WCPEC) (A JOINT CONFERENCE OF 45TH IEEE PVSC, 28TH PVSEC & 34TH EU PVSEC)     頁: 3097-3101   2018年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  127. Evaluation of Si Nanowire MOS Capacitor Using High-k Dielectric Materials

    Nezasa R., Kurokawa Y., Usami N.

    2018 IEEE 18TH INTERNATIONAL CONFERENCE ON NANOTECHNOLOGY (IEEE-NANO)     頁: .   2018年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  128. Development of the Passivation Layer For P-type CuI Thin Film Fabricated by the 2-step Method as the Novel Hole Selective Contact of Silicon Heterojunction Solar Cells

    Cui Min, Gotoh Kazuhiro, Kurokawa Yasuyoshi, Usami Noritaka

    2018 IEEE 7TH WORLD CONFERENCE ON PHOTOVOLTAIC ENERGY CONVERSION (WCPEC) (A JOINT CONFERENCE OF 45TH IEEE PVSC, 28TH PVSEC & 34TH EU PVSEC)     頁: 2118-2120   2018年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  129. Deposition and Characterization of Si Quantum Dot Multilayers Prepared by Plasma Enhanced Chemical Vapor Deposition using SiH4 and CO2 Gases

    Akaishi Ryushiro, Kitazawa Kouhei, Ono Satoshi, Gotoh Kazuhiro, Ichihara Eiji, Kato Shinya, Usami Noritaka, Kurokawa Yasuyoshi

    2018 IEEE 7TH WORLD CONFERENCE ON PHOTOVOLTAIC ENERGY CONVERSION (WCPEC) (A JOINT CONFERENCE OF 45TH IEEE PVSC, 28TH PVSEC & 34TH EU PVSEC)     頁: 2852-2856   2018年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  130. Controllable Optical and Electrical Properties of Nb Doped TiO2 Films by RF Sputtering

    Cheng Xuemei, Gotoh Kazuhiro, Mochizuki Takeya, Usami Noritaka

    2018 IEEE 7TH WORLD CONFERENCE ON PHOTOVOLTAIC ENERGY CONVERSION (WCPEC) (A JOINT CONFERENCE OF 45TH IEEE PVSC, 28TH PVSEC & 34TH EU PVSEC)     頁: 1986-1990   2018年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  131. Investigation on the origin of preferred a-axis orientation of BaSi2 films deposited on Si(100) by thermal evaporation

    Hara Kosuke O., Yamamoto Chiaya, Yamanaka Junji, Arimoto Keisuke, Nakagawa Kiyokazu, Usami Noritaka

    MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING   72 巻   頁: 93-98   2017年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.mssp.2017.09.020

    Web of Science

  132. Selective etching of Si, SiGe, Ge and its usage for increasing the efficiency of silicon solar cells

    Baidakova N. A., Verbus V. A., Morozova E. E., Novikov A. V., Skorohodov E. V., Shaleev M. V., Yurasov D. V., Hombe A., Kurokawa Y., Usami N.

    SEMICONDUCTORS   51 巻 ( 12 ) 頁: 1542 - 1546   2017年12月

     詳細を見る

    掲載種別:研究論文(学術雑誌)  

    DOI: 10.1134/S1063782617120028

    Web of Science

  133. Thermal stability of compressively strained Si/relaxed Si1-xCx heterostructures formed on Ar ion implanted Si (100) substrates

    Y. Arisawa, Y. Hoshi, K. Sawano, J. Yamanaka, K. Arimoto, C. Yamamoto, and N. Usami

    Materials Science in Semiconductor Processing   ( 70 ) 頁: 127-132   2017年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  134. Thermal stability of compressively strained Si/relaxed Si1-xCx heterostructures formed on Ar ion implanted Si (100) substrates

    Arisawa You, Hoshi Yusuke, Sawano Kentarou, Yamanaka Junji, Arimoto Keisuke, Yamamoto Chiaya, Usami Noritaka

    MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING   70 巻   頁: 127 - 132   2017年11月

     詳細を見る

    掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.mssp.2016.11.024

    Web of Science

  135. Growth of strained Si/relaxed SiGe heterostructures on Si(110) substrates using solid-source molecular beam epitaxy

    Arimoto Keisuke, Nakazawa Hiroki, Mitsui Shohei, Utsuyama Naoto, Yamanaka Junji, Hara Kosuke O., Usami Noritaka, Nakagawa Kiyokazu

    SEMICONDUCTOR SCIENCE AND TECHNOLOGY   32 巻 ( 11 )   2017年11月

     詳細を見る

    掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6641/aa8a87

    Web of Science

  136. Investigation on the origin of preferred a-axis orientation of BaSi2 films deposited on Si(100) by thermal evaporation

    K. O. Hara, C. Yamamoto, J. Yamanaka, K. Arimoto, K. Nakagawa, and N. Usami

    Materials Science in Semiconductor Processing   ( 72 ) 頁: 93-98   2017年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  137. Boron-doped p-BaSi2/n-Si solar cells formed on textured n-Si(001) with a pyramid structure consisting of {111} facets

    Deng Tianguo, Gotoh Kazuhiro, Takabe Ryota, Xu Zhihao, Yachi Suguru, Yamashita Yudai, Toko Kaoru, Usami Noritaka, Suemasu Takashi

    JOURNAL OF CRYSTAL GROWTH   475 巻   頁: 186-191   2017年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2017.06.017

    Web of Science

  138. Formation of metastable cubic phase in SnS thin films fabricated by thermal evaporation

    Hara Kosuke O., Suzuki Shintaro, Usami Noritaka

    THIN SOLID FILMS   639 巻   頁: 7 - 11   2017年10月

     詳細を見る

    掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.tsf.2017.08.025

    Web of Science

  139. Growth of strained Si/relaxed SiGe heterostructures on Si(110) substrates using solid-source molecular beam epitaxy

    K. Arimoto, H. Nakazawa, S. Mitsui, N. Utsuyama, J. Yamanaka, K. O. Hara, N. Usami, and K. Nakagawa

    Semiconductor Science and Technology   ( 32 ) 頁: 114002   2017年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  140. Fabrication of BaSi2 thin films capped with amorphous Si using a single evaporation source

    Hara Kosuke O., Cham Thi Trinh, Kurokawa Yasuyoshi, Arimoto Keisuke, Yamanaka Junji, Nakagawa Kiyokazu, Usami Noritaka

    THIN SOLID FILMS   636 巻   頁: 546-551   2017年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.tsf.2017.06.055

    Web of Science

  141. Formation of metastable cubic phase in SnS thin films fabricated by thermal evaporation

    K. O. Hara, S. Suzuki, N. Usami

    Thin Solid Films   ( 639 ) 頁: 7-11   2017年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  142. Effects of surface morphology randomness on optical properties of Si-based photonic nanostructures

    Kurokawa Yasuyoshi, Aonuma Osamu, Tayagaki Takeshi, Takahashi Isao, Usami Noritaka

    JAPANESE JOURNAL OF APPLIED PHYSICS   56 巻 ( 8 )   2017年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.56.08MA02

    Web of Science

  143. Effect of Surface Morphology Randomness on Optical Properties of Si-based Photonic Nanostructures 査読有り

    Y. Kurokawa, O. Aonuma, T. Tayagaki ,I. Takahashi, and N. Usami

    Jpn. J. Appl. Phys.   ( 56 ) 頁: 08MA02   2017年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  144. Effect of ALD-Al2O3 Passivated Silicon Quantum Dot Superlattices on p/i/n+ Solar Cells

    M. M. Rahman, Yi-Chia Tsai, Ming-Yi Lee, A. Higo, Yiming Li, Y. Hoshi, N. Usami, and S. Samukawa

    IEEE TRANSACTIONS ON ELECTRON DEVICES   64 巻   頁: 7   2017年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  145. Effect of ALD-Al2O3 Passivated Silicon Quantum Dot Superlattices on p/i/n(+) Solar Cells

    Rahman Mohammad Maksudur, Tsai Yi-Chia, Lee Ming-Yi, Higo Akio, Li Yiming, Hoshi Yusuke, Usami Noritaka, Samukawa Seiji

    IEEE TRANSACTIONS ON ELECTRON DEVICES   64 巻 ( 7 ) 頁: 2886-2892   2017年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/TED.2017.2704294

    Web of Science

  146. On the growth mechanism of multicrystalline silicon ingots with small grains fabricated using single-layer silicon beads

    Muramatsu Tetsurou, Takahashi Isao, Babu G. Anandha, Usami Noritaka

    JAPANESE JOURNAL OF APPLIED PHYSICS   56 巻 ( 7 )   2017年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.56.075502

    Web of Science

  147. Effects of grain boundary structure controlled by artificially designed seeds on dislocation generation 査読有り 国際誌

    Iwata Taisho, Takahashi Isao, Usami Noritaka

    JAPANESE JOURNAL OF APPLIED PHYSICS   56 巻 ( 7 )   2017年7月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.56.075501

    Web of Science

  148. Impact of anodic aluminum oxide fabrication and post-deposition anneal on the effective carrier lifetime of vertical silicon nanowires

    Van Hoang Nguyen, Sichanugrist Porponth, Kato Shinya, Usami Noritaka

    SOLAR ENERGY MATERIALS AND SOLAR CELLS   166 巻   頁: 39 - 44   2017年7月

     詳細を見る

    掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.solmat.2017.03.013

    Web of Science

  149. Fabrication of BaSi2 thin films capped with amorphous Si using a single evaporation source

    K. O. Hara, C. T. Trinh. Y. Kurokawa; K. Arimoto, J. Yamanaka, K. Nakagawa, and N. Usami

    Thin Solid Films   ( 646 ) 頁: 546-551   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  150. Boron-doped p-BaSi2/n-Si solar cells formed on textured n-Si(001) with a pyramid structure consisting of {111} facets

    T. Deng, K. Gotoh, R. Takabe, Z. Xu, S. Yachi, Y. Yamashita, K. Toko, N. Usami, and T. Suemasu

    Journal of Crystal Growth   ( 475 ) 頁: 186-191   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  151. Study on ion implantation conditions in fabricating compressively strained Si/relaxed Si1-xCx heterostructures using the defect control by ion implantation technique

    Y. Arisawa, K. Sawano, and N. Usami

    Journal of Crystal Growth   468 巻   頁: 601-604   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  152. Controlling impurity distributions in crystalline Si for solar cells by using artificial designed defects

    Hayama Yusuke, Takahashi Isao, Usami Noritaka

    JOURNAL OF CRYSTAL GROWTH   468 巻   頁: 610 - 613   2017年6月

     詳細を見る

    掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2016.12.092

    Web of Science

  153. Towards optimized nucleation control in multicrystalline silicon ingot for solar cells

    G.A.Babu, I.Takahashi, T.Muramatsu, and N.Usami

    Journal of Crystal Growth   468 巻   頁: 620-624   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  154. Hole mobility in strained Si/SiGe/vicinal Si(110) grown by gas source MBE

    K.Arimoto, S.Yagi, J.Yamanaka, K.O.Hara, K.Sawano, N.Usami, and K.Nakagawa

    Journal of Crystal Growth   468 巻   頁: 625-629   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  155. Controlling Impurity Distribution in Quasi-mono Crystalline Si Ingot by Seed Manipulation for Artificially Controlled Defect Technique

    Y. Hayama, I. Takahashi, and N. Usami

    Energy Procedia   127 巻   頁: 610-613   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  156. Hole mobility in strained Si/SiGe/vicinal Si(110) grown by gas source MBE

    Arimoto Keisuke, Yagi Sosuke, Yamanaka Junji, Hara Kosuke O., Sawano Kentarou, Usami Noritaka, Nakagawa Kiyokazu

    JOURNAL OF CRYSTAL GROWTH   468 巻   頁: 625 - 629   2017年6月

     詳細を見る

    掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2016.12.076

    Web of Science

  157. Towards optimized nucleation control in multicrystalline silicon ingot for solar cells

    Babu G. Anandha, Takahashi Isao, Muramatsu Tetsurou, Usami Noritaka

    JOURNAL OF CRYSTAL GROWTH   468 巻   頁: 620 - 624   2017年6月

     詳細を見る

    掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2016.12.066

    Web of Science

  158. Study on ion implantation conditions in fabricating compressively strained Si/relaxed Si1-xCx heterostructures using the defect control by ion implantation technique

    Arisawa You, Sawano Kentarou, Usami Noritaka

    JOURNAL OF CRYSTAL GROWTH   468 巻   頁: 601 - 604   2017年6月

     詳細を見る

    掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2016.12.065

    Web of Science

  159. On the growth mechanism of multicrystalline silicon ingots with small grains by using single layer silicon beads

    T. Muramatsu, I. Takahashi, G. Anandha babu, and N. Usami

    Japanese Journal of Applied Physics   ( 56 ) 頁: 075502   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  160. Effects of grain boundary structure controlled by artificially designed seeds on dislocation generation

    T. Iwata, I. Takahashi, and N. Usami

    Japanese Journal of Applied Physics   ( 56 ) 頁: 075501   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  161. Minority-carrier lifetime and photoresponse properties of B-doped p-BaSi2, a potential light absorber for solar cells

    Bayu M. Emha, Cham Thi Trinh, Takabe Ryota, Yachi Suguru, Toko Kaoru, Usami Noritaka, Suemasu Takashi

    JAPANESE JOURNAL OF APPLIED PHYSICS   56 巻 ( 5 )   2017年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.56.05DB01

    Web of Science

  162. Growth of BaSi2 film on Ge(100) by vacuum evaporation and its photoresponse properties

    Cham Thi Trinh, Nakagawa Yoshihiko, Hara Kosuke O., Kurokawa Yasuyoshi, Takabe Ryota, Suemasu Takashi, Usami Noritaka

    JAPANESE JOURNAL OF APPLIED PHYSICS   56 巻 ( 5 )   2017年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.56.05DB06

    Web of Science

  163. Investigation of p-type emitter layer materials for heterojunction barium disilicide thin film solar cells

    Takahashi Kazuma, Nakagawa Yoshihiko, Hara Kosuke O., Kurokawa Yasuyoshi, Usami Noritaka

    JAPANESE JOURNAL OF APPLIED PHYSICS   56 巻 ( 5 )   2017年5月

     詳細を見る

    掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.56.05DB04

    Web of Science

  164. Postannealing effects on undoped BaSi2 evaporated films grown on Si substrates

    Suhara Takamichi, Murata Koichi, Navabi Aryan, Hara Kosuke O., Nakagawa Yoshihiko, Cham Thi Trinh, Kurokawa Yasuyoshi, Suemasu Takashi, Wang Kang L., Usami Noritaka

    JAPANESE JOURNAL OF APPLIED PHYSICS   56 巻 ( 5 )   2017年5月

     詳細を見る

    掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.56.05DB05

    Web of Science

  165. Growth of BaSi2 film on Ge(100) by vacuum evaporation and its photoresponse properties 査読有り

    C. T. Trinh, Y. Nakagawa, K. O. Hara, Y. Kurokawa, R. Takabe, T. Suemasu, and N. Usami

    Jpn. J. Appl. Phys.   ( 56 ) 頁: 05DB06   2017年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  166. Postannealing effects on undoped BaSi2 evaporated films grown on Si substrates 査読有り

    T. Suhara, K. Murata, A. Navabi, K. O. Hara, Y. Nakagawa, C. T. Trinh, Y. Kurokawa, T. Suemasu, K. L. Wang, and N. Usami

    Jpn. J. Appl. Phys.   ( 56 ) 頁: 05DB05   2017年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  167. Investigation of p-type emitter layer materials for heterojunction barium disilicide thin film solar cells 査読有り

    K. Takahashi, Y. Nakagawa, K. O. Hara, Y. Kurokawa, and N. Usami

    Jpn. J. Appl. Phys.   ( 56 ) 頁: 05DB04   2017年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  168. Post-annealing effects on the surface structure and carrier lifetime of evaporated BaSi2 films

    Hara Kosuke O., Cham Thi Trinh, Kurokawa Yasuyoshi, Arimoto Keisuke, Yamanaka Junji, Nakagawa Kiyokazu, Usami Noritaka

    JAPANESE JOURNAL OF APPLIED PHYSICS   56 巻 ( 4 )   2017年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.56.04CS07

    Web of Science

  169. Optical characterization of double-side-textured silicon wafer based on photonic nanostructures for thin-wafer crystalline silicon solar cells

    Tayagaki Takeshi, Furuta Daichi, Aonuma Osamu, Takahashi Isao, Hoshi Yusuke, Kurokawa Yasuyoshi, Usami Noritaka

    JAPANESE JOURNAL OF APPLIED PHYSICS   56 巻 ( 4 )   2017年4月

     詳細を見る

    掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.56.04CS01

    Web of Science

  170. Impact of anodic aluminum oxide fabrication and post-deposition anneal on the effective carrier lifetime of vertical silicon nanowires

    V. H. Nguyen, P. Sichanugrist, S. Kato, and N. Usami

    Solar Energy Materials and Solar Cells   166 巻   頁: 39-44   2017年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  171. Post-annealing effects on the surface structure and carrier lifetime of evaporated BaSi2 films 査読有り

    K. O. Hara, C. T. Trinh, Y. Kurokawa, K. Arimoto, J. Yamanaka, K. Nakagawa, and N. Usami

    Japanese Journal of Applied Physics   56 巻   頁: 04CS07   2017年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  172. Minority-carrier lifetime and photoresponse properties of B-doped p-BaSi2, a potential light absorber for solar cells 査読有り

    M. E. Bayu, C. T. Trinh, R. Takabe, S. Yachi, K. Toko, N. Usami, and T. Suemasu

    Jpn. J. Appl. Phys.   ( 56 ) 頁: 05DB01   2017年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  173. Optical characterization of double-side-textured silicon wafer based on photonic nanostructures for thin-wafer crystalline silicon solar cells 査読有り

    T.Tayagaki, D.Furuta, O.Aonuma, I.Takahashi, Y.Hoshi, Y.Kurokawa, and N.Usami

    Japanese Journal of Applied Physics   56 巻   頁: 04CS01   2017年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  174. Exploring the potential of semiconducting BaSi2 for thin-film solar cell applications

    Suemasu Takashi, Usami Noritaka

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   50 巻 ( 2 ) 頁: 1-18   2017年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1361-6463/50/2/023001

    Web of Science

  175. TEM and STEM Observations of a Flat Continuous Silicon-Germanium Thin Film Epitaxially Grown on Porous Silicon 査読有り

    J. Yamanaka, N. Usami, S. Amtablian, A. Fave, M. Lemiti, C. Yamamoto, and K. Nakagawa

    Journal of Materials Science and Chemical Engineering   5 巻   頁: 26-34   2017年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  176. Preferred Orientation of BaSi2 Thin Films Fabricated by Thermal Evaporation

    K. O. Hara, C. T. Trinh, Y. Nakagawa, Y. Kurokawa, K. Arimoto, J. Yamanaka, K. Nakagawa, and N. Usami

    JJAP Conference Proceedings   ( 5 ) 頁: 11202   2017年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  177. Realization of Crystalline BaSi2 Thin Films by Vacuum Evaporation on (111)-oriented Si Layers Fabricated by Aluminum Induced Crystallization

    J. A. Wibowo, I. Takahashi, K. O. Hara, and N. Usami

    JJAP Conference Proceedings   ( 5 ) 頁: 11201   2017年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  178. Controlling impurity distributions in crystalline Si for solar cells by using artificial designed defects

    Y.Hayama, I.Takahashi, and N.Usami

    Journal of Crystal Growth   468 巻   頁: 625-629   2017年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  179. Selective Etching of Si, SiGe, Ge and Its Usage for Increasing the Efficiency of Silicon Solar Cells

    N.A. Baidakova, V.A. Verbus, E.E. Morozova, A.V. Novikov, E.V. Skorohodov, M.V. Shaleev, D.V. Yurasov, A. Hombe, Y. Kurokawa, and N. Usami

    Semiconductors   51 巻 ( 12 ) 頁: 1542-1546   2017年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  180. Development of Spin-coated Copper Iodide Film on Silicon for Use in Hole-selective Contacts

    K. Gotoh, M. Cui, I. Takahashi, Y. Kurokawa, and N. Usami

    Energy Procedia   124 巻   頁: 598-603   2017年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  181. Controlling impurity distribution in quasi-mono crystalline Si ingot by seed manipulation for artificially controlled defects technique

    Hayama Yusuke, Takahashi Isao, Usami Noritaka

    7TH INTERNATIONAL CONFERENCE ON SILICON PHOTOVOLTAICS, SILICONPV 2017   124 巻   頁: 734-739   2017年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.egypro.2017.09.088

    Web of Science

  182. Development of spin-coated copper iodide on silicon for use in hole-selective contacts

    Gotoh Kazuhiro, Cui Min, Takahashi Isao, Kurokawa Yasuyoshi, Usami Noritaka

    7TH INTERNATIONAL CONFERENCE ON SILICON PHOTOVOLTAICS, SILICONPV 2017   124 巻   頁: 598-603   2017年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.egypro.2017.09.081

    Web of Science

  183. Fabrication of CuI/a-Si:H/c-Si Structure for Application to Hole-selective Contacts of Heterojunction Si Solar Cells

    Gotoh Kazuhiro, Cui Min, Thanh Nguyen Cong, Koyama Koichi, Takahashi Isao, Kurokawa Yasuyoshi, Matsumura Hideki, Usami Noritaka

    2017 IEEE 44TH PHOTOVOLTAIC SPECIALIST CONFERENCE (PVSC)     頁: 1765-1768   2017年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  184. Solar Cells Application of p-type poly-Si Thin Film by Aluminum Induced Crystallization

    Masuda Shota, Gotoh Kazuhiro, Takahashi Isao, Nakamura Kyotaro, Ohshita Yoshio, Usami Noritaka

    2017 IEEE 44TH PHOTOVOLTAIC SPECIALIST CONFERENCE (PVSC)     頁: 1794-1796   2017年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  185. Numerical simulation and performance optimization of perovskite solar cell

    Nanduri Sai Naga Raghuram, Siddiki Mahbube K., Chaudhry Ghulam M., Alharthi Yahya Z.

    2017 IEEE 44TH PHOTOVOLTAIC SPECIALIST CONFERENCE (PVSC)     頁: 1018 - 1021   2017年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  186. Exploring the Potential of Semiconducting BaSi2 for Thin-Film Solar Cell Applications 査読有り

    T. Suemasu and N. Usami

    Journal of Physics D: Applied Physics   50 巻   頁: 023001   2016年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  187. Effects of the Si/Al layer thickness on the continuity, crystalline orientation and the growth kinetics of the poly-Si thin films formed by aluminum-induced crystallization

    S.Tutashkonko, N.Usami

    Thin Solid Films   616 巻   頁: 213-219   2016年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  188. Growth direction control of dendrite crystals in parallel direction to realize high-quality multicrystalline silicon ingot

    T.Hiramatsu, I.Takahashi, S.Matsushima, and N.Usami

    Jpn. J. Appl. Phys.   55 巻   頁: 091302   2016年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  189. Light-induced recovery of effective carier lifetime in boron-doped Czochralski silicon at room temperature 査読有り

    H.Ichikawaa, I.Takahashi, N.Usami, K.Shirasawa, H.Takato

    Energy Procedia   92 巻   頁: 801-807   2016年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  190. Photoresponse properties of BaSi2 film grown on Si (100) by vacuum evaporation .

    C.T.Trinh, Y.Nakagawa, K.O.Hara, R.Takabe, T.Suemasu, and N.Usami

    Materials Research Express   3 巻 ( 7 ) 頁: 076204   2016年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  191. Evidence for efficient passivation of vertical silicon nanowires by anodic aluminum oxide

    V.H.Nguyen, S.Kato, and N.Usami

    Solar Energy Materials and Solar Cells   157 巻   頁: 393-398   2016年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  192. Effect of grain boundary character of multicrystalline Si on external and internal (phosphorus) gettering of impurities 査読有り

    S.Joonwichien, I.Takahashi, K.Kutsukake, and N.Usami

    PROGRESS IN PHOTOVOLTAICS: RESEARCH AND APPLICATIONS     2016年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: DOI: 10.1002/pip.2795

  193. p-BaSi2/n-Si heterojunction solar cells with conversion efficiency reaching 9.0%

    D.Tsukahara, S.Yachi, H.Takeuchi, R.Takabe, W.Du, M.Baba, Y.Li, K.Toko, N.Usami, and T.Suemasu

    APPLIED PHYSICS LETTERS   108 巻   頁: 152101   2016年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  194. Modulated surface nanostructures for enhanced light trapping and reduced surface reflection of crystalline silicon solar cells 査読有り

    T.Tayagaki, Y.Hoshi, Y.Hirai, Y.Matsuo, and N.Usami

    Japanese Journal of Applied Physics   ( 55 ) 頁: 52302   2016年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  195. Simple vacuum evaporation route to BaSi2 thin films for solar cell applications 査読有り

    K.O.Hara, Y.Nakagawa, T.Suemasu, and N. Usami

    Energy Procedia   141 巻   頁: 27-31   2016年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  196. On the mechanism of BaSi2 thin film formation on Si substrate by vacuum evaporation 査読有り

    Y.Nakagawaa, K.O.Hara, T.Suemasu, and N.Usami

    Energy Procedia   141 巻   頁: 23-26   2016年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  197. Improved multicrystalline silicon ingot quality using single layer silicon beads coated with silicon nitride as seed layer

    G.Anandha babu, I.Takahashi, S.Matsushima, and N.Usami

    Journal of Crystal Growth   441 巻   頁: 124-130   2016年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  198. Effect of passivation layer grown by atomic layer deposition and sputtering processes on Si quantum dot superlattice to generate high photocurrent for high-efficiency solar cells

    M.M.Rahman, A.Higo, H.Sekhar, M.E.Syazwan, Y.Hoshi, N.Usami, and S.Samukawa

    Japanese Journal of Applied Physics   ( 55 ) 頁: 032303   2016年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  199. Control of electrical properties of BaSi2 thin films by alkali-metal doping using alkali-metal fluorides 査読有り

    K.O.Hara, W.Du, K.Arimoto, J.Yamanaka, K.Nakagawa, K.Toko, T. Suemasu, and N.Usami

    Thin Solid Films   603 巻   頁: 218-223   2016年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  200. Compressively strained Si/Si1_xCx heterostructures formed on Ar ion implanted Si(100) substrates 査読有り

    Y.Hoshi, Y.Arisawa, K. Arimoto, J.Yamanaka, K.Nakagawa, K.Sawano, and N.Usami

    Japanese Journal of Applied Physics   55 巻   頁: 031302   2016年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  201. Impact of silicon quantum dot super lattice and quantum well structure as intermediate layer on p-i-n silicon solar cells

    M.M.Rahman, M-Y, Lee, Y-C,Tsai, A. Higo, H.Sekhar, M.Igarashi, M.E.Syazwan, Y.Hoshi, K.Sawano, N.Usami, Y.Li, and S.Samukawa

    PROGRESS IN PHOTOVOLTAICS   ( 28 ) 頁: 774-780   2015年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/pip.2726

  202. Structural and electrical characterizations of crack-free BaSi2 thin filmsfabricated by thermal evaporation

    K.O.Hara, J.Yamanaka, K. Arimoto, K.Nakagawa, T.Suemasu, N.Usami

    Thin Solid Films   595 巻   頁: 68-72   2015年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  203. Seed manipulation for artificially controlled defect technique in new growth method for quasi-monocrystalline Si ingot based on casting 査読有り

    I.Takahashi, S.Joonwichien, T.Iwata, and N.Usami

    Applied Physics Express   8 巻   頁: 105501   2015年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  204. Selective growth of vertical silicon nanowire array guided by anodic aluminum oxide template 査読有り

    V.H.Nguyen, Y.Hoshi, N.Usami, M.Konagai

    Japanese Journal of Applied Physics   54 巻   頁: 095003   2015年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  205. Comparison of phosphorus gettering effect in faceted dendrite and small grain of multicrystalline silicon wafers grown by floating cast method 査読有り

    S.Joonwichien, I.Takahashi, S.Matsushima, N.Usami

    Japanese Journal of Applied Physics   54 巻   頁: 08KD11   2015年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  206. Application of heterojunction to Si-based solar cells using photonic nanostructures coupled with vertically aligned Ge quantum dots 査読有り

    I.Takahashi, Y.Hoshi, T.Tayagaki, T.Oikawa, K.Ohdaira, N.Usami

    Japanese Journal of Applied Physics   54 巻   頁: 08KA06   2015年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  207. Fabrication of single-phase BaSi2 thin films on silicon substrates by vacuumevaporation for solar cell applications 査読有り

    Y.Nakagawa, K.O.Hara, T.Suemasu, N.Usami

    Japanese Journal of Applied Physics   54 巻   頁: 08KC03   2015年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  208. Effect of Anodization Process of Aluminum Oxide Template on Selective Growth of Si Nanowires 査読有り

    V.H.Nguyen, S.Tutashkonko, Y.Hoshi, N.Usami

    Japanese Journal of Applied Physics   54 巻   頁: 08KA02   2015年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  209. Geometry in Si-based photonic nanostructures coupled with Ge quantum dot multilayers and its impact on optical properties 査読有り

    O.Aonuma, Y.Hoshi, T.Tayagaki, A.Novikov, D.Yurasov, N.Usami

    Japanese Journal of Applied Physics   54 巻   頁: 08KA01   2015年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  210. Suppression of metastable-phase inclusion in N-polar (0001¯) InGaN/GaN multiple quantum wells grown by metalorganic vapor phase epitaxy 査読有り

    K.Shojiki, J-H.Choi, T.Iwabuchi, N.Usami, T.Tanikawa, S.Kuboya, T.Hanada, R.Katayama, T.Matsuoka

    Applied Physics Letters   106 巻   頁: 222102   2015年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  211. Relationship between dislocation density and contact angle of dendrite crystals in practical size silicon ingot

    I.Takahashi, S.Joonwichien, S.Matsushima, N.Usami

    Journal of Applied Physics   ( 117 ) 頁: 095701   2015年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  212. Realization of single-phase BaSi2 films by vacuum evaporation with suitable optical properties and carrier lifetime for solar cell applications 査読有り

    K.O.Hara, Y.Nakagawa, T.Suemasu, N.Usami

    Japanese Journal of Applied Physics   54 巻   頁: 07JE02   2015年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  213. Cross-sectional potential profile across a BaSi2 pn junction by Kelvin probe force microscopy

    D.Tsukahara, M.Baba, K.Watanabe, T.Kimura, K.O.Hara, W.Du, N.Usami, K.Toko, T.Sekiguchi, T.Suemasu

    Japanese Journal of Applied Physics   54 巻 ( 3 ) 頁: 030306   2015年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  214. Cross-sectional potential profile across a BaSi2 pn junction by Kelvin probe force microscopy 査読有り

    D.Tsukahara, M.Baba, K.Watanabe, T.Kimura, K.O.Hara, W.Du, N.Usami, K.Toko, T.Sekiguchi, T.Suemasu

    Japanese Journal of Applied Physics   54 巻   頁: 030306   2015年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  215. Absorption enhancement in nanotextured solar cells with Ge/Si heterostructures

    T.Tayagaki, Y.Kishimoto, Y.Hoshi, N.Usami

    Japanese Journal of Applied Physics   ( 54 ) 頁: 04DR03   2015年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  216. Influence of Substrate on Crystal Orientation of Large-Grained Si Thin Films Formed by Metal-Induced Crystallization 査読有り

    K.Toko, M.Nakata, A.Okada, M.Sasase, N.Usami, T.Suemasu

    INTERNATIONAL JOURNAL OF PHOTOENERGY   ( 2015 ) 頁: 790242   2015年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  217. バルク結晶成長のこの10年 査読有り

    宇治原 徹, 島村清史, 宇佐美 徳隆, 太子 敏則, 樋口 幹雄, 吉村 政志

      42 巻 ( 1 ) 頁: pp.64-68   2015年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  218. Light trapping by direction-dependent light transmission in front-surface photonic nanostructures

    T.Tayagaki, Y.Kishimoto, Y.Hoshi, N.Usami

    Applied Physics Express   7 巻   頁: 122301   2014年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  219. Simulation study of Ge/Si heterostructured solar cells yielding improved open-circuit voltage and quantum efficiency

    T.Tayagaki, Y.Kishimoto, Y.Hoshi, I.Takahashi, N.Usami

    Japanese Journal of Applied Physics   ( 53 ) 頁: 110312   2014年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  220. Potential variations around grain boundaries in impurity-doped BaSi2 epitaxial films evaluated by Kelvin probe force microscopy

    D.Tsukahara, M.Baba, S.Honda, Y.Imai, K.O.Hara, N.Usami, K.Toko, J.H.Werner, T.Suemasu

    D.Tsukahara, M.Baba, S.Honda, Y.Imai, K.O.Hara, N.Usami, K.Toko, J.H.Werner, T.Suemasu   116 巻   頁: 123709   2014年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  221. Towards implementation of floating cast method for growing large-scale high-quality multicrystalline silicon ingot using designed double crucibles

    S.Joonwichien, I.Takahashi, S.Matsushima, N.Usami

    PROGRESS IN PHOTOVOLTAICS: RESEARCH AND APPLICATIONS   ( 22 ) 頁: 726-732   2014年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  222. Evaluation of minority carrier diffusion length of undoped n-BaSi2 epitaxial thin films on Si(001) substrates by electron-beam-induced-current technique

    M.Baba, K.Watanabe, K.O.Hara, K.Toko, T.Sekiguchi, N.Usami, T.Suemasu

    Japanese Journal of Applied Physics   ( 53 ) 頁: 078004   2014年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  223. Analysis of the electrical properties of Cr/n-BaSi2 Schottky junction and n-BaSi2/p-Si heterojunction diodes for solar cell applications

    W.D, M.Baba, K.Toko, K.Kosuke, K.Watanabe, T.Sekiguchi, N.Usami, T.Suemasu

    Journal of Applied Physics   115 巻   頁: 223701   2014年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  224. Analysis of the electrical properties of Cr/n-BaSi2 Schottky junction and n-BaSi2/p-Si heterojunction diodes for solar cell applications

    W.Du, M.Baba, K.Toko, K.O.Hara, K.Watanabe, T.Sekiguchi, N.Usami, T.Suemasu

    Journal of Applied Physics   115 巻   頁: 223701   2014年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  225. Influence of grain size and surface condition on minority-carrier lifetime in undoped n-BaSi2 on Si(111) 査読有り

    R.Takabe, K.O.Hara, M.Baba, W.Du, N.Shimada, K.Toko, N.Usami, T.Suemasu

      ( 115 ) 頁: 193510   2014年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  226. Carrier extraction dynamics from Ge/Si quantum wells in Si solar cells

    T.Tayagaki, Y.Hoshi, K.Ooi, T.Kiguchi, N.Usami

    Thin Solid Films   557 巻   頁: 368-371   2014年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.tsf.2013.08.042

  227. Control of geometry in Si-based photonic nanostructures formed by maskless wet etching process and its impact on optical properties

    Y.Hoshi, T.Tayagaki, T.Kiguchi, N.Usami

    Thin Solid Films   557 巻   頁: 338-341   2014年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.tsf.2013.10.066

  228. Large-grained (111)-oriented Si/Al/SiO2 structures formed by diffusion-controlled Al-induced layer exchange

    R.Numata, K.Toko, N.Usami, T.Suemasu

    Thin Solid Films   557 巻   頁: 147-150   2014年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.tsf.2013.08.044

  229. Growth promotion of Al-induced crystallized Ge films on insulators by insertion of a Ge membrane below the Al layer

    R.Numata, K.Toko, K.Nakazawa, N.Usami, T.Suemasu

    Thin Solid Films   557 巻   頁: 143-146   2014年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.tsf.2013.08.040

  230. N-type doping of BaSi2 epitaxial films by phosphorus ion implantation and thermal annealing

    K.O.Hara, Y.Hoshi, N.Usami, Y.Shiraki, K.Nakamura, K.Toko, T.Suemasu

    Thin Solid Films   557 巻   頁: 90-93   2014年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.tsf.2013.08.038

  231. Selective formation of large-grained, (100)- or (111)-oriented Si on glass by Al-induced layer exchange

    K.Toko, R.Numata, N.Saitoh, N.Yoshizawa, N.Usami, T.Suemasu

    Journal of Applied Physics   115 巻 ( 9 ) 頁: 094301   2014年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4867218

  232. Formation process of Si3N4 particles on surface of Si ingots grown using silica crucibles with Si3N4 coating by noncontact crucible method

    K.Nakajima, K.Morishita, R.Murai, N.Usami

    Journal of Crystal Growth   389 巻   頁: 112-119   2014年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2013.12.006

  233. Orientation control of Ge thin films by underlayer-selected Al-induced crystallization

    K.Toko, K.Nakazawa, N.Saitoh, N.Yoshizawa, N.Usami, T.Suemasu

    CrystEngComm   16 巻 ( 13 ) 頁: 2578-2583   2014年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1039/c3ce42057d

  234. Structural characterization of polycrystalline Ge thin films on insulators formed by diffusion-enhanced Al-induced layer exchange

    R.Numata, K.Toko, N.Oya, N.Usami and T.Suemasu

    Japanese Journal of Applied Physics   53 巻   頁: 04EH03   2014年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  235. Al-induced crystallization of amorphous Ge thin films on conducting layer coated glass substrates

    K.Nakazawa, K.Toko, N.Usami, T.Suemasu

    Japanese Journal of Applied Physics   53 巻   頁: 04EH01   2014年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  236. Fabrication and characterization of BaSi2 epitaxial films over 1 mu m in thickness on Si(111) 査読有り

    R.Takabe, K.Nakamura, M.Baba, W.Du, M.A.Khan, K.Toko, M.Sasase, K.Hara, N.Usami, T.Suemasu

    Japanese Journal of Applied Physics   53 巻   頁: 04ER04   2014年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  237. Enhanced photocarrier generation in large-scale photonic nanostructures fabricated from vertically aligned quantum dots

    T.Tayagaki, Y.Hoshi, Y.Kishimoto, and N.Usami

    Optics Express   22 巻 ( 52 ) 頁: A225-A232   2014年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1364/oe.22.00a225

  238. Low-temperature (180 degrees C) formation of large-grained Ge (111) thin film on insulator using accelerated metal-induced crystallization

    K.Toko, R.Numata, N.Oya, N.Fukata, N.Usami, T.Suemasu

    Applied Physics Letters   104 巻 ( 2 ) 頁: 022106   2014年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4861890

  239. Grazing-incidence small-angle X-ray scattering from Ge nanodots self-organized on Si(001) examined with soft X-rays

    T.Yamamoto, H.Okuda, K.Takeshita, N.Usami, Y.Kitajima, H.Ogawa

    Journal of Synchrotron Radiation   21 巻   頁: 161-164   2014年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1107/s1600577513026088

  240. Mono-Like Silicon Growth Using Functional Grain Boundaries to Limit Area of Multicrystalline Grains

    K.kutsukake, N.Usami, Y.Ohno,Y.Tokumoto, I.Yonenaga

    Ieee Journal of Photovoltaics   4 巻 ( 1 ) 頁: 84-87   2014年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/jphotov.2013.2281730

  241. Effect of Ge/Al thickness on Al-induced crystallization of amorphous Ge layers on glass substrates

    K.Nakazawa, K.Toko, N.Saitoh, N.Yoshizawa, N.Usami, T.Suemasu

    physica status solidi ©   10 巻   頁: 1781-1784   2013年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  242. Epitaxial growth of BaSi2 films with large grains using vicinal Si(111) substrates

    M.Baba, K.O.Hara, K.Toko, N.Saito, N.Yoshizawa, N.Usami, T.Suemasu

    physica status solidi ©   10 巻   頁: 1756-1768   2013年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  243. Investigation of the tunneling properties and surface morphologies of BaSi2/Si tunnel junctions for BaSi2 solar cell applications

    W.Du, M.Baba, R.Takabe, N.Zhang, K.Toko, N.Usami, T.Suemasu

    physica status solidi ©   10 巻   頁: 1765-1768   2013年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  244. Fabrication of BaSi2 films on (111)-oriented Si layers formed by inverted Al-induced crystallization method on glass structure

    R.Numata, K.Toko, N.Usami, T.Suemasu

    physica status solidi ©   10 巻   頁: 1769-1772   2013年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  245. Fabrication and characterizations of phosphorus-doped n-type BaSi2 epitaxial films grown by molecular beam epitaxy

    R.Takabe, M.Baba, K.Nakamura, W.Du, M.A.Khan, S.Koike, K.Toko, K.O.Hara, N.Usami, T.Suemasu

    physica status solidi ©   10 巻   頁: 1753-1755   2013年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  246. Mechanism of strain relaxation in BaSi2 epitaxial films on Si(111) substrates during post-growth annealing and application for film exfoliation

    K.O.Hara, N.Usami, K.Nakamura, R.Takabe, M.Baba, K.Toko, T.Suemasu

    physica status solidi ©   10 巻   頁: 1677-1680   2013年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  247. Determination of Bulk Minority-Carrier Lifetime in BaSi2 Earth-Abundant-Absorber Films by Utilizing a Drastic Enhancement of Carrier Lifetime by Post-Growth Annealing

    K.O.Hara, N.Usami, K.Nakamura, R.Takabe, M.Baba, K.Toko, T.Suemasu

    Applied Physics Express   6 巻   頁: 112302   2013年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  248. Determination of Bulk Minority-Carrier Lifetime in BaSi2 Earth-Abundant Absorber Films by Utilizing a Drastic Enhancement of Carrier Lifetime by Post-Growth Annealing

    K.O.Hara, N.Usami, K.Nakamura, R.Takabe, M.Baba, K.Toko, T.Suemasu

    Applied Physics Express   6 巻 ( 11 ) 頁: 112302   2013年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/apex.6.112302

  249. Theory of open-circuit voltage and the driving force of charge separation in pn-junction solar cells

    K.O.Hara, N.Usami

    Journal of Applied Physics   114 巻   頁: 153101   2013年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  250. Evaluation of potential variations around grain boundaries in BaSi2 epitaxial films by Kelvin probe force microscopy

    M.Baba, S.Tsurekawa, K.Watanabe, W.Du, K.Toko, K.O.Hara, N.Usami, T.Sekiguchi, T.Suemasu

    Applied Physics Letters   103 巻   頁: 142113   2013年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  251. Investigation of the open-circuit voltage in solar cells doped with quantum dots

    T.Tayagaki, Y.Hoshi, N.Usami

    Scientific Reports   3 巻   頁: 2703   2013年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1038/srep02703

  252. Control of Grain Boundary Propagation in Mono-Like Si: Utilization of Functional Grain Boundaries

    K.Kutsukake, N.Usami, Y.Ohno, Y.Tokumoto, I.Yonenaga

    Applied Physics Express   6 巻   頁: 025505   2013年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  253. Effect of atomic-hydrogen irradiation on reduction of residual carrier concentration in β-FeSi2 films grown on Si substrates by atomic-hydrogen-assisted molecular beam epitaxy

    Y.Funase, M.Suzuno, K.Toko, K.O.Hara, N.Usami, N.Saito, N.Yoshizawa, T.Suemasu

    Journal of Crystal Growth   378 巻   頁: 365-367   2013年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  254. Enhancement of light emission from Ge quantum dots by photonic crystal nanocavities at room-temperature

    XJ.Xu, N.Usami, T.Maruizumi, Y.Shiraki

    JOURNAL OF CRYSTAL GROWTH   378 巻   頁: 636-639   2013年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2012.11.002

  255. On the origin of the uniaxial strain induced in Si/Ge heterostructures with selective ion implantation technique

    K.Sawano, Y.Hoshi, S.Nagakura, K.Arimoto, K.Nakagawa, N.Usami, Y.Shiraki

    JOURNAL OF CRYSTAL GROWTH   378 巻   頁: 251-253   2013年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2012.12.100

  256. Molecular beam epitaxy of boron doped p-type BaSi2 epitaxial films on Si(111) substrates for thin-film solar cells

    M.A.Khan, K.O.Hara, K.Nakamura, W.J.Du, M.Baba, K.Toh, M.Suzuno, K.Toko, N.Usami, T.Suemasu

    JOURNAL OF CRYSTAL GROWTH   378 巻   頁: 201-204   2013年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2012.12.153

  257. Large photoresponsivity in semiconducting BaSi2 epitaxial films grown on Si(001) substrates by molecular beam epitaxy

    S.Koike, K.Toh, M.Baba, K.Toko, K.O.Hara, N.Usami, N.Saito, N.Yoshizawa, T.Suemasu

    JOURNAL OF CRYSTAL GROWTH   378 巻   頁: 198-200   2013年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2012.12.052

  258. Gas-source MBE growth of strain-relaxed Si1-xCx on Si(100) substrates

    K.Arimoto, S.Sakai, H.Furukawa, J.Yamanaka, K.Nakagawa, N.Usami, Y.Hoshi, K.Sawano, Y.Shiraki

    JOURNAL OF CRYSTAL GROWTH   378 巻   頁: 212-217   2013年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2012.12.152

  259. Formation of large-grain-sized BaSi2 epitaxial layers grown on Si(111) by molecular beam epitaxy

    M.Baba, K.Toh, K.Toko, K.O.Hara, N.Usami, N.Saito, N.Yoshizawa, T.Suemasu

    JOURNAL OF CRYSTAL GROWTH   378 巻   頁: 193-197   2013年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2012.12.176

  260. Large-Grained Polycrystalline (111) Ge Films on Insulators by Thickness-Controlled Al-Induced Crystallization

    K. Nakazawa, K. Toko, N. Saitoh, N.Usami and T. Suemasu

    Ecs Journal of Solid State Science and Technology   2 巻 ( 11 ) 頁: Q195-Q199   2013年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/2.007311jss

  261. Control of Dip Shape in Photonic Nanostructures by Maskless Wet-Etching Process and Its Impact on Optical Properties

    Y.Hoshi, WG.Pan, T.Kiguchi, K.Ooi, T.Tayagaki, N.Usami

    JAPANESE JOURNAL OF APPLIED PHYSICS   52 巻 ( 8 ) 頁: UNSP 080202   2013年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.52.080202

  262. Double-Layered Ge Thin Films on Insulators Formed by an Al-Induced Layer-Exchange Process

    K.Toko, K.Nakazawa, N.Saitoh, N.Yoshizawa, N.Usami, T.Suemasu

    Crystal Growth & Design   13 巻 ( 9 ) 頁: 3908-3912   2013年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1021/cg4005533

  263. Temperature dependent Al-induced crystallization of amorphous Ge thin films on SiO2 substrates

    K.Toko, N.Fukata, K.Nakazawa, M.Kurosawa, N.Usami, M.Miyao, T.Suemasu

    JOURNAL OF CRYSTAL GROWTH   372 巻   頁: 189-192   2013年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2013.03.031

  264. Effect of Ga content and growth temperature on Cu(In,Ga)Se2 thin film deposited on heat-resistant glass substrates

    T.Higuchi, N.Usami, T.Minemoto

    Phys.Status Solidi C   10 巻   頁: 1035-1037   2013年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  265. Generation of high photocurrent in three-dimensional silicon quantum dot superlattice fabricated by combining bio-template and neutral beam etching for quantum dot solar cells

    M.Igarashi, WG.Hu, M.M.Rahman, N.Usami, S.Samukawa

    NANOSCALE RESEARCH LETTERS   8 巻   頁: 228   2013年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1186/1556-276X-8-228

  266. Structural study on phosphorus doping of BaSi2 epitaxial films by ion implantation

    K.O.Hara, Y.Hoshi, N.Usami, Y.Shiraki, K.Nakamura, K.Toko, T.Suemasu,

    THIN SOLID FILMS   534 巻   頁: 470-473   2013年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.tsf.2013.02.014

  267. Effects of crystal defects and their interactions with impurities on electrical properties of multicrystalline Si

    S.Joonwichien, S.Matsushima, N.Usami

    JOURNAL OF APPLIED PHYSICS   113 巻 ( 13 ) 頁: 133503   2013年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4798600

  268. Orientation Control of Large-Grained Si Films on Insulators by Thickness-Modulated Al-Induced Crystallization

    R.Numata, K.Toko, N.Saitoh, N.Yoshizawa, N.Usami, T.Suemasu

    CRYSTAL GROWTH & DESIGN   13 巻 ( 4 ) 頁: 1767-1770   2013年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1021/cg4000878

  269. In-situ heavily p-type doping of over 10(20) cm(-3) in semiconducting BaSi2 thin films for solar cells applications

    M.A.Khan, K.O.Hara, W.Du, M.Baba, K.Nakamura, M.Suzuno, K.Toko, N.Usami, T.Suemasu

    APPLIED PHYSICS LETTERS   102 巻 ( 11 ) 頁: 112107   2013年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4796142

  270. Lattice and grain-boundary diffusions of boron atoms in BaSi2 epitaxial films on Si(111)

    K. Nakamura, M. Baba, M. A. Khan, W. Du, M. Sasase, K. O. Hara, N. Usami, K. Toko and T. Suemasu

    Journal of Applied Physics   113 巻 ( 5 )   2013年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4790597

  271. Control of Grain Boundary Propagation in Mono-Like Si: Utilization of Functional Grain Boundaries

    K. Kutsukake, N. Usami, Y. Ohno, Y. Tokumoto and I. Yonenaga

    Applied Physics Express   6 巻 ( 2 )   2013年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/APEX.6.025505

  272. On the growth mechanism of polycrystalline silicon thin film by Al-induced layer exchange process

    N. Usami, M. N. Jung and T. Suemasu

    Journal of Crystal Growth   362 巻   頁: 16-19   2013年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  273. Effects of formation of mini-bands in two-dimensional array of silicon nanodisks with SiC interlayer for quantum dot solar cells

    M. Igarashi, M. F. Budiman, W. G. Pan, W. G. Hu, Y. Tamura, M. E. Syazwan, N. Usami and S. Samukawa

    Nanotechnology   24 巻 ( 1 )   2013年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/0957-4484/24/1/015301

  274. Reflectance anisotropies of compressively strained Si grown on vicinal Si1-xCx(001)

    R. E. Balderas-Navarro, N. A. Ulloa-Castillo, K. Arimoto, G. Ramirez-Melendez, L. F. Lastras-Martinez, H. Furukawa, J. Yamanaka, A. Lastras-Martinez, J. M. Flores-Camacho, N. Usami, D. Stifter and K. Hingerl

    Applied Physics Letters   102 巻 ( 1 )   2013年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4773560

  275. Formation of compressively strained Si/S1-xCx/Si(100) heterostructures using gas-source molecular beam epitaxy

    K. Arimoto, H. Furukawa, J. Yamanaka, C. Yamamoto, K. Nakagawa, N. Usami, K. Sawano and Y. Shiraki

    Journal of Crystal Growth   362 巻   頁: 276-281   2013年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  276. Evaluation of potential variations around grain boundaries in BaSi2 epitaxial films by Kelvin probe force microscopy

    M.Baba, S.Tsurekawa, K.Watanabe, W.Du, K.Toko, K.O.Hara, N.Usami, T.Sekiguchi and T.Suemasu

    Applied Physics Letters   103 巻   頁: 142113   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4824335

  277. Theory of open-circuit voltage and the driving force of charge separation in pn-junction solar cells

    K.O. Hara, N.Usami

    Journal of Applied Physics   114 巻 ( 15 ) 頁: 153101   2013年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4825046

  278. Silicon-Based Light-Emitting Devices Based on Ge Self-Assembled Quantum Dots Embedded in Optical Cavities

    X. J. Xu, S. Narusawa, T. Chiba, T. Tsuboi, J. S. Xia, N. Usami, T. Maruizumi and Y. Shiraki

    Ieee Journal of Selected Topics in Quantum Electronics   18 巻 ( 6 ) 頁: 1830-1838   2012年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  279. Influence of Thermal Annealing on the Carrier Extraction in Ge/Si Quantum Dot Solar Cells

    T. Tayagaki, N. Usami and Y. Kanemitsu

    Japanese Journal of Applied Physics   51 巻 ( 10 )   2012年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.51.10NE24

  280. Dependence of crystal orientation in Al-induced crystallized poly-Si layers on SiO2 insertion layer thickness

    A. Okada, K. Toko, K. O. Hara, N. Usami and T. Suemasu

    Journal of Crystal Growth   356 巻   頁: 65-69   2012年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  281. Large-Grain Polycrystalline Silicon Films Formed through Flash-Lamp-Induced Explosive Crystallization

    K. Ohdaira, K. Sawada, N. Usami, S. Varlamov and H. Matsumura

    Japanese Journal of Applied Physics   51 巻 ( 10 )   2012年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.51.10NB15

  282. Realization of Large-Domain Barium Disilicide Epitaxial Thin Film by Introduction of Miscut to Si(111) Substrate

    K. O. Hara, N. Usami, K. Toh, K. Toko and T. Suemasu

    Japanese Journal of Applied Physics   51 巻 ( 10 )   2012年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.51.10NB06

  283. Growth velocity and grain size of multicrystalline solar cell silicon

    I. Brynjulfsen, K. Fujiwara, N. Usami and L. Amberg

    Journal of Crystal Growth   356 巻   頁: 17-21   2012年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  284. Investigation of the recombination mechanism of excess carriers in undoped BaSi2 films on silicon

    K. O. Hara, N. Usami, K. Toh, M. Baba, K. Toko and T. Suemasu

    Journal of Applied Physics   112 巻 ( 8 )   2012年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4759246

  285. Epitaxy of Orthorhombic BaSi2 with Preferential In-Plane Crystal Orientation on Si(001): Effects of Vicinal Substrate and Annealing Temperature

    K. Toh, K. O. Hara, N. Usami, N. Saito, N. Yoshizawa, K. Toko and T. Suemasu

    Japanese Journal of Applied Physics   51 巻 ( 9 )   2012年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.51.095501

  286. Enhanced carrier extraction from Ge quantum dots in Si solar cells under strong photoexcitation

    T. Tayagaki, N. Usami, W. G. Pan, Y. Hoshi, K. Ooi and Y. Kanemitsu

    Applied Physics Letters   101 巻 ( 13 )   2012年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4756895

  287. Molecular Beam Epitaxy of BaSi2 Films with Grain Size over 4 mu m on Si(111)

    M. Baba, K. Nakamura, W. J. Du, M. A. Khan, S. Koike, K. Toko, N. Usami, N. Saito, N. Yoshizawa and T. Suemasu

    Japanese Journal of Applied Physics   51 巻 ( 9 )   2012年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.51.098003

  288. Highly (111)-oriented Ge thin films on insulators formed by Al-induced crystallization

    K. Toko, M. Kurosawa, N. Saitoh, N. Yoshizawa, N. Usami, M. Miyao and T. Suemasu

    Applied Physics Letters   101 巻 ( 7 )   2012年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4744962

  289. Quantum dot solar cells using 2-dimensional array of 6.4-nm-diameter silicon nanodisks fabricated using bio-templates and neutral beam etching

    M. Igarashi, M. F. Budiman, W. G. Pan, W. G. Hu, N. Usami and S. Samukawa

    Applied Physics Letters   101 巻 ( 6 )   2012年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4745195

  290. Silicon-based current-injected light emitting diodes with Ge self-assembled quantum dots embedded in photonic crystal nanocavities

    X. J. Xu, T. Tsuboi, T. Chiba, N. Usami, T. Maruizumi and Y. Shiraki

    Optics Express   20 巻 ( 13 ) 頁: 14714-14721   2012年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  291. Investigation of grain boundaries in BaSi2 epitaxial films on Si(111) substrates using transmission electron microscopy and electron-beam-induced current technique

    M. Baba, K. Toh, K. Toko, N. Saito, N. Yoshizawa, K. Jiptner, T. Sekiguchi, K. O. Hara, N. Usami and T. Suemasu

    Journal of Crystal Growth   348 巻 ( 1 ) 頁: 75-79   2012年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  292. Simultaneous enhanced photon capture and carrier generation in Si solar cells using Ge quantum dot photonic nanocrystals

    N. Usami, W. G. Pan, T. Tayagaki, S. T. Chu, J. S. Li, T. H. Feng, Y. Hoshi and T. Kiguchi

    Nanotechnology   23 巻 ( 18 )   2012年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/0957-4484/23/18/185401

  293. Room-Temperature Electroluminescence from Ge Quantum Dots Embedded in Photonic Crystal Microcavities

    T. Tsuboi, X. J. Xu, J. S. Xia, N. Usami, T. Maruizumi and Y. Shiraki

    Applied Physics Express   5 巻 ( 5 )   2012年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/APEX.5.052101

  294. Upper limit of two-dimensional hole gas mobility in strained Ge/SiGe heterostructures

    T. Tanaka, Y. Hoshi, K. Sawano, N. Usami, Y. Shiraki and K. M. Itoh

    Applied Physics Letters   100 巻 ( 22 )   2012年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4723690

  295. Molecular beam epitaxy of BaSi2 thin films on Si(001) substrates

    K. Toh, K. O. Hara, N. Usami, N. Saito, N. Yoshizawa, K. Toko and T. Suemasu

    Journal of Crystal Growth   345 巻 ( 1 ) 頁: 16-21   2012年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  296. Growth of multicrystalline Si ingots using noncontact crucible method for reduction of stress

    K. Nakajima, R. Murai, K. Morishita, K. Kutsukake and N. Usami

    Journal of Crystal Growth   344 巻 ( 1 )   2012年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2012.01.051

  297. Effect of Phase Purity on Dislocation Density of Pressurized-Reactor Metalorganic Vapor Phase Epitaxy Grown InN

    T. Iwabuchi, Y. H. Liu, T. Kimura, Y. T. Zhang, K. Prasertsuk, H. Watanabe, N. Usami, R. Katayama and T. Matsuoka

    Japanese Journal of Applied Physics   51 巻 ( 4 )   2012年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.51.04DH02

  298. Improved photoresponsivity of semiconducting BaSi2 epitaxial films grown on a tunnel junction for thin-film solar cells

    W. J. Du, M. Suzuno, M. A. Khan, K. Toh, M. Baba, K. Nakamura, K. Toko, N. Usami and T. Suemasu

    Applied Physics Letters   100 巻 ( 15 )   2012年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.3703585

  299. Effect of Solid-Phase-Epitaxy Si Layers on Suppression of Sb Diffusion from Sb-Doped n(+)-BaSi2/p(+)-Si Tunnel Junction to Undoped BaSi2 Overlayers

    W. J. Du, T. Saito, M. A. Khan, K. Toko, N. Usami and T. Suemasu

    Japanese Journal of Applied Physics   51 巻 ( 4 )   2012年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.51.04DP01

  300. Structural Study of BF2 Ion Implantation and Post Annealing of BaSi2 Epitaxial Films

    K. O. Hara, N. Usami, Y. Hoshi, Y. Shiraki, M. Suzuno, K. Toko and T. Suemasu

    Japanese Journal of Applied Physics   50 巻 ( 12 )   2011年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.50.121202

  301. The effect of the presence of an Al-doped ZnO layer on the preferential crystal orientation of polycrystalline silicon thin films grown by an Al-induced layer exchange method

    M. Jung, A. Okada, T. Saito, T. Suemasu and N. Usami

    Journal of Ceramic Processing Research   12 巻   頁: S187-S192   2011年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  302. Generation mechanism of dislocations and their clusters in multicrystalline silicon during two-dimensional growth

    K. Kutsukake, T. Abe, N. Usami, K. Fujiwara, I. Yonenaga, K. Morishita and K. Nakajima

    Journal of Applied Physics   110 巻 ( 8 )   2011年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.3652891

  303. Formation mechanism of twin boundaries during crystal growth of silicon

    K. Kutsukake, T. Abe, N. Usami, K. Fujiwara, K. Morishita and K. Nakajima

    Scripta Materialia   65 巻 ( 6 ) 頁: 556-559   2011年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  304. Line Width Dependence of Anisotropic Strain State in SiGe Films Induced by Selective Ion Implantation

    Y. Hoshi, K. Sawano, A. Yamada, S. Nagakura, N. Usami, K. Arimoto, K. Nakagawa and Y. Shiraki

    Applied Physics Express   4 巻 ( 9 )   2011年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/APEX.4.095701

  305. Configuration and local elastic interaction of ferroelectric domains and misfit dislocation in PbTiO3/SrTiO3 epitaxial thin films

    T. Kiguchi, K. Aoyagi, Y. Ehara, H. Funakubo, T. Yamada, N. Usami and T. J. Konno

    Science and Technology of Advanced Materials   12 巻 ( 3 )   2011年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1468-6996/12/3/034413

  306. Implementation of faceted dendrite growth on floating cast method to realize high-quality multicrsytalline Si ingot for solar cells

    N. Usami, I. Takahashi, K. Kutsukake, K. Fujiwara and K. Nakajima

    Journal of Applied Physics   109 巻 ( 8 )   2011年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.3576108

  307. Effects of increased compressive strain on hole effective mass and scattering mechanisms in strained Ge channels

    K. Sawano, K. Toyama, R. Masutomi, T. Okamoto, K. Arimoto, K. Nakagawa, N. Usami and Y. Shiraki

    Microelectronic Engineering   88 巻   頁: 465-468   2011年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  308. In situ Observation of Polycrystalline Silicon Thin Films Grown Using Aluminum-Doped Zinc Oxide on Glass Substrate by the Aluminum-Induced Crystallization

    M. Jung, A. Okada, T. Saito, T. Suemasu, C. Y. Chung, Y. Kawazoe and N. Usami

    Japanese Journal of Applied Physics   50 巻 ( 4 )   2011年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.50.04DP02

  309. Impact of type of crystal defects in multicrystalline Si on electrical properties and interaction with impurities

    I. Takahashi, N. Usami, H. Mizuseki, Y. Kawazoe, G. Stokkan and K. Nakajima

    Journal of Applied Physics   109 巻 ( 3 )   2011年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.3544208

  310. Pattern formation mechanism of a periodically faceted interface during crystallization of Si

    M. Tokairin, K. Fujiwara, K. Kutsukake, H. Kodama, N. Usami and K. Nakajima

    Journal of Crystal Growth   312 巻 ( 24 ) 頁: 3670-3674   2010年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  311. A grazing incidence small-angle x-ray scattering analysis on capped Ge nanodots in layer structures

    H. Okuda, M. Kato, K. Kuno, S. Ochiai, N. Usami, K. Nakajima and O. Sakata

    Journal of Physics-Condensed Matter   22 巻 ( 47 )   2010年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/0953-8984/22/47/474003

  312. Impact of amorphous Ge thin layer at the amorphous Si/Al interface on Al-induced crystallization

    H. Suzuki, N. Usami, A. Nomura, T. Shishido, K. Nakajima and T. Suemasu

    Journal of Crystal Growth   312 巻 ( 22 ) 頁: 3257-3260   2010年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  313. Direct bandgap measurements in a three-dimensionally macroporous silicon 9R polytype using monochromated transmission electron microscope

    L. Gu, Y. Yu, W. Sigle, N. Usami, S. Tsukimoto, J. Maier, Y. Ikuhara and P. A. van Aken

    Applied Physics Letters   97 巻 ( 21 )   2010年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.3518703

  314. Room-temperature electroluminescence from Si microdisks with Ge quantum dots

    J. S. Xia, Y. Takeda, N. Usami, T. Maruizumi and Y. Shiraki

    Optics Express   18 巻 ( 13 ) 頁: 13945-13950   2010年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  315. Growth mechanism of the Si < 110 > faceted dendrite

    K. Fujiwara, H. Fukuda, N. Usami, K. Nakajima and S. Uda

    Physical Review B   81 巻 ( 22 )   2010年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1103/PhysRevB.81.224106

  316. Generation mechanism of dislocations during directional solidification of multicrystalline silicon using artificially designed seed

    I. Takahashi, N. Usami, K. Kutsukake, G. Stokkan, K. Morishita and K. Nakajima

    Journal of Crystal Growth   312 巻 ( 7 ) 頁: 897-901   2010年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  317. Ion dose, energy, and species dependencies of strain relaxation of SiGe buffer layers fabricated by ion implantation technique

    Y. Hoshi, K. Sawano, A. Yamada, N. Usami, K. Arimoto, K. Nakagawa and Y. Shiraki

    Journal of Applied Physics   107 巻 ( 10 )   2010年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.3374688

  318. Optical anisotropies of Si grown on step-graded SiGe(110) layers

    R. E. Balderas-Navarro, L. F. Lastras-Martinez, K. Arimoto, R. Castro-Garcia, O. Villalobos-Aguilar, A. Lastras-Martinez, K. Nakagawa, K. Sawano, Y. Shiraki, N. Usami and K. Nakajima

    Applied Physics Letters   96 巻 ( 9 )   2010年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.3339881

  319. Formation of uniaxially strained SiGe by selective ion implantation technique

    K. Sawano, Y. Hoshi, A. Yamada, Y. Hiraoka, N. Usami, K. Arimoto, K. Nakagawa and Y. Shiraki

    Thin Solid Films   518 巻 ( 9 ) 頁: 2454-2457   2010年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  320. Relationship between grain boundary structures in Si multicrystals and generation of dislocations during crystal growth

    N. Usami, R. Yokoyama, I. Takahashi, K. Kutsukake, K. Fujiwara and K. Nakajima

    Journal of Applied Physics   107 巻 ( 1 )   2010年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.3276219

  321. Ion energy and dose dependence of strain relaxation for thin SiGe buffer layers using Si+ implantation

    Y. Hoshi, K. Sawano, A. Yamada, K. Arimoto, N. Usami, K. Nakagawa and Y. Shiraki

    Thin Solid Films   518 巻   頁: S162-S164   2010年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  322. Computational Investigation of Relationship between Shear Stress and Multicrystalline Structure in Silicon

    I. Takahashi, N. Usami, K. Kutsukake, K. Morishita and K. Nakajima

    Japanese Journal of Applied Physics   49 巻 ( 4 )   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.49.04DP01

  323. Fabrication of n(+)-BaSi2/p(+)-Si Tunnel Junction on Si(111) Surface by Molecular Beam Epitaxy for Photovoltaic Applications

    T. Saito, Y. Matsumoto, M. Suzuno, M. Takeishi, R. Sasaki, T. Suemasu and N. Usami

    Applied Physics Express   3 巻 ( 2 )   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/APEX.3.021301

  324. Lattice-Latching Effect in Metalorganic Vapor Phase Epitaxy Growth of InGaAsN Film Lattice-Matched to Bulk InGaAs Substrate

    S. Sanorpim, R. Katayama, K. Onabe, N. Usami and K. Nakajima

    Japanese Journal of Applied Physics   49 巻 ( 4 )   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.49.040202

  325. Epitaxial Growth and Photoresponse Properties of BaSi2 Layers toward Si-Based High-Efficiency Solar Cells

    Y. Matsumoto, D. Tsukada, R. Sasaki, M. Takeishi, T. Saito, T. Suemasu, N. Usami and M. Sasase

    Japanese Journal of Applied Physics   49 巻   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.49.04DP05

  326. On the Controlling Mechanism of Preferential Orientation of Polycrystalline-Silicon Thin Films Grown by Aluminum-Induced Crystallization

    M. Jung, A. Okada, T. Saito, T. Suemasu and N. Usami

    Applied Physics Express   3 巻 ( 9 )   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/APEX.3.095803

  327. Growth behavior of faceted Si crystals at grain boundary formation

    K. Fujiwara, S. Tsumura, M. Tokairin, K. Kutsukake, N. Usami, S. Uda and K. Nakajima

    Journal of Crystal Growth   312 巻 ( 1 ) 頁: 19-23   2009年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  328. Formation mechanism of a faceted interface: In situ observation of the Si(100) crystal-melt interface during crystal growth

    M. Tokairin, K. Fujiwara, K. Kutsukake, N. Usami and K. Nakajima

    Physical Review B   80 巻 ( 17 )   2009年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1103/PhysRevB.80.174108

  329. Growth of Compositionally Graded SiGe Bulk Crystal and Its Application As Substrate with Lateral Variation in Ge Content

    R. Nihei, N. Usami and K. Nakajima

    Japanese Journal of Applied Physics   48 巻 ( 11 )   2009年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.48.115507

  330. Strain relaxation mechanisms in compositionally uniform and step-graded SiGe films grown on Si(110) substrates

    K. Arimoto, M. Watanabe, J. Yamanaka, K. Nakagawa, K. Sawano, Y. Shiraki, N. Usami and K. Nakajima

    Solid-State Electronics   53 巻 ( 10 ) 頁: 1135-1143   2009年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  331. Strain dependence of hole effective mass and scattering mechanism in strained Ge channel structures

    K. Sawano, K. Toyama, R. Masutomi, T. Okamoto, N. Usami, K. Arimoto, K. Nakagawa and Y. Shiraki

    Applied Physics Letters   95 巻   2009年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.3229998

  332. Fabrication of (111)-oriented Si layers on SiO2 substrates by an aluminum-induced crystallization method and subsequent growth of semiconducting BaSi2 layers for photovoltaic application

    D. Tsukada, Y. Matsumoto, R. Sasaki, M. Takeishi, T. Saito, N. Usami and T. Suemasu

    Journal of Crystal Growth   311 巻 ( 14 ) 頁: 3581-3586   2009年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  333. Microstructures of Si multicrystals and their impact on minority carrier diffusion length

    H. Y. Wang, N. Usami, K. Fujiwara, K. Kutsukake and K. Nakajima

    Acta Materialia   57 巻 ( 11 ) 頁: 3268-3276   2009年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  334. Photoresponse Properties of Polycrystalline BaSi2 Films Grown on SiO2 Substrates Using (111)-Oriented Si Layers by an Aluminum-Induced Crystallization Method

    D. Tsukada, Y. Matsumoto, R. Sasaki, M. Takeishi, T. Saito, N. Usami and T. Suemasu

    Applied Physics Express   2 巻 ( 5 )   2009年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/APEX.2.051601

  335. Generation and Wavelength Control of Resonant Luminescence from Silicon Photonic Crystal Microcavities with Ge Dots

    J. Xia, R. Tominaga, S. Fukamitsu, N. Usami and Y. Shiraki

    Japanese Journal of Applied Physics   48 巻 ( 2 )   2009年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.48.022102

  336. Quantitative analysis of subgrain boundaries in Si multicrystals and their impact on electrical properties and solar cell performance

    K. Kutsukake, N. Usami, T. Ohtaniuchi, K. Fujiwara and K. Nakajima

    Journal of Applied Physics   105 巻 ( 4 )   2009年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.3079504

  337. Floating cast method to realize high-quality Si bulk multicrystals for solar cells

    Y. Nose, I. Takahashi, W. Pan, N. Usami, K. Fujiwara and K. Nakajima

    Journal of Crystal Growth   311 巻 ( 2 ) 頁: 228-231   2009年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  338. Resonant photoluminescence from Ge self-assembled dots in optical microcavities

    J. S. Xia, R. Tominaga, N. Usami, S. Iwamoto, Y. Ikegami, K. Nemoto, Y. Arakawa and Y. Shiraki

    JOURNAL OF CRYSTAL GROWTH   311 巻   頁: 883-887   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  339. Local control of strain in SiGe by ion-implantation technique

    K. Sawano, Y. Hoshi, Y. Hiraoka, N. Usami, K. Nakagawa and Y. Shiraki

    JOURNAL OF CRYSTAL GROWTH   311 巻 ( 3 ) 頁: 806-808   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  340. Fabrication of thin strain-relaxed SiGe buffer layers with high Ge composition by ion implantation method

    Y. Hoshi, K. Sawano, Y. Hiraoka, Y. Sato, Y. Ogawa, A. Yamada, N. Usami, K. Nakagawa and Y. Shiraki

    JOURNAL OF CRYSTAL GROWTH   311 巻 ( 3 ) 頁: 825-828   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  341. Strain relaxation mechanisms in step-graded SiGe/Si(110) heterostructures grown by gas-source MBE at high temperatures

    K. Arimoto, M. Watanabe, J. Yamanaka, K. Nakagawa, N. Usami, K. Nakajima, K. Sawano and Y. Shiraki

    J. Cryst. Growth   311 巻   頁: 819-824   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  342. Crystalline morphologies of step-graded SiGe layers grown on exact and vicinal (110) Si substrates

    K. Arimoto, M. Watanabe, J. Yamanaka, K. Nakagawa, K. Sawano, Y. Shiraki, N. Usami and K. Nakajima

    J. Cryst. Growth   311 巻   頁: 809-813   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  343. Structural and transport properties of strained SiGe grown on V-groove patterned Si(110) substrates

    K. Arimoto, G. Kawaguchi, K. Shimizu, M. Watanabe, J. Yamanaka, K. Nakagawa, N. Usami, K. Nakajima, K. Sawano and Y. Shiraki

    J. Cryst. Growth   311 巻   頁: 814-818   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  344. Influence of growth temperature and cooling rate on the growth of Si epitaxial layer by dropping-type liquid phase epitaxy from the pure Si melt

    Z. M. Wang, K. Kutsukake, H. Kodama, N. Usami, K. Fujiwara, Y. Nose and K. Nakajima

    Journal of Crystal Growth   310 巻 ( 24 ) 頁: 5248-5251   2008年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  345. Impact of Defect Density in Si Bulk Multicrystals on Gettering Effect of Impurities

    I. Takahashi, N. Usami, R. Yokoyama, Y. Nose, K. Kutuskake, K. Fuilwara and K. Nakajima

    Japanese Journal of Applied Physics   47 巻 ( 12 ) 頁: 8790-8792   2008年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  346. Introduction of Uniaxial Strain into Si/Ge Heterostructures by Selective Ion Implantation

    K. Sawano, Y. Hoshi, A. Yamada, Y. Hiraoka, N. Usami, K. Arimoto, K. Nakagawa and Y. Shiraki

    Applied Physics Express   1 巻 ( 12 )   2008年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/APEX.1.121401

  347. Room-temperature light-emission from Ge quantum dots in photonic crystals

    J. Xia, K. Nemoto, Y. Ikegami, N. Usami, Y. Nakata and Y. Shiraki

    Thin Solid Films   517 巻 ( 1 ) 頁: 125-127   2008年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  348. Application of SiGe bulk crystal as a substrate for strain-controlled heterostructure materials

    N. Usami, R. Nihei, Y. Azuma, I. Yonenaga, K. Nakajima, K. Sawano and Y. Shiraki

    Thin Solid Films   517 巻 ( 1 ) 頁: 14-16   2008年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  349. Characterizations of polycrystalline SiGe films on SiO2 grown by gas-source molecular beam deposition

    M. Mitsui, M. Tamoto, K. Arimoto, J. Yamanaka, K. Nakagawa, T. Sato, N. Usami, K. Sawano and Y. Shiraki

    Thin Solid Films   517 巻 ( 1 ) 頁: 254-256   2008年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  350. Growth temperature dependence of the crystalline morphology of SiGe films grown on Si(110) substrates with compositionally step-graded buffer

    K. Arimoto, M. Watanabe, J. Yamanaka, K. Nakagawa, K. Sawano, Y. Shiraki, N. Usami and K. Nakajima

    Thin Solid Films   517 巻 ( 1 ) 頁: 235-238   2008年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  351. Vacancy formation during oxidation of silicon crystal surface

    M. Suezawa, Y. Yamamoto, M. Suemitsu, N. Usami and I. Yonenaga

    Applied Physics Letters   93 巻 ( 10 )   2008年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.2979708

  352. Development of thin SiGe relaxed layers with high-Ge composition by ion implantation method and application to strained Ge channels

    Y. Hoshi, K. Sawano, Y. Hiraoka, Y. Satoh, Y. Ogawa, A. Yamada, N. Usami, K. Nakagawa and Y. Shiraki

    Applied Physics Express   1 巻 ( 8 )   2008年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/APEX.1.081401

  353. Growth mechanism of Si-faceted dendrites

    K. Fujiwara, K. Maeda, N. Usami and K. Nakajima

    Physical Review Letters   101 巻 ( 5 )   2008年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1103/PhysRevLett.101.055503

  354. Structural origin of a cluster of bright spots in reverse bias electroluminescence image of solar cells based on Si multicrystals

    N. Usami, K. Kutsukake, K. Fujiwara, I. Yonenaga and K. Nakajima

    Applied Physics Express   1 巻 ( 7 )   2008年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/APEX.1.075001

  355. Acceptorlike behavior of defects in SiGe alloys grown by molecular beam epitaxy

    M. Satoh, K. Arimoto, K. Nakagawa, S. Koh, K. Sawano, Y. Shiraki, N. Usami and K. Nakajima

    Japanese Journal of Applied Physics   47 巻 ( 6 ) 頁: 4630-4633   2008年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  356. In situ observation of Si faceted dendrite growth from low-degree-of-undercooling melts

    K. Fujiwara, K. Maeda, N. Usami, G. Sazaki, Y. Nose, A. Nomura, T. Shishido and K. Nakajima

    Acta Materialia   56 巻 ( 11 ) 頁: 2663-2668   2008年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  357. On effects of gate bias on hole effective mass and mobility in strained-Ge channel structures

    K. Sawano, Y. Kunishi, Y. Satoh, K. Toyama, K. Arimoto, T. Okamoto, N. Usami, K. Nakagawa and Y. Shiraki

    Applied Physics Express   1 巻 ( 1 )   2008年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/APEX.1.011401

  358. Hole density and strain dependencies of hole effective mass in compressively strained Ge channel structures

    K. Sawano, Y. Kunishi, K. Toyama, T. Okamoto, N. Usami, K. Nakagawa and Y. Shiraki

    PHYSICA E-LOW-DIMENSIONAL SYSTEMS & NANOSTRUCTURES   40 巻 ( 6 ) 頁: 2122-2124   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  359. Poly-Si films with long carrier lifetime prepared by rapid thermal annealing of Cat-CVD amorphous silicon thin films

    K. Ohdaira, Y. Abe, M. Fukuda, S. Nishizaki, N. Usami, K. Nakajima, T. Karasawa, T. Torikai and H. Matsumura

    THIN SOLID FILMS   516 巻 ( 5 ) 頁: 600-603   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  360. Functional enhancement of metal-semiconductor-metal infrared photodetectors on heteroepitaxial SiGe-on-Si using the anodic oxidation/passivation method

    R. W. Chuang, Z. L. Liao, H. T. Chiang and N. Usami

    Jpn. J. Appl. Phys.   47 巻   頁: 2927-2931   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  361. Modification of local structures in multicrystals revealed by spatially resolved x-ray rocking curve analysis

    N. Usami, K. Kutsukake, K. Fujiwara and K. Nakajima

    Journal of Applied Physics   102 巻 ( 10 )   2007年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.2816207

  362. High-quality polycrystalline silicon films with minority carrier lifetimes over 5 mu s formed by flash lamp annealing of precursor amorphous silicon films prepared by catalytic chemical vapor deposition

    K. Ohdaira, S. Nishizaki, Y. Endo, T. Fujiwara, N. Usami, K. Nakajima and H. Matsumura

    Japanese Journal of Applied Physics Part 1-Regular Papers Brief Communications & Review Papers   46 巻 ( 11 ) 頁: 7198-7203   2007年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  363. Silicon-based light emitters fabricated by embedding Ge self-assembled quantum dots in microdisks

    J. S. Xia, K. Nemoto, Y. Ikegami, Y. Shiraki and N. Usami

    Applied Physics Letters   91 巻 ( 1 )   2007年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.2754356

  364. SiGe double barrier resonant tunneling diodes on bulk SiGe substrates with high peak-to-valley current ratio

    S. Tsujino, N. Usami, A. Weber, G. Mussler, V. Shushunova, D. Grutzmacher, Y. Azuma and K. Nakajima

    Applied Physics Letters   91 巻   2007年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.2756363

  365. Formation mechanism of parallel twins related to Si-facetted dendrite growth

    K. Fujiwara, K. Maeda, N. Usami, G. Sazaki, Y. Nose and K. Nakajima

    Scripta Materialia   57 巻 ( 2 ) 頁: 81-84   2007年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  366. Application of Czochralski-grown SiGe bulk crystal as a substrate for luminescent strained quantum wells

    N. Usami, R. Nihei, I. Yonenaga, Y. Nose and K. Nakajima

    Applied Physics Letters   90 巻 ( 18 )   2007年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.2735286

  367. Improvement in the conversion efficiency of single-junction SiGe solar cells by intentional introduction of the compositional distribution

    M. Tayanagi, N. Usami, W. Pan, K. Ohdaira, K. Fujiwara, Y. Nose and K. Nakajima

    Journal of Applied Physics   101 巻 ( 5 )   2007年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.2709575

  368. Influence of structural imperfection of Sigma 5 grain boundaries in bulk multicrystalline Si on their electrical activities

    K. Kutsukake, N. Usami, K. Fujiwara, Y. Nose and K. Nakajima

    Journal of Applied Physics   101 巻 ( 6 )   2007年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.2710348

  369. Step-induced anisotropic growth of pentacene thin film crystals on a hydrogen-terminated Si(111) surface

    S. Nishikata, G. Sazaki, T. Takeuchi, N. Usami, S. Suto and K. Nakajima

    Crystal Growth & Design   7 巻 ( 2 ) 頁: 439-444   2007年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  370. Modification of local structure and its influence on electrical activity of near (310) Sigma 5 grain boundary in bulk silicon

    K. Kutsukake, N. Usami, K. Fujiwara, Y. Nose, T. Sugawara, T. Shishido and K. Nakajima

    Materials Transactions   48 巻 ( 2 ) 頁: 143-147   2007年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  371. Effect of the compositional distribution on the photovoltaic power conversion of SiGe solar cells

    N. Usami, W. Pan, K. Fujiwara, M. Tayanagi, K. Ohdaira and K. Nakajima

    Solar Energy Materials and Solar Cells   91 巻   頁: 123-128   2007年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  372. Control of strain status in SiGe thin film by epitaxial growth on Si with buried porous layer

    N. Usami, K. Kutsukake, N. Kazuo, S. Amtablian, A. Fave and M. Lemiti

    Applied Physics Letters   90 巻 ( 3 )   2007年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.2433025

  373. Fabrication of Ge channels with extremely high compressive strain and their magnetotransport properties

    K. Sawano, Y. Kunishi, K. Toyama, T. Okamoto, N. Usami, K. Nakagawa and Y. Shiraki

    JOURNAL OF CRYSTAL GROWTH   301 巻   頁: 339-342   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  374. Growth temperature dependence of lattice structures of SiGe/graded buffer structures grown on Si(110) substrates by gas-source MBE

    K. Arimoto, J. Yamanaka, K. Nakagawa, K. Sawano, Y. Shiraki, N. Usami and K. Nakajima

    J. Cryst. Growth   301 巻   頁: 343-348   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  375. Annihilation of acceptor-hydrogen pairs in Si crystals due to electron irradiation

    M. Suezawa, K. Koilma, A. Kasuya, I. Yonenaga and N. Usami

    Japanese Journal of Applied Physics Part 1-Regular Papers Brief Communications & Review Papers   45 巻 ( 12 ) 頁: 9162-9166   2006年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  376. Strong resonant luminescence from Ge quantum dots in photonic crystal microcavity at room temperature

    J. S. Xia, Y. Ikegami, Y. Shiraki, N. Usami and Y. Nakata

    Applied Physics Letters   89 巻 ( 20 )   2006年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.2386915

  377. Magnetotransport properties of Ge channels with extremely high compressive strain

    K. Sawano, Y. Kunishi, Y. Shiraki, K. Toyama, T. Okamoto, N. Usami and K. Nakagawa

    Applied Physics Letters   89 巻 ( 16 )   2006年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.2354467

  378. Growth of structure-controlled polycrystalline silicon ingots for solar cells by casting

    K. Fujiwara, W. Pan, N. Usami, K. Sawada, M. Tokairin, Y. Nose, A. Nomura, T. Shishido and K. Nakajima

    Acta Materialia   54 巻 ( 12 ) 頁: 3191-3197   2006年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  379. High sensitive imaging of atomic arrangement of Ge clusters buried in a Si crystal by X-ray fluorescence holography

    S. Kusano, S. Nakatani, K. Sumitani, T. Takahashi, Y. Yoda, N. Usami and Y. Shiraki

    Japanese Journal of Applied Physics Part 1-Regular Papers Brief Communications & Review Papers   45 巻 ( 6A ) 頁: 5248-5253   2006年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  380. Suppression of structural imperfection in strained Si by utilizing SiGe bulk substrate

    N. Usami, Y. Nose, K. Fujiwara and K. Nakajima

    Applied Physics Letters   88 巻   2006年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.2735286

  381. Realization of bulk multicrystalline silicon with controlled grain boundaries by utilizing spontaneous modification of grain boundary configuration

    N. Usami, K. Kutsukake, T. Sugawara, K. Fujwara, W. Pan, Y. Nose, T. Shishido and K. Nakajima

    Japanese Journal of Applied Physics Part 1-Regular Papers Brief Communications & Review Papers   45 巻 ( 3A ) 頁: 1734-1737   2006年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  382. High-efficiency concave and conventional solar cell integration system using focused reflected light

    K. Ohdaira, K. Fujiwara, W. Pan, N. Usami and K. Nakajiima

    Japanese Journal of Applied Physics Part 1-Regular Papers Brief Communications & Review Papers   45 巻 ( 3A ) 頁: 1664-1667   2006年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  383. Intermixing of Ge and Si during exposure of GeH4 on Si

    G. Watari, N. Usami, Y. Nose, K. Fujiwara, G. Sazaki and K. Nakajima

    THIN SOLID FILMS   508 巻   頁: 163-165   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  384. Strain field and related roughness formation in SiGe relaxed buffer layers

    K. Sawano, N. Usami, K. Arimoto, K. Nakagawa and Y. Shiraki

    THIN SOLID FILMS   508 巻 ( 1-2 ) 頁: 117-119   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  385. Influence of stacked Ge islands on the dark current-voltage characteristics and the conversion efficiency of the solar cells

    A. Alguno, N. Usami, K. Ohdaira, W. G. Pan, M. Tayanagi and K. Nakajima

    Thin Solid Films   508 巻   頁: 402-405   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  386. Determination of lattice parameters of SiGe/Si(110) heterostructures

    K. Arimoto, J. Yamanaka, K. Nakagawa, K. Sawano, Y. Shiraki, S. Koh and N. Usami

    Thin Solid Films   508 巻   頁: 132-135   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  387. Directional growth method to obtain high quality polycrystalline silicon from its melt

    K. Fujiwara, W. Pan, K. Sawada, M. Tokairin, N. Usami, Y. Nose, A. Nomura, T. Shishido and K. Nakajima

    J. Cryst. Growth   292 巻   頁: 282-285   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  388. Thickness dependence of strain field distribution in SiGe relaxed buffer layers

    K. Sawano, N. Usami, K. Arimoto, K. Nakagawa and Y. Shiraki

    Japanese Journal of Applied Physics Part 1-Regular Papers Brief Communications & Review Papers   44 巻   頁: 8445-8447   2005年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  389. Analysis of the dark-current density in solar cells based on multicrystalline SiGe

    K. Ohdaira, N. Usami, W. G. Pan, K. Fujiwara and K. Nakajima

    Japanese Journal of Applied Physics Part 1-Regular Papers Brief Communications & Review Papers   44 巻 ( 11 ) 頁: 8019-8022   2005年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  390. Floating zone growth of Si-rich SiGe bulk crystal using pre-synthesized SiGe feed rod with uniform composition

    N. Usami, M. Kitamura, K. Obara, Y. Nose, T. Shishido and K. Nakajima

    Journal of Crystal Growth   284 巻   頁: 57-64   2005年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  391. Influence of growth temperature on minority-carrier lifetime of Si layer grown by liquid phase epitaxy using Ga solvent

    Y. Satoh, N. Usami, W. Pan, K. Fujiwara, K. Nakajima and T. Ujihara

    Journal of Applied Physics   98 巻 ( 7 )   2005年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.2061891

  392. Changes in elastic deformation of strained si by microfabrication (vol 8, pg 181, 2005)

    K. Arimoto, D. Furukawa, J. Yamanaka, K. Nakagawa, K. Sawano, S. Koh, Y. Shiraki and N. Usami

    Materials Science in Semiconductor Processing   8 巻 ( 6 ) 頁: 652-652   2005年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  393. Liquid phase epitaxial growth of Si layers on Si thin substrates from Si pure melts under near-equilibrium conditions

    K. Nakajima, K. Fujiwara, Y. Nose and N. Usami

    Japanese Journal of Applied Physics Part 1-Regular Papers Brief Communications & Review Papers   44 巻 ( 7A ) 頁: 5092-5095   2005年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  394. Growth of multicrystalline Si with controlled grain boundary configuration by the floating zone technique

    M. Kitamura, N. Usami, T. Sugawara, K. Kutsukake, K. Fujiwara, Y. Nose, T. Shishido and K. Nakajima

    Journal of Crystal Growth   280 巻   頁: 419-424   2005年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  395. Crystal quality of a 6H-SiC layer grown over macrodefects by liquid-phase epitaxy: a Raman spectroscopic study

    T. Ujihara, S. Munetoh, K. Kusunoki, K. Kamei, N. Usami, K. Fujiwara, G. Sazaki and K. Nakajima

    Thin Solid Films   476 巻 ( 1 ) 頁: 206-209   2005年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  396. A simple approach to determine preferential growth orientation using multiple seed crystals with random orientations and its utilization for seed optimization to restrain polycrystallization of SiGe bulk crystal

    Y. Azuma, N. Usami, K. Fujiwara, T. Ujihara and K. Nakajima

    Journal of Crystal Growth   276 巻   頁: 393-400   2005年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  397. Structural properties of directionally grown polycrystalline SiGe for solar cells

    K. Fujiwara, W. Pan, N. Usami, K. Sawada, A. Nomura, T. Ujihara, T. Shishido and K. Nakajima

    Journal of Crystal Growth   275 巻   頁: 467-473   2005年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  398. On the origin of improved conversion efficiency of solar cells based on SiGe with compositional distribution

    N. Usami, K. Fujiwara, W. G. Pan and K. Nakajima

    Japanese Journal of Applied Physics Part 1-Regular Papers Brief Communications & Review Papers   44 巻 ( 2 ) 頁: 857-860   2005年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  399. Growth of ZnO/MgZnO quantum wells on sapphire substrates and observation of the two-dimensional confinement effect

    B. P. Zhang, N. T. Binh, K. Wakatsuki, C. Y. Liu and Y. Segawa and N. Usami

    Applied Physics Letters   86 巻 ( 3 )   2005年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.1850594

  400. Effects of vicinal steps on the island growth and orientation of epitaxially grown perylene-3,4,9,10-tetracarboxylic dianhydride (PTCDA) thin film crystals on a hydrogen-terminated Si(111) substrate

    G. Sazaki, T. Fujino, N. Usami, T. Ujihara, K. Fujiwara and K. Nakajima

    Journal of Crystal Growth   273 巻   頁: 594-602   2005年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  401. Floating zone growth of Si bicrystals using seed crystals with artificially designed grain boundary configuration

    N. Usami, M. Kitamura, T. Sugawara, K. Kutsukake, K. Ohdaira, Y. Nose, K. Fujiwara, T. Shishido and K. Nakajima

    Japanese Journal of Applied Physics Part 2-Letters & Express Letters   44 巻 ( 24-27 ) 頁: L778-L780   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  402. Observation of strain field fluctuation in SiGe-relaxed buffer layers and its influence on overgrown structures

    K. Sawano, N. Usami, K. Arimoto, S. Koh, K. Nakagawa and Y. Shiraki

    MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING   8 巻 ( 1-3 ) 頁: 177-180   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  403. Strain-field evaluation of strain-relaxed thin SiGe layers fabricated by ion implantation method

    K. Sawano, Y. Ozawa, A. Fukuoto, N. Usami, J. Yamanaka, K. Suzuki, K. Arimoto, K. Nakagawa and Y. Shiraki

    Japanese Journal of Applied Physics Part 2-Letters & Express Letters   44 巻 ( 42-45 ) 頁: L1316-L1319   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  404. Growth of InGaAs and SiGe homogeneous bulk crystals which have complete miscibility in the phase diagrams

    K. Nakajima, Y. Azuma, N. Usami, G. Sazaki, T. Ujihara, K. Fujiwara, T. Shishido, Y. Nishijima and T. Kusunoki

    International Journal of Materials & Product Technology   22 巻   頁: 185-212   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  405. Changes in elastic deformation of strained Si by microfabrication

    K. Arimoto, D. Furukawa, J. Yamanaka, K. Nakagawa, K. Sawano, S. Koh, Y. Shiraki and N. Usami

    Materials Science in Semiconductor Processing   8 巻   頁: 181-185   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  406. Low-temperature growth of single-crystalline ZnO tubes on sapphire(0001) substrates

    B. P. Zhang, N. T. Binh, K. Wakatsuki, N. Usami and Y. Segawa

    Applied Physics a-Materials Science & Processing   79 巻 ( 7 ) 頁: 1711-1714   2004年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  407. Fabrication of high-quality strain-relaxed thin SiGe layers on ion-implanted Si substrates

    K. Sawano, S. Koh, Y. Shiraki, Y. Ozawa, T. Hattori, J. Yamanaka, K. Suzuki, K. Arimoto, K. Nakagawa and N. Usami

    Applied Physics Letters   85 巻 ( 13 ) 頁: 2514-2516   2004年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  408. On the origin of strain fluctuation in strained-Si grown on SiGe-on-insulator and SiGe virtual substrates

    K. Kutsukake, N. Usami, T. Ujihara, K. Fujiwara, G. Sazaki and K. Nakajima

    Applied Physics Letters   85 巻 ( 8 ) 頁: 1335-1337   2004年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  409. Low-temperature growth of ZnO nanostructure networks

    B. P. Zhang, K. Wakatsuki, N. T. Binh, Y. Segawa and N. Usami

    Journal of Applied Physics   96 巻 ( 1 ) 頁: 340-343   2004年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  410. Pressure-dependent ZnO nanocrsytal growth in a chemical vapor deposition process

    B. P. Zhang, N. T. Binh, K. Wakatsuki, Y. Segawa, Y. Yamada, N. Usami, M. Kawasaki and H. Koinuma

    Journal of Physical Chemistry B   108 巻   頁: 10899-10902   2004年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  411. Ge composition dependence of properties of solar cells based on multicrystalline SiGe with microscopic compositional distribution

    W. G. Pan, K. Fujiwara, N. Usami, T. Ujihara, K. Nakajima and R. Shimokawa

    Journal of Applied Physics   96 巻 ( 2 ) 頁: 1238-1241   2004年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  412. Successful growth of InxGa1-xAs (x > 0.18) single bulk crystal directly on GaAs seed crystal with preferential orientation

    Y. Azuma, Y. Nishijima, K. Nakajima, N. Usami, K. Fujiwara and T. Ujihara

    Japanese Journal of Applied Physics Part 2-Letters & Express Letters   43 巻 ( 7A ) 頁: L907-L909   2004年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  413. Structural and optical properties of ZnO epitaxial films grown on Al2O3 (1120) substrates by metalorganic chemical vapor deposition

    N. T. Binh, B. P. Zhang, C. Y. Liu, K. Wakatsuki, Y. Segawa, N. Usami, Y. Yamada, M. Kawasaki and H. Koinuma

    Japanese Journal of Applied Physics Part 1-Regular Papers Short Notes & Review Papers   43 巻 ( 7A ) 頁: 4110-4113   2004年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  414. Effects of growth temperature on the surface morphology of silicon thin films on (111) silicon monocrystalline substrate by liquid phase epitaxy

    T. Ujihara, E. Kanda, K. Obara, K. Fujiwara, N. Usami, G. Sazaki, A. Alguno, T. Shishido and K. Nakajima

    Journal of Crystal Growth   266 巻 ( 4 ) 頁: 467-474   2004年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  415. Grain growth behaviors of polycrystalline silicon during melt growth processes

    K. Fujiwara, Y. Obinata, T. Ujihara, N. Usami, G. Sazaki and K. Nakajima

    Journal of Crystal Growth   266 巻 ( 4 ) 頁: 441-448   2004年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  416. Formation of highly aligned ZnO tubes on sapphire (0001) substrates

    B. P. Zhang, N. T. Binh, K. Wakatsuki, Y. Segawa, Y. Yamada, N. Usami, M. Kawasaki and H. Koinuma

    Applied Physics Letters   84 巻 ( 20 ) 頁: 4098-4100   2004年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  417. Effects of growth temperature on the characteristics of ZnO epitaxial films deposited by metalorganic chemical vapor deposition

    B. P. Zhang, K. Wakatsuki, N. T. Binh, N. Usami and Y. Segawa

    Thin Solid Films   449 巻   頁: 12-19   2004年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  418. Relationship between device performance and grain boundary structural configuration in a solar cell based on multicrystalline SiGe

    N. Usami, W. G. Pan, K. Fujiwara, T. Ujihara, G. Sazaki and K. Nakajima

    Japanese Journal of Applied Physics Part 2-Letters   43 巻 ( 2B ) 頁: L250-L252   2004年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  419. In situ observation of elementary growth steps on the surface of protein crystals by laser confocal microscopy

    G. Sazaki, T. Matsui, K. Tsukamoto, N. Usami, T. Ujihara, K. Fujiwara and K. Nakajima

    Journal of Crystal Growth   262 巻   頁: 536-542   2004年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  420. Epitaxial relation and island growth of perylene-3.4.9.10-tetracarboxylic dianhydride (PTCDA) thin film crystals on a hydrogen-terminated Si(111) substrate

    G. Sazaki, T. Fujino, J. T. Sadowski, N. Usami, T. Ujihara, K. Fujiwara, Y. Takahashi, E. Matsubara, T. Sakurai and K. Nakajima

    Journal of Crystal Growth   262 巻   頁: 196-201   2004年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  421. In-situ observations of melt growth behavior of polycrystalline silicon

    K. Fujiwara, Y. Obinata, T. Ujhara, N. Usami, G. Sazaki and K. Nakajima

    Journal of Crystal Growth   262 巻   頁: 124-129   2004年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  422. Low-temperature growth of ZnO epitaxial films by metal organic chemical vapor deposition

    B. P. Zhang, N. T. Binh, K. Wakatsuki, N. Usami and Y. Segawa

    Applied Physics a-Materials Science & Processing   78 巻 ( 1 ) 頁: 25-28   2004年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  423. Phase diagram of growth mode for the SiGe/Si heterostructure system with misfit dislocations

    K. Nakajima, T. Ujihara, N. Usami, K. Fujiwara, G. Sazaki and T. Shishido

    Journal of Crystal Growth   260 巻   頁: 372-383   2004年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  424. Fabrication of solar cell with stacked Ge islands for enhanced absorption in the infrared regime

    N. Usami, A. Alguno, K. Sawano, T. Ujihara, K. Fujiwara, G. Sazaki, Y. Shiraki and K. Nakajima

    THIN SOLID FILMS   451 巻   頁: 604-607   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  425. Crystal quality evaluation of 6H-SiC layers grown by liquid phase epitaxy around micropipes using micro-Raman scattering spectroscopy

    T. Ujihara, S. Munetoh, K. Kusunoki, K. Kamei, N. Usami, K. Fujiwara, G. Sazaki and K. Nakajima

    MATERIALS SCIENCE FORUM   457-460 巻   頁: 633-636   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  426. Molten metal flux growth and properties of CrSi2

    T. Shishido, S. Okada, Y. Ishizawa, K. Kudou, K. Iizumi, Y. Sawada, H. Horiuchi, K. Inaba, T. Sekiguchi, J. Ye, S. Miyashita, A. Nomura, T. Sugawara, K. Obara, M. Oku, K. Fujiwara, T. Ujihara, G. Sazaki, N. Usami, S. Kohiki, Y. Kawazoe and K. Nakajima

    JOURNAL OF ALLOYS AND COMPOUNDS   383 巻   頁: 319-321   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  427. Fabrication of SiGe-on-insulator by rapid thermal annealing of Ge on Si-on-insulator substrate

    K. Kutsukake, N. Usami, K. Fujiwara, T. Ujihara, G. Sazaki, K. Nakajima, B. P. Zhang and Y. Segawa

    Appl. Surf. Sci.   224 巻 ( 1-4 ) 頁: 95-98   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  428. Effects of spacer thickness on quantum efficiency of the solar cells with embedded Ge islands in the intrinsic layer

    A. Alguno, N. Usami, T. Ujihara, K. Fujiwara, G. Sazaki, K. Nakajima, K. Sawano and Y. Shiraki

    Applied Physics Letters   84 巻 ( 15 ) 頁: 2802-2804   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  429. High-temperature solution growth and characterization of chromium disilicide

    T. Shishido, S. Okada, Y. Ishizawa, K. Kudou, K. Iizumi, Y. Sawada, H. Horiuchi, K. Inaba, T. Sekiguchi, J. H. Ye, S. Miyashita, A. Nomura, T. Sugawara, K. Obara, Y. Murakami, K. Fujiwara, T. Ujihara, G. Sazaki, N. Usami, M. Oku, Y. Yokoyama, S. Kohiki, Y. Kawazoe and K. Nakajima

    Japanese Journal of Applied Physics Part 1-Regular Papers Short Notes & Review Papers   42 巻 ( 12 ) 頁: 7292-7293   2003年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  430. In-plane strain fluctuation in strained-Si/SiGe heterostructures

    K. Sawano, S. Koh, Y. Shiraki, N. Usami and K. Nakagawa

    Applied Physics Letters   83 巻 ( 21 ) 頁: 4339-4341   2003年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  431. Optical properties of ZnO rods formed by metalorganic chemical vapor deposition

    B. P. Zhang, N. T. Binh, Y. Segawa, K. Wakatsuki and N. Usami

    Applied Physics Letters   83 巻 ( 8 ) 頁: 1635-1637   2003年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  432. Enhanced quantum efficiency of solar cells with self-assembled Ge dots stacked in multilayer structure

    A. Alguno, N. Usami, T. Ujihara, K. Fujiwara, G. Sazaki, K. Nakajima and Y. Shiraki

    Applied Physics Letters   83 巻 ( 6 ) 頁: 1258-1260   2003年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  433. Influence of the elastic strain on the band structure of ellipsoidal SiGe coherently embedded in the Si matrix

    N. Usami, T. Ichitsubo, T. Ujihara, T. Takahashi, K. Fujiwara, G. Sazaki and K. Nakajima

    Journal of Applied Physics   94 巻 ( 2 ) 頁: 916-920   2003年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  434. Effects of high pressure on the growth kinetics of orthorhombic lysozyme crystals

    Y. Nagatoshi, G. Sazaki, Y. Suzuki, S. Miyashita, T. Matsui, T. Ujihara, K. Fujiwara, N. Usami and K. Nakajima

    Journal of Crystal Growth   254 巻   頁: 188-195   2003年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  435. Growth of SiGe bulk crystals with uniform composition by utilizing feedback control system of the crystal-melt interface position for precise control of the growth temperature

    Y. Azuma, N. Usami, T. Ujihara, K. Fujiwara, G. Sazaki, Y. Murakami and K. Nakajima

    Journal of Crystal Growth   250 巻   頁: 298-304   2003年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  436. In-plane orientation and polarity of ZnO epitaxial films on As-polished sapphire (alpha-Al2O3) (0001) substrates grown by metal organic chemical vapor deposition

    B. P. Zhang, L. Manh, K. Wakatsuki, K. Tamura, T. Ohnishi, M. Lippma, N. Usami, M. Kawasaki, H. Koinuma and Y. Segawa

    Japanese Journal of Applied Physics Part 2-Letters   42 巻 ( 3B ) 頁: L264-L266   2003年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  437. High-quality crystalline silicon layer grown by liquid phase epitaxy method at low growth temperature

    T. Ujihara, K. Obara, N. Usami, K. Fujiwara, G. Sazaki, T. Shishido and K. Nakajima

    Japanese Journal of Applied Physics Part 2-Letters   42 巻 ( 3A ) 頁: L217-L219   2003年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  438. Fabrication of SiGe-on-insulator through thermal diffusion of Ge on Si-on-insulator substrate

    K. Kutsukake, N. Usami, K. Fujiwara, T. Ujihara, G. Sazaki, B. P. Zhang, Y. Segawa and K. Nakajima

    Japanese Journal of Applied Physics Part 2-Letters   42 巻 ( 3A ) 頁: L232-L234   2003年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  439. Epitaxial growth and polarity of ZnO films on sapphire (0001) substrates by low-pressure metal organic chemical vapor deposition

    B. P. Zhang, L. H. Manh, K. Wakatsuki, T. Ohnishi, M. Lippmaa, N. Usami, M. Kawasaki and Y. Segawa

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS   42 巻   頁: 2291-2295   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  440. Planarization of SiGe virtual substrates by CMP and its application to strained Si modulation-doped structures

    K. Sawano, K. Arimoto, Y. Hirose, S. Koh, N. Usami, K. Nakagawa, T. Hattori and Y. Shiraki

    JOURNAL OF CRYSTAL GROWTH   251 巻 ( 1-4 ) 頁: 693-696   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  441. 3D atomic imaging of SiGe system by X-ray fluorescence holography

    K. Hayashi, Y. Takahashi, E. Matsubara, K. Nakajima and N. Usami

    J. Materials Science: Materials in Electronics   14 巻   頁: 459-462   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  442. Strain distribution of Si thin film grown on multicrystalline-SiGe with microscopic compositional distribution

    N. Usami, T. Takahashi, K. Fujiwara, T. Ujihara, G. Sazaki, Y. Murakami and K. Nakajima

    Journal of Applied Physics   92 巻 ( 12 ) 頁: 7098-7101   2002年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  443. In situ observations of crystal growth behavior of silicon melt

    K. Fujiwara, K. Nakajima, T. Ujihara, N. Usami, G. Sazaki, H. Hasegawa and S. Mizoguchi

    Journal of Crystal Growth   243 巻 ( 2 ) 頁: 275-282   2002年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  444. Evidence of the presence of built-in strain in multicrystalline SiGe with large compositional distribution

    N. Usami, T. Takahashi, K. Fujiwara, T. Ujihara, G. Sazaki, Y. Murakami and K. Nakajima

    Japanese Journal of Applied Physics Part 1-Regular Papers Short Notes & Review Papers   41 巻 ( 7A ) 頁: 4462-4465   2002年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  445. Simultaneous in situ measurement of solute and temperature distributions in the alloy solutions

    T. Ujihara, K. Fujiwara, G. Sazaki, N. Usami and K. Nakajima

    Journal of Crystal Growth   242 巻   頁: 313-320   2002年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  446. Preparation of a TiO2 film coated Si device for photo-decomposition of water by CVD method using Ti(OPri)(4)

    N. Sato, K. Nakajima, N. Usami, H. Takahashi, A. Muramatsu and E. Matsubara

    Materials Transactions   43 巻 ( 7 ) 頁: 1533-1536   2002年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  447. Growth and properties of SiGe multicrystals with microscopic compositional distribution for high-efficiency solar cells

    K. Nakajima, N. Usami, K. Fujiwara, Y. Murakami, T. Ujihara, G. Sazaki and T. Shishido

    Solar Energy Materials and Solar Cells   73 巻 ( 3 ) 頁: 305-320   2002年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  448. New method for measurement of interdiffusion coefficient in high temperature solutions based on Fick's first law

    T. Ujihara, K. Fujiwara, G. Sazaki, N. Usami and K. Nakajima

    Journal of Crystal Growth   241 巻 ( 3 ) 頁: 387-394   2002年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  449. Compositional variation in Si-rich SiGe single crystals grown by multi-component zone melting method using Si seed and source crystals

    K. Nakajima, T. Kusunoki, Y. Azuma, N. Usami, K. Fujiwara, T. Ujihara, G. Sazaki and T. Shishido

    Journal of Crystal Growth   240 巻   頁: 373-381   2002年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  450. Melt growth of multicrystalline SiGe with large compositional distribution for new solar cell applications

    K. Nakajima, N. Usami, K. Fujiwara, Y. Murakami, T. Ujihara, G. Sazaki and T. Shishido

    Solar Energy Materials and Solar Cells   72 巻   頁: 93-100   2002年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  451. In-situ monitoring system of the position and temperature at the crystal-solution interface

    G. Sazaki, Y. Azuma, S. Miyashita, N. Usami, T. Ujihara, K. Fujiwara, Y. Murakami and K. Nakajima

    Journal of Crystal Growth   236 巻   頁: 125-131   2002年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  452. Control of macroscopic absorption coefficient of multicrystalline SiGe by microscopic compositional distribution

    N. Usami, K. Fujiwara, T. Ujihara, G. Sazaki, H. Yaguchi, Y. Murakami and K. Nakajima

    Japanese Journal of Applied Physics Part 2-Letters   41 巻 ( 1AB ) 頁: L37-L39   2002年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  453. In situ observation of the Marangoni convection in a NaCl aqueous solutions under microgravity

    G. Sazaki, S. Miyashita, M. Nokura, T. Ujihara, K. Fujiwara, N. Usami and K. Nakajima

    Journal of Crystal Growth   234 巻   頁: 516-522   2002年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  454. Raman scattering and x-ray absorption studies of Ge-Si nanocrystallization

    A. Kolobov, H. Oyanagi, N. Usami, S. Tokumitsu, T. Hattori, S. Yamasaki, K. Tanaka, S. Ohtake and Y. Shiraki

    Applied Physics Letters   80 巻 ( 3 ) 頁: 488-490   2002年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  455. Effect of Si diffusion on growth, parameters and photoluminescence of GeSi/Si(001) self-assembled islands

    M. Y. Valakh, N. V. Vostokov, S. A. Gusev, Y. N. Drozdov, Z. F. Krasil'nik, D. N. Lobanov, L. D. Moldavskaya, A. V. Novikov, V. V. Postnikov, M. V. Stepikhova, N. Usami, Y. Shiraki and V. A. Yukhymchuk

    IZVESTIYA AKADEMII NAUK SERIYA FIZICHESKAYA   66 巻   頁: 161-164   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  456. Fabrication of SiGe bulk crystals with uniform composition as substrates for Si-based heterostructures

    N. Usami, Y. Azuma, T. Ujihara, G. Sazaki, K. Fujiwara, Y. Murakami and K. Nakajima

    MATERIALS SCIENCE AND ENGINEERING B-SOLID STATE MATERIALS FOR ADVANCED TECHNOLOGY   89 巻   頁: 364-367   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  457. Evaluation of the diffusion coefficients in liquid GaGe binary alloys using a novel method based on Fick's first law

    T. Ujihara, K. Fujiwara, G. Sazaki, N. Usami and K. Nakajima

    JOURNAL OF NON-CRYSTALLINE SOLIDS   312 巻   頁: 196-202   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  458. Strain-driven alloying: effect on sizes, shape and photoluminescence of GeSi/Si(001) self-assembled islands

    A. V. Novikov, B. A. Andreev, N. V. Vostokov, Y. N. Drozdov, Z. F. Krasilnik, D. N. Lobanov, L. D. Moldavskaya, A. N. Yablonskiy, M. Miura, N. Usami, Y. Shiraki, M. Y. Valakh, N. Mestres and J. Pascual

    MATERIALS SCIENCE AND ENGINEERING B-SOLID STATE MATERIALS FOR ADVANCED TECHNOLOGY   89 巻   頁: 62-65   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  459. Molecular beam epitaxy of GaAs on nearly lattice-matched SiGe substrates grown by the multicomponent zone-melting method

    N. Usami, Y. Azuma, T. Ujihara, G. Sazaki, K. Nakajima, Y. Yakabe, T. Kondo, K. Kawaguchi, S. Koh, Y. Shiraki, B. P. Zhang, Y. Segawa and S. Kodama

    Semiconductor Science and Technology   16 巻 ( 8 ) 頁: 699-703   2001年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  460. Physical model for the evaluation of solid-liquid interfacial tension in silicon

    T. Ujihara, G. Sazaki, K. Fujiwara, N. Usami and K. Nakajima

    Journal of Applied Physics   90 巻 ( 2 ) 頁: 750-755   2001年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  461. Fabrication of strain-balanced Si/Si1-xGex multiple quantum wells on Si1-yGey virtual substrates and their optical properties

    K. Kawaguchi, Y. Shiraki, N. Usami, J. Zhang, N. J. Woods, G. Breton and G. Parry

    Applied Physics Letters   79 巻 ( 3 ) 頁: 344-346   2001年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  462. Growth of SixGe1-x (x=0.15) bulk crystal with uniform composition utilizing in situ monitoring of the crystal-solution interface

    N. Usami, Y. Azuma, T. Ujihara, G. Sazaki, S. Miyashita, Y. Murakami and K. Nakajima

    Japanese Journal of Applied Physics Part 1-Regular Papers Short Notes & Review Papers   40 巻 ( 6A ) 頁: 4141-4144   2001年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  463. Growth of SiGe bulk crystal with uniform composition by directly controlling the growth temperature at the crystal-melt inter-face using in situ monitoring system

    Y. Azuma, N. Usami, T. Ujihara, G. Sazaki, Y. Murakami, S. Miyashita, K. Fujiwara and K. Nakajima

    Journal of Crystal Growth   224 巻   頁: 204-211   2001年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  464. The relation between composition and sizes of GeSi/Si(001) islands grown at different temperatures

    N. V. Vostokov, S. A. Gusev, Y. N. Drozdov, Z. F. Krasilnik, D. N. Lobanov, N. Mesters, M. Miura, L. D. Moldavskaya, A. V. Novikov, J. Pascual, V. V. Postnikov, Y. Shiraki, V. A. Uakhimchuk, N. Usami and M. Y. Valakh

    PHYSICS OF LOW-DIMENSIONAL STRUCTURES   41337 巻   頁: 295-301   2001年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  465. Modification of the growth mode of Ge on Si(100) in the presence of buried Ge islands

    N. Usami, M. Miura, Y. Ito, Y. Araki, K. Nakajima and Y. Shiraki

    JOURNAL OF CRYSTAL GROWTH   227 巻   頁: 782-785   2001年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  466. Observation of negatively charged excitons and excited states of multi-excitons in quantum dots embedded in modulation doping structures

    K. Ohdaira, N. Usami, K. Ota and Y. Shiraki

    PHYSICA E   11 巻 ( 2-3 ) 頁: 68-71   2001年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  467. Phase diagram calculation for epitaxial growth of GaInAs on InP considering the surface, interfacial and strain energies

    K. Nakajima, T. Ujihara, G. Sazaki and N. Usami

    Journal of Crystal Growth   220 巻 ( 4 ) 頁: 413-424   2000年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  468. SiGe bulk crystal as a lattice-matched substrate to GaAs for solar cell applications

    N. Usami, Y. Azuma, T. Ujihara, G. Sazaki, K. Nakajima, Y. Yakabe, T. Kondo, S. Koh, Y. Shiraki, B. Zhang, Y. Segawa and S. Kodama

    Applied Physics Letters   77 巻 ( 22 ) 頁: 3565-3567   2000年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  469. In situ measurement of composition in high-temperature solutions by X-ray fluorescence spectrometry

    T. Ujihara, G. Sazaki, S. Miyashita, N. Usami and K. Nakajima

    Japanese Journal of Applied Physics Part 1-Regular Papers Short Notes & Review Papers   39 巻 ( 10 ) 頁: 5981-5982   2000年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  470. Drastic increase of the density of Ge islands by capping with a thin Si layer

    N. Usami, M. Miura, Y. Ito, Y. Araki and Y. Shiraki

    Applied Physics Letters   77 巻 ( 2 ) 頁: 217-219   2000年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  471. Modification of the growth mode of Ge on Si by buried Ge islands

    N. Usami, Y. Araki, Y. Ito, M. Miura and Y. Shiraki

    Applied Physics Letters   76 巻 ( 25 ) 頁: 3723-3725   2000年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  472. Optical investigation of modified Stranski-Krastanov growth mode in the stacking of self-assembled Ge islands

    N. Usami and Y. Shiraki

    THIN SOLID FILMS   369 巻   頁: 108-111   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  473. Microscopic probing of localized excitons in quantum wells

    N. Usami, K. Ota, K. Ohdaira, Y. Shiraki, T. Hasche, V. Lyssenko and K. Leo

    INSTITUTE OF PHYSICS CONFERENCE SERIES   ( 166 ) 頁: 99-102   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  474. Drastic modification of the growth mode of Ge quantum dots on Si by using boron adlayer

    H. Takamiya, M. Miura, N. Usami, T. Hattori and Y. Shiraki

    THIN SOLID FILMS   369 巻 ( 1-2 ) 頁: 84-87   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  475. Growth and characterization of Ge-70(n)/Ge-74(n) isotope superlattices

    K. Morita, K. M. Itoh, J. Muto, K. Mizoguchi, N. Usami, Y. Shiraki and E. E. Haller

    THIN SOLID FILMS   369 巻 ( 1-2 ) 頁: 405-408   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  476. Formation of relaxed SiGe films on Si by selective epitaxial growth

    K. Kawaguchi, N. Usami and Y. Shiraki

    Thin Solid Films   369 巻   頁: 126-129   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  477. Correlation between electronic states and optical properties in indirect GaAsP/GaP quantum wells with insertion of an ultrathin AlP layer

    K. Arimoto, N. Usami and Y. Shiraki

    Physica E   8 巻   頁: 323-327   2000年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  478. Effect of the insertion of an ultrathin AlP layer on the optical properties of GaAsP/GaP quantum wells

    K. Arimoto, T. Sugita, N. Usami and Y. Shiraki

    Physical Review B   60 巻 ( 19 ) 頁: 13735-13739   1999年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  479. Magnetophotoluminescence spectroscopy of AlGaP-based neighboring confinement structures

    N. Usami, T. Sugita, T. Ohta, F. Issiki, Y. Shiraki, K. Uchida and N. Miura

    Physical Review B   60 巻 ( 3 ) 頁: 1879-1883   1999年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  480. Study of a pure-Ge/Si short-period superlattice by x-ray double crystal diffraction

    Z. G. Ji, H. M. Lu, S. G. Zhang, D. L. Que, N. Usami, H. Sunamura and Y. Shiraki

    Journal of Materials Synthesis and Processing   7 巻 ( 3 ) 頁: 205-207   1999年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  481. Selective epitaxial growth of dot structures on patterned Si substrates by gas source molecular beam epitaxy

    E. S. Kim, N. Usami and Y. Shiraki

    Semiconductor Science and Technology   14 巻 ( 3 ) 頁: 257-265   1999年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  482. Optical characterization of strain-induced structural modification in SiGe-based heterostructures

    N. Usami, K. Leo and Y. Shiraki

    Journal of Applied Physics   85 巻 ( 4 ) 頁: 2363-2366   1999年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  483. Gas source molecular beam epitaxy grown strained-Si films on step-graded relaxed Si1-xGex for MOS applications

    L. K. Bera, S. K. Ray, D. K. Nayak, N. Usami, Y. Shiraki and C. K. Maiti

    Journal of Electronic Materials   28 巻 ( 2 ) 頁: 98-104   1999年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  484. Photoluminescence study of InP/GaP highly strained quantum wells

    T. Kimura, H. Yaguchi, N. Usami, K. Onabe and Y. Shiraki

    INSTITUTE OF PHYSICS CONFERENCE SERIES   162 巻   頁: 511-516   1999年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  485. Sublattice reversal in GaAs/Si/GaAs (100) heterostructures by molecular beam epitaxy

    S. J. Koh, T. Kondo, T. Ishiwada, C. Iwamoto, H. Ichinose, H. Yaguchi, T. Usami, Y. Shiraki and R. Ito

    Japanese Journal of Applied Physics Part 2-Letters   37 巻 ( 12B ) 頁: L1493-L1496   1998年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  486. Epitaxial growth and photoluminescence of Si/pure-Ge/Si quantum structures on Si(311) substrates

    K. Amano, M. Kobayashi, A. Ohga, T. Hattori, N. Usami and Y. Shiraki

    Semiconductor Science and Technology   13 巻 ( 11 ) 頁: 1277-1283   1998年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  487. Wavy interface morphologies in strained Si1-xGex/Si multilayers on vicinal Si(111) substrates

    J. H. Li, Y. Yamaguchi, H. Hashizume, N. Usami and Y. Shiraki

    Journal of Physics-Condensed Matter   10 巻 ( 39 ) 頁: 8643-8652   1998年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  488. Photoluminescence and Raman scattering of pure germanium/silicon short period superlattice

    Z. G. Ji, N. Usami, H. Sunamura and Y. Shiraki

    Acta Physica Sinica-Overseas Edition   7 巻 ( 8 ) 頁: 608-612   1998年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  489. Electrical properties of N2O/NH3 plasma grown oxynitride on strained-Si

    L. K. Bera, S. K. Ray, M. Mukhopadhyay, D. K. Nayak, N. Usami, Y. Shiraki and C. K. Maiti

    Ieee Electron Device Letters   19 巻 ( 8 ) 頁: 273-275   1998年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  490. Control of Ge dots in dimension and position by selective epitaxial growth and their optical properties

    E. S. Kim, N. Usami and Y. Shiraki

    Applied Physics Letters   72 巻   頁: 1617-1619   1998年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  491. In-plane potential modulation in tensilely strained AlGaP-based neighboring confinement structure

    N. Usami, T. Sugita, T. Ohta, H. Ito, K. Uchida, Y. Shiraki, F. Minami and N. Miura

    PHYSICA E   2 巻   頁: 883-886   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  492. Control of island formation using overgrowth technique on cleaved edges of strained multiple quantum wells and selective epitaxy on patterned substrates

    N. Usami, J. Arai, E. S. Kim, K. Ota, T. Hattori and Y. Shiraki

    PHYSICA E   2 巻   頁: 137-141   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  493. Photoluminescence from pure-Ge/pure-Si neighboring confinement structure

    N. Usami, M. Miura, H. Sunamura and Y. Shiraki

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B   16 巻   頁: 1710-1712   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  494. Exciton diffusion dynamics in quantum nanostructures on V-groove patterned substrates

    N. Usami, Y. Shiraki, W. Pan, H. Yaguchi and K. Onabe

    Superlattices and Microstructures   23 巻 ( 2 ) 頁: 395-400   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  495. Magneto-photoluminescence spectra of GaP/AlP short-period superlattices in high magnetic fields and uniaxial pressures

    K. Uchida, N. Miura, T. Sugita, F. Issiki, N. Usami and Y. Shiraki

    PHYSICA B   251 巻   頁: 909-913   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  496. Enhanced no-phonon transition in indirect GaAsP/GaP quantum wells by insertion of monolayer AlP for electron localization

    T. Sugita, N. Usami and Y. Shiraki

    JOURNAL OF CRYSTAL GROWTH   188 巻 ( 1-4 ) 頁: 323-327   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  497. New strain-relieving microstructure in pure-Ge/Si short-period superlattices

    H. Sunamura, N. Usami, Y. Shiraki and S. Fukatsu

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B   16 巻 ( 3 ) 頁: 1595-1598   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  498. Temperature dependence of microscopic photoluminescence spectra of quantum dots and quantum wells

    K. Ota, N. Usami and Y. Shiraki

    PHYSICA E   2 巻 ( 1-4 ) 頁: 573-577   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  499. Effect of tensile strain on optical properties of AlGaP-based neighboring confinement structure

    T. Ohta, N. Usami, F. Issiki and Y. Shiraki

    Superlattices and Microstructures   23 巻 ( 1 ) 頁: 97-102   1998年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  500. Spectroscopic study of Si-based quantum wells with neighbouring confinement structure

    N. Usami, Y. Shiraki and S. Fukatsu

    Semiconductor Science and Technology   12 巻 ( 12 ) 頁: 1596-1602   1997年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  501. Optical investigation of growth mode of Ge thin films on Si(110) substrates

    J. Arai, A. Ohga, T. Hattori, N. Usami and Y. Shiraki

    Applied Physics Letters   71 巻 ( 6 ) 頁: 785-787   1997年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  502. Effects of tensile strain on the optical properties of an AlGaP-based neighbouring confinement structure

    T. Ohta, N. Usami, F. Issiki and Y. Shiraki

    Semiconductor Science and Technology   12 巻 ( 7 ) 頁: 881-887   1997年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  503. Interfacial roughness of Si1-xGex/Si multilayer structures on Si(111) probed by x-ray scattering

    P. M. Reimer, J. H. Li, Y. Yamaguchi, O. Sakata, H. Hashizume, N. Usami and Y. Shiraki

    Journal of Physics-Condensed Matter   9 巻 ( 22 ) 頁: 4521-4533   1997年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  504. Precise control of island formation using overgrowth technique on cleaved edges of strained multiple quantum wells

    J. Arai, N. Usami, K. Ota, Y. Shiraki, A. Ohga and T. Hattori

    Applied Physics Letters   70 巻 ( 22 ) 頁: 2981-2983   1997年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  505. Photoluminescence study of the optical properties of SiGe quantum wells on separation by implanted oxygen substrates

    D. K. Nayak, N. Usami, S. Fukatsu and Y. Shiraki

    Journal of Applied Physics   81 巻 ( 8 ) 頁: 3484-3489   1997年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  506. Anomalous luminescence peak shift of SiGe/Si quantum well induced by self-assembled Ge islands

    E. S. Kim, N. Usami and Y. Shiraki

    Applied Physics Letters   70 巻 ( 3 ) 頁: 295-297   1997年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  507. Oxidation of strained Si in a microwave electron cyclotron resonance plasma

    L. K. Bera, M. Mukhopadhyay, S. K. Ray, D. K. Nayak, N. Usami, Y. Shiraki and C. K. Maiti

    Applied Physics Letters   70 巻 ( 2 ) 頁: 217-219   1997年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  508. Electrical properties of oxides grown on strained Si using microwave N2O plasma

    L. K. Bera, S. K. Ray, D. K. Nayak, N. Usami, Y. Shiraki and C. K. Maiti

    Applied Physics Letters   70 巻 ( 1 ) 頁: 66-68   1997年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  509. Anomalous photoluminescence of pure-Ge/Si type-II coupled quantum wells (II-CQWs)

    H. Sunamura, N. Usami, Y. Shiraki and S. Fukatsu

    THIN SOLID FILMS   294 巻 ( 1-2 ) 頁: 336-339   1997年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  510. Luminescence study on Ge islands as stressors on Si1-xGex/Si quantum well

    E. S. Kim, N. Usami, H. Sunamura, S. Fukatsu and Y. Shiraki

    JOURNAL OF CRYSTAL GROWTH   175 巻   頁: 519-523   1997年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  511. Time-resolved photoluminescence study on AlxGa1-xAs spontaneous vertical quantum well structures

    N. Usami, W. G. Pan, H. Yaguchi, R. Ito, K. Onabe, H. Akiyama and Y. Shiraki

    Applied Physics Letters   68 巻 ( 23 ) 頁: 3221-3223   1996年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  512. Ultrashort lifetime photocarriers in Ge thin films

    N. Sekine, K. Hirakawa, F. Sogawa, Y. Arakawa, N. Usami, Y. Shiraki and T. Katoda

    Applied Physics Letters   68 巻 ( 24 ) 頁: 3419-3421   1996年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  513. Role of heterointerface on enhancement of no-phonon luminescence in Si-based neighboring confinement structure

    N. Usami, Y. Shiraki and S. Fukatsu

    Applied Physics Letters   68 巻 ( 17 ) 頁: 2340-2342   1996年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  514. Observation of lateral confinement effect in Ge quantum wires self-aligned at step edges on Si(100)

    H. Sunamura, N. Usami, Y. Shiraki and S. Fukatsu

    Applied Physics Letters   68 巻 ( 13 ) 頁: 1847-1849   1996年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  515. Exciton diffusion dynamics in SiGe/Si quantum wells on a V-groove patterned Si substrate

    N. Usami, H. Akiyama, Y. Shiraki and S. Fukatsu

    SOLID-STATE ELECTRONICS   40 巻   頁: 733-736   1996年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  516. Formation and optical properties of SiGe/Si quantum structures

    Y. Shiraki, H. Sunamura, N. Usami and S. Fukatsu

    APPLIED SURFACE SCIENCE   102 巻   頁: 263-271   1996年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  517. Polarization properties of GaAsP/AlGaAs tensilely strained quantum wire structures grown on V-grooved GaAs substrates

    W. Pan, H. Yaguchi, K. Onabe, R. Ito, N. Usami and Y. Shiraki

    INSTITUTE OF PHYSICS CONFERENCE SERIES   145 巻   頁: 925-930   1996年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  518. Rectangular AlGaAs/AlAs quantum wires using spontaneous vertical quantum wells

    W. G. Pan, H. Yaguchi, K. Onabe, R. Ito, N. Usami and Y. Shiraki

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS   35 巻 ( 2B ) 頁: 1214-1216   1996年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  519. Improved luminescence quality with an asymmetric confinement potential in Si-based type-II quantum wells grown on a graded SiGe relaxed buffer

    S. Fukatsu, N. Usami and Y. Shiraki

    J. Vac. Sci. Technol   14 巻   頁: 2387-2390   1996年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  520. CHARACTERIZATION OF SIGE QUANTUM-WIRE STRUCTURES BY CATHODOLUMINESCENCE IMAGING AND SPECTROSCOPY

    V. Higgs, E. C. Lightowlers, N. Usami, T. Mine, S. Fukatsu and Y. Shiraki

    Applied Physics Letters   67 巻 ( 12 ) 頁: 1709-1711   1995年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  521. DYNAMICS OF EXCITON DIFFUSION IN SIGE QUANTUM-WELLS ON A V-GROOVE PATTERNED SI SUBSTRATE

    N. Usami, H. Akiyama, Y. Shiraki and S. Fukatsu

    Physical Review B   52 巻 ( 7 ) 頁: 5132-5135   1995年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  522. ENHANCEMENT OF RADIATIVE RECOMBINATION IN SI-BASED QUANTUM-WELLS WITH NEIGHBORING CONFINEMENT STRUCTURE

    N. Usami, F. Issiki, D. K. Nayak, Y. Shiraki and S. Fukatsu

    Applied Physics Letters   67 巻   頁: 524-526   1995年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  523. ISLAND FORMATION DURING GROWTH OF GE ON SI(100) - A STUDY USING PHOTOLUMINESCENCE SPECTROSCOPY

    H. Sunamura, N. Usami, Y. Shiraki and S. Fukatsu

    Applied Physics Letters   66 巻 ( 22 ) 頁: 3024-3026   1995年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  524. STRAIN-INDUCED LATERAL BAND-GAP MODULATION IN SI1-XGEX/SI QUANTUM-WELL AND QUANTUM-WIRE STRUCTURES

    N. Usami, H. Sunamura, T. Mine, S. Fukatsu and Y. Shiraki

    JOURNAL OF CRYSTAL GROWTH   150 巻   頁: 1065-1069   1995年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  525. Intense photoluminescence from Si-based quantum well structures with neighboring confinement structure

    N. Usami, Y. Shiraki and S. Fukatsu

    JOURNAL OF CRYSTAL GROWTH   157 巻   頁: 27-30   1995年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  526. Photoluminescence investigation on growth mode changeover of Ge on Si(100)

    H. Sunamura, S. Fukatsu, N. Usami and Y. Shiraki

    JOURNAL OF CRYSTAL GROWTH   157 巻 ( 1-4 ) 頁: 265-269   1995年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  527. Anomalous spectral shift of photoluminescence from MBE-grown strained Si1-xGex/Si quantum wells mediated by atomic hydrogen

    G. Ohta, S. Fukatsu, N. Usami, Y. Shiraki and T. Hattori

    JOURNAL OF CRYSTAL GROWTH   157 巻 ( 1-4 ) 頁: 36-39   1995年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  528. Field-driven blue shift of excitonic photoluminescence in Si-Ge quantum wells and superlattices

    J. Y. Kim, S. Fukatsu, N. Usami and Y. Shiraki

    JOURNAL OF CRYSTAL GROWTH   157 巻 ( 1-4 ) 頁: 40-44   1995年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  529. CRUCIAL ROLE OF SI BUFFER LAYER QUALITY IN THE PHOTOLUMINESCENCE EFFICIENCY OF STRAINED SI1-XGEX/SI QUANTUM-WELLS

    T. Mine, N. Usami, Y. Shiraki and S. Fukatsu

    J. Cryst. Growth   150 巻 ( 1-4 ) 頁: 1033-1037   1995年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  530. CATHODOLUMINESCENCE INVESTIGATION OF SIGE QUANTUM WIRES FABRICATED ON V-GROOVE PATTERNED SI SUBSTRATES

    V. Higgs, E. C. Lightowlers, N. Usami, Y. Shiraki, T. Mine and S. Fukatsu

    J. Cryst. Growth   150 巻   頁: 1070-1073   1995年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  531. ABRUPT SI GE INTERFACE FORMATION USING ATOMIC-HYDROGEN IN SI MOLECULAR-BEAM EPITAXY

    G. Ohta, S. Fukatsu, Y. Ebuchi, T. Hattori, N. Usami and Y. Shiraki

    Applied Physics Letters   65 巻 ( 23 ) 頁: 2975-2977   1994年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  532. PHOTOLUMINESCENCE OF SI/SIGE/SI QUANTUM-WELLS ON SEPARATION BY OXYGEN IMPLANTATION SUBSTRATE

    D. K. Nayak, N. Usami, S. Fukatsu and Y. Shiraki

    Applied Physics Letters   64 巻 ( 18 ) 頁: 2373-2375   1994年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  533. OPTICAL ANISOTROPY IN WIRE-GEOMETRY SIGE LAYERS GROWN BY GAS-SOURCE SELECTIVE EPITAXIAL-GROWTH TECHNIQUE

    N. Usami, T. Mine, S. Fukatsu and Y. Shiraki

    Applied Physics Letters   64 巻 ( 9 ) 頁: 1126-1128   1994年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  534. FABRICATION OF SIGE/SI QUANTUM-WIRE STRUCTURES ON A V-GROOVE PATTERNED SI SUBSTRATE BY GAS-SOURCE SI MOLECULAR-BEAM EPITAXY

    N. Usami, T. Mine, S. Fukatsu and Y. Shiraki

    SOLID-STATE ELECTRONICS   37 巻   頁: 539-541   1994年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  535. PHOTOLUMINESCENCE OF SI1-XGEX/SI QUANTUM-WELLS WITH ABRUPT INTERFACES FORMED BY SEGREGANT-ASSISTED GROWTH

    N. Usami, S. Fukatsu and Y. Shiraki

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS   33 巻 ( 4B ) 頁: 2304-2306   1994年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  536. OPTICAL-DETECTION OF INTERDIFFUSION IN STRAINED SI1-XGEX/SI QUANTUM-WELL STRUCTURES

    H. Sunamura, S. Fukatsu, N. Usami and Y. Shiraki

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS   33 巻 ( 4B ) 頁: 2344-2347   1994年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  537. BAND-EDGE PHOTOLUMINESCENCE OF SIGE/STRAINED-SI/SIGE TYPE-II QUANTUM-WELLS ON SI(100)

    D. K. Nayak, N. Usami, H. Sunamura, S. Fukatsu and Y. Shiraki

    SOLID-STATE ELECTRONICS   37 巻 ( 4-6 ) 頁: 933-936   1994年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  538. A SI1-XGEX/SI SINGLE-QUANTUM-WELL P-I-N STRUCTURE GROWN BY SOLID-SOURCE AND GAS-SOURCE HYBRID SI MOLECULAR-BEAM EPITAXY

    Y. Kato, S. Fukatsu, N. Usami and Y. Shiraki

    JOURNAL OF CRYSTAL GROWTH   136 巻   頁: 355-360   1994年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  539. GAS-SOURCE MOLECULAR-BEAM EPITAXY AND LUMINESCENCE CHARACTERIZATION OF STRAINED SI1-XGEX/SI QUANTUM-WELLS

    S. Fukatsu, N. Usami, Y. Kato, H. Sunamura, Y. Shiraki, H. Oku, T. Ohnishi, Y. Ohmori and K. Okumura

    J. Cryst. Growth   136 巻   頁: 315-321   1994年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  540. BAND-EDGE PHOTOLUMINESCENCE OF SIGE STRAINED-SI/SIGE TYPE-II QUANTUM-WELLS ON SI(100)

    D. K. Nayak, N. Usami, S. Fukatsu and Y. Shiraki

    Applied Physics Letters   63 巻 ( 25 ) 頁: 3509-3511   1993年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  541. REALIZATION OF CRESCENT-SHAPED SIGE QUANTUM-WIRE STRUCTURES ON A V-GROOVE PATTERNED SI SUBSTRATE BY GAS-SOURCE SI MOLECULAR-BEAM EPITAXY

    N. Usami, T. Mine, S. Fukatsu and Y. Shiraki

    Applied Physics Letters   63 巻 ( 20 ) 頁: 2789-2791   1993年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  542. BAND-EDGE PHOTOLUMINESCENCE OF SIGE STRAINED-SI SIGE TYPE-II QUANTUM-WELLS ON SI(100)

    D. K. Nayak, N. Usami, H. Sunamura, S. Fukatsu and Y. Shiraki

    Japanese Journal of Applied Physics Part 2-Letters   32 巻 ( 10A ) 頁: L1391-L1393   1993年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  543. SELF-MODULATING SB INCORPORATION IN SI/SIGE SUPERLATTICES DURING MOLECULAR-BEAM EPITAXIAL-GROWTH

    K. Fujita, S. Fukatsu, N. Usami, Y. Shiraki, H. Yaguchi, R. Ito and K. Nakagawa

    Surface Science   295 巻 ( 3 ) 頁: 335-339   1993年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  544. LUMINESCENCE STUDY ON INTERDIFFUSION IN STRAINED SI1-XGEX/SI SINGLE QUANTUM-WELLS GROWN BY MOLECULAR-BEAM EPITAXY

    H. Sunamura, S. Fukatsu, N. Usami and Y. Shiraki

    Applied Physics Letters   63 巻 ( 12 ) 頁: 1651-1653   1993年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  545. HYBRID SI MOLECULAR-BEAM EPITAXIAL REGROWTH FOR A STRAINED SI1-XGEX/SI SINGLE-QUANTUM-WELL ELECTROLUMINESCENT DEVICE

    Y. Kato, S. Fukatsu, N. Usami and Y. Shiraki

    Applied Physics Letters   63 巻 ( 17 ) 頁: 2414-2416   1993年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  546. HIGH-TEMPERATURE OPERATION OF STRAINED SI0.65GE0.35/SI(111) P-TYPE MULTIPLE-QUANTUM-WELL LIGHT-EMITTING DIODE GROWN BY SOLID SOURCE SI MOLECULAR-BEAM EPITAXY

    S. Fukatsu, N. Usami, Y. Shiraki, A. Nishida and K. Nakagawa

    Applied Physics Letters   63 巻 ( 7 ) 頁: 967-969   1993年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  547. ABRUPT COMPOSITIONAL TRANSITION IN LUMINESCENT SI1-XGEX/SI QUANTUM-WELL STRUCTURES FABRICATED BY SEGREGANT ASSISTED GROWTH USING SB ADLAYER

    N. Usami, S. Fukatsu and Y. Shiraki

    Applied Physics Letters   63 巻 ( 3 ) 頁: 388-390   1993年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  548. DISLOCATION GLIDE MOTION IN HETEROEPITAXIAL THIN-FILMS OF SI1-XGEX/SI(100)

    Y. Yamashita, K. Maeda, K. Fujita, N. Usami, K. Suzuki, S. Fukatsu, Y. Mera and Y. Shiraki

    Philosophical Magazine Letters   67 巻 ( 3 ) 頁: 165-171   1993年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  549. IS LOW-TEMPERATURE GROWTH THE SOLUTION TO ABRUPT SI/SI1-XGEX INTERFACE FORMATION

    S. Fukatsu, N. Usami, K. Fujita, H. Yaguchi, Y. Shiraki and R. Ito

    J. Cryst. Growth   127 巻   頁: 401-405   1993年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  550. INTENSE PHOTOLUMINESCENCE FROM STRAINED SI1-XGEX/SI QUANTUM-WELL STRUCTURES

    S. Fukatsu, N. Usami, H. Yoshida, A. Fujiwara, Y. Takahashi, Y. Shiraki and R. Ito

    J. Cryst. Growth   127 巻   頁: 489-493   1993年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  551. OBSERVATION OF ELECTROLUMINESCENCE ABOVE ROOM-TEMPERATURE IN STRAINED P-TYPE SI0.65GE0.35/SI(111) MULTIPLE-QUANTUM WELLS

    S. Fukatsu, N. Usami, Y. Shiraki, A. Nishida and K. Nakagawa

    J. Cryst. Growth   127 巻   頁: 1083-1087   1993年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  552. LUMINESCENCE FROM STRAINED SI1-XGEX/SI QUANTUM-WELLS GROWN BY SI MOLECULAR-BEAM EPITAXY

    S. Fukatsu, N. Usami and Y. Shiraki

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS   32 巻 ( 3B ) 頁: 1502-1507   1993年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  553. LUMINESCENCE FROM SI1-XGEX/SI QUANTUM-WELLS GROWN BY SI MOLECULAR-BEAM EPITAXY

    S. Fukatsu, N. Usami and Y. Shiraki

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B   11 巻 ( 3 ) 頁: 895-898   1993年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  554. PHOTOGENERATION AND TRANSPORT OF CARRIERS IN STRAINED SI1-XGEX/SI QUANTUM-WELL STRUCTURES

    S. Fukatsu, N. Usami and Y. Shiraki

    Japanese Journal of Applied Physics Part 2-Letters   31 巻 ( 11A ) 頁: L1525-L1528   1992年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  555. QUANTUM SIZE EFFECT OF EXCITONIC BAND-EDGE LUMINESCENCE IN STRAINED SI1-XGEX/SI SINGLE QUANTUM-WELL STRUCTURES GROWN BY GAS-SOURCE SI MOLECULAR-BEAM EPITAXY

    S. Fukatsu, H. Yoshida, N. Usami, A. Fujiwara, Y. Takahashi, Y. Shiraki and R. Ito

    Japanese Journal of Applied Physics Part 2-Letters   31 巻 ( 9B ) 頁: L1319-L1321   1992年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  556. OBSERVATION OF DEEP-LEVEL-FREE BAND EDGE LUMINESCENCE AND QUANTUM CONFINEMENT IN STRAINED SI1-XGEX/SI SINGLE QUANTUM-WELL STRUCTURES GROWN BY SOLID SOURCE SI MOLECULAR-BEAM EPITAXY

    N. Usami, S. Fukatsu and Y. Shiraki

    Applied Physics Letters   61 巻 ( 14 ) 頁: 1706-1708   1992年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  557. ELECTROLUMINESCENCE FROM STRAINED SIGE/SI QUANTUM-WELL STRUCTURES GROWN BY SOLID SOURCE SI MOLECULAR-BEAM EPITAXY

    S. Fukatsu, N. Usami, T. Chinzei, Y. Shiraki, A. Nishida and K. Nakagawa

    Japanese Journal of Applied Physics Part 2-Letters   31 巻 ( 8A ) 頁: L1015-L1017   1992年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  558. BAND-EDGE LUMINESCENCE OF STRAINED SIXGE1-X/SI SINGLE QUANTUM-WELL STRUCTURES GROWN ON SI(111) BY SI MOLECULAR-BEAM EPITAXY

    S. Fukatsu, N. Usami and Y. Shiraki

    Japanese Journal of Applied Physics Part 2-Letters   31 巻 ( 8A ) 頁: L1018-L1020   1992年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  559. SYSTEMATIC BLUE SHIFT OF EXCITON LUMINESCENCE IN STRAINED SI1-XGEX/SI QUANTUM-WELL STRUCTURES GROWN BY GAS SOURCE SILICON MOLECULAR-BEAM EPITAXY

    S. Fukatsu, H. Yoshida, N. Usami, A. Fujiwara, Y. Takahashi, Y. Shiraki and R. Ito

    THIN SOLID FILMS   222 巻   1992年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/0040-6090(92)90025-7

▼全件表示

書籍等出版物 18

  1. 多結晶材料情報学

    宇佐美徳隆、大野裕、沓掛健太朗、工藤博章、小島拓人、横井達矢( 担当: 共著)

    共立出版  2024年 

     詳細を見る

    記述言語:日本語 著書種別:学術書

  2. カーボンニュートラルへの化学工学

    ( 担当: 共著)

    丸善出版  2023年1月  ( ISBN:978-4-621-30772-4

     詳細を見る

    総ページ数:256   記述言語:日本語

  3. ハイドロジェノミクス

    後藤 和泰、宇佐美 徳隆( 担当: 共著 ,  範囲: 4.2 水素ドープ太陽電池)

    共立出版  2022年1月  ( ISBN:978-4-320-04498-2

     詳細を見る

    記述言語:日本語

  4. 脱炭素への工学 査読有り

    宇佐美 徳隆( 担当: 共著 ,  範囲: 第3章3.1 太陽電池の技術動向 )

    三恵社  2021年12月  ( ISBN:978-4-86693-542-3

     詳細を見る

    総ページ数:263   担当ページ:12   記述言語:日本語 著書種別:学術書

  5. 太陽電池とLEDの原理

    Adrian Kitai著 宇佐美 徳隆 監訳( 担当: 共著)

    丸善  2013年7月 

     詳細を見る

    記述言語:日本語

  6. 太陽電池技術ハンドブック 4.2.3 シリコン多結晶の欠陥・組織と評価

    宇佐美 徳隆( 担当: 共著)

    オーム社  2013年5月 

     詳細を見る

    記述言語:日本語

  7. "第2章第3節 SiGe量子ドット系", "量子ドット太陽電池の最前線"

    豊田太郎(監修)( 担当: 共著)

    シーエムシー出版  2012年 

     詳細を見る

    記述言語:日本語

  8. "第3編第2章 太陽電池の基礎知識", "スマートハウスの発電・蓄電・給電技術の最前線"

    田路 和幸 (監修) ( 担当: 共著)

    シーエムシー出版  2011年 

     詳細を見る

    記述言語:日本語

  9. "第1章第4節 SiGe量子ドットのエピタキシャル成長", "量子ドットエレクトロニクスの最前線"

    荒川泰彦 他41名( 担当: 共著)

    NTS社  2011年 

     詳細を見る

    記述言語:日本語

  10. "Chapter 4. Types of silicon-germanium (SiGe) bulk crystal growth methods and their applications" in "SiGe nanostructures: materials science, technology and applications"

    edited by Y. Shiraki, and N. Usami( 担当: 共著)

    Woodhead publishing  2011年 

     詳細を見る

    記述言語:英語

  11. 太陽電池の基礎と応用 シリコン太陽電池

    宇佐美 徳隆( 担当: 共著)

    培風館  2010年7月 

     詳細を見る

    記述言語:日本語

  12. 「太陽電池の物理」

    Peter Würfel 著、宇佐美 徳隆、石原 照也、中嶋 一雄監訳( 担当: 共著)

    丸善  2010年 

     詳細を見る

    記述言語:日本語

  13. "Chapter 6. Fundamental understanding of subgrain boundaries" in "Advances in Materials Research 14, Crystal Growth of Si for Solar Cells"

    Edited by K. Nakajima, and N. Usami( 担当: 共著)

    Springer  2009年 

     詳細を見る

    記述言語:英語

  14. "Chapter 10. High-quality Si multicrystals with same grain orientation and large grain size by the new dendritic casting method for high-efficiency soalr cell applications" in "Advances in Materials Research 10, Frontiers in Materials Research"

    Edited by Y. Fujikawa, K. Nakajima, and T. Sakurai( 担当: 共著)

    Springer  2008年 

     詳細を見る

    記述言語:英語

  15. "Chaper 12. Floating cast method as a new growth method of silicon bulk multicrystals for solar cells" in "Advances in Materials Research 10, Frontiers in Materials Research"

    Edited by Y. Fujikawa, K. Nakajima, and T. Sakurai( 担当: 共著)

    Springer  2008年 

     詳細を見る

    記述言語:英語

  16. "Chapter 11. Growth of high-quality polycrystalline Si ingot with same grain orientation using dendritic casting method" in "Advances in Materials Research 10, Frontiers in Materials Research"

    Edited by Y. Fujikawa, K. Nakajima, and T. Sakurai( 担当: 共著)

    Springer  2008年 

     詳細を見る

    記述言語:英語

  17. "III/34C3. Single and coupled quantum wells:SiGe" in "Landolt-Börnstein New Series"

    Edited by E. Kasper and C. Klingshirn( 担当: 共著)

    Springer  2007年 

     詳細を見る

    記述言語:英語

  18. "Chapter 6.6. SiGe quantum structures" in "Mesoscopic Physics and Electronics"

    Edited by T. Ando, Y. Arakawa, K. Furuya, S. Komiyama, and S. Nakashima( 担当: 共著)

    Springer-Verlag  1998年 

     詳細を見る

    記述言語:英語

▼全件表示

MISC 4

  1. Pioneering Multicrystalline Informatics

    Noritaka USAMI  

    JSAP Review   2024年1月

  2. 多結晶材料情報学の開拓 査読有り

    宇佐美徳隆  

    応用物理92 巻 ( 11 ) 頁: 662 - 667   2023年11月

     詳細を見る

    記述言語:日本語  

    DOI: https://doi.org/10.11470/oubutsu.92.11_662

  3. 太陽電池の高性能化に向けたヘテロ界面制御 招待有り 査読有り

    後藤和泰、宇佐美徳隆  

    表面と真空66 巻   頁: 86 - 90   2023年1月

     詳細を見る

    担当区分:最終著者   記述言語:日本語  

    DOI: 10.1380/vss.66.86

  4. 太陽光発電へのインフォマティクス応用 招待有り 国際共著

    宇佐美 徳隆  

    太陽光発電協会会誌   2021年4月

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語   掲載種別:記事・総説・解説・論説等(その他)  

講演・口頭発表等 807

  1. 多結晶Siの結晶成長における界面形状が応力に与える影響

    田近陽輝, 沓掛健太朗, 小島拓人, 劉鑫, 田中博之, 宇佐美徳隆

    第71回応用物理学会春季学術講演会  2024年3月22日 

     詳細を見る

    開催年月日: 2024年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京都市大学   国名:日本国  

  2. 反応性力場分子動力学法を用いたシリコン酸化膜中のシリコンナノ結晶形成プロセスの解析

    田村玄汰, 上根直也, 後藤和泰, 宇佐美徳隆, 徳増崇

    第71回応用物理学会春季学術講演会  2024年3月25日 

     詳細を見る

    開催年月日: 2024年3月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:東京都市大学   国名:日本国  

  3. 原子層堆積法で作製したTiOx:Nb層の導入によるTiOx/SiOy/c-Siヘテロ構造のパッシベーション性能の向上

    深谷昌平, 後藤和泰, 黒川康良, 宇佐美徳隆

    第71回応用物理学会春季学術講演会  2024年3月24日 

     詳細を見る

    開催年月日: 2024年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京都市大学   国名:日本国  

  4. CYTOP/BaTiO3ナノ粒子複合膜を用いた薄膜系直流水滴発電デバイス

    王海涛, 黒川康良, 王嘉, 宇佐美徳隆

    第71回応用物理学会春季学術講演会  2024年3月24日 

     詳細を見る

    開催年月日: 2024年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京都市大学   国名:日本国  

  5. Pドープ/Bドープ ナノ結晶Si/アモルファスSi複合薄膜の熱電デバイス応用

    柴田啓介, 加藤慎也, 黒澤昌志, 後藤和泰, 宮本聡, 伊藤孝至, 宇佐美徳隆, 黒川康良

    第71回応用物理学会春季学術講演会  2024年3月24日 

     詳細を見る

    開催年月日: 2024年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京都市大学   国名:日本国  

  6. 印刷とパルスレーザーアニールによるGe基板上へのGeSn薄膜成長

    佐藤剛志, 宮本聡, 鈴木紹太, 南山偉明, ダムリン マルワン, 宇佐美徳隆

    第71回応用物理学会春季学術講演会  2024年3月23日 

     詳細を見る

    開催年月日: 2024年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京都市大学   国名:日本国  

  7. 印刷とパルスレーザーアニールによるSi基板上へのSiGe薄膜成長

    佐藤剛志, 宮本聡, 鈴木紹太, 南山偉明, ダムリン マルワン, 宇佐美徳隆

    第71回応用物理学会春季学術講演会  2024年3月23日 

     詳細を見る

    開催年月日: 2024年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京都市大学   国名:日本国  

  8. 印刷と焼成によるSiGe 薄膜の液相成長メカニズム

    伊藤耕平, 宮本聡, 鈴木紹太, 南山偉明, ダムリン マルワン, 宇佐美徳隆

    第71回応用物理学会春季学術講演会  2024年3月23日 

     詳細を見る

    開催年月日: 2024年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京都市大学   国名:日本国  

  9. Multicrystalline informatics: A methodology to advance materials science by unraveling complex phenomena 招待有り 国際会議

    Noritaka Usami

    Colloquium at Department of Physics, City University of Hong Kong  2024年3月8日  City University of Hong Kong

     詳細を見る

    開催年月日: 2024年3月

    記述言語:英語  

    国名:ホンコン(香港)特別行政区  

  10. 名古屋大学におけるカーボンニュートラルに向けた取り組み 招待有り

    宇佐美 徳隆

    第三回カーボンニュートラルシンポジウム  2024年3月6日 

     詳細を見る

    開催年月日: 2024年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:山形大学   国名:日本国  

  11. Challenges of Material Science for Realization of a Decarbonized Society 招待有り 国際会議

    N. Usami

    2024 Korea-Japan PV Joint Workshop  2024年2月19日 

     詳細を見る

    開催年月日: 2024年2月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Korea University   国名:大韓民国  

  12. Effect of localized hydrogen on crystal tilting in strained SiGe substrates 国際会議

    Y. Yoneyama, S. Miyamoto, N. Usami

    2024 Korea-Japan PV Joint Workshop  2024年2月19日 

     詳細を見る

    開催年月日: 2024年2月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Korea University   国名:大韓民国  

  13. Nano-textured surfaces of c-Si suitable for perovskite/silicon tandem solar cells by anisotropic alkaline etching 国際会議

    H. Yamaguchi, N. Usami

    2024 Korea-Japan PV Joint Workshop  2024年2月19日 

     詳細を見る

    開催年月日: 2024年2月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Korea University   国名:大韓民国  

  14. 3D reconstruction of mono-like Si structure and analysis of dislocation clusters 国際会議

    H. Hirono, H. Matsuo, H. Tanabe, Y. Kurokawa, N. Usami

    2024 Korea-Japan PV Joint Workshop  2024年2月19日 

     詳細を見る

    開催年月日: 2024年2月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Korea University   国名:大韓民国  

  15. Fabrication and Performance Evaluation of Thermoelectric Mg2Si Compounds Synthesized Using Silicon Extracted from Discarded Solar Panels 国際会議

    K. Hanzawa, S. Kato, K. Yamanaka, T. Doi, Y. Kurokawa, N. Usami, T. Itoh

    2024 Korea-Japan PV Joint Workshop  2024年2月19日 

     詳細を見る

    開催年月日: 2024年2月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Korea University   国名:大韓民国  

  16. Investigation of the Influence of crucible geometry on the vertical Bridgman growth of Mg2Si single crystal by numerical simulation 国際会議

    K. Asakura, X. Liu, H. Udono, N. Usami

    2024 Korea-Japan PV Joint Workshop  2024年2月19日 

     詳細を見る

    開催年月日: 2024年2月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Korea University   国名:大韓民国  

  17. Wide-area quantum computation substrate evaluation using radio-frequency resonant circuits and gate-controlled Si devices 国際会議

    K. Masuda, S. Miyamoto, N. Usami

    2024 Korea-Japan PV Joint Workshop  2024年2月19日 

     詳細を見る

    開催年月日: 2024年2月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Korea University   国名:大韓民国  

  18. Stress analysis and dislocation cluster generation in multicrystalline Si with artificial drain boundaries 国際会議

    H. Tajika, N. Usami

    2024 Korea-Japan PV Joint Workshop  2024年2月19日 

     詳細を見る

    開催年月日: 2024年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Korea University   国名:大韓民国  

  19. Crystal growth of group IV mixed crystal thin films using screen-printing and pulse laser annealing 国際会議

    T. Sato, S. Suzuki, H. Minamiyama, M. Dhamrin, S. Miyamoto, N. Usami

    2024 Korea-Japan PV Joint Workshop  2024年2月19日 

     詳細を見る

    開催年月日: 2024年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Korea University   国名:大韓民国  

  20. Improvement of Passivation Performance of TiOx/SiOy/c-Si Heterostructure by Introducing an Atomic-Layer-Deposited TiOx:Nb Layer 国際会議

    S. Fukaya, K. Gotoh, Y. Kurokawa, N. Usami

    2024 Korea-Japan PV Joint Workshop  2024年2月19日 

     詳細を見る

    開催年月日: 2024年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Korea University   国名:大韓民国  

  21. Investigation of deposition conditions for multilayer passivation films ​using Bayesian optimization and hydrogen concentration grouping​ 国際会議

    S. Kondo, Y. Kurokawa, K. Gotoh, K. Kutsukake, N. Usami

    2024 Korea-Japan PV Joint Workshop  2024年2月19日 

     詳細を見る

    開催年月日: 2024年2月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Korea University   国名:大韓民国  

  22. 脱炭素社会創造に向けたシリコン系材料の多様な結晶成長とデバイス応用 招待有り

    宇佐美 徳隆

    電気学会東海支部学術講演会  2023年12月19日  電気学会東海支部

     詳細を見る

    開催年月日: 2023年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:愛知工業大学  

  23. Development of 2-inch diameter Mg2Si substrates toward a low-costand environmentally friendly SWIR detector: a practical approach using simulations to avoid the crack formation and advance the experiments 国際会議

    Y. Kimura, X. Liu, N. Usami, S. Sakane and H. Udono

    MRM2023/IUMRS-ICA2023  2023年12月23日 

     詳細を見る

    開催年月日: 2023年12月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  24. How to generate dislocation clusters during cast-growth of silicon ingots? 国際会議

    Y. Ohno, H. Yoshida, T. Yokoi, K. Matsunaga, K. Yamakoshi, K. Kutsukake, T. Kojima, H. Kudo, N. Usami

    MRM2023/IUMRS-ICA2023  2023年12月24日 

     詳細を見る

    開催年月日: 2023年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  25. Development of crystal orientation analysis for grain boundary structure in Ba-122 bulk 国際会議

    Y. Shimada, Y. Hasegawa, S. Tokuta, K. Muraoka, T. Kojima , Z. Guo, S. Hata, H. Kudo, N. Usami, A. Yamamoto

    MRM2023/IUMRS-ICA2023  2023年12月24日 

     詳細を見る

    開催年月日: 2023年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  26. Analysis of dislocation cluster generation behavior in polycrystalline Si using twin networks 国際共著 国際会議

    K. Torii, T. Kojima, K. Kutsukake, H. Kudo, P. Krenckel, S. Riepe, N. Usami

    MRM2023/IUMRS-ICA2023  2023年12月24日 

     詳細を見る

    開催年月日: 2023年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  27. Effect of Illumination Direction in Data Augmentation Process in Semantic Segmentation of Dislocation Clusters with Multicrystalline Silicon Wafer Images with embedded Crystallographic Orientation Information 国際会議

    H. Kudo, T. Kojima, T. Matsumoto, K. Kutsukake, N. Usami

    MRM2023/IUMRS-ICA2023  2023年12月23日 

     詳細を見る

    開催年月日: 2023年12月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  28. Thermal Boundary Resistance Measurement of Structure Controlled Grain Boundaries by Laser Heterodyne Photothermal Displacement Method 国際会議

    T. Harada, H. Tajika, T. Iwakiri, K. Kutsukake, N. Usami, T. Ikari, A. Fukuyama

    MRM2023/IUMRS-ICA2023  2023年12月23日 

     詳細を見る

    開催年月日: 2023年12月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  29. 粒界ネットワーク解析を用いたアルミナ微小粒結晶成長過程の推察

    池田翔太郎, 小島拓人, 沓掛健太郎, 宇佐美徳隆

    第52回結晶成長国内会議  2023年12月6日  日本結晶成長学会

     詳細を見る

    開催年月日: 2023年12月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋   国名:日本国  

  30. Recall estimation of reference identification by Newton’s cooling law 国際会議

    Yuji Fujita, Noritaka Usami, Fujii Toshiaki, Hiroaki Nagai

    Complex Networks 2023  2023年11月30日 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:英語   会議種別:ポスター発表  

  31. Thermoelectric properties of P-doped and B-doped polycrystalline silicon thin films 国際会議

    K. Shibata, S. Kato, M. Kurosawa, K. Gotoh, S. Miyamoto, T. Itoh, N. Usami, Y. Kurokawa

    2023 MRS Fall Meeting & Exhibit  2023年11月28日 

     詳細を見る

    開催年月日: 2023年11月 - 2023年12月

    記述言語:英語   会議種別:ポスター発表  

  32. Demonstration of Iron-based Superconducting Magnet through Complemental Researcher & Bayesian-driven Process Design and Twinning Network Graph Analysis 国際会議

    A. Yamamoto, S. Ishiwata, S. Kikuchi, Y. Hasegawa, S. Tokuta, A. Ishii, A. Yamanaka, Y. Shimada, Z. Guo, S. Hata, T. Kojima, K. Kutsukake, H. Kudo, N. Usami

    2023 MRS Fall Meeting & Exhibit  2023年11月29日 

     詳細を見る

    開催年月日: 2023年11月 - 2023年12月

    記述言語:英語   会議種別:口頭発表(一般)  

  33. Small negative effect of domain boundary on carrier lifetime of BaSi2 absorber films 国際会議

    K.O. Hara, R. Takagaki, K. Arimoto, N. Usami

    34th International Photovoltaic Science and Engineering Conference  2023年11月10日 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  34. Application of informatics to photovoltaic research 招待有り 国際会議

    Noritaka Usami

    34th International Photovoltaic Science and Engineering Conference  2023年11月8日 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  35. Improvement of open-circuit voltage and fill factor of silicon quantum dots solar cells by bayesian optimization process 国際会議

    Y. Kurokawa, F. Kumagai, K. Gotoh, S. Miyamoto, S. Kato, K. Kutsukake, N. Usami

    34th International Photovoltaic Science and Engineering Conference  2023年11月7日 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  36. Passivation enhancement mechanism of TiOx/c-Si heterostructures prepared by atomic layer deposition 国際会議

    Y. Michishita, K. Gotoh, S. Fukaya, Y. Kurokawa, N. Usami

    34th International Photovoltaic Science and Engineering Conference  2023年11月7日 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  37. Bayesian optimization of carrier selectivity of p-type silicon nano-crystal/silicon oxide compound layer 国際会議

    K. Mizutani, K. Gotoh, Y. Kurokawa, K. Kutsukake, N. Usami

    34th International Photovoltaic Science and Engineering Conference  2023年11月7日 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  38. TiOx:Nb層の導入によるTiOx/SiOy/Si ヘテロ構造のパッシベーション性能の向上

    深谷昌平, 後藤和泰, 黒川康良, 宇佐美徳隆

    第10回 応用物理学会 名古屋大学 ステューデントチャプター東海地区学術講演会  2023年11月3日 

     詳細を見る

    開催年月日: 2023年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋   国名:日本国  

  39. Improved bottom cell current in perovskite/silicon tandem solar cells by double-sided nanopyramid Si texture

    Y. Li, H. Sai, C. McDonald, Z. Xu, Y. Kurokawa, N. Usami, T. Matsui

    2023年9月21日 

     詳細を見る

    開催年月日: 2023年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  40. 双晶ネットワークを用いた多結晶シリコンにおける 転位クラスター発生挙動の解析

    鳥居 和馬、小島 拓人、沓掛 健太朗、工藤 博章、宇佐美 徳隆

    第84回応用物理学会秋季学術講演会  2023年9月19日  応用物理学会

     詳細を見る

    開催年月日: 2023年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:熊本   国名:日本国  

  41. Pドープ/Bドープ poly-Si薄膜の熱電特性評価

    柴田 啓介、加藤 慎也、黒澤 昌志、後藤 和泰、宮本 聡、伊藤 孝至、宇佐美 徳隆、黒川 康良

    第84回応用物理学会秋季学術講演会  2023年9月23日  応用物理学会

     詳細を見る

    開催年月日: 2023年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:熊本   国名:日本国  

  42. Development by using functional grain boundary of n-type mono-cast silicon for solar cells 国際会議

    H. Matsuo, H. Tanabe, and N. Usami

    40th European Photovoltaic Solar Energy Conference and Exhibition  2023年9月19日 

     詳細を見る

    開催年月日: 2023年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:ポルトガル共和国  

  43. Simultaneous Optimization of Crystal Growth Furnace and Process Using Crystal Growth Simulation and Machine Learning 国際会議

    H. Tanaka, K. Kutsukake, X. Liu, T. Kojima, and N. Usami

    40th European Photovoltaic Solar Energy Conference and Exhibition  2023年9月18日 

     詳細を見る

    開催年月日: 2023年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:ポルトガル共和国  

  44. カーボンニュートラルに向けた名古屋大学脱炭素社会創造センターの取り組みと太陽光発電に関する研究 招待有り

    宇佐美 徳隆

    令和5年 電気学会 基礎・材料・共通部門大会  2023年9月9日  電気学会

     詳細を見る

    開催年月日: 2023年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋   国名:日本国  

  45. Growth of Epitaxial BaSi2 Films with Carrier Lifetime over 2 μs by Close-Spaced Evaporation 国際会議

    Kosuke O. Hara, Ryota Takagaki, Keisuke Arimoto, Noritaka Usami

    2023 International Conference on Solid State Devices and Materials  2023年9月7日 

     詳細を見る

    開催年月日: 2023年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  46. Process machine learning, twinning network graph analysis & record high trapped magnetic field of Ba122 polycrystalline bulk superconductors 招待有り 国際会議

    A. Yamamoto, S. Ishiwata, S. Kikuchi, Y. Hasegawa, S. Tokuta, A. Ishii, A. Yamanaka, Y. Shimada, Z. Guo, S. Hata, T. Kojima, K. Kutsukake, H. Kudo and N. Usami

    The 13th International Workshop on Processing and Applications of Superconducting (RE)BCO Materials  2023年8月31日 

     詳細を見る

    開催年月日: 2023年8月 - 2023年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:フランス共和国  

  47. SiGe epitaxial growth via pulsed laser annealing of Al-Ge pastes on Si 国際会議

    T. Sato, S. Miyamoto, L. Xuan, S. Suzuki, M. Dhamrin, N. Usami

    International Conference on Crystal Growth and Epitaxy   2023年7月31日 

     詳細を見る

    開催年月日: 2023年7月 - 2023年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:イタリア共和国  

  48. Mg2Si crystal growth by the vertical Bridgman method: scale-up and optimization by modeling and growth experiments 国際会議

    . Liu, T. Umehara, H. Udono and N. Usami

    International Conference on Crystal Growth and Epitaxy   2023年8月1日 

     詳細を見る

    開催年月日: 2023年7月 - 2023年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:イタリア共和国  

  49. Optimization of temperature distribution transition in directional solidification method without restriction of growth furnace structure 国際会議

    H. Tanaka, K. Kutsukake, T. Kojima, X. Liu, N. Usami

    International Conference on Crystal Growth and Epitaxy   2023年7月31日 

     詳細を見る

    開催年月日: 2023年7月 - 2023年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:イタリア共和国  

  50. Stress analysis of multicrystalline Si with artificial grain boundaries to investigate the generation mechanism of dislocation clusters 国際会議

    H. Tajika, K. Kutsukake, T. Kojima, X. Liu, H. Tanaka, N. Usami

    International Conference on Crystal Growth and Epitaxy   2023年8月1日 

     詳細を見る

    開催年月日: 2023年7月 - 2023年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:イタリア共和国  

  51. Impact of Silicon Pyramid Texture Size on Perovskite/Silicon Tandem Solar Cell Performance 国際会議

    Y. Li, H. Sai, C. McDonald, Z. Xu, Y. Kurokawa, N. Usami, T. Matsui

    3rd tandemPV International Workshop  2023年7月7日 

     詳細を見る

    開催年月日: 2023年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:フランス共和国  

  52. カーボンニュートラルに関する大学間連携とキャンパスでの取組 招待有り

    宇佐美 徳隆

    第1回カーボンニュートラル共創シンポジウム・プレイベント  2023年7月5日 

     詳細を見る

    開催年月日: 2023年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  53. 太陽光発電の歴史の振り返り 招待有り

    宇佐美徳隆

    第20回次世代の太陽光発電シンポジウム  2023年6月30日  日本太陽光発電学会

     詳細を見る

    開催年月日: 2023年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:京都   国名:日本国  

  54. 廃棄結晶シリコン太陽電池から作製した高機能シリコンナノ粒子 招待有り

    加藤 慎也,曽我 哲夫,宇佐美 徳隆 ,土居 大亮,黒川 康良

    第20回次世代の太陽光発電シンポジウム  2023年6月30日  日本太陽光発電学会

     詳細を見る

    開催年月日: 2023年6月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:京都   国名:日本国  

  55. p型シリコンナノ結晶/酸化シリコン複合膜におけるキャリア選択能の向上 招待有り

    水谷 和嗣,後藤 和泰, 黒川 康良, 沓掛 健太朗, 宇佐美 徳隆

    第20回次世代の太陽光発電シンポジウム  2023年6月30日  日本太陽光発電学会

     詳細を見る

    開催年月日: 2023年6月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:京都   国名:日本国  

  56. 原子層堆積法で作製したTiOx/c-Siヘテロ構造のパッシベーション性能向上機構 招待有り

    道下 悠登,深谷 昌平,後藤 和泰,黒川 康良 ,宇佐美 徳隆

    第20回次世代の太陽光発電シンポジウム  2023年6月30日  日本太陽光発電学会

     詳細を見る

    開催年月日: 2023年6月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:京都   国名:日本国  

  57. Numerical simulation study for analysis of hydrogenated amorphous silicon/crystalline silicon heterostructure by Reactive Molecular Dynamics Method 国際会議

    K. Inoue, N. Uene, K. Gotoh, Y. Kurokawa, T. Tokumasu, N. Usami

    50th IEEE Photovoltaic Specialists Conference  2023年6月11日 

     詳細を見る

    開催年月日: 2023年6月

    記述言語:英語   会議種別:ポスター発表  

    開催地:San Juan   国名:プエルトリコ  

  58. Influence of insertion position of a LiF buffer layer on passivation performance of crystalline Si/SiOy/TiOx/Al heterostrucures 国際会議

    S. Fukaya, K. Gotoh, T. Matsui, H. Sai, Y. Kurokawa, N. Usami

    50th IEEE Photovoltaic Specialists Conference  2023年6月11日 

     詳細を見る

    開催年月日: 2023年6月

    記述言語:英語   会議種別:ポスター発表  

    開催地:San Juan   国名:プエルトリコ  

  59. Improvement of Passivation Performance of ​Silicon Nanocrystal/Silicon Oxide Compound Layer ​by Two-step Hydrogen Plasma Treatment​ 国際会議

    M. Matsumi, K. Gotoh, M. Wilde, Y. Kurokawa, K. Fukutani, N. Usami

    13th International Conference on Silicon Photovoltaics 2023  2023年4月13日 

     詳細を見る

    開催年月日: 2023年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Delft   国名:オランダ王国  

  60. Implementation of Nanoimprinted Light Trapping Structure Into Si Heterojunction Solar Cells 国際会議

    Y. Kurokawa, Y. Kimata, Y. Iseki, K. Gotoh, S. Miyamoto, R. Ozaki, K. Nakamura, Y. Ohshita, N. Usami

    13th International Conference on Silicon Photovoltaics 2023  2023年4月11日 

     詳細を見る

    開催年月日: 2023年4月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Delft   国名:オランダ王国  

  61. Mover Electrode/Stater with Double Electrodes Triboelectric Nanogenerator with High Instantaneous Current Triggered by a Surficial Contact Electrode 国際共著 国際会議

    H. Wang, Y. Kurokawa, K. Gotoh, S. Kato, J. Zhang and N. Usami

    MRS Spring Meeting & Exhibit  2023年4月11日 

     詳細を見る

    開催年月日: 2023年4月

    記述言語:英語   会議種別:ポスター発表  

  62. 太陽光発電主力電源化に向けた次世代技術開発 招待有り

    宇佐美 徳隆

    日本化学会第103春季年会  2023年3月23日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(基調)  

  63. Ba-122多結晶バルクの粒界組織における新規結晶方位解析法開発

    嶋田 雄介,長谷川 友大,徳田 進ノ助,村岡 幸樹,小島 拓人,郭 子萌,波多 聰,工藤 博章,宇佐美 徳隆,山本 明保

    第70回応用物理学会春季学術講演会  2023年3月16日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

  64. BaSi2薄膜の結晶粒界とキャリア寿命の関係

    原康祐, 有元圭介, 宇佐美徳隆

    第70回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

  65. シリコンのキャスト成長過程における非対称傾角粒界からの転位発生 国際共著

    大野裕, 吉田秀人, 横井達矢, 山腰健太, 小島拓人, 松永克志, Krenckel Patricia, Riepe Stephan, 宇佐美徳隆佐美徳隆

    第70回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

  66. 水素化アモルファスシリコン/結晶シリコンヘテロ構造の解析に向けた反応性力場分子動力学法による数値シミュレーション研究

    井上和磨, 上根直也, 後藤和泰, 黒川康良, 徳増崇, 宇佐美徳隆

    第70回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

  67. 多結晶Siの転位密度に対する界面形状と成長時間の影響に関する統計的調査

    田中博之, 沓掛健太朗, 小島拓人, 劉鑫, 宇佐美徳隆

    第70回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

  68. ベイズ最適化を援用したシリコン量子ドット積層構造の高品質化と太陽電池応用

    熊谷風雅, 後藤和泰, 加藤慎也, 宮本聡, 沓掛健太朗, 宇佐美徳隆, 黒川康良

    第70回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

  69. 2段階水素プラズマ処理によるシリコンナノ結晶/酸化シリコン複合膜のパッシベーション性能向上

    松見優志, 後藤和泰, ビルデ マーカス, 黒川康良, 福谷克之, 宇佐美徳隆

    第70回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

  70. TiOx/SiOy/結晶Siヘテロ構造におけるAl成膜後のパッシベーション性能に及ぼすLiF層の効果

    深谷昌平, 後藤和泰, 松井卓矢, 齋均, 黒川康良, 宇佐美徳隆

    第70回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

  71. 透明導電膜の成膜による結晶シリコンへのプロセスダメージの評価

    小島遥希, 西原達平, 伊藤佑太, Lee Hyunju, 後藤和泰, 宇佐美徳隆, 原知彦, 中村京太郎, 大下祥雄, 小椋厚志

    第70回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

  72. SiGe薄膜における歪み緩和と結晶傾斜への水素局在効果

    加納光樹, 宮本聡, 黒川康良, 宇佐美徳隆

    第70回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

  73. コロイダルリソグラフィ法とナノインプリント法による近赤外光に特化した光閉じ込め構造の作製

    木股佑斗, 後藤和泰, 宮本聡, 黒川康良, 宇佐美徳隆

    第70回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

  74. 多結晶材料における結晶欠陥発生予測モデルの構築と解析

    原京花, 小島拓人, 沓掛健太朗, 工藤博章, 宇佐美徳隆

    第70回応用物理学会春季学術講演会  2023年3月18日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

  75. Research on next-generation PV technology in Japan 招待有り 国際会議

    Noritaka Usami

    2nd Indo -Japan Joint Workshop on Photovoltaics  2023年3月9日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Chennai   国名:インド  

  76. An overview of the “Multicrystalline Informatics” project 招待有り 国際会議

    Noritaka Usami

    3rd International Symposium on Modeling of Crystal Growth Processes and Devices  2023年3月7日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(基調)  

    開催地:Chennai   国名:インド  

  77. Multi-scale modeling and optimization from the process stability to the grain evolution for the mono-like Si ingot growth 招待有り 国際会議

    X. Liu, Y. Dang, H. Tanaka, K. Kutsukake, T. Ujihara, and N. Usami

    3rd International Symposium on Modeling of Crystal Growth Processes and Devices  2023年3月8日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Chennai   国名:インド  

  78. カーボンニュートラルに向けた名古屋大学での取り組み 招待有り

    宇佐美 徳隆

    新潟大学カーボンニュートラル融合技術研究センター第 1 回研究会  2023年3月3日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  79. 多結晶材料情報学を基盤とした材料開発の新展開 招待有り

    宇佐美徳隆

    nano tech 特別シンポジウム  2023年2月2日 

     詳細を見る

    開催年月日: 2023年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  80. 太陽光発電主力電源化に向けた先端材料プロセス 招待有り

    宇佐美 徳隆

    第27回宮崎大学未来エネルギープロジェクト講演会  2022年12月12日 

     詳細を見る

    開催年月日: 2022年12月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

  81. Improvement of contact resistivity by boron delta-doping in p-type amorphous silicon surface 国際会議

    Kazuhiro Gotoh, Ryo Ozaki, Motoo Morimura, Yoshiko Iseki, Kyotaro Nakamura, Yasuyoshi Kurokawa, Yoshio Ohshita and Noritaka Usami

    33rd International Photovoltaic Science and Engineering Conference 

     詳細を見る

    開催年月日: 2022年11月

    開催地:Nagoya   国名:日本国  

  82. Dislocation generation via the formation of higher-order twin boundaries in mono-cast silicon 国際会議

    Yutaka Ohno, Kenta Yamakoshi, Takuto Kojima, Hideto Yoshida, Patricia Krenckel, Stephan Riepe, Koji Inoue, Yasuyoshi Nagai, Noritaka Usami

    33rd International Photovoltaic Science and Engineering Conference 

     詳細を見る

    開催年月日: 2022年11月

    会議種別:口頭発表(一般)  

    開催地:Nagoya   国名:日本国  

  83. Image translation from two optical and one grain boundary images to distribution image of generation points of dislocations clusters in a multicrystalline silicon wafer 国際会議

    Hiroaki Kudo, Takuto Kojima, Kentaro Kutsukake, Tetsuya Matsumoto, Noritaka Usami

    33rd International Photovoltaic Science and Engineering Conference 

     詳細を見る

    開催年月日: 2022年11月

    開催地:Nagoya   国名:日本国  

  84. A neural network-based estimation of the generation of dislocation clusters in multicrystalline silicon 国際会議

    Kyoka Hara, Takuto Kojima, Kentaro Kutsukake, Hiroaki Kudo, Noritaka Usami

    33rd International Photovoltaic Science and Engineering Conference 

     詳細を見る

    開催年月日: 2022年11月

    開催地:Nagoya   国名:日本国  

  85. Multiscale modeling on the grain evolution of the SMART ingot growing process by the 3D CAFE method 国際会議

    Xin Liu, Hiroyuki Tanaka, Kentaro Kutsukake, Takuto Kojima, and Noritaka Usami

    33rd International Photovoltaic Science and Engineering Conference 

     詳細を見る

    開催年月日: 2022年11月

    開催地:Nagoya   国名:日本国  

  86. Reflection measurement system with telecentric optics for prediction of crystal orientation in large-scale multicrystalline structure 国際会議

    Takuto Kojima, Kyoka Hara, Kentaro Kutsukake, Tetsuya Matsumoto, Hiroaki Kudo, Noritaka Usami

    33rd International Photovoltaic Science and Engineering Conference 

     詳細を見る

    開催年月日: 2022年11月

    開催地:Nagoya   国名:日本国  

  87. 3D stress analysis of multicrystalline Si with artificial grain boundaries and evaluation of dislocation cluster distribution 国際会議

    Haruki Tajika, Kentaro Kutsukake, Takuto Kojima, Xin Liu, Hiroyuki Tanaka, Noritaka Usami

    33rd International Photovoltaic Science and Engineering Conference 

     詳細を見る

    開催年月日: 2022年11月

    開催地:Nagoya   国名:日本国  

  88. Study on carrier transport pathways in silicon nanocrystal/silicon oxide composite films 国際会議

    A. Arata, K. Gotoh, S. Yamada, Y. Kurokawa, T. Itoh, N. Usami

    33rd International Photovoltaic Science and Engineering Conference  2022年11月15日 

     詳細を見る

    開催年月日: 2022年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  89. Post-annealing effects on dual-layered hydrogenated amorphous silicon/crystalline silicon heterointerfaces 国際会議

    K. Inoue, K. Gotoh, K. Kutsukake, N. Sawamoto, T. Nishihara, Y. Kurokawa, A. Ogura and N. Usami

    33rd International Photovoltaic Science and Engineering Conference  2022年11月15日 

     詳細を見る

    開催年月日: 2022年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  90. Effect of a lithium fluoride layer on the passivation performance of metalized titanium oxide/silicon oxide/silicon heterostructures 国際会議

    S. Fukaya, K. Gotoh, T. Matsui, H. Sai, Y. Kurokawa, N. Usami

    33rd International Photovoltaic Science and Engineering Conference  2022年11月15日 

     詳細を見る

    開催年月日: 2022年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  91. Photoconductivity measurement of silicon quantum dot multilayers for the Bayesian optimization 国際会議

    F. Kumagai, K. Gotoh, S. Miyamoto, S. Kato, N. Matsuo, S. Yamada, T. Itoh, N. Usami, Y. Kurokawa

    33rd International Photovoltaic Science and Engineering Conference  2022年11月15日 

     詳細を見る

    開催年月日: 2022年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  92. Application of hydrogenated silicon nanocrystal/silicon oxide compound layer to crystalline silicon solar cells 国際会議

    M. Matsumi, K. Gotoh, S. Miyamoto, Y. Kurokawa, N. Usami

    33rd International Photovoltaic Science and Engineering Conference  2022年11月15日 

     詳細を見る

    開催年月日: 2022年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Nagoya   国名:日本国  

  93. Simulation study of boron delta-doping layer on p-type hydrogenated amorphous silicon in silicon heterojunction solar cells 国際会議

    K. Gotoh, R. Ozaki, M. Morimura, Y. Iseki, K. Nakamura, Y. Kurokawa, Y. Ohshita and N. Usami

    33rd International Photovoltaic Science and Engineering Conference  2022年11月16日 

     詳細を見る

    開催年月日: 2022年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Nagoya   国名:日本国  

  94. A statical study of the effect of interface shape and growth time on dislocation density in multicrystalline Si 国際会議

    H. Tanaka, K. Kutsukake, T. Kojima, X. Liu, N. Usami

    The 8th International Symposium on Advanced Science and Technology of Silicon Materials  2022年11月8日 

     詳細を見る

    開催年月日: 2022年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Okayama   国名:日本国  

  95. e-CSTIにおける研究データ連結の確からしさ

    藤田裕二、宇佐美徳隆、藤井俊彰、永井博昭

    研究・イノベーション学会第37回年次学術大会  2022年10月29日 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

  96. 引用構造のフラクタル次元として定義されるスケール不変な派生h-index

    藤田裕二、宇佐美徳隆

    研究・イノベーション学会第37回年次学術大会  2022年10月29日 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

  97. Multiscale modeling and optimization on the solidification and the grain evolution of the SMART ingot grown by DS-Si process 招待有り 国際共著 国際会議

    X. Liu, H. Tanaka, K. Kutsukake, and N. Usami

    The 10th International Workshop on Modeling in Crystal Growth  2022年10月17日 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Xian   国名:中華人民共和国  

  98. Growth of mc-Silicon ingot by DS Process: Computational Modeling, Experimental and Machine Learning Studies 招待有り 国際共著 国際会議

    M. Srinivasan, P. Ramasamy, N. Usami

    The 10th International Workshop on Modeling in Crystal Growth  2022年10月17日 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Xian   国名:中華人民共和国  

  99. Application of Silicon Nanocrystals to Energy Harvesting Devices 招待有り 国際会議

    Y. Kurokawa, F. Kumagai, K. Shibata, K. Gotoh, S. Miyamoto, S. Kato, and N. Usami

    Advanced Materials World Congress  2022年10月13日 

     詳細を見る

    開催年月日: 2022年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  100. Improvement of Passivation Performance of Silicon Nano-crystal/Silicon Oxide Compound Layer by Hydrogen Plasma Treatment 国際会議

    Masashi Matsumi, Kazuhiro Gotoh, Markus Wilde, Yasuyoshi Kurokawa, Katsuyuki Fukutani, Noritaka Usami

    WCPEC-8  2022年9月27日 

     詳細を見る

    開催年月日: 2022年9月

    会議種別:ポスター発表  

    開催地:Milano   国名:イタリア共和国  

  101. Design of a Growth Process of High-Quality Quasi-Monocrystalline Silicon Ingot Integrating Experimental, Theoretical, Computational, and Data Sciences 国際会議

    Noritaka Usami, Xin Liu, Yusuke Fukuda, Hiroyuki Tanaka, Kentaro Kutsukake, Takuto Kojima

    WCPEC-8  2022年9月26日 

     詳細を見る

    開催年月日: 2022年9月

    会議種別:口頭発表(一般)  

    開催地:Milano   国名:イタリア共和国  

  102. Fabrication of Silicon Heterojunction Solar Cells with Light Trapping Structures Specialized for Near-Infrared Light by Nanoimprinting 国際会議

    Yuto Kimata, Kazuhiro Gotoh, Satoru Miyamoto, Shinya Kato, Yasuyoshi Kurokawa, Noritaka Usami

    WCPEC-8  2022年9月27日 

     詳細を見る

    開催年月日: 2022年9月

    会議種別:口頭発表(一般)  

    開催地:Milano   国名:イタリア共和国  

  103. Performance enhancement of droplet-based electricity generator using a CYTOP intermediate layer 国際会議

    Haitao Wang, Yasuyoshi Kurokawa, Kazuhiro Gotoh, Shinya Kato, Shigeru Yamada, Takashi Itoh, Noritaka Usami

    2022SSDM 

     詳細を見る

    開催年月日: 2022年9月

    国名:日本国  

  104. Preparation and thermoelectric characterization of boron-doped silicon nanocrystals/silicon oxide multilayers 国際会議

    Keisuke Shibata , Shinya Kato , Masashi Kurosawa , Kazuhiro Gotoh, Satoru Miyamoto, Noritaka Usami, Yasuyoshi Kurokawa

    2022SSDM 

     詳細を見る

    開催年月日: 2022年9月

    国名:日本国  

  105. キャスト成長 シリコンにおけるΣ3粒界からの転位発生の微視的描像

    大野 裕 ,吉田 秀人,横井 達矢,松永 克志 ,井上 耕治,永井 康介,宇佐美 徳隆

    2022年第83回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2022年9月

    開催地:東北大学 ハイブリッド   国名:日本国  

  106. Boosting the output of droplet-based energy harvester by a CYTOP intermediate layer

    H. Wang, Y. Kurokawa, K. Gotoh, S. Miyamoto, S. Kato, S. Yamada, T. Itoh, N. Usami

    2022年第83回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2022年9月

    会議種別:口頭発表(一般)  

    開催地:東北大学 ハイブリッド   国名:日本国  

  107. 金属製膜後のTiOx/SiOy/結晶Siヘテロ構造のパッシベーション性能におけるLiF中間層の導入による影響

    深谷昌平, 後藤和泰, 松井卓矢, 齋均, 黒川康良, 宇佐美徳隆

    2022年第83回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2022年9月

    会議種別:口頭発表(一般)  

    開催地:東北大学 ハイブリッド   国名:日本国  

  108. 多結晶Mg2Si組織の結晶粒成長挙動の解析

    弟子丸拓巳, 山腰健太, 沓掛健太朗, 小島拓人, 梅原翼, 鵜殿治彦, 宇佐美徳隆

    2022年第83回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2022年9月

    会議種別:口頭発表(一般)  

    開催地:東北大学 ハイブリッド   国名:日本国  

  109. Al-Ge合金ペーストのパルスレーザー熱処理によるSi基板上へのSiGe薄膜成長

    佐藤剛志, 宮本聡, 鈴木紹太, ダムリン マルワン, 宇佐美徳隆

    2022年第83回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2022年9月

    会議種別:口頭発表(一般)  

    開催地:東北大学 ハイブリッド   国名:日本国  

  110. 人工粒界を含む多結晶Siの応力解析と転位クラスター分布の評価

    田近陽輝, 沓掛健太朗, 小島拓人, 劉鑫, 田中博之, 宇佐美徳隆

    2022年第83回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2022年9月

    会議種別:口頭発表(一般)  

    開催地:東北大学 ハイブリッド   国名:日本国  

  111. 結晶方位分布を用いた多結晶シリコン成長挙動のインゴットスケール解析

    小島拓人, 原京花, 沓掛健太朗, 松本哲也, 工藤博章, 宇佐美徳隆

    2022年第83回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2022年9月

    会議種別:口頭発表(一般)  

    開催地:東北大学 ハイブリッド   国名:日本国  

  112. ボロンドープしたシリコンナノ結晶/シリコン酸化膜積層構造の作製及び熱電特性評価

    柴田啓介, 加藤慎也, 黒澤昌志, 後藤和泰, 宮本聡, 宇佐美徳隆, 黒川康良

    2022年第83回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2022年9月

    会議種別:口頭発表(一般)  

    開催地:東北大学 ハイブリッド   国名:日本国  

  113. Post-annealing effects on the hydrogenated amorphous silicon/crystalline silicon heterointerfaces 国際会議

    Kazuma Inoue, Kazuhiro Gotoh, Kentaro Kutsukake, Naomi Sawamoto, Tappei Nishihara, Satoru Miyamoto, Yasuyoshi Kurokawa, Atsushi Ogura, and Noritaka Usami

    22nd International Vacuum Congress   2022年9月 

     詳細を見る

    開催年月日: 2022年9月

    開催地:Sapporo   国名:日本国  

  114. Hydrogen depth profiles of hydrogenated amorphous silicon double layers on crystalline silicon 国際会議

    Kazuhiro Gotoh, Kazuma Inoue, Naomi Sawamoto, Tappei Nishihara, Markus Wilde, Satoru Miyamoto, Yasuyoshi Kurokawa, Atsuhi Ogura, Katsuyuki Fukutani, and Noritaka Usami

    22nd International Vacuum Congress   2022年 

     詳細を見る

    開催年月日: 2022年9月

    開催地:Sapporo   国名:日本国  

  115. Hydrogen defect clustering in strained SiGe host matrixes 国際会議

    M. Kano, S. Miyamoto, Y. Kurokawa, and N. Usami

    22nd International Vacuum Congress  2022年9月13日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:英語   会議種別:口頭発表(一般)  

  116. Thermoelectric properties of Mg2Si thin films prepared by thermal evaporation of Mg on P-doped polycrystalline Si thin films and face-to-face annealing 国際会議

    K. Sato, Y. Kurokawa, K. Shibata, S. Kato, S. Miyamoto, K. Gotoh, T. Itoh and N. Usami

    9th International Symposium on Control of Semiconductor Interfaces  2022年9月5日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:英語   会議種別:口頭発表(一般)  

  117. Multiscale analysis of dislocation generation at Σ3grain boundaries during cast growth of high-performance multicrystalline Si ingots 国際会議

    Yutaka Ohno, Koji Inoue, Yasuyoshi Nagai, KentaYamakoshi, Hiroyuki Tanaka, Xin Liu, Tatsuya Yokoi, Katsuyuki Matsunaga, Noritaka Usami, Takuto Kojima, Hiroaki Kudo, Kentaro Kutsukake, Hideto Yoshida

    19th International Conference on Defects-Recognition, Imaging and Physics in Semiconductors  

     詳細を見る

    開催年月日: 2022年8月 - 2022年9月

    開催地:Online  

  118. Application of Bayesian optimization for high-efficiency silicon quantum dot solar cells 招待有り 国際会議

    Y. Kurokawa, F. Kumagai, S. Miyagawa, K. Gotoh, S. Miyamoto, K. Kutsukake, S. Kato, and N. Usami

    European Assembly of Advanced Materials Congress  2022年8月28日 

     詳細を見る

    開催年月日: 2022年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  119. Analysis of Grain Growth Behavior of Multicrystalline Mg2Si 国際会議

    Takumi Deshimaru, Kenta Yamakoshi, Kentaro Kutsukake, Takuto Kojima, Tsubasa Umehara, Haruhiko Udono, Noritaka Usami

    2022 APACSilicide  2022年7月30日 

     詳細を見る

    開催年月日: 2022年7月 - 2022年8月

    会議種別:口頭発表(一般)  

  120. B-Doped BaSi2 Thin Films Prepared by Thermal Evaporation for Hole Selective Contact 国際会議

    Y. Kurokawa, M. Fujiwara, K. Takahashi, Y. Nakagawa, K. Gotoh, and N. Usami

    Global Photovoltaic Conference 2022  2022年7月6日 

     詳細を見る

    開催年月日: 2022年7月

    記述言語:英語   会議種別:ポスター発表  

  121. シリコンナノ結晶/酸化シリコン複合膜におけるパッシベーション性能の水素プラズマ処理圧力依存性

    松見優志,後藤和泰,ビルデ マーカス, 黒川康良,福谷克之,宇佐美徳隆

    第19回次世代の太陽光発電シンポジウム  2022年6月28日 

     詳細を見る

    開催年月日: 2022年6月

    会議種別:ポスター発表  

    開催地:金沢 ハイブリッド   国名:日本国  

  122. シリコン量子ドット積層膜の光導電率評価

    熊谷 風雅,後藤 和泰,山田 繁,伊藤 貴司,宇佐美 徳隆,黒川 康良

    第19回次世代の太陽光発電シンポジウム  2022年6月28日 

     詳細を見る

    開催年月日: 2022年6月

    会議種別:ポスター発表  

    開催地:金沢 ハイブリッド   国名:日本国  

  123. TiOx/結晶Siヘテロ構造における電極製膜後のパッシベーション性能の定量的評価の検討

    深谷昌平, 後藤和泰, 松井卓矢, 齋均, 黒川康良, 宇佐美徳隆

    第19回次世代の太陽光発電シンポジウム  2022年6月28日 

     詳細を見る

    開催年月日: 2022年6月

    会議種別:ポスター発表  

    開催地:金沢 ハイブリッド   国名:日本国  

  124. 硼素dopingがaSi:H/cSi界面・cSi表面欠陥に及ぼす影響のFET-TEGによる評価

    林豊, 後藤和泰, 原知彦, 神岡武文, 尾崎亮, 森村元勇, 中村京太郎, 黒川康良, 宇佐美徳隆, 大下祥雄

    第19回次世代の太陽光発電シンポジウム  2022年6月28日 

     詳細を見る

    開催年月日: 2022年6月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:金沢 ハイブリッド   国名:日本国  

  125. ボロンデルタドープp型水素化アモルファスシリコンと太陽電池応用

    後藤和泰,尾崎亮,森村元勇,田中亜紀,伊関良子,中村京太郎,村松和郎,黒川康良,大下祥雄,宇佐美徳隆

    第19回次世代の太陽光発電シンポジウム  2022年6月28日 

     詳細を見る

    開催年月日: 2022年6月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:金沢 ハイブリッド   国名:日本国  

  126. 鉛フリー型ペロブスカイト太陽電池の開発研究に期待すること 国際会議

    宇佐美 徳隆

    JST未来社会創造事業「SnからなるPbフリーペロブ スカイト太陽電池の開発」キックオフ会議  2022年6月23日 

     詳細を見る

    開催年月日: 2022年6月

    会議種別:口頭発表(基調)  

    開催地:京都   国名:日本国  

  127. Fabrication of nanoimprinted light confinement structures for near-infrared light 国際会議

    Yuto Kimata, Kazuhiro Gotoh, Satoru Miyamoto, Yasuyoshi Kurokawa, Noritaka Usami

    The 8th Korea-Japan Joint Seminar on PV  2022年5月27日 

     詳細を見る

    開催年月日: 2022年5月

    会議種別:ポスター発表  

    開催地:Jeju, Korea, hybrid   国名:大韓民国  

  128. Data-driven optimization of growth process of quasi-monocrystalline silicon ingot 招待有り 国際会議

    Noritaka Usami, Xin Liu, Kentaro Kutsukake

    The 8th Korea-Japan Joint Seminar on PV  2022年5月27日 

     詳細を見る

    開催年月日: 2022年5月

    会議種別:口頭発表(招待・特別)  

    開催地:Jeju, Korea, hybrid   国名:大韓民国  

  129. Boron delta-doped hydrogenated amorphous silicon for improvement of contact resistivity 国際会議

    Kazuhiro Gotoh, Ryo Ozaki, Motoo Morimura, Aki Tanaka, Yoshiko Iseki, Kyotaro Nakamura, Kazuo Muramatsu, Yasuyoshi Kurokawa, Yoshio Ohshita, Noritaka Usami

    The 8th Korea-Japan Joint Seminar on PV  2022年5月27日 

     詳細を見る

    開催年月日: 2022年5月

    会議種別:ポスター発表  

    開催地:Jeju, Korea, hybrid   国名:大韓民国  

  130. Spatially resolved implied open-circuit voltage of titania/silicon heterostructures after electrode deposition by using PL imaging 国際会議

    Shohei Fukaya, Kazuhiro Gotoh, Takuya Matsui, Hitoshi Sai, Yasuyoshi Kurokawa, Noritaka Usami

    The 8th Korea-Japan Joint Seminar on PV  2022年5月27日 

     詳細を見る

    開催年月日: 2022年5月

    会議種別:ポスター発表  

    開催地:Jeju, Korea, hybrid   国名:大韓民国  

  131. Application of machine learning for crystal growth 招待有り 国際会議

    Noritaka Usami

    2nd Indian Summer School on Crystal Growth  2022年5月18日 

     詳細を見る

    開催年月日: 2022年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  132. 非対称粒界の粒界構造を網羅する人工粒界形成とキャリア再結合速度への影響解明

    福田祐介,沓掛健太朗, 小島拓人, 大野裕, 宇佐美徳隆

    第69回応用物理学会春季学術講演会  2022年 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学+オンライン開催   国名:日本国  

  133. 双晶形成ネットワークグラフによる正方晶の焼結体組織解析

    小島拓人, 沓掛健太朗, 松本哲也, 工藤博章, 宇佐美徳隆, 嶋田雄介, 山本明保

    第69回応用物理学会春季学術講演会  2022年 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学+オンライン開催  

  134. 結晶方位推定のためのラインスキャン型反射特性測定装置の開発

    小島拓人, 原京花, 沓掛健太朗, 松本哲也, 工藤博章, 宇佐美徳隆

    第69回応用物理学会春季学術講演会  2022年 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学+オンライン開催  

  135. Al-Ge合金ペーストによるSi(111)基板上へのSiGe混晶薄膜の成長

    福田啓介,宮本聡,鈴木紹太,中原正博,ダムリン マルワン,前田健作,藤原航三,宇佐美徳隆

    第69回応用物理学会春季学術講演会  2022年 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学+オンライン開催  

  136. ゲート制御Si-MOSデバイスにおける非平衡ダイナミクスと電荷雑音評価

    佐藤克哉, 宮本聡, 宇佐美徳隆

    第69回応用物理学会春季学術講演会  2022年 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学+オンライン開催  

  137. 応力解析による多結晶Si ナノファセット構造の転位発生への影響調査

    山腰健太, 大野裕, 沓掛健太朗, 小島拓人, 工藤博章, 田中博之, 宇佐美徳隆

    第69回応用物理学会春季学術講演会  2022年 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学+オンライン開催  

  138. 窒化チタン/酸化チタン積層膜を用いた結晶シリコンヘテロ構造の電気的特性向上

    井上徹哉,後藤和泰, 黒川康良, 宇佐美徳隆

    第69回応用物理学会春季学術講演会  2022年 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学+オンライン開催  

  139. Si基板上BaSi2近接蒸着膜の実効キャリア寿命

    原康祐, 高垣僚太, 有元圭介, 宇佐美徳隆

    第69回応用物理学会春季学術講演会  2022年 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:青山学院大学+オンライン開催  

  140. Silicon nanopyramid texture fabricated by one-step solution process and its application to silicon heterojunction solar cells

    Y. Li, H. Sai, T. Matsui, and N. Usami

    2022年 

     詳細を見る

    開催年月日: 2022年3月

    会議種別:口頭発表(一般)  

  141. 脱炭素社会実現を加速する次世代太陽電池への期待 招待有り

    宇佐美徳隆

    第14回 EAJ中部レクチャーin Webinar  2022年3月18日 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン開催   国名:日本国  

  142. Impact of Plasma Treatment on Heterostructures for Silicon-Based Solar Cells 招待有り 国際会議

    Noritaka Usami

    ISPlasma2022  2022年3月6日 

     詳細を見る

    開催年月日: 2022年3月

    会議種別:公開講演,セミナー,チュートリアル,講習,講義等  

    開催地:Nagoya University  

  143. 太陽電池用の結晶成長やセルプロセスへのインフォマティクス応用 招待有り

    宇佐美徳隆

    第190回総研セミナー開催案内「次世代の太陽光発電システム」  2022年1月19日 

     詳細を見る

    開催年月日: 2022年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:東京都市大学世田谷キャンパス   国名:日本国  

  144. Multicrystalline informatics for realistic 3D materials modeling and high-quality crystals 招待有り 国際会議

    Noritaka Usami

    Indo-Japan Workshop on "Silicon Crystal Growth for Photovoltaic Applications"  2022年 

     詳細を見る

    開催年月日: 2022年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  145. Features in optical image of generation points of dislocation clusters in multicrystalline silicon ingot predicted by transfer learning of convolutional neural network 国際会議

    H. Kudo, T. Kojima, T. Matsumoto, K. Kutsukake, and N. Usami

    Materials Research Meeting (MRM 2021)  2021年 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Yokohama   国名:日本国  

  146. Bayesian optimization of hydrogen plasma treatment for reducing defects in silicon quantum dot multilayers 国際会議

    S. Miyagawa, K. Gotoh, S. Miyamoto, K. Kutsukake, S. Kato, N. Usami, and Y. Kurokawa

    Materials Research Meeting (MRM 2021)  2021年 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Yokohama   国名:日本国  

  147. Design and fabrication of nanoimprinted optical confinement structure specialized for near-infrared light 国際会議

    Y. Kimata, K. Gotoh, S. Miyamoto, N. Usami, and Y. Kurokawa

    Materials Research Meeting (MRM 2021)  2021年 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Yokohama   国名:日本国  

  148. Process optimization for the seeded directional solidification of Si ingot using CFD and machine learning 国際会議

    X. Liu, Y. Dang, H. Tanaka, K. Kutsukake, T. Ujihara, and N. Usami

    Materials Research Meeting (MRM 2021)  2021年 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Yokohama   国名:日本国  

  149. Improvement of machine learning model for crystal orientation estimation by data augmentation 国際会議

    T. Kojima, K. Hara, K. Kutsukake, T. Matsumoto, H. Kudo, and N. Usami

    Materials Research Meeting (MRM 2021)  2021年 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Yokohama   国名:日本国  

  150. Bayesian optimization of hydrogen plasma treatment for reducing defects in silicon quantum dot multilayers 国際会議

    F. Kumagai, S. Miyagawa, K. Gotoh, S. Miyamoto, K. Kutsukake, S. Kato, N. Usami, and Y. Kurokawa

    31st International Photovoltaic Science and Engineering Conference (PVSEC-31)  2021年 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

  151. The guideline for control of grain boundary configuration to suppress carrier recombination in multicrystalline silicon 国際会議

    Y. Fukuda, K. Kutsukake, T. Kojima, and N. Usami

    31st International Photovoltaic Science and Engineering Conference (PVSEC-31)  2021年 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

  152. Effect of hydrogenation process on passivation performance of silicon nano-crystal/silicon oxide compound lay 国際会議

    M. Matsumi, K. Gotoh, M. Wilde, Y. Kurokawa, K. Fukutani, and N. Usami

    31st International Photovoltaic Science and Engineering Conference (PVSEC-31)  2021年 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

  153. Design and fabrication of nanoimprinted optical confinement structure specialized for near-infrared light 国際会議

    Y. Kimata, K. Gotoh, S. Miyamoto, Y. Kurokawa, and N. Usami

    31st International Photovoltaic Science and Engineering Conference (PVSEC-31)  2021年 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

  154. Fabrication of all-solid-state semiconductor battery using TiOx:Nb for energy storage 国際会議

    K. Watanabe, K. Gotoh, S. Miyamoto, M. Motoyama, N. Usami, and Y. Kurokawa

    31st International Photovoltaic Science and Engineering Conference (PVSEC-31)  2021年 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(一般)  

  155. 太陽電池材料SnドープSiGe薄膜の活性サイト位置のSn量の定量評価

    星翔太, 菅野友哉, 高野元輝, Keiko Widyanisa, 小林洋大, 富松優花, 木村耕治, 八方直久, 鈴木紹太, ダムリンマルワン, 福田啓介, 宮本聡, 宇佐美徳隆, 林好一, 大山研司

    第21回 日本中性子科学会年会  2021年 

     詳細を見る

    開催年月日: 2021年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン開催   国名:日本国  

  156. Development of a realistic 3D model of multicrystalline Si structure using image processing and machine learning of optical images and finite element stress analysis on the model 国際会議

    Kenta Yamakoshi, Takuto Kojima, Kentaro Kutsukake, Hiroaki Kudo, Noritaka Usami

    2021 MRS Fall Meeting & Exhibit  2021年11月 

     詳細を見る

    開催年月日: 2021年11月 - 2021年12月

    記述言語:英語  

    開催地:Boston & on-line   国名:アメリカ合衆国  

  157. Continuous evaluation of carrier recombination velocity of grain boundaries in multicrystalline Si using machine learning

    Kentaro Kutsukake, Kazuki Mitamura, Takuto Kojima, Noritaka Usami

    2021 MRS Fall Meeting & Exhibit  2021年11月 

     詳細を見る

    開催年月日: 2021年11月 - 2021年12月

    記述言語:英語  

    開催地:Boston & on-line   国名:アメリカ合衆国  

  158. Twinning Network Graph Analysis on Ingot-scale Multicrystalline Structure 国際会議

    Takuto Kojima, Kentaro Kutsukake, Tetsuya Matsumoto, Hiroaki Kudo, Noritaka Usami

    2021 MRS Fall Meeting & Exhibit  2021年11月 

     詳細を見る

    開催年月日: 2021年11月 - 2021年12月

    記述言語:英語  

    開催地:Boston & on-line   国名:アメリカ合衆国  

  159. Training Data Optimization and Error Analysis for Machine Learning-based Crystal Orientation Estimation 国際会議

    Kyoka Hara, Takuto Kojima, Kentaro Kutsukake, Hiroaki Kudo, Noritaka Usami

    2021 MRS Fall Meeting & Exhibit  2021年11月 

     詳細を見る

    開催年月日: 2021年11月 - 2021年12月

    記述言語:日本語  

    開催地:Boston & on-line   国名:アメリカ合衆国  

  160. 水素パッシベーションによるAs-doped n-BaSi2膜の分光感度向上及び第一原理計算を用いた考察

    青貫翔, 山下雄大, Z. Xu, 後藤和泰, 都甲薫, 宇佐美徳隆, D. Migas, 末益宗

    第18回次世代の太陽光発電システムシンポジウム  2021年10月15日 

     詳細を見る

    開催年月日: 2021年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:朱鷺メッセ,新潟   国名:日本国  

  161. 擬単結晶シリコン中の非対称∑27粒界における転位クラスター発生機構

    大野裕, 吉田秀人, 小島拓人, 山腰健太, 宇佐美徳隆, Patricia Krenckel, Stephan Riepe

    第18回次世代の太陽光発電システムシンポジウム  2021年10月15日 

     詳細を見る

    開催年月日: 2021年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:朱鷺メッセ,新潟   国名:日本国  

  162. TiOx/結晶Siヘテロ構造における電極製膜後のパッシベーション性能の定量的評価の検討

    深谷昌平, 後藤和泰, 松井卓矢, 齋均, 黒川康良, 宇佐美徳隆

    第18回次世代の太陽光発電システムシンポジウム  2021年10月15日 

     詳細を見る

    開催年月日: 2021年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:朱鷺メッセ,新潟   国名:日本国  

  163. 多種データにより再現された結晶成長中の多結晶Si組織における応力解析

    山腰健太, 沓掛健太朗, 小島拓人, 工藤博章, 田中博之, 大野裕, 宇佐美徳隆

    第18回次世代の太陽光発電システムシンポジウム  2021年10月15日 

     詳細を見る

    開催年月日: 2021年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:朱鷺メッセ,新潟   国名:日本国  

  164. Al-Ge合金ペーストを用いた多接合型太陽電池のためのSiGe混晶層形成

    福田啓介, 宮本聡, 中原正博, 鈴木紹太, ダムリン マルワン, 宇佐美徳隆

    第18回次世代の太陽光発電システムシンポジウム  2021年10月15日 

     詳細を見る

    開催年月日: 2021年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:朱鷺メッセ,新潟   国名:日本国  

  165. 太陽電池用結晶シリコンの成長シミュレーションに対するパラメータ同定

    田中博之, 沓掛 健太朗, 小島拓人, 福田祐介, 劉鑫, 宇佐美徳隆

    第18回次世代の太陽光発電システムシンポジウム  2021年10月15日 

     詳細を見る

    開催年月日: 2021年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:朱鷺メッセ,新潟   国名:日本国  

  166. 機械学習によるPL強度分布からの粒界再結合速度の推定と誤差検討

    沓掛健太朗,三田村和樹, 小島拓人, 宇佐美徳隆

    第18回次世代の太陽光発電システムシンポジウム  2021年10月15日 

     詳細を見る

    開催年月日: 2021年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:朱鷺メッセ,新潟   国名:日本国  

  167. 機械学習を用いた結晶方位推定とその応用可能性

    原京花,小島拓人,沓掛健太朗,工博章,タモタラン ケルチバサン, マニッカム スリニバサン,ペルマルザミー ラマザミー,宇佐美徳隆

    第18回次世代の太陽光発電システムシンポジウム  2021年10月15日 

     詳細を見る

    開催年月日: 2021年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:朱鷺メッセ,新潟   国名:日本国  

  168. 多結晶Si中の粒界構造の変化がキャリア再結合速度に与える影響

    福田祐介, 沓掛健太朗, 小島拓人, 大野裕, 宇佐美徳隆

    第18回次世代の太陽光発電システムシンポジウム  2021年10月15日 

     詳細を見る

    開催年月日: 2021年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:朱鷺メッセ,新潟   国名:日本国  

  169. 結晶方位情報を含む多次元光学イメージを用いた敵対的生成ネットワークによる蛍光イメージ生成

    工藤博章, 小島拓人, 松本哲也, 沓掛健太朗, 宇佐美徳隆

    第18回次世代の太陽光発電システムシンポジウム  2021年10月15日 

     詳細を見る

    開催年月日: 2021年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:朱鷺メッセ,新潟   国名:日本国  

  170. Bayesian Optimization of Passivating Contacts for Crystalline Silicon Solar Cells 招待有り 国際会議

    Noritaka Usami

    240th ECS Meeting  2021年10月10日 

     詳細を見る

    開催年月日: 2021年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Orland   国名:アメリカ合衆国  

  171. 真空蒸着法により作製したMg₂Si薄膜の高品質化に向けたface-to-faceアニール効果

    佐藤 海誓,宮本 聡,後藤 和泰, 黒川 康良, 伊藤 孝至, 宇佐美 徳隆

    第82回応用物理学会秋季学術講演会  2021年9月11日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  172. 双晶形成ネットワークグラフによる多結晶インゴットの結晶組織解析

    小島 拓人, 沓掛健太朗, 松本 哲也, 工藤 博章, 宇佐美 徳隆

    第82回応用物理学会秋季学術講演会  2021年9月11日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  173. 機械学習による結晶方位推定における訓練データの最適化と誤差分析

    原 京花,小島 拓人, 沓掛 健太朗, 工藤 博章, 宇佐美 徳隆

    第82回応用物理学会秋季学術講演会  2021年9月11日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  174. 機械学習による発光強度プロファイルからの粒界再結合速度の推定

    沓掛 健太朗,三田村 和樹, 小島 拓人, 宇佐美 徳隆

    第82回応用物理学会秋季学術講演会  2021年9月11日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  175. シリコンナノ結晶/酸化シリコン複合膜におけるキャリア選択能の水素プラズマ処理温度依存性

    松見優志,後藤和泰,ビルデ マーカス,黒川康良,福谷克之,宇佐美徳隆

    第82回応用物理学会秋季学術講演会  2021年9月10日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  176. 実験とデータ科学的手法による3次元多結晶Siモデルの作成と有限要素応力解析

    山腰 健太, 沓掛 健太朗, 小島 拓人, 工藤 博章, 宇佐美 徳隆

    第82回応用物理学会秋季学術講演会  2021年9月10日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  177. ベイズ最適化を援用したシリコン量子ドット積層構造の欠陥低減

    熊谷風雅,宮川晋輔, 後藤和泰, 沓掛健太朗, 加藤慎也, 宇佐美徳隆, 黒川康良

    第82回応用物理学会秋季学術講演会  2021年9月10日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  178. 合金ペーストにより形成されるSiGe混晶層のその場観察と構造評価

    福田 啓介,宮本 聡,中原 正博,鈴木 紹太,ダムリン マルワン,前田 健作,藤原 航三,宇佐美 徳隆

    第82回応用物理学会秋季学術講演会  2021年9月10日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  179. a-Si:H層のPECVD成膜による結晶シリコンへのダメージの評価

    小島遥希、西原達平、後藤和泰、宇佐美徳隆、原友彦、大下祥雄、小椋厚志

    第82回応用物理学会秋季学術講演会  2021年9月10日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  180. マルチデータによる結晶成長熱流体シミュレーションのパラメータ同定

    田中博之 沓掛健太朗, 小島拓人, 劉鑫, 宇佐美徳隆

    第82回応用物理学会秋季学術講演会  2021年9月10日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  181. Multicrystalline Informatics for High-Performance Crystals 招待有り 国際会議

    Noritaka Usami, Takuto Kojima, Kentaro Kutsukake, Xin Liu, Hiroaki Kudo, Tetsuya Matsumoto, Tatsuya Yokoi, Yasuo Shimizu and Yutaka Ohno

    2021 International Conference on Solid State Devices and Materials  2021年9月6日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:on-line  

  182. High Ge-Content SiGe Films Epitaxially Grown by Annealing Al-Ge Alloyed Pastes on Si Substrate 国際会議

    Keisuke Fukuda, Satoru Miyamoto, Masahiro Nakahara, Shota Suzuki, Marwan Dhamrin, Kensaku Maeda, Kozo Fujiwara, and Noritaka Usami

    2021 International Conference on Solid State Devices and Materials  2021年9月 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:英語   会議種別:口頭発表(一般)  

  183. 真空蒸着法によるステンレス基板上へのBaSi2薄膜の作製

    陳 嘉坤,宮本 聡,後藤 和泰, 黒川 康良, 宇佐美 徳隆

    第19回シリサイド系半導体・夏の学校  2021年8月21日 

     詳細を見る

    開催年月日: 2021年8月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:オンライン   国名:日本国  

  184. "DEVELOPMENT OF SILICON-NANOCRYSTALS-EMBEDDED SILICON OXIDE PASSIVATING CONTACTS FOR USE IN CRYSTALLINE SILICON SOLAR CELLS " 招待有り 国際会議

    "K. Gotoh, R. Tsubata, M. Matsumi, M. Wilde, T. Inoue, Y. Kurokawa, K. Fukutani and N. Usami"

    Global Photovoltaic Conference 2021 (GPVC 2021)  2021年7月9日 

     詳細を見る

    開催年月日: 2021年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Hybrid (Gwangju/virtural)  

  185. 太陽電池用シリコン系材料の結晶成長の新展開 招待有り

    宇佐美 徳隆

    R032産業イノベーションのための結晶成長委員会第1回研究会  2021年7月9日 

     詳細を見る

    開催年月日: 2021年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン   国名:日本国  

  186. Multicrystalline informatics: A methodology to realize high-performance crystals 招待有り 国際会議

    Noritaka Usami, Takuto Kojima, Kentaro Kutsukake, Xin Liu, Hiroaki Kudo, Tetsuya Matsumoto, Tatsuya Yokoi, Yasuo Shimizu and Yutaka Ohno

    2nd International Symposium on Modeling of Crystal Growth Processes and Devices  2021年7月6日 

     詳細を見る

    開催年月日: 2021年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:on-line  

  187. Process optimization for the seeded directional solidification of Si ingot using CFD and machine learning 国際会議

    Xin Liu, Yifan Dang, Hiroyuki Tanaka, Kentaro Kutsukake, Toru Ujihara, and Noritaka Usami

    2nd International Symposium on Modeling of Crystal Growth Processes and Devices  2021年7月6日 

     詳細を見る

    開催年月日: 2021年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:on-line  

  188. Multicrystalline informatics: A methodology to realize high-performance crystals 招待有り 国際会議

    Noritaka Usami, Takuto Kojima, Kentaro Kutsukake, Xin Liu, Hiroaki Kudo, Tetsuya Matsumoto, Tatsuya Yokoi, Yasuo Shimizu and Yutaka Ohno

    2nd International Symposium on Modeling of Crystal Growth Processes and Devices  2021年7月 

     詳細を見る

    開催年月日: 2021年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  189. 日本に育て次世代型太陽電池 招待有り

    宇佐美 徳隆

    第155回結晶工学分科会研究会 カーボンニュートラルに結晶工学が果たす役割  2021年6月23日  応用物理学会 結晶工学分科会

     詳細を見る

    開催年月日: 2021年6月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン   国名:日本国  

  190. Realization of the Cystalline Silicon Solar Cell Using Nanocrystalline Transport Path in Ultra-thin Dielectrics for Reinforced Passivating Contact 国際会議

    R. Tsubata, K. Gotoh, T. Inoue, Y. Kurokawa, and N. Usami

    48th IEEE Photovoltaic Specialists (PVSC)   2021年6月21日 

     詳細を見る

    開催年月日: 2021年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:virtual meeting  

  191. Application of Machine Learning for High-Performance Multicrystalline Materials 招待有り 国際会議

    Noritaka USAMI

    239th ECS Meeting with the 18th International Meeting on Chemical Sensors (IMCS)  2021年5月 

     詳細を見る

    開催年月日: 2021年5月 - 2021年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  192. Isotopically Engineered Silicon Testbeds for Advanced CMOS and Quantum Information 招待有り 国際会議

    Satoru Miyamoto, Noritaka Usami, Kohei M. Itoh

    239th ECS Meeting with the 18th International Meeting on Chemical Sensors (IMCS), Virtual meeting,   2021年5月 

     詳細を見る

    開催年月日: 2021年5月 - 2021年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  193. Multicrystalline informatics for development of high-performance materials 招待有り 国際会議

    Noritaka Usami

    NU Tech Roundtable 2021  2021年5月13日 

     詳細を見る

    開催年月日: 2021年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:on-line  

  194. Application of Bayesian Optimization for Improved Passivation Performance in TiOx/SiOy/c-Si Heterostructure by Hydrogen Plasma Treatment 国際会議

    Shinsuke Miyagawa, Kazuhiro Gotoh, Kentaro Kutsukake, Yasuyoshi Kurokawa, Noritaka Usami

    The International Conference on Crystalline Silicon Photovoltaics  2021年4月 

     詳細を見る

    開催年月日: 2021年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:on-line  

  195. Improved Performance of Titanium Oxide Electron-Selective Contact by Implementation of Magnesium Interlayer 国際会議

    Yuta Nakagawa, Kazuhiro Gotoh, Yasuyoshi Kurokawa, Noritaka Usami

    The International Conference on Crystalline Silicon Photovoltaics  2021年4月 

     詳細を見る

    開催年月日: 2021年4月

    記述言語:英語   会議種別:ポスター発表  

    開催地:on-line  

  196. 多結晶材料情報学の基盤技術 招待有り

    宇佐美徳隆

    第36回シリサイド系半導体研究会  2021年3月19日  応用物理学会シリサイド系半導体研究会

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン   国名:日本国  

  197. 同位体制御 28Si/SiGe量子計算基板における微小結晶傾斜角イメージング 国際共著

    竹内公一, 宮本聡, 伊藤公平, 宇佐美徳隆

    第68回応用物理学会春季学術講演会  2021年3月17日  応用物理学会

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  198. シリコンナノ結晶/酸化シリコンを複合化した導電性パッシベーション膜の太陽電池応用

    津幡亮平, 後藤和泰, 黒川康良, 宇佐美徳隆

    第68回応用物理学会春季学術講演会  2021年3月18日  応用物理学会

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

  199. 三次元キャリアシミュレーションを用いた多結晶Si中三重点の電気的特性の評価

    三田村和樹, 沓掛健太朗, 小島拓人, 宇佐美徳隆

    第68回応用物理学会春季学術講演会  2021年3月18日  応用物理学会

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  200. 多結晶シリコンウェハの蛍光イメージ中の転位クラスター領域の画像変換による特定

    工藤博章, 松本哲也, 沓掛健太朗, 宇佐美徳隆

    第68回応用物理学会春季学術講演会  2021年3月18日  応用物理学会

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  201. As-doped n-BaSi2膜の水素パッシベーションによる分光感度向上と第一原理計算によるミクロ構造考察 国際共著

    青貫翔, 山下雄大, Xu Zhihao, 後藤和泰, 都甲薫, 宇佐美徳隆, Migas Dmitri, 末益崇

    第68回応用物理学会春季学術講演会  2021年3月16日  応用物理学会

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  202. シリコンナノ結晶/酸化シリコン複合膜に対する水素化処理の検討

    松見優志, 後藤和泰, ビルデマーカス, 黒川康良, 福谷克之, 宇佐美徳隆

    第68回応用物理学会春季学術講演会  2021年3月18日  応用物理学会

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  203. 多結晶シリコンの光反射特性による結晶方位推定モデル

    小島拓人, 原京花, 沓掛健太朗, 松本哲也, 工藤博章, 宇佐美徳隆

    第68回応用物理学会春季学術講演会  2021年3月18日  応用物理学会

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  204. ベイズ最適化を援用した高性能パッシベーティングコンタクトの実現 ~TiOx/結晶Siヘテロ構造への適用~

    宮川晋輔, 後藤和泰, 沓掛健太朗, 黒川康良, 宇佐美徳隆

    第68回応用物理学会春季学術講演会  2021年3月18日  応用物理学会

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン   国名:日本国  

  205. 高性能多結晶材料創製に向けた多結晶材料情報学の開拓

    宇佐美徳隆

    日本物理学会第76回年次大会  2021年3月13日  日本物理学会

     詳細を見る

    開催年月日: 2021年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン   国名:日本国  

  206. Prediction of Stress and Dislocations in Silicon Ingots using Artificial Neural Networks 国際会議

    A. Boucetta,Y. Fukuda, K. Kutsukake, T. Kojima, H. Kudo,T. Matsumoto, and N. Usami

    The 8th Asian Conference on Crystal Growth and Crystal Technology, CGCT-8, Virtual meeting  2021年3月1日 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(一般)  

  207. Formation of SiGe alloyed films on Si substrate by screen-printing of Al-Ge pre-alloyed mixture pastes 国際会議

    M. Nakahara, M. Matsubara, S. Suzuki, M. Dhamrin, K. Fukuda, S. Miyamoto, K. Maeda, K. Fujiwara, and N. Usami

    The 8th Asian Conference on Crystal Growth and Crystal Technology, CGCT-8, Virtual meeting  2021年3月1日 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(一般)  

  208. Signature of crystallographic tilting in isotopically enriched Si-28/SiGe 国際会議

    S. Miyamoto, K. Takeuchi, Kohei M. Itoh, and N. Usami

    The 8th Asian Conference on Crystal Growth and Crystal Technology, CGCT-8, Virtual meeting  2021年3月1日 

     詳細を見る

    開催年月日: 2021年3月

    記述言語:英語   会議種別:口頭発表(一般)  

  209. 蛍光イメージングと有限差分シミュレーションを用いた多結晶シリコン中傾斜粒界の電気的特性の定量評価

    三田村和樹, 沓掛健太朗, 小島拓人, 宇佐美徳隆

    第3回結晶工学×ISYSE合同研究会  2020年12月23日  応用物理学会結晶工学分科会

     詳細を見る

    開催年月日: 2020年12月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:オンライン   国名:日本国  

  210. 成長速度の二段階制御による真空蒸着BaSi2薄膜の高品質化

    吉野孝政, 後藤和泰, 黒川康良, 宇佐美徳隆

    第3回結晶工学×ISYSE合同研究会  2020年12月23日  応用物理学会結晶工学分科会

     詳細を見る

    開催年月日: 2020年12月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:オンライン   国名:日本国  

  211. ベイズ最適化を用いたTiOx/SiOy結晶Siへテロ構造における水素プラズマ処理条件の最適化

    宮川晋輔, 後藤和泰, 沓掛健太朗, 黒川康良, 宇佐美徳隆

    第3回結晶工学×ISYSE合同研究会  2020年12月23日  応用物理学会結晶工学分科会

     詳細を見る

    開催年月日: 2020年12月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:オンライン  

  212. 蛍光イメージングと有限差分シミュレーションを用いた多結晶シリコン中傾斜粒界の電気的特性の定量評価

    三田村和樹, 宇佐美徳隆

    第30回学生による材料フォーラム  2020年11月13日  日本金属学会・日本鉄鋼協会東海支部

     詳細を見る

    開催年月日: 2020年11月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:オンライン   国名:日本国  

  213. シリコン結晶における粒界の成長方向に対する粒界構造と固液界面形状の影響

    福田祐介, 宇佐美徳隆

    第30回学生による材料フォーラム  2020年11月13日  日本金属学会・日本鉄鋼協会東海支部

     詳細を見る

    開催年月日: 2020年11月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:オンライン   国名:日本国  

  214. 多層光学イメージを利用した多結晶Si中の結晶粒形状の取得

    山腰健太, 宇佐美徳隆

    第30回学生による材料フォーラム  2020年11月13日  日本金属学会・日本鉄鋼協会東海支部

     詳細を見る

    開催年月日: 2020年11月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:オンライン   国名:日本国  

  215. 結晶成長の機械学習のためのデータ取得:何をどこで計測するか 招待有り

    沓掛健太朗, B. Abderahmane, 宇佐美徳隆, 前田健作

    第49回結晶成長国内会議  2020年11月9日  日本結晶成長学会

     詳細を見る

    開催年月日: 2020年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン   国名:日本国  

  216. シリコン結晶における粒界の成長方向に対する粒界構造と固液界面形状の影響

    福田祐介, 沓掛健太郎, 小島拓人, 宇佐美徳隆

    第49回結晶成長国内会議  2020年11月9日  日本結晶成長学会

     詳細を見る

    開催年月日: 2020年11月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:オンライン   国名:日本国  

  217. バルク多結晶成長のプロセスサイエンス 招待有り

    宇佐美徳隆, 沓掛健太朗, 小島拓人, 工藤博章, 横井達矢, 大野裕

    第49回結晶成長国内会議  2020年11月9日  日本結晶成長学会

     詳細を見る

    開催年月日: 2020年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン   国名:日本国  

  218. Triple Junctions of Random Angle Grain Boundaries Acting as Dislocation Sources in HP Mc-Silicon Ingots 国際会議

    Y. Ohno, K. Tajima, K. Kutsukake, and N. Usami

    The 30th International Photovoltaic Science and Engineering Conference (PVSEC-30) & Global Photovoltaic Conference 2020 (GPVC 2020)  2020年11月 

     詳細を見る

    開催年月日: 2020年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  219. Effect of forming gas annealing on hydrogen content and surface morphology of titanium oxide-coated crystalline silicon heterocontacts 国際会議

    Y. Nakagawa, K. Gotoh, M. Wilde, S. Ogura, Y. Kurokawa, K. Fukutani, and N. Usami

    The 30th International Photovoltaic Science and Engineering Conference (PVSEC-30) & Global Photovoltaic Conference 2020 (GPVC 2020)  2020年11月 

     詳細を見る

    開催年月日: 2020年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  220. Improvement of BaSi2 thin film quality by two-step growth rate control of vacuum evaporation 国際会議

    T. Yoshino, K. Gotoh, Y. Kurokawa, and N. Usami

    The 30th International Photovoltaic Science and Engineering Conference (PVSEC-30) & Global Photovoltaic Conference 2020 (GPVC 2020)  2020年11月 

     詳細を見る

    開催年月日: 2020年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  221. Impact of excess PbI2 on controlling one-dimensional MAPbI3 perovskites for high carrier lifetimes 国際会議

    V. H. Nguyen, Y. Kurokawa, and N. Usami

    The 30th International Photovoltaic Science and Engineering Conference (PVSEC-30) & Global Photovoltaic Conference 2020 (GPVC 2020)  2020年11月 

     詳細を見る

    開催年月日: 2020年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  222. Impact of Ge deposition temperature on fabrication of surface texture using SiGe islands as a mask 国際共著 国際会議

    V. H. Nguyen, A. Novikov, M. Shaleev, D. Yurasov, Y. Kurokawa, and N. Usami

    The 30th International Photovoltaic Science and Engineering Conference (PVSEC-30) & Global Photovoltaic Conference 2020 (GPVC 2020)  2020年11月 

     詳細を見る

    開催年月日: 2020年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  223. Impact of Misalignment of S3{111} Grain Boundaries on Photovoltaic Properties in Silicon 国際会議

    Y. Ohno, T. Tamaoka, H. Yoshida, Y. Shimizu, N. Ebisawa, Y. Nagai, K. Kutsukake, and N. Usami

    The 30th International Photovoltaic Science and Engineering Conference (PVSEC-30) & Global Photovoltaic Conference 2020 (GPVC 2020)  2020年11月 

     詳細を見る

    開催年月日: 2020年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  224. Application of artificial neural network to predict distribution of dislocations in silicon ingots 国際会議

    A. Boucetta,Y. Fukuda, K. Kutsukake, T. Kojima, H. Kudo,T. Matsumoto, and N. Usami

    The 30th International Photovoltaic Science and Engineering Conference (PVSEC-30) & Global Photovoltaic Conference 2020 (GPVC 2020)  2020年11月 

     詳細を見る

    開催年月日: 2020年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  225. Fabrication of Si textures with low etching margin using Ag-assisted alkaline solution 国際会議

    Y. Li, V.H. Nguyen, and N. Usami

    The 30th International Photovoltaic Science and Engineering Conference (PVSEC-30) & Global Photovoltaic Conference 2020 (GPVC 2020)  2020年11月 

     詳細を見る

    開催年月日: 2020年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  226. Dependence of electrical properties of stacked Sn-doped In2O3 films on oxygen partial pressure 国際会議

    T. Inoue, K. Gotoh, Y. Kurokawa, and N. Usami

    The 30th International Photovoltaic Science and Engineering Conference (PVSEC-30) & Global Photovoltaic Conference 2020 (GPVC 2020)  2020年11月 

     詳細を見る

    開催年月日: 2020年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  227. Carbon dioxide/Silane gas flow rate dependency on electrical properties in silicon-nanocrystals-embedded silicon oxide passivating contacts 国際会議

    R. Tsubata, K. Gotoh, Y. Kurokawa, and N. Usami

    The 30th International Photovoltaic Science and Engineering Conference (PVSEC-30) & Global Photovoltaic Conference 2020 (GPVC 2020)  2020年11月 

     詳細を見る

    開催年月日: 2020年11月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:大韓民国  

  228. Impact of hydrogen plasma treatment on the passivation performance of TiOx prepared on crystalline silicon by atomic layer deposition 国際会議

    S. Miyagawa, K. Gotoh, S. Ogura, M. Wilde, Y. Kurokawa, K. Fukutani, and N. Usami

    The 30th International Photovoltaic Science and Engineering Conference (PVSEC-30) & Global Photovoltaic Conference 2020 (GPVC 2020)  2020年11月 

     詳細を見る

    開催年月日: 2020年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  229. Materials and process informatics for research on photovoltaics 招待有り 国際会議

    Noritaka USAMI

    The 30th International Photovoltaic Science and Engineering Conference (PVSEC-30) & Global Photovoltaic Conference 2020 (GPVC 2020)  2020年11月9日 

     詳細を見る

    開催年月日: 2020年11月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:大韓民国  

  230. 日本太陽光発電学会の設立に寄せて 招待有り

    宇佐美徳隆

    第17回「次世代の太陽光発電システム」シンポジウム  2020年10月15日 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:日本語   会議種別:口頭発表(基調)  

  231. 積層Sn添加In2O3薄膜における電気的特性の酸素分圧依存性

    井上徹哉, 後藤和泰, 黒川康良, 宇佐美徳隆

    第17回「次世代の太陽光発電システム」シンポジウム  2020年10月15日 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:日本語   会議種別:ポスター発表  

  232. シリコンナノ結晶/酸化シリコン復合膜における炭酸ガス/シランガス流量比依存性

    津幡亮平, 後藤和泰, 黒川康良, 宇佐美徳隆

    第17回「次世代の太陽光発電システム」シンポジウム  2020年10月15日 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:日本語   会議種別:ポスター発表  

  233. ベイズ最適化を用いたTiOx/SiOy結晶Siへテロ構造における水素プラズマ処理条件の最適化

    宮川晋輔, 後藤和泰, 黒川康良, 宇佐美徳隆

    第17回「次世代の太陽光発電システム」シンポジウム  2020年10月15日 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:日本語   会議種別:ポスター発表  

  234. Mg層を挿入したTiOx/SiOy/Si構造の接合特性のTiOx膜厚依存性

    中川裕太, 後藤和泰, 黒川康良, 宇佐美徳隆

    第17回「次世代の太陽光発電システム」シンポジウム  2020年10月15日 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:日本語   会議種別:ポスター発表  

  235. 成長速度の二段階制御による真空蒸着BaSi2薄膜の高品質化

    吉野孝政, 後藤和泰, 黒川康良, 宇佐美徳隆

    第17回「次世代の太陽光発電システム」シンポジウム  2020年10月15日 

     詳細を見る

    開催年月日: 2020年10月

    記述言語:日本語   会議種別:ポスター発表  

  236. Atom probe tomography observation of diffusion behaviors in isotopically controlled silicon nanostructures 国際会議

    S. Miyamoto, R. Kiga, Y. Shimizu, Y. Nagai, N. Usami, and K. M. Itoh

    IMRT Joint International Symposium on Radiation Effects in Materials and Actinide Science (GIMRT-REMAS2020), Virtual meeting  2020年9月 

     詳細を見る

    開催年月日: 2020年9月 - 2020年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  237. Fabrication of TiOx thin film on Si using solution-based process and its passivation performance 国際会議

    H. Luo, V. H. Nguyen, K. Gotoh, Y. Kurokawa, and N. Usami

    2020 International Conference on Solid State Devices and Materials (SSDM 2020), Virtual Conference  2020年9月 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  238. Passivation Mechanism of the High-performance Titanium Oxide Passivating Contacts on Crystalline Silicon Studied by Spectroscopic Ellipsometry 国際会議

    K. Gotoh, H. Miura, A. Shimizu, T. Kurokawa, and N. Usami

    2020 International Conference on Solid State Devices and Materials (SSDM 2020), Virtual Conference  2020年9月 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  239. Direct imaging of crystallographic tilting for valley-controlled Si/SiGe qubits 国際会議

    K. Takeuchi, S. Miyamoto, K. M. Itoh, and N. Usami

    2020 International Conference on Solid State Devices and Materials (SSDM 2020), Virtual Conference  2020年9月 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  240. Size effect of silicon nanocrystals on Seebeck coefficient of phosphorus-doped Si nanocrystals/silicon oxide multilayers 国際会議

    H. Kobayashi, S. Kato, M. Kurosawa, K. Gotoh, N. Usami, and Y. Kurokawa

    2020 International Conference on Solid State Devices and Materials (SSDM 2020), Virtual Conference  2020年9月 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  241. ベイズ最適化を用いた酸化チタンパッシベーション膜における水素プラズマ処理条件の最適化

    宮川晋輔, 後藤和泰, 沓掛健太朗, 黒川康良, 宇佐美徳隆

    第81回応用物理学会秋季学術講演会 オンライン開催 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  242. 多層光学イメージを利用した多結晶Si中の結晶粒の3次元モデル化

    山腰健太, 沓掛健太朗, 小島拓人, 宇佐美徳隆

    第81回応用物理学会秋季学術講演会 オンライン開催 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  243. 多結晶材料情報学による粒界構造の解明と制御に向けて 招待有り

    宇佐美徳隆, 沓掛健太朗, 小島拓人, 工藤博章, 横井達矢, 大野裕

    第81回応用物理学会秋季学術講演会 オンライン開催 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  244. 多結晶シリコン組織の結晶方位の統計解析

    小島拓人, 松本哲也, 工藤博章, 宇佐美徳隆

    第81回応用物理学会秋季学術講演会 オンライン開催 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  245. Mg層挿入によるTiOx/Siヘテロ接合の接合特性の向上

    中川裕太, 後藤和泰, 黒川康良, 宇佐美徳隆

    第81回応用物理学会秋季学術講演会 オンライン開催 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  246. 有限差分シミュレーションを用いた粒界傾斜のキャリア分布への影響評価

    三田村和樹, 沓掛健太朗, 小島拓人, 宇佐美徳隆

    第81回応用物理学会秋季学術講演会 オンライン開催 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  247. 印刷と焼成で形成したSiGe混晶薄膜の顕微ラマン分析

    福田啓介, 宮本聡, 中原正博, 沓掛健太郎, ダムリンマルワン, 宇佐美徳隆

    第81回応用物理学会秋季学術講演会 オンライン開催 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  248. シリコンナノ結晶/酸化シリコンを複合化した導電性パッシベーション膜の検討

    津幡亮, 後藤和泰, 黒川康良, 宇佐美徳隆

    第81回応用物理学会秋季学術講演会 オンライン開催 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  249. Solid-state heteroepitaxy of Si(111) by Aluminum- induced Crystallization

    M. Hainey, T. Yamamoto, E. Zhou, L. Viguerie, and N. Usami

     詳細を見る

    開催年月日: 2020年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  250. ハイパフォーマンス多結晶シリコンにおける粒界3重点と転位発生の相関

    大野裕, 田島和哉, 沓掛健太朗, 宇佐美徳隆

    第81回応用物理学会秋季学術講演会 オンライン開催 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  251. 溶液プロセスによる酸化チタン薄膜の作製とパッシベーション性能の評価

    羅昊, Van Hoang Nguyen, 後藤和泰, 黒川康良, 宇佐美徳隆

    第81回応用物理学会秋季学術講演会 オンライン開催 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  252. Formation of p-Type BaSi2 Thin Film and its Application to Silicon-Based Heterojunction Solar Cells 国際会議

    Y. Kimura, M. Fujiwara, K. Takahashi, Y. Nakagawa, T. Yoshino, K. Gotoh, Y. Kurokawa, and N. Usami

    37th European PV Solar Eneregy Conference and Exhibition 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ポルトガル共和国  

  253. What Is the Dislocation Sources in the Growth of High-Performance Multicrystalline Si Ingots? 国際会議

    Y. Ohno, K. Tajima, N. Usami, and K. Kutsukake

    37th European PV Solar Energy Conference and Exhibition, Virtual meeting  2020年9月 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ポルトガル共和国  

  254. Effect of Hydrogen Plasma Treatment on Silicon Quantum Dot Multilayers Using Amorphous SiOx 国際会議

    R. Akaishi, K. Gotoh, N. Usami, and Y. Kurokawa

    37th European PV Solar Energy Conference and Exhibition, Virtual meeting  2020年9月 

     詳細を見る

    開催年月日: 2020年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ポルトガル共和国  

  255. Fabrication of silicon-nanocrystals-embedded silicon oxide passivating contacts 国際会議

    R. Tsubata, K. Gotoh, Y. Kurokawa, and N. Usami

    47th IEEE Photovoltaic Specialists Conference (PVSC 47) 

     詳細を見る

    開催年月日: 2020年6月 - 2020年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:カナダ  

  256. Work function of indium oxide thin films on p-type hydrogenated amorphous silicon 国際会議

    M. Semma, K. Gotoh, Y. Kurokawa, and N. Usami

    47th IEEE Photovoltaic Specialists Conference (PVSC 47) 

     詳細を見る

    開催年月日: 2020年6月 - 2020年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:カナダ  

  257. Passivation Mechanism of the High Performance Titanium Oxide Passivating Contacts on Crystalline Silicon Studied by Spectroscopic Ellipsometry 国際会議

    K. Gotoh, H. Miura, A. Shimizu, S. Miyagawa, Y. Nakagawa, Y. Kurokawa, and N. Usami

    10th International Conference on Crystalline Silicon Photovoltaics 2020 

     詳細を見る

    開催年月日: 2020年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Hangzhou, China   国名:中華人民共和国  

  258. High-performance TiOx/crystalline Si heterocontacts for solar cells 招待有り 国際会議

    Noritaka Usami

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Gwangju, Korea   国名:大韓民国  

  259. 畳み込みニューラルネットワークの転移学習に基づいた多結晶シリコ ンインゴット中の転位クラスター発⽣点の特徴

    工藤博章, 松本哲也, 沓掛健太朗, 宇佐美徳隆

    第67回 応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  260. 多結晶シリコンインゴット中の転位発⽣点近傍の構造特性

    小野裕, 田島和哉, 沓掛健太朗, 宇佐美徳隆

    第67回 応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学 四谷キャンパス   国名:日本国  

  261. MoOx CSC/Si太陽電池のPDAによる特性変動 (3)-表面反転層をチャネルとするFET-TEGのS/Dコンダクタンスによる評価

    林豊, 神岡武文, 後藤和泰, 尾崎亮, 中村京太郎, 森村元勇, 宇佐美徳隆, 大下祥雄, 小椋厚志

    第67回 応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  262. 不活性ガス雰囲気下での熱処理を⽤いたクラックフリーMg2Si厚膜 の合成

    堀場一成, 後藤和泰, 黒川康良, 伊藤孝至, 宇佐美徳隆

    第67回 応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学 四谷キャンパス   国名:日本国  

  263. 機械学習を用いた発光強度プロファイルからの欠陥の電気的特性の推定とイメージマッピング

    三田村和樹, 沓掛健太朗, 小島拓人, 宇佐美徳隆

    第67回 応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学 四谷キャンパス   国名:日本国  

  264. ポストアニール処理を用いたアンドープp-BaSi2/n-Siヘテロ接合太陽電池の作製

    木村裕希, 吉野孝政, 後藤和泰, 黒川康良, 宇佐美徳隆

    第67回 応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学 四谷キャンパス   国名:日本国  

  265. Marked photoresponsivity enhancement and minority carrier lifetime increase of boron-doped BaSi2 by atomic H passivation

    Z. Xu, K. Gotoh, K. Toko, N. Usami, and T. Suemasu

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  266. 酸化チタン/結晶シリコンヘテロ構造における水素プラズマ処理の効果

    宮川晋輔, 後藤和泰, M. Wilde, 小倉正平, 黒川康良, 福谷克之, 宇佐美徳隆

    第67回 応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学 四谷キャンパス   国名:日本国  

  267. 印刷と焼成によるシリコン系混晶半導体のエピタキシャル成長とその場観察

    福田啓介, 中原正博, 深見昌吾, 宮本聡, Dhamrin Marwan, 前田健作, 藤原航三, 宇佐美徳隆

    第67回 応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学 四谷キャンパス   国名:日本国  

  268. 機械学習を応用した画像処理による 多結晶シリコンウエハの結晶粒界検出

    山腰健太, 田島和哉, 沓掛健太朗, 小島拓人, 宇佐美徳隆

    第67回 応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学 四谷キャンパス   国名:日本国  

  269. 核反応解析法と原⼦間⼒顕微鏡を⽤いたTiOx/SiOx/Siヘテロ 構造におけるパッシベーション性能の支配要因

    中川裕太, 後藤和泰, ビルデ マーカス, 小倉正平, 黒川 康良, 福谷克之, 宇佐美徳隆

    第67回 応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2020年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  270. Fabrication of Si Textures with Low Etching Margin Using AgNO3-assisted Alkaline Solution

     詳細を見る

    開催年月日: 2020年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  271. 多結晶材料情報学の現在地 招待有り

    宇佐美徳隆

    アモルファス・ナノ材料と応用 第147委員会、第147回研究会 「マテリアルズインフォマティクスの活用とその課題」 

     詳細を見る

    開催年月日: 2020年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  272. Activation energy of hydrogen effusion of high performance TiOx/SiOx/c-Si heterocontacts 国際会議

    Kazuhiro Gotoh, Takeya Mochizuki, Tomohiko Hojo, Yasuyoshi Kurokawa, Eiji Akiyama, Noritaka Usami

    MRS-J The 30th Anniversary Material Research Meeting 2019 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  273. Activation energy of hydrogen effusion of high performance TiOx/SiOx/c-Si heterocontacts 国際会議

    Kazuhiro Gotoh, Takeya Mochizuki, Tomohiko Hojo, Yasuyoshi Kurokawa, Eiji Akiyama, Noritaka Usami

    MRS-J The 30th Anniversary Material Research Meeting 2019 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  274. Neural Network to Determine Appropriate Thermocouple Positions in Crystal Growth Furnace 国際会議

    Abderahmane Boucetta, Kentaro Kutsukake, Hiroaki Kudo, Tetsuya Matsumoto, Takuto Kojima, Noritaka Usami

    2019 MRS Fall Meeting & Exhibit 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  275. Role of the Interlayer in Improving Passivating Contact with Atomic Layer Deposited TiOx on Crystalline Si 国際会議

    Takeya Mochizuki, Kazuhiro Gotoh, Yasuyoshi Kurokawa, Takahisa Yamamoto, Tomohiko Hojo, Eiji Akiyama, Noritaka Usami

    2019 MRS Fall Meeting & Exhibit 

     詳細を見る

    開催年月日: 2019年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  276. Impact of Growth Temperature of Ge Islands on Anti-Reflection Texture Formation 国際会議

    Van Hoang Nguyen, Yuki Kimura, Alexey Novikov, Mikhail V Shaleev, Satoru Miyamoto, Yasuyoshi Kurokawa, Noritaka Usami

    8th International Symposium on Control of Semiconductor Interfaces 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  277. Practical Growth Processes of Silicide and Germanite Thin Films for Photovoltaic and Electronic Applications 国際会議

    Kosuke O. Hara (invited), Shuhei Takizawa, Noritaka Usami, Junji Yamanaka, Keisuke Arimoto

    8th International Symposium on Control of Semiconductor Interfaces 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  278. 酸化チタン/結晶シリコンヘテロ構造における水素プラズマ処理の影響

    宮川晋輔, 後藤和泰, Markus Wilde,小倉正平, 黒川康良, 福谷克之, 宇佐美徳隆

    第2回ハイドロジェノミクス研究会 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  279. 分光エリプソメトリーを用いた酸化チタン パッシベーション膜のポストアニール効果に関する研究

    後藤和泰、 三浦裕之、 清水彩子、 宮川晋輔、 中川裕太、 黒川康良、 宇佐美徳隆

    第4回フロンティア太陽電池セミナー 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  280. ポストアニール条件がアンドープBaSi2薄膜のキャリア密度に与える影響

    藤原道信, 中川慶彦, 後藤和泰, 黒川康良, 宇佐美徳隆

    第2回結晶工学×ISYSE合同研究会 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  281. 不活性ガス雰囲気下での熱処理を用いたMg2Si薄膜の作製とその膜質評価

    堀場一成、 藤原道信、 中川慶彦、 後藤和泰、 黒川康良、 伊藤孝至、 宇佐美徳隆

    第2回結晶工学×ISYSE合同研究会 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  282. データ科学を活用した多結晶シリコンの研究の進展 招待有り

    宇佐美 徳隆

    日本学術振興会 結晶成長の科学と技術第161委員会第112回研究会 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  283. Quantitative evaluation of electrical characteristics of inclined grain boundaries in multicrystalline silicon by photoluminescence imaging and finite element simulation 国際会議

    Kazuki Mitamura, Kentaro Kutsukake, Takuto Kojima, Noritaka Usami

    PVSEC-29, 29th International Photovoltaic Science and Engineering Conference 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:中華人民共和国  

  284. Characterization of Silicon Quantum Dot Solar Cell with the Phosphorus Blocking Layer 国際会議

    Ryushiro Akaishi, Kazuhiro Gotoh, Shinya Kato, Noritaka Usami, Yasuyoshi Kurokawa

    PVSEC-29, 29th International Photovoltaic Science and Engineering Conference 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:中華人民共和国  

  285. Impact of indium tin oxide double layers deposition on the passivation performance of a-Si:H/c-Si heterocontact 国際会議

    Masanori Semma, Kazuhiro Gotoh, Yasuyoshi Kurokawa, Noritaka Usami

    PVSEC-29, 29th International Photovoltaic Science and Engineering Conference 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:中華人民共和国  

  286. New Analysis Method to Evaluate Amorphous/Crystalline Si Interface for High Efficiency Heterojunction Solar Cells 国際会議

    Takefumi Kamioka, Yutaka Hayashi, Kazuhiro Gotoh, Ryo Ozaki, Motoo Morimura, Ayako Shimizu, Kyotaro Nakamura, Noritaka Usami, Yoshio Ohshita, Atsushi Ogura

    PVSEC-29, 29th International Photovoltaic Science and Engineering Conference 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  287. Close-spaced evaporation:Scalable technique for BaSi2 film deposition 国際会議

    Kosuke O. Hara, Shuhei Takizawa, Noritaka Usami, Junji Yamanaka, Keisuke Arimoto

    PVSEC-29, 29th International Photovoltaic Science and Engineering Conference 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:中華人民共和国  

  288. An universal approach to produce the passivation materials of c-Si substrate by alcoholic solute PEDOT:PSS 国際会議

    V.H. Nguyen, Y. Kurokawa, N. Usami

    National University of Singapore and Nagoya University Joint Seminar 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  289. Fabrication of Tapered Si Nanowires for PEDOT: PSS Hybrid c-Si Solar Cells 国際会議

    Yuqing Li, Van Hoang Nguyen and Noritaka Usami

    National University of Singapore and Nagoya University Joint Seminar 

     詳細を見る

    開催年月日: 2019年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  290. 光学イメージによる多結晶Siウエハの結晶方位解析に向けた研究

    上別府颯一郎、松本哲也、加藤光、沓掛健太朗、工藤博章、宇佐美徳隆

    応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  291. 発光イメージング法と有限要素シミュレーションを用いた多結晶シリコン中傾斜粒界の電気的特性の定量評価

    三田村和樹、沓掛健太朗、小島拓人、宇佐美徳隆

    応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  292. 多結晶材料情報学による高性能シリコンイン ゴットの創製に向けて(注目講演) 招待有り

    宇佐美徳隆、沓掛健太朗、Boucetta Abderahmane、小島拓人、松本哲也、工藤博章、野田祐輔、横井達矢、清水康雄、大野裕

    応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  293. aSi:H/cSiヘテロ接合Si太陽電池の表面欠陥・トラップ準 位評価手段 -表面反転層ラテラル少数キャリア等価移動度

    神岡武文、林豊、後藤和泰、尾崎亮、森村元勇、内藤志麻子、宇佐美徳隆、大下祥雄、小椋厚志

    応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  294. サブピクセルシフトによるPLイメージングの空間分解能 の向上

    竹内公一、 沓掛健太朗、 小島拓人、 工藤博章、 松本哲也、 宇佐美徳隆

    応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  295. 機械学習による発光強度プロファイルからの欠陥の電気特性の推定

    沓掛健太朗、三田村和樹、小島拓人、宇佐美徳隆

    応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  296. 四元数計算を用いた多結晶シリコンにおけるランダム粒界と Σ3n粒界の識別手法

    小島拓人、 田島和哉、 松本哲也、 工藤博章、 宇佐美徳隆

    応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  297. 機械学習を用いた多結晶シリコン基板の結晶粒検出と結晶方位推定

    加藤光、上別府颯一郎、小島拓人、沓掛健太朗、松本哲也、工藤博章、竹内義則、宇佐美徳隆

    応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  298. Evidence of Solute PEDOT:PSS as an Efficient Passivation Material 国際会議

    V.H. Nguyen, K. Gotoh, Y. Kurokawa, N. Usami, S. Kato

    EU PVSEC 2019, The 36th European Photovoltaic Solar Energy Conference and Exhibition 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  299. Generation and Propagation of Dislocation Clusters Originated from Multicrystallization by S.3n Rotation and in Quasi-Monocrystalline Silicon 国際会議

    T. Kojima, K. Tajima, T. Matsumoto, H. Kudo, N. Usami, P. Krenckel, S. Riepe

    EU PVSEC 2019, The 36th European Photovoltaic Solar Energy Conference and Exhibition 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  300. Enhanced Material Quality in SMART mono-Si Block Cast Ingots by Introduction of Functional Defects 国際会議

    S. Riepe, P. Krenckel, A. Hess, T. Trötschler, Y. Hayama, K. Kutsukake, F. Schindler, N. Usami

    EU PVSEC 2019, The 36th European Photovoltaic Solar Energy Conference and Exhibition 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  301. On the Progress in Data Science Approaches for High-Quality Multicrystalline Silicon Ingot for Solar Cells 国際会議

    Noritaka Usami, Kazuya Tajima, Soichiro Kamibeppu, Abderahmane Boucetta, Kentaro Kutsukake, Takuto Kojima, Tetsuya Matsumoto, Hiroaki Kudo, Yusuke Noda, Tatsuya Yokoi, Yasuo Shimizu, Yutaka Ohno

    EU PVSEC 2019, The 36th European Photovoltaic Solar Energy Conference and Exhibition 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  302. Preparation and thermoelectric characterization of phosphorous-doped silicon nanocrystals/silicon oxide multilayers 国際会議

    Hisayoshi Kobayashi, Ryushiro Akaishi, Shinya Kato, Masashi Kurosawa, Noritaka Usami, Yasuyoshi Kurokawa

    SSDM 2019, International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  303. Fabrication of Group Ⅳ Semiconductor Alloys on Si substrate by Screen-Printing 国際会議

    M. Nakahara, M. Matsubara, S. Suzuki, M. Dhamrin, S. Miyamoto, M.F. Hainey Jr., N. Usami

    SSDM 2019, International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  304. Fabrication of Group Ⅳ Semiconductor Alloys on Si substrate by Screen-Printing 国際会議

    M. Nakahara, M. Matsubara,S. Suzuki, M. Dhamrin, S. Miyamoto, M.F. Hainey Jr., N. Usami

    SSDM 2019, International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  305. Surface inversion layer effective minority carrier mobility as one of the measures of surface quality of the p-aSi:H/i-aSi:H/cSi heterojunction solar cell 国際会議

    Y. Hayashi, T. Kamioka, K. Gotoh, R. Ozaki, K. Nakamura, M. Morimura, S. Naitou, N. Usami, A. Ogura, Y. Ohshita

    SSDM 2019, International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  306. Solute PEDOT:PSS as an Excellent Passivation Material of Si Substrate 国際会議

    V.H. Nguyen, S. Kato, K. Gotoh, Y. Kurokawa, N. Usami

    SSDM 2019, International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  307. Highly oriented Si(111) films on lattice-mismatched single-crystalline substrates via aluminum-induced crystallization 国際会議

    M.F. Hainey Jr., C. Zhou, N. Usami

    SSDM 2019, International Conference on Solid State Devices and Materials 

     詳細を見る

    開催年月日: 2019年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  308. Optical Investigation of Interstitial H2 Nuclear-Spin States in Isotopically Enriched Silicon

    新学術「ハイドロジェノミクス」第4回若手育成スクール 

     詳細を見る

    開催年月日: 2019年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  309. 原子層堆積法による結晶Si/SiOx/TiOx構造の作製と熱処理の効果

    中川 裕太、後藤 和泰、宮本 聡、黒川 康良、宇佐美 徳隆

    新学術「ハイドロジェノミクス」第4回若手育成スクール 

     詳細を見る

    開催年月日: 2019年8月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  310. 酸化チタン/結晶シリコンヘテロ構造における水素プラズマ処理の検討

    宮川 晋輔、後藤 和泰、黒川 康良、宇佐美 徳隆

    新学術「ハイドロジェノミクス」第4回若手育成スクール 

     詳細を見る

    開催年月日: 2019年8月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  311. 水素プラズマ処理によるシリコン量子ドット積層構造の欠陥密度低減と構造特性評価

    赤石 龍士郎、後藤 和泰、黒川 康良, 宇佐美 徳隆

    新学術「ハイドロジェノミクス」第4回若手育成スクール 

     詳細を見る

    開催年月日: 2019年8月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  312. TiOx/SiOx/結晶Siヘテロ界面における水素の脱離エネルギー

    後藤 和泰、望月 健矢、北條 智彦、黒川 康良、秋山 英二、宇佐美 徳隆

    新学術「ハイドロジェノミクス」第4回若手育成スクール 

     詳細を見る

    開催年月日: 2019年8月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  313. 太陽電池高性能化への材料科学的アプローチ:結晶シリコン太陽電池を中心に 招待有り

    宇佐美 徳隆

    物質科学研究会 第1回iMATERIA研究会 

     詳細を見る

    開催年月日: 2019年8月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  314. 発光イメージング法と有限要素シミュレーションを用いた多結晶シリコン中傾斜粒界の電気的特性の定量評価

    三田村 和樹、小島 拓人、宇佐美 徳隆

    応用物理学会 若手チャプター 太陽光エネルギー変換機能材料・デバイス開発研究会 

     詳細を見る

    開催年月日: 2019年8月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  315. キャリア輸送径路にナノドットを用いたパッシベーション膜の作製と評価

    津幡 亮平、後藤 和泰、黒川 康良、宇佐美 徳隆

    応用物理学会 若手チャプター 太陽光エネルギー変換機能材料・デバイス開発研究会 

     詳細を見る

    開催年月日: 2019年8月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  316. TiOx/結晶Siの電気的特性における光照射効果

    宮川 晋輔、後藤 和泰、黒川 康良、宇佐美 徳隆

    応用物理学会 若手チャプター 太陽光エネルギー変換機能材料・デバイス開発研究会 

     詳細を見る

    開催年月日: 2019年8月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  317. Influence of Post Annealing Conditions on Carrier Density of Undoped Evaporated BaSi2 Films 国際会議

    Yuki Kimura, Michinobu Fujiwara, Yoshihiko Nakagawa, Kazuhiro Gotoh, Yasuyoshi Kurokawa, Noritaka Usami

    APAC Silicide 2019, The Fifth Asia-Pacific Conference on Semiconducting Silicides and Related Materials 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  318. Influence of Ba to Si rate ratio on the properties of B-doped BaSi2 epitaxial films 国際会議

    S. Sugiyama, Y. Kimura, Y. Yamashita, K. Toko, N. Usami, and T. Suemasu

    APAC Silicide 2019, The Fifth Asia-Pacific Conference on Semiconducting Silicides and Related Materials 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  319. Significant improvement on photoresponsivity and minority carrier lifetime of atomic H passivated BaSi2 epitaxial films 国際会議

    Z. Xu, K. Gotoh, T. Deng, K. Toko, N. Usami, D. Migas, T. Suemasu

    APAC Silicide 2019, The Fifth Asia-Pacific Conference on Semiconducting Silicides and Related Materials 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  320. Synthesis of Mg2Si thin film by thermal treatment under inert gas atmosphere and evaluation of film quality 国際会議

    Issei Horiba, Michinobu Fujiwara, Yoshihiko Nakagawa, Kazuhiro Gotoh, Yasuyoshi Kurokawa, Takashi Itoh, Noritaka Usami

    APAC Silicide 2019, The Fifth Asia-Pacific Conference on Semiconducting Silicides and Related Materials 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  321. Influence of the time-dependent vapor composition on structural properties of the BaSi2 thin films fabricated by vacuum evaporation 国際会議

    Takamasa Yoshino, Yuki Kimura, Michinobu Fujiwara, Yoshihiko Nakagawa, Yasuyoshi Kurokawa, Noritaka Usami

    APAC Silicide 2019, The Fifth Asia-Pacific Conference on Semiconducting Silicides and Related Materials 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  322. a-Si:H/c-Siヘテロ構造のパッシベーション性能と水素分布における製膜温度の影響

    後藤 和泰,ビルデ マーカス, 加藤 慎也, 小倉 正平, 黒川 康良, 福谷 克之, 宇佐美 徳隆

    学振175委員会 第16回 「次世代の太陽光発電システム」シンポジウム 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  323. 書誌情報データベースを利用した175委員会の研究力分析

    宇佐美 徳隆

    学振175委員会 第16回 「次世代の太陽光発電システム」シンポジウム 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  324. ITO積層化のa-Si:H/c-Si界面パッシベーション性能に及ぼす影響

    扇間 政典, 後藤 和泰, 黒川 康良, 宇佐美 徳隆

    学振175委員会 第16回 「次世代の太陽光発電システム」シンポジウム 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  325. ハイパフォーマンス多結晶シリコンにおける転位クラスター発生機構

    田島和哉, 沓掛健太朗, 大野裕, 松本哲也, 工藤博章, 宇佐美徳隆

    学振175委員会 第16回 「次世代の太陽光発電システム」シンポジウム 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  326. Electrical properties of TiOx bilayer prepared by atomic layer deposition at different temperatures 国際会議

    Takeya Mochizuki, Kazuhiro Gotoh, Yasuyoshi Kurokawa, Noritaka Usami

    The 64th IEEE Photovoltaics Specialist Conference 

     詳細を見る

    開催年月日: 2019年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  327. Significant improvement of optical properties of BaSi2 due to atomic H passivation by radio-frequency plasma 国際会議

    Zhihao Xu, Kazuhiro Gotoh, Tianguo Deng, Kaoru Toko, Noritaka Usami, Takashi Suemasu

    The 64th IEEE Photovoltaics Specialist Conference 

     詳細を見る

    開催年月日: 2019年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  328. Fabrication of Si1-xSnx layer on Si substrate by Screen-Printing of Al-Sn paste 国際会議

    Masahiro Nakahara, Moeko Matsubara, Kosuke Tsuji, Shota Suzuki, Marwan Dhamrin, Noritaka Usami

    2nd Joint ISTDM / ICSI 2019 Conference 

     詳細を見る

    開催年月日: 2019年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  329. Silicon Seed Layers Fabricated by Aluminum-induced Crystallization: Guidelines for Heteroepitaxy 国際会議

    Mel Hainey, Jr, Yoann Robin, Geoffrey Avit, Loic Viguerie, Hiroshi Amano, Noritaka Usami

    2nd Joint ISTDM / ICSI 2019 Conference 

     詳細を見る

    開催年月日: 2019年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  330. Pathways to high-performance silicon-based solar cells: Overview of photovoltaic research at Nagoya University 国際会議

    Noritaka Usami

    Instituts-Kolloquium, Institut fuer Solarenergieforschung in Hameln (ISFH) 

     詳細を見る

    開催年月日: 2019年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ドイツ連邦共和国  

  331. Impact of pre-oxidation on hydrogen depth profiles around a-Si:H/c-Si heterointerface 国際会議

    Kazuhiro Gotoh, Shohei Ogura, Yasuyoshi Kurokawa, Markus Wilde, Katsuyuki Fukutani, Noritaka Usami

     詳細を見る

    開催年月日: 2019年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ベルギー王国  

  332. Surface passivation of low temperature processed titanium oxide/alluminium oxide for silicon substrate 国際会議

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  333. Pathways to high-performance silicon-based heterojunction solar cells 招待有り 国際会議

    Noritaka Usami

    XXIII International symposium "Nanophysics & Nanoelectronic 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:ロシア連邦  

  334. Using Ge (Si) islands to increase the efficiency of thin crystalline solar cells 招待有り 国際会議

    Mv Shaleev, A.V. Novikov, D.V. Yurasov, N.A. Baidakova, E.E. Morozova, Y. Ota, V.H. Nguyen, K. Gotoh, Y. Kurokawa, N. Usami

    XXIII International symposium "Nanophysics & Nanoelectronic 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ロシア連邦  

  335. Impact of textured structure on performance of PEDOT:PSS hybrid Si solar cells 招待有り 国際会議

    V.H. Nguyen , Y. Ota, A. Novikov, M. Shaleev, K. Gotoh, Y. Kurokawa, N. Usami

    XXIII International symposium "Nanophysics & Nanoelectronic 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ロシア連邦  

  336. ALD 法で作製したTiOx 電子選択層の積層化による電気的特性の制御

    望月 健矢, 後藤 和泰, 黒川 康良, 宇佐美 徳隆

    第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  337. Aluminum-induced crystallization of Si (111) on highly mismatched crystalline substrates

    Mel Hainey, Eddie (Chenhui) Zhou, Noritaka Usami

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  338. Combination of Simulations and Data Science to Determine Appropriate Thermocouple Positions in a Crystal Growth Furnace

    Abderahmane BOUCETTA, Kentaro KUTSUKAKE, Hiroaki KUDO, Tetsuya MATSUMOTO, Noritaka USAMI

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  339. 放射光X線回折パターンの特徴抽出と空間マッピング

    沓掛 健太朗, 神岡 武文, 井上 憲⼀, 深見 昌吾, 宇佐美 徳 隆, 中原 正博, ダムリン マルワン, 佐々木 拓生, 藤川 誠司, 高橋 正光

    第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  340. SiOxを障壁層としたSi量子ドット太陽電池の作製

    赤石 龍士郎, 北沢 宏平, 加藤 慎也, 後藤 和泰, 宇佐美 徳 隆, 黒川 康良

    第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  341. 擬単結晶シリコンにおけるΣ3結晶粒の発達と転位クラスターの生成の関係

    小島 拓人, 田島 和哉, 松本 哲也, 工藤 博章, 宇佐美 徳隆

    第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  342. 3 次元PLイメージング法で同定したハイパフォーマンス多結晶シリコンイ ンゴット中の転位発⽣点近傍の透過電⼦顕微鏡解析

    大野 裕, 田島 和哉, 沓掛 健太朗, 清水 康雄, 海老澤 直樹, 永井 康介, 宇佐美 徳隆

    第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  343. ハイパフォーマンス多結晶Siインゴットにおける析出物分布の3次元可視化

    上別府 颯⼀郎, Krenckel Patricia, Troetschler Theresa, Hess Adam, Riepe Stephan, 宇佐美 徳隆

    第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  344. Ba/Si堆積レート比がB-doped BaSi2膜の少数キャリア寿命に与える影響

    杉山 周, 木村 裕希, 山下 雄大, 都甲 薫, 宇佐美 徳隆, 末益 崇

    第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  345. 薄型基板上へのGeドットマスクを用いた光閉じ込め構造の作製と太陽電池への応用

    太田 湧士,後藤 和泰,黒川 康良,宇佐美 徳隆, Dmitrij Yurasov, Alexey Novikov, Mikhail Shaleev

    第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  346. 多結晶シリコンインゴット内における転位クラスターのサイズと伝搬方向の関係

    田島和哉, 松本哲也, 沓掛健太朗, 工藤博章, 宇佐美徳隆

    第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  347. ポストアニール条件がBaSi2薄膜のキャリア密度に与える影響

    木村 裕希, 藤原 道信, 中川 慶彦, 後藤 和泰, 黒川 康良, 宇佐美 徳隆

    第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  348. 印刷と焼成によるSi基板上へのSiGe層のエピタキシャル成長におけるSi基板方位の影響 国際会議

    中原 正博、深見 昌吾、Mel F. Hainey, Jr.、中川 慶彦、有元 圭介、後藤 和泰、 黒川 康良、前田 健作、藤原 航三、ダムリン マルワン、宇佐美 徳隆

    第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  349. ALD法で作製した法で作製したTiOx/SiOx/結晶Siヘテロ界面のパッシベーョン効果発現メカニズム〜水素原子脱離の影響〜

    望月 健矢, 後藤 和泰, 北條 智彦, 黒川 康良, 秋山 英二, 宇佐美 徳隆

    第66回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2019年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学大岡山キャンパス   国名:日本国  

  350. Multicrystalline informatics for silicon ingot with ideal microstructures 招待有り 国際会議

    Noritaka Usami

    European Materials Modeling Council International Workshop 2019 

     詳細を見る

    開催年月日: 2019年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:オーストリア共和国  

  351. Silicon Quantum Dot Superlattice Structure for Next Generation Solar Cell Application 国際会議

    Ryushiro Akaishi, Kohei Kitazawa, Kazuhiro Gotoh, Shinya Kato, Noritaka Usami, Yasuyoshi Kurokawa

    Japan-Korea PV Joint Student Seminar, Nagoya University 

     詳細を見る

    開催年月日: 2019年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  352. Establishment of Quantitative Evaluation Method of Electrical Characteristics of Defects in Silicon Crystals for Solar Cells by Photoluminescence Imaging Method using Finite Element Method 国際会議

    Kazuki Mitamura, Kentaro Kutsukake, Noritaka Usami

    Japan-Korea PV Joint Student Seminar, Nagoya University 

     詳細を見る

    開催年月日: 2019年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  353. Preparation of Silicon Nanocrystals Embedded in SiOx for Application to Next Generation Thermoelectric Devices 国際会議

    Hisayoshi Kobayashi, Ryushiro Akaishi, Yasuyoshi Kurokawa, Noritaka Usami

    Japan-Korea PV Joint Student Seminar, Nagoya University 

     詳細を見る

    開催年月日: 2019年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  354. Application of p-type Transparent Conductive Thin Film Copper Iodine to Silicon Heterojunction Solar Cells 国際会議

    Min Cui, Kazuhiro Gotoh, Yasuyoshi Kurokawa and Noritaka Usami

    Japan-Korea PV Joint Student Seminar, Nagoya University 

     詳細を見る

    開催年月日: 2019年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  355. High Spatial Resolution of Multicrystalline Imaging by Subpixel Shift 国際会議

    Koichi Takeuchi, Kazuya Tajima, Yusuke Hayama, Kentaro Kutsukake, Tetsuya Matsumoto, Hiroaki Kudo, Noritaka Usami

    Japan-Korea PV Joint Student Seminar, Nagoya University 

     詳細を見る

    開催年月日: 2019年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  356. Influence of Light Soaking on c-Si Surface Passivation by Atomic Layer Deposited Titanium Oxide 国際会議

    Shinsuke Miyagawa, Takeya Mochizuki, Kazuhiro Gotoh, Yasuyoshi Kurokawa, and Noritaka Usami

    Japan-Korea PV Joint Student Seminar, Nagoya University 

     詳細を見る

    開催年月日: 2019年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  357. Explorative Studies of Novel Structures as Carrier Selective Contacts 国際会議

    Ryohei Tsubata, Yuta Nakagawa, Kazuhiro Gotoh, Yasuyoshi Kurokawa, Noritaka Usami

    Japan-Korea PV Joint Student Seminar, Nagoya University 

     詳細を見る

    開催年月日: 2019年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  358. Passivation Performance of Crystalline Silicon Solar Cells Employing Stacks of Ultrathin Oxide and Polycrystalline Si Formed by Aluminum Induced Crystallization 国際会議

    Yuqing Li, Kazuhiro Gotoh, Yasuyoshi Kurokawa, Noritaka Usami

    Japan-Korea PV Joint Student Seminar, Nagoya University 

     詳細を見る

    開催年月日: 2019年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  359. BaSi2: Novel Si-Based Material for High Efficiency Thin Film Solar Cells 国際会議

    Yuki Kimura, Takamasa Yoshino, Michinobu Fujiwara, Yoshihiko Nakagawa, Kazuhiro Gotoh, Yasuyoshi Kurokawa, Noritaka Usami

    Japan-Korea PV Joint Student Seminar, Nagoya University 

     詳細を見る

    開催年月日: 2019年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  360. Effective Near-Infrared Light-Trapping Silicon Nanowire Structure for Crystalline Silicon Thin Film Solar Cells 国際会議

    Yasuyoshi Kurokawa, Shinya Kato, Noritaka Usami

    Japan-Korea PV Joint Student Seminar, Nagoya University 

     詳細を見る

    開催年月日: 2019年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  361. Formation of Light-trapping Structure using Ge Islands Grown by Gas-source Molecular Beam Epitaxy as Etching Masks on a Si Thin Substrate 国際会議

    Yushi Ota, Dmitry Yurasov, Alexey Novikov, Mikhail Shaleev, Kazuhiro Gotoh, Yasuyoshi Kurokawa, Noritaka Usami

    Japan-Korea PV Joint Student Seminar, Nagoya University 

     詳細を見る

    開催年月日: 2019年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  362. Thin PEDOT:PSS: An Excellent Passivation Material 国際会議

    Van Hoang Nguyen, Shinya Kato, Kazuhiro Gotoh, Yasuyoshi Kurokawa, and Noritaka Usami

    Japan-Korea PV Joint Student Seminar, Nagoya University 

     詳細を見る

    開催年月日: 2019年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  363. Aluminum Induced Crystallization for Heterointegration of Electronic Materials 国際会議

    Mel Hainey, Jr., Yoann Robin, Eddie Zhou, Hiroshi Amano, Noritaka Usami

    Japan-Korea PV Joint Student Seminar, Nagoya University 

     詳細を見る

    開催年月日: 2019年2月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  364. シリコン酸化膜を用いたシリコン量子ドット積層構造における構造・電気的特性評価

    赤石 龍士郎, 北沢 宏平, 後藤 和泰, 加藤 慎也, 宇佐美 徳隆, 黒川 康良

    第3回フロンティア太陽電池セミナー 

     詳細を見る

    開催年月日: 2018年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:宮崎市   国名:日本国  

  365. 超薄膜TiOx/結晶Si界面における酸素・水素原子の挙動

    望月健矢,後藤和泰,黒川康良,山本剛久,宇佐美徳隆

    第12回 物性科学領域横断研究会 

     詳細を見る

    開催年月日: 2018年11月 - 2018年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  366. Effect of slow-speed evaporation of BaSi2 on the performance of p-type BaSi2/n-type crystalline Si solar cells 国際会議

    Michinobu Fujiwara, Kazuma Takahashi, Yoshihiko Nakagawa, Kazuhiro Gotoh, Yasuyoshi Kurokawa, Noritaka Usami

    Materials Research Society Fall Meeting & Exhibit 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  367. Epitaxial growth of SiGe on Si substrate by printing and firing of Al-Ge mixed paste 国際会議

    Shogo Fukami, Yoshihiko Nakagawa, Kazuhiro Gotoh, Yasuyoshi Kurokawa, Masahiro Nakahara, Marwan Dhamrin, Noritaka Usami

    Materials Research Society Fall Meeting & Exhibit 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  368. Coalesced, Centimeter-scale GaN Films on Amorphous Substrates via MOCVD Growth on a Silicon Seed Layer Fabricated by Aluminum-induced Crystallization 国際会議

    Mel Hainey,Yoann Robin,Hiroshi Amano,Noritaka Usami

    Materials Research Society Fall Meeting & Exhibit 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  369. Multicrystalline Informatics for Silicon Ingot with Ideal Microstructures 招待有り 国際会議

    Noritaka Usami, Kazuya Tajima, Soichiro Kamibeppu, Yusuke Hayama, Kentaro Kutsukake, Tetsuya Matsumoto, Hiroaki Kudo

    Materials Research Society Fall Meeting & Exhibit 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  370. Effects of Tunnel Layers and Light Illumination on the Performance of Electron-Selective TiO2 Contacts 国際会議

    Hyunju Lee, Changhyun Lee, Yoonmook Kang, Hae-Seok Lee, Donghwan Kim, Noritaka Usami, Yoshio Ohshita

    Materials Research Society Fall Meeting & Exhibit 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  371. Recombination Activity of Inclined Σ3{111} Grain Boundaries in High-Performance Si Ingots 国際会議

    Yutaka Ohno, Kentaro Kutsukake, Takehiro Tamaoka, Seiji Takeda, Yasuo Shimizu, Naoki Ebisawa, Koji Inoue, Yasuyoshi Nagai, Noritaka Usami

    Materials Research Society Fall Meeting & Exhibit 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  372. Effects of Surface Doping of Si Absorbers on the Performance of Carrier-Selective Contacts 国際会議

    Yoshio Ohshita, Hyunju Lee, Takefumi Kamioka, Noritaka Usami

    Materials Research Society Fall Meeting & Exhibit 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  373. Fabrication of SiGe Layer on Si Substrate by Screen-Printing 国際会議

    Masahiro Nakahara, Moeko Matsubara, Shota Suzuki, Shogo Fukami, Marwan Dhamrin, Noritaka Usami

    Materials Research Society Fall Meeting & Exhibit 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  374. Annealing Effects on the Layer Structure in BaSi2 Thin Films Studied by DB-PAS 国際会議

    Ana Montes,Yilei Tian, Daan Schopmeijer, Stephan Eijt, Hendrik Schut, Takashi Suemasu, Noritaka Usami, Joao Serra, Olindo Isabella, Miro Zeman

    Materials Research Society Fall Meeting & Exhibit 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  375. Degradation of passivation performance of crystalline silicon solar cells employing stacksof ultrathin oxide and polycrystalline Si formed by aluminum induced crystallization

    Yuqing Li, Kazuhiro Gotoh, Takeya Mochizuki, Yasuyoshi Kurokawa, Noritaka Usami

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  376. ポストアニール温度によるBaSi2薄膜のキャリア密度への影響

    木村 裕希, 藤原 道信, 中川 慶彦, 後藤 和泰, 黒川 康良, 宇佐美 徳隆

    第6回応用物理学会 名古屋大学スチューデントチャプター東海地区学術講演会 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  377. 3D visualization and numerical information extraction of crystal defects in multicrystalline silicon ingot by processing PL images 国際会議

    Kazuya Tajima, Tetsuya Matsumoto, Kentaro Kutsukake, Hiroaki Kudo, Noritaka Usami

    The Forum on the Science and Technology of Silicon Materials 2018 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  378. Local analysis of TiOx/SiOx stack with excellent electrical properties for carrier selective contact 国際会議

    Takeya Mochizuki,Kazuhiro Gotoh, Yasuyoshi Kurokawa, Takahisa Yamamoto, Noritaka Usami

    The Forum on the Science and Technology of Silicon Materials 2018 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  379. Development of detection method of dislocation clusters from photoluminescence images by data science approach 国際会議

    Kazuya Tajima, Tetsuya Matsumoto, Kentaro Kutsukake, Hiroaki Kudo, Noritaka Usami

    The 7th Korea-Japan Joint Seminar on PV 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  380. Diffusion suppression of phosphorus into a Si quantum dots absorption layer using Nb-doped TiO2 国際会議

    Kouhei Kitazawa, Ryushiro Akaishi, Shinya Kato, Noritaka Usami, Yasuyoshi Kurokawa

    The 7th Korea-Japan Joint Seminar on PV 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  381. Influence of illumination during ITO sputtering on passivation performance at a-Si:H/c-Si interface 国際会議

    Masanori Semma, Kazuhiro Gotoh, Yasuyoshi Kurokawa, Noritaka Usami

    The 7th Korea-Japan Joint Seminar on PV 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  382. Coalesced, Centimeter-scale GaN Films on Amorphous Substrates via MOCVD Growth on a Silicon Seed Layer Fabricated by Aluminum-induced Crystallization 国際会議

    Mel Hainey,Yoann Robin,Hiroshi Amano,Noritaka Usami

    International Workshop on Nitride Semiconductors 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  383. Transparent conductive Nb doped titanium oxide thin film deposited under low temperature by RF magnetron sputtering 国際会議

    Xuemei Cheng, Kazuhiro Gotoh, Hyunju Lee, Noritaka Usami

    14th China SoG Silicon and PV Power Conference 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:中華人民共和国  

  384. Enhancing conductivity of PEDOT:PSS thin film for fabrication of c-Si solar cell 国際会議

    Hoang Van Nguyen, Shinya Kato, Kazuhiro Gotoh, Yasuyoshi Kurokawa, Noritaka Usami

    Emerging Material Technologies Summit 

     詳細を見る

    開催年月日: 2018年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ベトナム社会主義共和国  

  385. データ科学手法による結晶成長炉内の最適温度測定位置の検討

    沓掛健太朗, Boucetta Abderahmane, 工藤博章, 松本哲也, 宇佐美徳隆

    第47回結晶成長国内会議 

     詳細を見る

    開催年月日: 2018年10月 - 2018年11月

    記述言語:日本語  

    開催地:宮城県,仙台市   国名:日本国  

  386. Multicrystalline silicon informatics: A novel methodology to realize high-quality multicrystalline materials 招待有り 国際会議

    Noritaka Usami

    The 6th Japan-China Symposium on Crystal Growth and Crystal Technology 

     詳細を見る

    開催年月日: 2018年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  387. 多層パーセプトロンによる多結晶シリコンPL 像中の転位領域の推定における次元数に関する検討

    工藤博章,松本哲也,沓掛健太朗,宇佐美徳隆

    電子情報通信学会 イメージ・メディア・クオリティ研究会 

     詳細を見る

    開催年月日: 2018年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  388. Stacks of a-SiOx:H/a-Si:H Passivation Layer for Low Parasitic Absorption and High Passivation in Silicon Heterojunction Solar Cells 国際会議

    K. Gotoh, M, Cui, R. Akaishi, Y. Kurokawa, and N. Usami

    EU PVSEC 2018 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Brussel   国名:ベルギー王国  

  389. Multicrystalline Informatics to Realize Ideal Crystalline Silicon for Solar Cells 国際会議

    N. Usami, Y. Hayama, T. Muramatsu, K. Tajima, S. Kamibeppu, K. Kutsukake, T. Matsumoto, and H. Kudo

    EU PVSEC 2018 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Brussel   国名:ベルギー王国  

  390. 電子エネルギー損失分光法を用いた高パッシベーション性能TiOx/Sixヘテロ界面の局所構造の解明

    望月健矢,後藤和泰,黒川康良,山本剛久,宇佐美徳隆

    第79回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  391. 多結晶シリコンPL像中の転位領域の多層パーセプトロンによる推定

    工藤博章,松本哲也,沓掛健太朗,宇佐美徳隆

    第79回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  392. Σ3{111}対称傾角粒界の不純物偏析能とキャリア再結合速度に対する傾角のずれの影響

    大野 裕、沓掛 健太朗、玉岡 武泰、竹田 精治, 清水 康雄, 海老澤 直樹, 井上 耕治, 永井 康介, 宇佐美 徳隆

    第79回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  393. The influence of Ge substrate modification on photoresponse properties of evaporated BaSi2 films for thin-film solar cells application

     詳細を見る

    開催年月日: 2018年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  394. Conductivity enhancement of PEDOT:PSS thin film for ITO-free hybrid c-Si solar cell

    Hoang Van Nguyen, Shinya Kato, Kazuhiro Goto, Yasuyoshi Kurokawa, Noritaka Usami

     詳細を見る

    開催年月日: 2018年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  395. Pole figures as tools for understanding film misorientation in Group IV thin films fabricated by aluminum-induced crystallization 国際会議

    Mel Hainey, Yoann Robin, Hiroshi Amano, Noritaka Usami

     詳細を見る

    開催年月日: 2018年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  396. Effect of Heaters Fluctuations on the Temperature for High Quality Si Ingots 国際会議

    Abderahmane BOUCETTA, Kentaro Kutsukake, Noritaka Usami

     詳細を見る

    開催年月日: 2018年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  397. データ科学的手法を用いた 多結晶Siと反射特性の相関の解明

    上別府颯一郎, 松本哲也, 沓掛健太朗, 工藤博章, 宇佐美徳隆

    第79回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  398. 画像処理を用いた多結晶シリコンのマクロPL画像における転位クラスター検出とキャリア再結合の関係

    田島和哉、沓掛健太朗、松本哲也、工藤博章、宇佐美徳隆

    第79回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  399. 印刷と焼成によるSi基板上へのSiGe層のエピタキシャル成長における熱処理条件の効果

    深見 昌吾、中川 慶彦、後藤 和泰、黒川 康良、中原 正博、ダムリン マルワン、宇佐美 徳隆

    第79回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  400. ITO製膜時の光照射がa-Si:H/c-Si界面におけるパッシベーション性能に及ぼす影響

    扇間政典, 後藤和泰, 黒川康良, 山本剛久, 宇佐美徳隆

    第79回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  401. Geドットマスクを用いた光閉じ込め構造の作製とa-Si:Hパッシベーション:Ge成長温度の効果

    太田 湧士,後藤 和泰,黒川 康良,宇佐美 徳隆, Dmitrij Yurasov, Alexey Novikov, Mikhail Shaleev

    第79回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  402. p型BaSi2/n型結晶Siヘテロ接合形成におけるBaSi2低速蒸着の効果

    藤原 道信,高橋 一真,中川 慶彦,後藤 和泰,黒川 康良,伊藤 孝至,宇佐美 徳隆

    第79回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  403. Low temperature processed high conductive Nb doped titanium oxide thin film deposited by RF magnetron sputtering

    Xuemei Cheng, Kazuhiro Gotoh and Noritaka Usami

     詳細を見る

    開催年月日: 2018年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  404. 不活性ガス雰囲気下での熱処理を用いたMg2Si薄膜の合成と膜質評価

    堀場 一成, 藤原 道信, 中川 慶彦, 後藤 和泰, 黒川 康良, 伊藤 孝至, 宇佐美 徳隆

    第79回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  405. 真空蒸着法による透明導電膜上へのBaSi2 薄膜作製と評価

    中川 慶彦, 望月 健矢,後藤 和泰, 黒川 康良, 宇佐美 徳隆

    中川 慶彦, 望月 健矢,後藤 和泰, 黒川 康良, 宇佐美 徳隆 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋   国名:日本国  

  406. データ科学を活用した高品質多結晶材料創製に向けて 招待有り

    宇佐美 徳隆,沓掛 健太朗,松本 哲也,工藤 博章,横井 達 矢,清水 康雄,大野 裕

    日本セラミックス協会 第 31 回秋季シンポジウム 

     詳細を見る

    開催年月日: 2018年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋工業大学   国名:日本国  

  407. Evaluation of Si Nanowire MOS Capacitor Using High-k Dielectric Materials 国際会議

    R. Nezasa, Y. Kurokawa, N. Usami

    IEEE NANO 2018 

     詳細を見る

    開催年月日: 2018年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:グレートブリテン・北アイルランド連合王国(英国)  

  408. FORMATION OF BLACK-Si FOR SOLAR CELLS BY SELECTIVE ETCHING STRUCTURES WITH GeSi ISLANDS

    M.V. Shaleev, A.V. Novikov, N.A. Baydakova, D.V. Yurasov, E.E. Morozova, V.A. Verbus, E.V. Skorokhodov, Y. Ota, A. Hombe, Y. Kurokawa, N. Usami

     詳細を見る

    開催年月日: 2018年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  409. 薄型 Rib-Si 太陽電池の特性分布測定

    岩田 龍門,石河 泰明,黒川 康良,小長井 誠

    第15回「次世代の太陽光発電システム」シンポジウム  

     詳細を見る

    開催年月日: 2018年7月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  410. データ科学的手法を用いた多結晶Siの結晶方位解析に関する研究

    上別府颯一郎、松本哲也、沓掛健太朗、工藤博章、宇佐美徳隆

    第15回「次世代の太陽光発電システム」シンポジウム  

     詳細を見る

    開催年月日: 2018年7月

    記述言語:日本語   会議種別:ポスター発表  

    開催地: 札幌   国名:日本国  

  411. 薄型結晶Si太陽電池応用に向けたサブミクロンSiナノワイヤ構造の作製と評価

    根笹良太, 清美樹, 黒川康良, 宇佐美徳隆

    第15回「次世代の太陽光発電システム」シンポジウム  

     詳細を見る

    開催年月日: 2018年7月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  412. SiOxを障壁層としたSi量子ドット太陽電池構造作製のためのTiO2:NbによるP拡散抑制

    北沢宏平, 赤石龍士郎, 小野聖, 加藤慎也, 後藤和泰, 宇佐美徳隆, 黒川康良

    第15回「次世代の太陽光発電システム」シンポジウム  

     詳細を見る

    開催年月日: 2018年7月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:札幌   国名:日本国  

  413. EFFECT OF NOVEL SUB-MICRON STRUCTURE FABRICATED ONTO CRYSTALLINE SILICON ON OPTICAL PROPERITES AND MINORITY CARRIER LIFETIME 国際会議

    Miki Sei, Yasuyoshi Kurokawa, Shinya Kato, Kazuhiro Gotoh, Noritaka Usami

    Grand Renewable Energy 2018 

     詳細を見る

    開催年月日: 2018年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  414. Controllable optical and electrical properties of Nb doped TiO2 films by RF sputtering 国際会議

    Xuemei Cheng, K. Gotoh, T. Mochizuki and N. Usami

    World Conference on Photovoltaic Energy Conversion (WCPEC-7) 

     詳細を見る

    開催年月日: 2018年6月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Hawaii   国名:アメリカ合衆国  

  415. Application of light-trapping structure using Ge dot mask by alkaline etching to heterojunction solar cell 国際会議

    Atsushi Hombe, Yasuyoshi Kurokawa, Kazuhiro Gotoh, Noritaka Usami

    World Conference on Photovoltaic Energy Conversion (WCPEC-7) 

     詳細を見る

    開催年月日: 2018年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  416. Development of the Passivation Layer for p-type CuI Thin Film Fabricated by the 2-step Method as the Novel Hole Selective Contact of Silicon Heterojunction Solar Cells 国際会議

    M. Cui, K. Gotoh, Y. Kurokawa and N. Usami

    World Conference on Photovoltaic Energy Conversion (WCPEC-7) 

     詳細を見る

    開催年月日: 2018年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  417. Deposition and Characterization of Si Quantum Dot Multilayers Prepared by Plasma Enhanced Chemical Vapor Deposition using SiH4 and CO2 Gases 国際会議

    R. Akaishi, K Kitazawa, . Ono, K. Gotoh, E. Ichihara, S. Kato, N. Usami and Y. Kurokawa

    World Conference on Photovoltaic Energy Conversion (WCPEC-7) 

     詳細を見る

    開催年月日: 2018年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  418. Local structure of high performance TiOx passivating layer revealed by electron energy loss spectroscopy 国際会議

    T. Mochizuki, K. Gotoh, A. Ohta, Y. Kurokawa, S. Miyazaki, T. Yamamoto, N. Usami

    World Conference on Photovoltaic Energy Conversion (WCPEC-7) 

     詳細を見る

    開催年月日: 2018年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  419. 3D visualization and analysis of defects distribution in multicrystalline silicon ingot 国際会議

    Y. Hayama, K. Tajima, S. Kamibeppu, T. Matsuomoto, T. Muramatsu, K. Kutsukake, H. Kudo, and N. Usami

    10th International Workshop on Crystalline Silicon for Solar Cells 

     詳細を見る

    開催年月日: 2018年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  420. Estimation of dislocation regions in photoluminescence image using multi wafers of multicrystalline silicon by non-negative matrix factorization 国際会議

    H. Kudo, Y. Hayama, T. Matsumoto, K. Kutsukake, and N. Usami

    10th International Workshop on Crystalline Silicon for Solar Cells 

     詳細を見る

    開催年月日: 2018年4月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  421. Characterization of hydrogen around a-Si:H/c-Si interface by resonance nuclear reaction analysis 国際会議

    K. Gotoh, S. Ogura, S. Kato, Y. Kurokawa, K. Fukutani, and N. Usami

    10th International Workshop on Crystalline Silicon for Solar Cells 

     詳細を見る

    開催年月日: 2018年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  422. Application of weighted Voronoi diagrams to analysis on nucleation sites of multicrystalline silicon ingots 国際会議

    T. Muramatsu, S. Kamibeppu, K. Tajima, Y. Hayama, K. Kutsukake, K. Maeda, T. Matsumoto, H. Kudo, K. Fujiwara, and N. Usami

    10th International Workshop on Crystalline Silicon for Solar Cells 

     詳細を見る

    開催年月日: 2018年4月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  423. Evaluation on detection of dislocation clusters in the photoluminescence image by approach of data science 国際会議

    K. Tajima, Y. Hayama, T. Muramatsu, K. Kutsukake, T. Matsumoto, H. Kudo , and N. Usami

    10th International Workshop on Crystalline Silicon for Solar Cells 

     詳細を見る

    開催年月日: 2018年4月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  424. Grain classification of crystal orientation by approach of data science 国際会議

    S. Kamibeppu, T. Muramatsu, Y. Hayama, T. Matsumoto, K. Kutsukake, H. Kudo, and N. Usami

    10th International Workshop on Crystalline Silicon for Solar Cells 

     詳細を見る

    開催年月日: 2018年4月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  425. Impact of interlayers on thermal stability of TiOx passivating layer deposited by atomic layer deposition 国際会議

    T. Mochizuki, K. Gotoh, Y. Kurokawa, and N. Usami

    10th International Workshop on Crystalline Silicon for Solar Cells 

     詳細を見る

    開催年月日: 2018年4月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  426. Investigation on the thermal stability of p-type CuI as hole selective contact for the silicon heterojunction solar cells 国際会議

    Min Cui, K. Gotoh, Y. Kurokawa, and N. Usami

    10th International Workshop on Crystalline Silicon for Solar Cells 

     詳細を見る

    開催年月日: 2018年4月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  427. Effect of substrate modification on the optical and electrical properties of thermally-evaporated barium disilicide thin-films for solar cell applications 国際会議

    Lien T.K. Mai, Y. Nakagawa, R. Akaishi, Y. Kurokawa, and N. Usami

    10th International Workshop on Crystalline Silicon for Solar Cells 

     詳細を見る

    開催年月日: 2018年4月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  428. Surface Passivation Property of Ultra-thin Titanium Oxide/Aluminum Oxide stacks for Silicon Based Selective Contact 国際会議

    X. Cheng, K. Gotoh, R. Nezasa, T. Mochizuki, and N. Usami

    10th International Workshop on Crystalline Silicon for Solar Cells 

     詳細を見る

    開催年月日: 2018年4月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  429. Nb-doped TiO2 for silicon quantum dot solar cells as a carrier selective contact layer 国際会議

    Y. Kurokawa, K. Kitazawa, R. Akaishi, S. Ono, K. Gotoh, and N. Usami

    10th International Workshop on Crystalline Silicon for Solar Cells 

     詳細を見る

    開催年月日: 2018年4月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  430. Dislocation confinement by SMART approach in crystallization of G2 sized silicon ingots 国際会議

    P. Krenckel, Y. Hayama, T. Trötschler, S. Riepe, K. Kutsukake, and N. Usami

    10th International Workshop on Crystalline Silicon for Solar Cells 

     詳細を見る

    開催年月日: 2018年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  431. データ科学を活用した材料創製・材料評価に向けて

    沓掛健太朗、宇佐美徳隆、工藤博章、松本哲也、横井達矢、羽山優介、大野裕

    日本金属学会2018年春期(第162回)講演大会 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:日本語   会議種別:口頭発表(基調)  

    開催地:千葉工業大学   国名:日本国  

  432. Impact of Growth Temperature on Passivation Performance and Hydrogen Profile Near the a-Si:H/c-Si Interface 国際会議

    K. Gotoh, S. Ogura, S. Kato, Y. Kurokawa, K. Fukutani, and N. Usami

    SiliconPV  

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Rolex Forum of the Rolex Learning Center   国名:スイス連邦  

  433. 3D Visualization and Analysis of Dislocation Clusters in Multicrystalline Si Ingot by Approach of Data Science 国際会議

    Y. Hayama, T. Matsumoto, T. Muramatsu, K. Kutsukake, H. Kudo and N. Usami

    SiliconPV  

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Rolex Forum of the Rolex Learning Center   国名:スイス連邦  

  434. Surface passivation effect of RF-plasma processed a-Si:H layers on the optical properties of BaSi2 epitaxial films

    Zhihao Xu, K. Gotoh, Tianguo Deng, K. Toko, N. Usami, and T. Suemasu

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  435. データ科学的手法を用いた多結晶Siインゴット中の転位クラスター生成点の解析

    羽山優介、Krenckel Patricia、Trötschler Theresa、松本哲也、村松哲郎、沓掛健太朗、工藤博章、Riepe Stephan、宇佐美徳隆

    第65回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:早稲田大学   国名:日本国  

  436. 画像処理によるフォトルミネッセンス画像における転位クラスターの検出とその最適化

    田島和哉、羽山優介、村松哲郎、沓掛健太朗、松本哲也、工藤博章、宇佐美徳隆

    第65回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:早稲田大学   国名:日本国  

  437. PECVD法を用いたシリコン量子ドット積層構造作製におけるバリア層の構造・光学特性評価

    赤石龍士郎、北沢宏平、小野聖、加藤慎也、後藤和泰、宇佐美徳隆、黒川康良

    第65回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:早稲田大学   国名:日本国  

  438. マイクロテクスチャガラス上へのBaSi₂薄膜の作製と光学評価

    中川慶彦、 Vismara Robin、Loef Thomas、Tian Yilei、後藤和泰、黒川康良、Isabella Olindo、 Zeman Miro、宇佐美徳隆

    第65回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:早稲田大学   国名:日本国  

  439. Al-Ge混合ペーストの印刷と焼成によるSi基板上へのSiGe層のエピタキシャル成長

    深見昌吾、増田翔太、中川慶彦、 後藤和泰、黒川康良、ダムリン マルワン、宇佐美徳隆

    第65回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:早稲田大学   国名:日本国  

  440. 2段階作製法で製膜したp型CuI薄膜のパッシベーション層開発 -ヘテロ接合型Si系太陽電池の新規正孔選択輸送層として-

    崔敏、後藤和泰、黒川康良、宇佐美徳隆

    第65回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:早稲田大学   国名:日本国  

  441. 高誘電率材料を用いたSiナノワイヤMOSキャパシタの特性評価

    根笹良太、黒川康良、宇佐美徳隆

    第65回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:早稲田大学   国名:日本国  

  442. TiOx パッシベーション層の熱耐性に SiOx 中間層が及ぼす影響

    望月健矢、後藤和泰、黒川康良、宇佐美徳隆

    第65回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:早稲田大学   国名:日本国  

  443. TiOx/結晶Si界面における化学パッシベーションの研究

    望月健矢、後藤和泰、大田晁生、小倉正平、黒川康良、宮崎誠一、福谷克之、宇佐美徳隆

    第65回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:早稲田大学   国名:日本国  

  444. p型BaSi2/ n型結晶Si太陽電池の開放電圧向上に向けた真空蒸着法における蒸発初期過程の制御

    藤原道信、高橋一真、中川慶彦、後藤和泰、黒川康良、伊藤孝至、宇佐美徳隆

    第65回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:早稲田大学   国名:日本国  

  445. ガスソース分子線エピタキシー法による高被覆率Geドットマスクを用いた光閉じ込め構造の作製

    太田湧士、本部惇史、後藤和泰、黒川康良、宇佐美徳隆、ドミトリー ユラソフ、アレクシー ナビコフ、ミカエル シャリーブ

    第65回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:早稲田大学   国名:日本国  

  446. 次世代高性能ヘテロ接合太陽電池に向けた新材料と界面制御

    宇佐美徳隆、 望月健矢、 増田翔太、 崔敏、 後藤和泰、 黒川康良

    第65回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:早稲田大学   国名:日本国  

  447. キャリア選択コンタクトにおける電極材料の界面仕事関数および成膜ダメージ評価:ALD-TiOx

    神岡武文、望月健矢、後藤和泰、林豊、磯貝勇樹、中村京太郎、宇佐美徳隆、大下祥雄

    第65回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:早稲田大学   国名:日本国  

  448. IMPROVEMENT OF TUNNEL OXIDE PASSIVATED CONTACT SOLAR CELLS USING ALUMINIUM INDUCED CRYTALLIZATION 国際会議

    S. Masuda, K. Gotoh, K. Nakamura, Y. Ohshita, and N. Usami

    Global Photovoltaic Conference 2018 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Kimdaejun Convention Center   国名:大韓民国  

  449. Materials informatics for ideal crystalline silicon ingot for solar cells 国際会議

    N. Usami

    Global Photovoltaic Conference 2018 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Kimdaejun Convention Center   国名:大韓民国  

  450. FABRICATION AND CHARACTERIZATION OF BaSi2 THIN-FILMS THERMALLY-EVAPORATED ON Ge (100) MODIFIED SUBSTRATES 国際会議

    Lien T.K. Mai, Y. Nakagawa, Y. Kurokawa, and N. Usami

    Global Photovoltaic Conference 2018 

     詳細を見る

    開催年月日: 2018年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Kimdaejun Convention Center   国名:大韓民国  

  451. 多結晶シリコンPL像中の転位領域の非負値行列分解による推定に関する検討

    工藤博章、羽山優介、松本哲也、沓掛健太朗、宇佐美徳隆

    電子情報通信学会イメージ・メディア・クオリティ研究会 

     詳細を見る

    開催年月日: 2017年12月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:静岡大学   国名:日本国  

  452. 障壁高さのSi量子ドット太陽電池へ与える影響

    北沢宏平、赤石龍士郎、小野聖、宇佐美徳隆、黒川康良

    第2回フロンティア太陽電池セミナー 

     詳細を見る

    開催年月日: 2017年11月 - 2017年12月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:金沢大学   国名:日本国  

  453. 重み付きボロノイ図を用いたキャスト法による多結晶Siの核形成サイトの解析

    村松哲郎、高橋勲、沓掛健太朗、前田健作、藤原航三、松本哲也、工藤博章、宇佐美徳隆

    JCCG-46 第46回結晶成長国内会議 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:ホテルコンコルド浜松   国名:日本国  

  454. Simple Thermal Evaporation Route to Single-Phase and Highly-Oriented BaSi2 Thin Films 国際会議

    K. O. Hara, C. Yamamoto, J. Yamanaka, K. Arimoto, K. Nakagawa, and N. Usami

    2017 MRS Fall Meeting & Exhibit 

     詳細を見る

    開催年月日: 2017年11月 - 2017年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Hynes Convension Center   国名:アメリカ合衆国  

  455. Surface Passivation Quality of Atomic Layer Deposited TiO2 Thin Layer for Carrier Selective Contact on Crystalline Silicon 国際会議

    T. Mochizuki , K. Gotoh, I. Takahashi, Y. Kurokawa, and N. Usami

    2017 MRS Fall Meeting & Exhibit 

     詳細を見る

    開催年月日: 2017年11月 - 2017年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Hynes Convension Center   国名:アメリカ合衆国  

  456. Performance Improvement of Silicon Nanowire Based Solar Cells Using Al2O3/TiO2 Passivation Films 国際会議

    Y. Kurokawa, R. Nezasa, S. Kato, H. Miyazaki, I. Takahashi, and N. Usami

    2017 MRS Fall Meeting & Exhibit 

     詳細を見る

    開催年月日: 2017年11月 - 2017年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Hynes Convension Center   国名:アメリカ合衆国  

  457. Alternative Simple Method to Realize P-Type BaSi2 Thin Films for Si Heterojunction Solar Cells Application 国際会議

    K. Takahashi , Y. Nakagawa, K. O. Hara, I. Takahashi, Y. Kurokawa, and N. Usami

    2017 MRS Fall Meeting & Exhibit 

     詳細を見る

    開催年月日: 2017年11月 - 2017年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Hynes Convension Center   国名:アメリカ合衆国  

  458. Materials and Process Informatics for SMART Silicon Ingot 国際会議

    N. Usami, Y. Hayama, T. Matsumoto, H. Kudo, T. Yokoi, K. Matsunaga, K. Kutsukake, and Y. Ohno

    2nd Asian Nations Joint Workshop on Photovoltaics 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Lake Biwa Otsu Prince Hotel   国名:日本国  

  459. INFLUENCE OF BARRIER LAYER'S HEIGHT ON THE PERFORMANCE OF Si QUANTUM DOTS SOLAR CELLS 国際会議

    K. Kitazawa, R. Akaishi, S. Ono, I. Takahashi, N. Usami, and Y. Kurokawa

    PVSEC-27 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Lake Biwa Otsu Prince Hotel   国名:日本国  

  460. DEVELOPMENT OF PREFERRED ORIENTATION IN EVAPORATED BASI2 FILMS ON SI(100) BY CONTROLLING THE NEAR-INTERFACE STRUCTURE 国際会議

    K. O. Hara, C. Yamamoto, J. Yamanaka, K. Arimoto, K. Nakagawa, and N. Usami

    PVSEC-27 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Lake Biwa Otsu Prince Hotel   国名:日本国  

  461. INVESTIGATON ON BORON-DOPED P-BASI2/N-SI HETERO-JUNCTION SOLAR CELLS ON A TEXTURED SI(001) SUBSTRATE 国際会議

    T. Deng, K. Gotoh, R. Takabe, Z. Xu, S. Yachi, Y. Yamashita, K. Toko, N. Usami, and T. Suemasu

    PVSEC-27 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Lake Biwa Otsu Prince Hotel   国名:日本国  

  462. FABRICATION OF LIGHT TRAPPING STRUCTURE BY SELECTIVE ETCHING OF THIN Si SUBSTRATES MASKED WITH A Ge DOTS LAYER 国際会議

    A. Hombe, Y. Kurokawa, S. Akagi, Y. Yamamoto, D. Yurasov, A. Novikov, and Noritaka Usami

    PVSEC-27 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Lake Biwa Otsu Prince Hotel   国名:日本国  

  463. MODULATION OF DEPOSITION TEMPERATURE OF TIO2 FOR PASSIVATIING ELECTRON SELECTIVE CONTACT FOR SILICON HETEROJUNTION SOLAR CELL 国際会議

    T. Mochizuki, K. Gotoh, I. Takahashi, Y. Kurokawa, and N. Usami

    PVSEC-27 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Lake Biwa Otsu Prince Hotel   国名:日本国  

  464. INVESTIGATION OF EFFECTIVE LIGHT TRAPPING STRUCTURE WITH SUB-MICRON SIZE FOR CRYSTALLINE SILICON THIN FILM SOLAR CELLS 国際会議

    M. Sei, Y. Kurokawa, I. Takahashi, and N. Usami

    PVSEC-27 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Lake Biwa Otsu Prince Hotel   国名:日本国  

  465. IMPACT OF BORON INCORPORATION ON PROPERTY OF SI SOLAR CELLS EMPLOYING P-TYPE POLY-SI BY ALUMINUM INDUCED CRYSTALIZATION 国際会議

    S. Masuda, K. Gotoh, I. Takahashi, K. Nakumura, Y. Ohshita, and N. Usami

    PVSEC-27 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Lake Biwa Otsu Prince Hotel   国名:日本国  

  466. FABRICATION OF SILICON HETEROJUNCTION SOLAR CELLS WITH BARIUM DISILICIDE THIN FILMS PREPARATED BY THERMAL EVAPORATION 国際会議

    K. Takahashi, Y. Nakagawa, K. Goto, K. O. Hara, I. Takahashi, Y. Kurokawa, and N. Usami

    PVSEC-27 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Lake Biwa Otsu Prince Hotel   国名:日本国  

  467. FABRICATION OF COPPER IODIDE BY 2-STEP METHOD AS HOLE SELECTIVE CONTACT FOR CRYSTALLINE SILICON SOLAR CELL -A POTENTIAL ALTERNATIVE TO AMORPHOUS SILICON HETEROJUNCTION 国際会議

    M. Cui, K. Gotoh, I. Takahashi, Y. Kurokawa, and N. Usami

    PVSEC-27 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Lake Biwa Otsu Prince Hotel   国名:日本国  

  468. TRACKING AND VISUALLIZATION OF DISLOCATION GENERATION IN MULTICRYSTALLINE SILICON BY PHOTOLUMINESCENCE IMAGE ROCESSING 国際会議

    Y. Hayama, T. Matsumoto, K. Kutsukake, I. Takahashi, H. Kudo, and N. Usami

    PVSEC-27 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Lake Biwa Otsu Prince Hotel   国名:日本国  

  469. FORMATION OF BLACK SILICON USING THE SIGE SELF-ASSEMBLED ISLANDS AS A MASK FOR SELECTIVE ETCHING 国際会議

    Y. Ota, A. Hombe, Y. Kurokawa, N. Usami, A. Novikov, M. Shaleev, D. Yurasov, N. Baidakova, E. Morozova, E. Skorokhodov, and V. Verbus

    PVSEC-27 

     詳細を見る

    開催年月日: 2017年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Lake Biwa Otsu Prince Hotel   国名:日本国  

  470. p型BaSi₂/n型テクスチャ構造Si太陽電池の太陽電池特性評価

    藤原道信、高橋一真、中川慶彦、後藤和泰、高橋勲、黒川康良、宇佐美徳隆

    第5回応用物理学会 名古屋大学スチューデントチャプター東海地区学術講演会 

     詳細を見る

    開催年月日: 2017年10月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  471. Si基板上へのSiGe層の高速エピタキシャル成長に向けて

    深見昌吾、増田翔太、本部惇史、中川慶彦、後藤和泰、黒川康良、ダムリン マルワン、宇佐美徳隆

    第5回応用物理学会 名古屋大学スチューデントチャプター東海地区学術講演会 

     詳細を見る

    開催年月日: 2017年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学   国名:日本国  

  472. 多結晶Siインゴットの核形成サイト解析への重み付きボロノイ図の応用

    村松哲郎、高橋勲、松本哲也、工藤博章、沓掛健太朗、前田健作、藤原航三、宇佐美徳隆

    第5回応用物理学会 名古屋大学スチューデントチャプター東海地区学術講演会 

     詳細を見る

    開催年月日: 2017年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学   国名:日本国  

  473. ガラス基板上へのBaSi₂/TiO₂膜ヘテロ構造の作製と評価

    中川慶彦、望月健矢、後藤和泰、黒川康良、宇佐美徳隆

    第5回応用物理学会 名古屋大学スチューデントチャプター東海地区学術講演会 

     詳細を見る

    開催年月日: 2017年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学   国名:日本国  

  474. 多結晶シリコンPL像中の転位領域のスパースコーディングによる推定に関する検討

    工藤博章、羽山優介、松本哲也、沓掛健太朗、宇佐美徳隆

    電子情報通信学会イメージ・メディア・クオリティ研究会 

     詳細を見る

    開催年月日: 2017年10月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:神戸大学   国名:日本国  

  475. Effect of Sputtered a-Si on Effective Carrier Lifetime of c-Si with Ultra-Thin SiO2 Structure 国際会議

    K. Gotoh, I. Takahashi, Y. Kurokawa, and N. Usami

    EU PVSEC 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:RAI Convention & Exhibition Centre   国名:オランダ王国  

  476. 同位体制御Si/SiGe単一電子スピンの1/f電荷揺らぎによる位相雑音 国際会議

    米田淳、武田健太、大塚朋廣、中島峻、Matthieu R. Delbecq、Giles Allison、 本田拓夢、小寺哲夫、小田俊理、星裕介、宇佐美徳隆、伊藤公平、樽茶清悟

    日本物理学会 2017秋季大会 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:岩手大学   国名:日本国  

  477. Microstructural Characteristics of BaSi2 Epitaxial Films Fabricated by Thermal Evaporation 国際会議

    K. O. Hara, C. Yamamoto, J. Yamanaka, K. Arimoto, K. Nakagawa, and N. Usami

    International Conference on Solid State Devices and Materials (SSDM2017) 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Sendai   国名:日本国  

  478. Fabrication of a Si Nanowire MOS Capacitor for the Application to Energy Storage Devices 国際会議

    R. Nezasa, Y. Kurokawa, and N. Usami

    International Conference on Solid State Devices and Materials (SSDM2017) 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Sendai   国名:日本国  

  479. BaSi2蒸着膜中の酸素濃度低減と結晶配向への影響

    原康祐、山中淳二、有元圭介、中川清和、宇佐美徳隆

    第78回 応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡国際会議場   国名:日本国  

  480. ヘテロ接合型Si系太陽電池の新規正孔選択輸送層p型Culの熱安定性

    崔敏、後藤和泰、髙橋勲、黒川康良、宇佐美徳隆

    第78回 応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡国際会議場   国名:日本国  

  481. 単層Geドットマスクを用いた選択エッチングによる結晶Si太陽電池用光閉じ込め構造の薄型基板への作製

    本部惇史、黒川康良、赤木成明、山本裕三、宇佐美徳隆

    第78回 応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡国際会議場   国名:日本国  

  482. データ科学的手法を用いた多結晶Si中の転位発生・伝搬の可視化

    羽山優介、松本哲也、沓掛健太朗、髙橋勲、工藤博章、宇佐美徳隆

    第78回 応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2017年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:福岡国際会議場   国名:日本国  

  483. Isotopically enriched Si-28/SiGe heterostructures with nearly atomic-scale roughness 国際会議

     詳細を見る

    開催年月日: 2017年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  484. Charge-noise-limited coherence and three-nines fidelity of an enriched Si/SiGe spin qubit 国際会議

     詳細を見る

    開催年月日: 2017年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  485. Microwave induced frequency shift and its quadrature compensation for Si/SiGe spin qubit 国際会議

     詳細を見る

    開催年月日: 2017年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  486. ヘテロ接合型Si系太陽電池の新規正孔選択輸送層p型Culの作製と評価

    崔敏、後藤和泰、髙橋勲、黒川康良、中村京太郎、大下祥雄、宇佐美徳隆

    第14回「次世代の太陽光発電システム」シンポジウム 

     詳細を見る

    開催年月日: 2017年7月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学   国名:日本国  

  487. p型BaSi2/n型テクスチャSiのダイオード特性評価

    藤原道信、高橋一真、中川慶彦、後藤和泰、髙橋勲、黒川康良、宇佐美徳隆

    第14回「次世代の太陽光発電システム」シンポジウム 

     詳細を見る

    開催年月日: 2017年7月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  488. p型BaSi2薄膜を用いたヘテロ接合型Si太陽電池の作製

    高橋一真、中川慶彦、原康祐、髙橋勲、黒川康良、宇佐美徳隆

    第14回「次世代の太陽光発電システム」シンポジウム 

     詳細を見る

    開催年月日: 2017年7月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  489. BaSi₂蒸着膜のa-Si被膜による表面酸化抑制

    原康祐、Cham Thi Trinh、 黒川康良、有元圭介、山中淳二、中川清和、宇佐美徳隆

    第14回「次世代の太陽光発電システム」シンポジウム 

     詳細を見る

    開催年月日: 2017年7月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  490. 大容量蓄電デバイス応用に向けたSiナノワイヤMOSキャパシタの作製

    根笹良太、黒川康良、宇佐美徳隆

    第14回「次世代の太陽光発電システム」シンポジウム 

     詳細を見る

    開催年月日: 2017年7月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  491. Geドットマスクを利用して作製した新型光閉じ込め構造への原子層堆積法によるアルミナパッシベーション

    太田湧士、本部惇史、黒川康良、後藤和泰、髙橋勲、宇佐美徳隆

    第14回「次世代の太陽光発電システム」シンポジウム 

     詳細を見る

    開催年月日: 2017年7月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  492. Al誘起成長法を応用した太陽電池のキャリア収集率評価

    増田翔太、後藤和泰、髙橋勲、中村京太郎、大下祥雄、宇佐美徳隆

    第14回「次世代の太陽光発電システム」シンポジウム 

     詳細を見る

    開催年月日: 2017年7月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  493. キャリア選択接合層応用に向けたNbドープTiO₂薄膜の作製と物性評価

    望月健矢、後藤和泰、髙橋勲、黒川康良、宇佐美徳隆

    第14回「次世代の太陽光発電システム」シンポジウム 

     詳細を見る

    開催年月日: 2017年7月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  494. 太陽電池用疑似単結晶Siの結晶品質向上に向けた機能性欠陥層の活用

    羽山優介、髙橋勲、沓掛健太朗、宇佐美徳隆

    第14回「次世代の太陽光発電システム」シンポジウム 

     詳細を見る

    開催年月日: 2017年7月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  495. Geドットマスクを用いたアンモニア溶液エッチングによる太陽電池用新規光閉じ込め構造の開発

    本部惇史、黒川康良、Dmitrij Yuasov, Alexey Novikov, 宇佐美徳隆

    第14回「次世代の太陽光発電システム」シンポジウム 

     詳細を見る

    開催年月日: 2017年7月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  496. FDTD法を用いたシリコンナノワイヤ構造の光散乱シミュレーション

    清美樹、黒川康良、髙橋勲、宇佐美徳隆

    第14回「次世代の太陽光発電システム」シンポジウム 

     詳細を見る

    開催年月日: 2017年7月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  497. 多結晶材料情報学によるスマートシリコンインゴットの創製に向けて

    宇佐美徳隆、羽山優介、髙橋勲、松本哲也、工藤博章、横井達矢、松永克志、沓掛健太朗、大野裕

    第14回「次世代の太陽光発電システム」シンポジウム 

     詳細を見る

    開催年月日: 2017年7月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋大学   国名:日本国  

  498. 結晶シリコン太陽電池の現状とパッシベーション技術 招待有り

    宇佐美徳隆

    日本真空学会東海支部 7月研究例会 「太陽電池と真空技術」 

     詳細を見る

    開催年月日: 2017年7月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:岐阜大学   国名:日本国  

  499. Advanced materials and process for silicon-based heterojunction solar cells 国際会議

    N.Usami

    Academic Seminar on Materials Science and Engineering, Challenge for Next-Generation Materials Research in National University of Singapore and Nagoya University 

     詳細を見る

    開催年月日: 2017年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:National University of Singapore   国名:シンガポール共和国  

  500. Solar Cells Application of p-type poly-Si Thin Film by Aluminum Induced Crystallization 国際会議

    S. Masuda, K. Gotoh, I. Takahashi, K. Nakamura, Y. Ohshita, and N. Usami

    44th IEEE Photovoltaics Specialists Conference 

     詳細を見る

    開催年月日: 2017年6月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Marriott Wardman Park Hotel   国名:アメリカ合衆国  

  501. Fabrication of CuI/a-Si:H/c-Si Structure for Application to Hole-selective Contacts of Heterojunction Si Solar Cells 国際会議

    K. Gotoh, M. Cui, N. C. Thanh, K. Koyama, I. Takahashi, Y. Kurokawa, H. Matsumura, and N. Usami

    44th IEEE Photovoltaics Specialists Conference 

     詳細を見る

    開催年月日: 2017年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Marriott Wardman Park Hotel   国名:アメリカ合衆国  

  502. Toward bandgap widening of BaSi2 by carbon substitution using 3C-SiC-on-Si heteroepitaxial wafer 国際会議

    Y. Nakagawa, Y. Kurokawa, and N. Usami

    European Materials Research Society 2017 Spring Meeting 

     詳細を見る

    開催年月日: 2017年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Strasbourg Convention Centre   国名:フランス共和国  

  503. BaSi2/Si heterostructures for photovoltaic applications 国際会議

    N. Usami, K. Takahashi, J. A. Wibowo, Y. Nakagawa, and Y. Kurokawa

    European Materials Research Society 2017 Spring Meeting 

     詳細を見る

    開催年月日: 2017年5月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Strasbourg Convention Centre   国名:フランス共和国  

  504. Ultrathin AlOx gentle passivation layer for improving the minority carrier lifetime of BaSi2 filme prepared by vacuum evaporation method for solar cell application 国際会議

    N. M. Shaalan, N. Usami, and K. O. Hara

    European Materials Research Society 2017 Spring Meeting 

     詳細を見る

    開催年月日: 2017年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Strasbourg Convention Centre   国名:フランス共和国  

  505. Realization of p-type BaSi2 by simple thermal evaporation on Si grown by aluminium induced crystallization 国際会議

    J. A. Wibowo, Y. Nakagaya, Y. Kurokawa, and N. Usami

    Growth of strained Si/SiGe heterostructures on Si(110) substrates using solid-source molecular beam epitaxy  

     詳細を見る

    開催年月日: 2017年5月

    記述言語:英語   会議種別:ポスター発表  

    開催地:The University of Warwick   国名:グレートブリテン・北アイルランド連合王国(英国)  

  506. Growth and Characterization of Isotopically Enriched Si-28/SiGe Heterostructures 国際会議

    S. Miyamoto , Y. Hoshi, N. Usami, and K. M. Itoh

    The 10th International Conference on Silicon Epitaxy and heterostructures 

     詳細を見る

    開催年月日: 2017年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:The University of Warwick   国名:グレートブリテン・北アイルランド連合王国(英国)  

  507. Realization of p-type BaSi2 by simple thermal evaporation on Si grown by aluminium induced crystallization 国際会議

    J. A. Wibowo, Y. Nakagaya, Y. Kurokawa, and N. Usami

    The 10th International Conference on Silicon Epitaxy and heterostructures 

     詳細を見る

    開催年月日: 2017年5月

    記述言語:英語   会議種別:ポスター発表  

    開催地:The University of Warwick   国名:グレートブリテン・北アイルランド連合王国(英国)  

  508. Controlling Impurity Distribution in Quasi-mono Crystalline Si Ingot by Seed Manipulation for Artificially Controlled Defect Technique 国際会議

    Y. Hayama, I. Takahashi, and N. Usami

    7th Internationl Conference on Crystalline Silicon Photovoltaics 

     詳細を見る

    開催年月日: 2017年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:The Freiburg Concert Hall   国名:ドイツ連邦共和国  

  509. Development of Spin-coated Copper Iodide Film on Silicon for Use in Hole-selective Contacts 国際会議

    K. Gotoh, M. Cui, I. Takahashi, Y. Kurokawa, and N. Usami

    7th Internationl Conference on Crystalline Silicon Photovoltaics 

     詳細を見る

    開催年月日: 2017年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:The Freiburg Concert Hall   国名:ドイツ連邦共和国  

  510. Characterization of p-BaSi2/n-Si solar cells using Boron-doped p-BaSi2 on textured n-Si (001) grown by molecular beam epitaxy

    T. Deng, R. Takabe, Z. Xu, K. Toko, K. Gotoh, N. Usami, and T. Suemasu

     詳細を見る

    開催年月日: 2017年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  511. SMART法を用いた太陽電池用擬似単結晶Siの不純物制御

    羽山優介、高橋勲、宇佐美徳隆

    第64回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:パシフィコ横浜   国名:日本国  

  512. 熱ALDによるAlOx層成膜時の酸化剤とパッシベーション性能の関係

    市川寛章、高橋勲、宇佐美徳隆、白澤勝彦、高遠秀尚

    第64回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:パシフィコ横浜   国名:日本国  

  513. Siヘテロ接合太陽電池応用に向けたp型BaSi2の作製技術開発

    高橋一真、中川慶彦、原康祐、黒川康良、宇佐美徳隆

    第64回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:パシフィコ横浜   国名:日本国  

  514. Al誘起成長法を用いたp型Si多結晶薄膜の太陽電池応用

    増田翔太、後藤和泰、高橋勲、中村京太郎、大下祥雄、宇佐美徳隆

    第64回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:パシフィコ横浜   国名:日本国  

  515. 真空蒸着法により作製したa-Si/BaSi2の接触抵抗低減効果

    須原貴道、中川慶彦、原康祐、黒川康良、末益崇、宇佐美徳隆

    第64回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:パシフィコ横浜   国名:日本国  

  516.  3C-SiC 膜上に成長したBaSi2 膜の結晶構造の評価

    中川慶彦、黒川康良、宇佐美徳隆

    第64回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:パシフィコ横浜   国名:日本国  

  517. スピンコート法で作製したCuIのヘテロ接合型太陽電池のホール選択層への検討

    後藤和泰、崔敏、高橋勲、黒川康良、宇佐美徳隆

    第64回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:パシフィコ横浜   国名:日本国  

  518. BaSi2蒸着膜中の酸素不純物に関する調査

    原康祐、山本千綾、山中淳二、有元圭介、中川清和、黒川康良、宇佐美徳隆

    第64回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:パシフィコ横浜   国名:日本国  

  519. テクスチャSi上に真空蒸着法で形成したBaSi2薄膜の評価

    藤原道信、高橋一真、中川慶彦、後藤和泰、黒川康良、宇佐美徳隆

    第64回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:パシフィコ横浜   国名:日本国  

  520. Surface Morphology of Light Trapping Structure for Si Crystalline Thin Film Solar Cells formed using a Ge(Si) Dot Mask Grown by SS MBE 国際会議

    A. Hombe, Y. Kurokawa, D. V. Yurasov, M. V. Shaleev, E. E. Morozova, A. V. Novikov, and N. Usami

    XXI International symposium Nanophysics & Nanoelectronics 

     詳細を見る

    開催年月日: 2017年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:ロシア連邦  

  521. 薄膜Si太陽電池応用のための新規光閉じ込め構造に関する光学シミュレーション

    清美樹, 黒川康良, 宇佐美徳隆

    フロンティア太陽電池セミナー 

     詳細を見る

    開催年月日: 2016年11月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:京都大学 化学研究所   国名:日本国  

  522. Zn3P2/BaSi2ヘテロ接合太陽電池に向けたダイオード特性評価

    高橋一真, 勝部涼司, 黒川康良, 野瀬嘉太郎, 宇佐美徳隆

    フロンティア太陽電池セミナー 

     詳細を見る

    開催年月日: 2016年11月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:京都大学 化学研究所   国名:日本国  

  523. アルミニウム誘起成長法による高濃度p型Si多結晶薄膜の太陽電池応用に関する研究

    増田翔太, 高橋勲, 後藤和泰, 中村京太郎, 大下祥雄, 宇佐美徳隆

    第26回学生による材料フォーラム 

     詳細を見る

    開催年月日: 2016年11月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:名古屋大学   国名:日本国  

  524. Geometry control of silicon-based photonic nanostructures by modulated stacking conditions of germanium dots 国際会議

    D. Furuta, O. Aonuma, Y. Kurokawa, N. Usami

    26th International Photovoltaic Solar Energy Conference (PVSEC-26) 

     詳細を見る

    開催年月日: 2016年10月

    記述言語:英語   会議種別:ポスター発表  

    開催地:Sands Expo and Convention Centre   国名:シンガポール共和国  

  525. Effect of Surface Morphology Randomness on Optical Properties of Si-based Photonic Nanostructures 国際会議

    Y. Kurokawa, O. Aonuma, T. Tayagaki, I. Takahashi, N. Usami

    26th International Photovoltaic Solar Energy Conference (PVSEC-26) 

     詳細を見る

    開催年月日: 2016年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:Sands Expo and Convention Centre   国名:シンガポール共和国  

  526. Optical characterization of double-side textures using photonic nanostructures for thin-wafer c-Si solar cells 国際会議

    T.Tayagaki, D. Furuta, O. Aonuma, I. Takahashi, Y.Hoshi, Y. Kurokawa, and N.Usami

    SSDM 2016 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  527. Siヘテロ接合太陽電池におけるホール選択層としてのBaSi2の検討

    高橋一真、中川慶彦、原康祐、黒川康良、宇佐美徳隆

    第77回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  528. 同位体制御されたSi/SiGe量子ドットにおける単一電子スピン共鳴

    米田淳、武田健太、 大塚朋廣、 中島峻、  Matthieu R. Delbecq、 Giles Allison、 本田拓夢、小寺哲夫、 小田俊理、 星裕介、 宇佐美徳隆、伊藤公平、樽茶清悟

    日本物理学会秋季年会 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  529. Minority-carrier lifetime in B-doped BaSi2 epitaxial films

    M.Emha Bayu, C.T.Trinh, R.Takabe, K.Toko, N.Usami, T. Suemasu

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  530. アルミニウム誘起層交換成長法によるシリコン薄膜成長のカイネティクスと応用

    宇佐美徳隆

    第77回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  531. Realization of vertical Ge/Si nanopillars by maskless etching of Ge quantum dot nanostructures

    S.Tutashkonko, N.Usami

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  532. Growth of Crystalline BaSi2 Thin Films by Vacuum Evaporation on Poly-Crystalline Silicon Fabricated by Aluminum Induced Crystallization

    J.A.Wibowo, I.Takahashi, K.O.Hara and N.Usami

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  533. 真空蒸着法により作製したBaSi2/SUS304の断面評価

    須原貴道、青柳健太、原康祐、末益崇、宇佐美徳隆

    第77回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  534. Characterization of undoped-BaSi2 on textured Si (001) substrate grown by Molecular Beam Epitaxy

    T.Deng, R.Takabe, S.Yachi, Z.Xu, M.Emha Bayu, K.Toko, N.Usami, T.Suemasu

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  535. 固体ソースMBE法で作製した単層Geドットマスクを用いた結晶Si太陽電池用光閉じ込め構造の表面形態

    本部惇史、黒川康良、Dmitrij Yuasov、Alexey Novikov、宇佐美徳隆

    第77回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  536. 単一原料の蒸着によるa-Si/BaSi2積層構造の作製

    原康祐、Cham Thi Trinh、黒川康良、有元圭介、山中淳二、中川清和

    第77回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  537. SiNx:H/AlOxパッシベーションスタック構造を持つSi基板への光照射の影響

    市川寛章、高橋勲、宇佐美徳隆、白澤勝彦、高遠秀尚

    第77回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  538. Seed manipulation for artificially controlled defect technique in new growth method for quasi-monocrystalline Si ingot based on casting

    Supawan Joonwichien

     詳細を見る

    開催年月日: 2016年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  539. Si/SiGe多重量子ドットの形成と電荷状態測定

    大塚朋廣、武田健太、米田淳、本田拓夢、Matthieu Delbecq、Giles Allison、Marian Marx、中島峻、 小寺哲夫、小田俊理、星裕介、宇佐美徳隆、伊藤公平、樽茶清悟

    日本物理学会秋季年会 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  540. 伸張歪みSi/緩和SiGe/Si(110)ヘテロ構造の結晶成長中における表面形状形成過程に関する研究

    山田崇峰、宇津山直人、佐藤圭、白倉麻衣、山本千綾、有元圭介、山中淳二、原康祐、宇佐美徳隆、澤野憲太郎、中川清和

    第77回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  541. 伸張歪みSi/SiGe/Si(110)ヘテロ構造の表面モフォロジーに成長速度が及ぼす影響

    佐藤圭、宇津山直人、山田崇峰、有元圭介、山中淳二、原康祐、澤野憲太朗、宇佐美徳隆、中川清和

    第77回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  542. イオン注入歪み緩和法を用いて形成したSi/Si1-xCx/Si(001)構造の結晶性評価

    村上太陽、有元圭介、山中淳二、原康祐、山本千綾、宇佐美徳隆、星裕介、有澤洋、澤野憲太郎、中川清和

    第77回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2016年9月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  543. Silicon-based materials for heterojunction solar cells 国際会議

    N.Usami

    Workshop on Crystalline Silicon Solar Cells and Modules: Materials and Processes 

     詳細を見る

    開催年月日: 2016年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:アメリカ合衆国  

  544. Novel light trapping structure by alkaline etching using a Ge dot mask for crystalline Si solar cells 国際会議

    A.Hombe, Y.Kurokawa, and N.Usami

    IEEE Nano2016 

     詳細を見る

    開催年月日: 2016年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  545. Study on ion implantation conditions in fabricating compressively strained Si/relaxed Si1-xCx heterostructures using the defect control by ion implantation technique 国際会議

    Y.Arisawa, K.Sawano, and N.Usami

    ICCGE18 

     詳細を見る

    開催年月日: 2016年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  546. On the growth mechanism of a muticrystalline silicon ingot with small grains by using single layer silicon beads 国際会議

    T.Muramatsu, I.Takahashi, G.Anandha Babu, and N.Usami

    ICCGE18 

     詳細を見る

    開催年月日: 2016年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  547. Controlling Impurity Distributions in Crystalline Si for Solar Cells by Using Artificial Designed Defects 国際会議

    Y.Hayama, I.Takahashi, and N.Usami

    ICCGE18 

     詳細を見る

    開催年月日: 2016年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  548. Tuning the Aluminum-Induced Crystallization process to realize a poly-Si seed-layer suitable for epitaxy 国際会議

    S.Tutashkonko, N.Usami

    ICCGE18 

     詳細を見る

    開催年月日: 2016年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  549. Hole Mobility in Strained Si/SiGe/Vicinal Si(110) Grown by Gas Source MBE 国際会議

    K.Arimoto, S.Yagi, J.Yamanaka, K.Nakagawa, N.Usami, and K.Sawano

    ICCGE18 

     詳細を見る

    開催年月日: 2016年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  550. Growth of Si crystals from the crucible repelling Si melt by directional solidification 国際会議

    R.Komatsu, T.Okubo, C.Mizuno, Y.Fujii, I.Takahashi, and N.Usami

    ICCGE18 

     詳細を見る

    開催年月日: 2016年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  551. Dependence of Grain Boundary Structure Controlled by Artificially Designed Seeds on Dislocation Generation 国際会議

    T.Iwata, I.Takahashi, and N.Usami

    ICCGE18 

     詳細を見る

    開催年月日: 2016年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  552. Towards optimized nucleation control in multicrystalline silicon ingot for solar cells 国際会議

    G.Anandha babu, I.Takahashi, T.Muramatsu, and N.Usami

    ICCGE18 

     詳細を見る

    開催年月日: 2016年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  553. モノライクインゴットの新規製造技術:SMART法

    高橋勲、宇佐美徳隆

    日本学術振興会「結晶加工と評価技術」第145委員会第149回研究会 

     詳細を見る

    開催年月日: 2016年7月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  554. Investigation of p-type Emitter Layer Materials for Heterojunction Barium Silicide Thin Film Solar Cells 国際会議

    K.Takahashi, Y.Nakagawa, K.O.Hara, Y.Kurokawa, and N.Usami

    APAC Silicide 2016 

     詳細を見る

    開催年月日: 2016年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  555. Realization of Crystalline BaSi2 Thin Films by Vacuum Evaporation on (111)-oriented Si Layers Fabricated by Aluminum Induced Crystallization 国際会議

    J.A.Wibowo, I.Takahashi, K.O.Hara, and N.Usami

    APAC Silicide 2016 

     詳細を見る

    開催年月日: 2016年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  556. Investigation on Minority-carrier Lifetime and Solar Cell Properties of BaSi2 Formed on Multicrystalline Si Substrates 国際会議

    Y.Li, C.T.Trinh, R. Takabe, K.Toko, N.Usami, and T.Suemasu

    APAC Silicide 2016 

     詳細を見る

    開催年月日: 2016年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  557. Preferred Orientation of BaSi2 Thin Films Fabricated by Thermal Evaporation 国際会議

    K.O.Hara, C.T.Trinh, Y.Nakgawa, Y.Kurokawa, K.Arimoto, J.Yamanaka, K.Nakagawa, and N.Usami

    APAC Silicide 2016 

     詳細を見る

    開催年月日: 2016年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  558. Post -Annealing Effects on BaSi2 Evaporated Films Grown on Si Substrates 国際会議

    T.Suhara, K.Murata, A.Navabi, K.O.Hara, Y.Nakagawa, C.T.Trinh, Y.Kurokawa, T.Suemasu, K.L.Wang, and N.Usami

    APAC Silicide 2016 

     詳細を見る

    開催年月日: 2016年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  559. Proposal of a Method to Realize BaSi2 Thin Films with Uniform Orientation using Reactivity of Excessive Ba in the Film and Si Substrate in Vacuum Evaporation 国際会議

    Y.Nakagawa, C.T.Trinh, K.O.Hara, Y. Kurokawa, T. Suemasu and N.Usami

    APAC Silicide 2016 

     詳細を見る

    開催年月日: 2016年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  560. The Growth of Polycrystalline Orthorhombic BaSi2 on Ge Substrate by Vacuum Evaporation Method 国際会議

    C.T.Trinh, Y.Nakagawa, K.O.Hara, R.Takabe, T.Suemasu, and N.Usami

    APAC Silicide 2016 

     詳細を見る

    開催年月日: 2016年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  561. Photoresponse Properties of BaSi2 Film Grown on Si (100) by Vacuum Evaporation 国際会議

    C.T.Trinh, Y.Nakagawa,and N.Usami

    EUPVSEC 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ドイツ連邦共和国  

  562. Effects of Luminescent Coupling in Perovskite/c-Si Multijunction Solar Cells with Nanostructured Interlayer 国際会議

    T.Tayagaki, Y.Kurokawa, and N.Usami

    EUPVSEC 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ドイツ連邦共和国  

  563. Measurement of charge states in Si/SiGe multiple quantum dots 国際会議

    T.Otsuka, K.Takeda, J.Yoneda, T.Honda, M.R.Delbecq, G.Allison, M.Marx, T.Nakajima, T.Kodera, S. Oda,Y.Hoshi, N.Usami, K.M. Itoh, and S.Tarucha

    Silicon Quantum Electronics Workshop 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:オランダ王国  

  564. Thermal stability of compressively strained Si/relaxed Si1-xCx heterostructures formed on Ar ion implanted Si (100) substrates 国際会議

    Y.Arisawa, Y.Hoshi, K.Sawano , J.Yamanaka, K.Arimoto, C.Yamamoto, and N.Usami

    7th International Symposium on Control of Semiconductor Interfaces (ISCSI-VII) and International SiGe Technology and Device Meeting 2016 (ISTDM2016) 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  565. Application of New Doping Techniques to Solar Cells for Low Temperature Fabrication 国際会議

    I.Takahashi, Y.Sujihara, H.Yating, J.Wibowo, Y.Kurokawa, and N.Usami

    43rd IEEE 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  566. Control of the Electrical Properties of BaSi2 Evaporated Films for Solar Cell Applications 国際会議

    K.O.Hara, C.T. Trinh, K.Arimoto, J.Yamanaka, K.Nakagawa, Y.Kurokawa, T. Suemasu, and N.Usami

    43rd IEEE 

     詳細を見る

    開催年月日: 2016年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  567. SiNx:H/AlOxパッシベーションスタック層を用いた光照射によるSi基板のライフタイム向上

    市川寛章,高橋勲,宇佐美徳隆,白澤勝彦,高遠秀尚

    第13回「次世代の太陽光発電システム」シンポジウム 

     詳細を見る

    開催年月日: 2016年5月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  568. フォトニックナノ構造とMetal AssistedChemical Etchingを用いた新規光閉じ込め構造の作製と光学特性

    清美樹, 黒川康良, 宇佐美徳隆

    第13回「次世代の太陽光発電システム」シンポジウム 

     詳細を見る

    開催年月日: 2016年5月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  569. A Simple Approach to Grow BaSi2 Thin Film on Foreign Substrates as an Absorber for High-Performance Thin Film Solar Cell 国際会議

    N.Usami, K.O.Hara, Y.Nakagawa, C.T.Trinh, T.Suhara, J.Adrian.Wibowo, I.Takahashi, T.Suemasu

    2016 MRS Spring Meeting  

     詳細を見る

    開催年月日: 2016年3月 - 2016年4月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  570. 高性能結晶シリコン太陽電池の実現に向けた新規ヘテロ接合用材料と高品質シリコン結晶に関する研究

    宇佐美徳隆、高橋勲、黒川康良、中塚理

    第63回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:東京工業大学   国名:日本国  

  571. シリコンナノワイヤ太陽電池のキャリア収集特性の改善

    加藤慎也、阿部祐介、白柳裕介、黒川康良、宮島晋介、小長井誠

    第63回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  572. 単層Geドットマスクを用いたアルカリエッチングによる結晶Si太陽電池用新規光閉じ込め構造

    本部惇史、黒川康良、宇佐美徳隆

    第63回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  573. 表面形状のランダムネスを有するフォトニックナノ構造の光学特性解析

    青沼理、黒川康良、太野垣健、宇佐美徳隆

    第63回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  574. Geドット積層条件の変調によるフォトニックナノ構造の形状制御

    古田大知、青沼理、黒川康良、宇佐美徳隆

    第63回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  575. フォトニックナノ構造とMetal Assisted Chemical Etching 法を用いた新規光閉じ込め構造の作製

    清美樹、黒川康良、宇佐美 徳隆

    第63回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  576. Siビーズ核形成層によるハイパフォーマンス多結晶Siの育成

    村松哲郎、高橋勲、宇佐美徳隆

    第63回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  577. 欠陥マニピュレーションに向けた結晶Siの粒界構造と転位発生の関係

    岩田大将、高橋勲、宇佐美 徳隆

    第63回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  578. 機能性欠陥層を用いた太陽電池用結晶シリコンの不純物制御

    羽山優介、高橋勲、宇佐美 徳隆

    第63回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  579. Al誘起成長法を用いたp型薄膜多結晶Siの太陽電池セルへの応用

    高橋勲、筋原康博、宇佐美徳隆

    第63回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  580. Al誘起成長Ge薄膜をシードとした低不純物密度Ge層の厚膜形成

    中田充紀、都甲薫、原康祐、宇佐美徳隆、末益崇

    第63回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:東京工業大学   国名:日本国  

  581. 微傾斜基板を用いた伸張歪みSi/緩和SiGe/Si(110)ヘテロ構造のモフォロジー及び素子特性

    宇津山直人、佐藤圭、山田 崇峰、有元圭介、山中淳⼆、中川清和、原康介、宇佐美徳隆、澤野憲太郎

    第63回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:東京工業大学   国名:日本国  

  582. イオン注入を利用した圧縮歪みSi/緩和Si1-xCxヘテロ構造の作製におけるイオン注入条件の検討

    有澤洋、澤野憲太郎、宇佐美徳隆

    第63回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  583. 高速成膜によるBaSi#2#DR蒸着膜の構造・特性変化

    原康祐、黒川康良、有元圭介、山中 淳二、中川清和、末益崇、宇佐美徳隆

    第63回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  584. アンドープBaSi2蒸着膜へのポストアニール効果

    須原貴道、村田晃一、中川慶彦、原康祐、黒川康良、末益崇、宇佐美徳隆

    第63回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  585. BaSi2薄膜太陽電池におけるp型エミッタ層材料の探索

    高橋一真、中川慶彦、原康祐、黒川康良、宇佐美徳隆

    第63回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  586. シリサイド系半導体材料BaSi₂を用いたSiベースヘテロ接合太陽電池の作製

    塚原大地、武内大樹、髙部涼太、都甲薫、宇佐美徳隆、末益崇

    第63回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  587. MACES法で作製したシリコンナノワイヤの細線化

    矢野貢、黒川康良、宮島晋介、山田明

    第63回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京工業大学   国名:日本国  

  588. Drastic improvement of effective carrier lifetimes in Si nanowires embedded with anodic aluminum oxide by post-deposition anneal

    H.V.Nguyen, S.Kato, N.Usami

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  589. Minority-carrier lifetime of BaSi2 formed on various multicrystalline Si substrates

    Y.LI, C.T.Trinh, R.Takabe, K.Toko, T.Sekiguchi, N.Usami, T.Suemasu

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  590. Photoresponse property of BaSi2 film grown on Si substrate by vacuum evaporation

    C.T.Trinh, Y.Nakagawa, K.O.Hara, R.Takabe, T.Suemasu, N.Usami

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  591. 結晶シリコン太陽電池の高効率化技術

    宇佐美徳隆

    第7回薄膜太陽電池セミナー 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:山形大学   国名:日本国  

  592. Light-induced recovery of effective carrier lifetime in boron-doped Czochralski silicon at room temperature 国際会議

    H.Ichikawa, I.Takahashi, N.Usami, K.Shirasawa, and H.Takato

    6th International Conference on Silicon Photovoltaics 

     詳細を見る

    開催年月日: 2016年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:フランス共和国  

  593. 結晶シリコン太陽電池の高効率化技術

    宇佐美徳隆

    第23回次世代自動車公開シンポジウム 

     詳細を見る

    開催年月日: 2016年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名古屋大学グリーンモビリティ連携研究センター   国名:日本国  

  594. シリコンインゴット成長の新技術

    高橋勲、宇佐美徳隆

    日本学術振興会 第161委員会 第93回研究会プログラム「太陽電池用材料と結晶成長技術の新展開」  

     詳細を見る

    開催年月日: 2015年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:名城大学 名駅サテライト   国名:日本国  

  595. Growth of High Quality BaSi2 Film on Ge Substrate by Vacuum Evaporation Method 国際会議

    C.T.Trinh, Y.Nakagawa, K.O.Hara, T.Suemasu, and N.Usami

    25th International Photovoltaic Science and Engineering Conference and Exhibition Grobal Photovoltaic Conference 2015 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  596. Growth of thick polycrystalline silicon layer by aluminum-induced crystallization 国際会議

    Y.Sujihara, S.Tutashkonko, I.Takahashi, and N.Usami

    25th International Photovoltaic Science and Engineering Conference and Exhibition Grobal Photovoltaic Conference 2015 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  597. Seed Manipulation for ARtificially controlled defects Technique (SMART)as a new growth method for high-quality quasi-mono crystalline silicon 国際会議

    I.Takahashi, T.Iwata, and N.Usami

    25th International Photovoltaic Science and Engineering Conference and Exhibition Grobal Photovoltaic Conference 2015 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:大韓民国  

  598. Study on surface potential distribution and minority-carrier lifetime of BaSi2 formed on multicrystalline Si substrates 国際会議

    Y.Li, M.Baba, C.T.Trinh, K.Toko, T.Sekiguchi, N.Usami, and T.Suemasu

    25th International Photovoltaic Science and Engineering Conference and Exhibition Grobal Photovoltaic Conference 2015 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  599. Quantitative analysis of electrical activity of grain boundaries through high spatial resolution photoluminescence imaging 国際会議

    K.Kutsukake, S.Ninomiya, S.Sugioka, M.Deura, Y.Ohno, N.Usami, and I.Yonenaga

    25th International Photovoltaic Science and Engineering Conference and Exhibition Grobal Photovoltaic Conference 2015 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  600. Modification of the electrical properties of BaSi2 films by alkali-metal-fluoride treatment 国際会議

    K.O.Hara, W.Du, K.Arimoto, J.Yamanaka, K.Nakagawa, K.Toko,T.Suemasu, and N.Usami

    25th International Photovoltaic Science and Engineering Conference and Exhibition Grobal Photovoltaic Conference 2015 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  601. Low density of dislocation clusters in high performance multicrystalline silicon ingots using novel seeding technique 国際会議

    G.Anandhababu, I.Takahashi, S.Matsushima, and N.Usami

    25th International Photovoltaic Science and Engineering Conference and Exhibition Grobal Photovoltaic Conference 2015 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  602. Growth of Silicon Bulk Crystals for solar cells 国際会議

    Isao Takahashi, Noritaka Usami

    The first International Workshop on Advanced Nanomaterials for Future Electron Devices 2015 

     詳細を見る

    開催年月日: 2015年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  603. Challenges in Photovoltaic 国際会議

    N.Usami

    12th International Conference on Flow Dynamics 

     詳細を見る

    開催年月日: 2015年10月

    記述言語:英語   会議種別:口頭発表(基調)  

    国名:日本国  

  604. Impact of Grain Boundary Character in Multicrystalline Silicon on Phosphorus External and Internal Gettering of Impurities for Solar Cell 国際会議

    S.Joonwichien, I. Takahashi, and N.Usami

    European PV Solar Energy Conference and Exhibition 2015 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ドイツ連邦共和国  

  605. Novel Light Trapping Scheme Based on Single-Side Modulated Photonic Nanostructure for Back-Contact Thinner-Wafer Solar Cells 国際会議

    T.Tayagaki, Y.Kishimoto, Y.Hoshi, and N.Usami

    European PV Solar Energy Conference and Exhibition 2015 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:ドイツ連邦共和国  

  606. A Proposal for Crystalline Silicon Solar Cells with the Back-Side SiGe Quantum Dot Arrays Grown by SolidSource Molecular Beam Epitaxy 国際会議

    Y.Hoshi, N.Usami, and T.Tayagaki

    European PV Solar Energy Conference and Exhibition 2015 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:ドイツ連邦共和国  

  607. イオン注入による欠陥制御を用いて作製した圧縮歪みSi/Si₁-xCx ヘテロ構造の熱的安定性

    有澤洋、星裕介、有元圭介、山中淳二、中川清和、澤野憲太郎、宇佐美徳隆

    第76回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋国際会議場   国名:日本国  

  608. Study on minority-carrier lifetime mapping of BaSi2 formed on multicrystalline Si substrates

    O.Li, M.Baba, C.T.Trinh, K.Toko, T.Sekiguchi, N.Usami, and T.Suemasu

     詳細を見る

    開催年月日: 2015年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  609. 固体ソースMBE法によるSiテクスチャ基板上へのGeドット積層構造の結晶成長と太陽電池応用

    星裕介、青沼理、太野垣健、宇佐美徳隆

    第76回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋国際会議場   国名:日本国  

  610. アルカリ金属フッ化物処理によるBaSi2薄膜の電気特性制御

    原康祐、有元圭介、山中淳二、中川清和、都甲薫、末益崇、宇佐美徳隆

    第76回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋国際会議場   国名:日本国  

  611. 真空蒸着法で作製したBaSi2膜の面方位の形成メカニズムの検討

    中川慶彦、原康祐、黒川康良、末益崇、宇佐美徳隆

    第76回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋国際会議場   国名:日本国  

  612. In-situ MoOxキャップを施したBaSi2エピタキシャル膜の電気/光学特性評価

    武内大樹、高部 涼太、都甲薫、原康祐、宇佐美徳隆、末益崇

    第76回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋国際会議場   国名:日本国  

  613. Ge(111)基板上BaSi2エピタキシャル膜の分光感度特性

    高部涼太、馬場正和、原康祐、都甲薫、宇佐美徳隆、末益崇

    第76回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋国際会議場   国名:日本国  

  614. SMART (Seed Manipulation for ARtificially controlled defects Technique)法を用いたモノライクシリコンの結晶成長

    高橋勲、岩田大将、市川寛章、宇佐美徳隆

    第76回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋国際会議場   国名:日本国  

  615. Al誘起成長におけるa-Si層の厚膜化がpoly-Siの成長メカニズムに及ぼす影響

    筋原康博、高橋勲、宇佐美徳隆

    第76回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋国際会議場   国名:日本国  

  616. ホスフィンを利用したSi基板中へのPドーピングによる電界効果パッシベーションの検討

    黄雅テイ、星裕介、高橋勲、宇佐美徳隆

    第76回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋国際会議場   国名:日本国  

  617. Σ3粒界からの微小角度ズレと融液成長過程における欠陥発生

    岩田大将、高橋勲、宇佐美 徳隆

    第76回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2015年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:名古屋国際会議場   国名:日本国  

  618. Structural control of dendrite crystals in practical size silicon ingots grown by floating cast method 国際会議

    I.Takahashi, S.Joonwichien, T.Hiramatsu, S.Matsushima, N.Usami

    the 42nd IEEE Photovoltaic Specialists Conference 

     詳細を見る

    開催年月日: 2015年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  619. 顕微PLイメージングによるシリコン結晶中の粒界特性評価

    沓掛健太朗、二宮駿也、杉岡翔太、出浦桃子、大野裕、宇佐美徳隆、米永一郎

    第12回「次世代の太陽光発電システム」シンポジウム 

     詳細を見る

    開催年月日: 2015年5月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  620. Selective Growth of Epitaxial Si NanowireArray Using SiH4 and Si2H6

    H.V.Nguyen, Y.Hoshi, N.Usami

     詳細を見る

    開催年月日: 2015年5月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  621. Effects of the Al/Si thin film thickness on theAluminum-Induced Crystallization Process

    S.Tutashkonko, H.V.Nguyen, N.Usami

     詳細を見る

    開催年月日: 2015年5月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  622. pn接合実現に向けた真空蒸着法によるBaSi2薄膜およびSnS薄膜の作製

    須原貴道、鈴木慎太郎、原康祐、末益崇、宇佐美徳隆

    第12回「次世代の太陽光発電システム」シンポジウム 

     詳細を見る

    開催年月日: 2015年5月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  623. 原料分解反応を制御した真空蒸着法によるSi基板上BaSi2膜の高品質化

    中川慶彦、原康祐、末益崇、宇佐美徳隆

    第12回「次世代の太陽光発電システム」シンポジウム 

     詳細を見る

    開催年月日: 2015年5月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  624. ナノ構造体・結晶シリコン融合構造の太陽電池特性と光生成キャリアの取出し

    星裕介、高橋勲、青沼理、太野垣健、宇佐美徳隆

    第12回「次世代の太陽光発電システム」シンポジウム 

     詳細を見る

    開催年月日: 2015年5月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  625. SMART (Seed Manipulation for ARtificiallycontrolled defects Technique)法による新規モノライクシリコンの結晶成長

    高橋勲、岩田大将、宇佐美徳隆

    第12回「次世代の太陽光発電システム」シンポジウム 

     詳細を見る

    開催年月日: 2015年5月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  626. Compressively strained Si/Si1-xCx heterostructures formed by Ar ion implantation technique 国際会議

    Y.Hoshi, K.Arimoto, K.Sawano, Y.Arisawa, K.Fujiwara, J.Yamanaka, K.Nakagawa, N.Usami

    The 9th International Conference On Silicon Epitaxy And Heterostructures (ICSI 9) 

     詳細を見る

    開催年月日: 2015年5月

    記述言語:英語   会議種別:ポスター発表  

    国名:カナダ  

  627. Seed Manipulation for ARtificially controlled defects Technique (SMART) as a new growth method for high-quality mono-like silicon crystals 国際会議

    I.Takahashi, T.Iwata, S.Joonwichien, N.Usami

    8th International Workshop on Crystalline Silicon for Solra Cells 

     詳細を見る

    開催年月日: 2015年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:ドイツ連邦共和国  

  628. Crystalline Si solar cells with photonic nanostructures formed by colloidal lithography on textured substrates 国際会議

    Y.Hoshi, Y.Hirai, N.Usami

    ISPlasma2015 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  629. 実用サイズシリコンインゴットの組織制御による転位発生抑制

    高橋勲、平松巧也、岩田大将、松島悟、宇佐美徳隆

    第62回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  630. Formation of MoO3/n-BaSi2 heterojunctions for solar cell applications

    第62回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  631. Effects of Fabrication Process of Anodic Aluminum Oxide on Selective Growth of Si Nanowire Arrays

    第62回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  632.  固相成長テンプレートを用いたGe(111)基板上へのBaSi2膜作製

    高部涼太、原康祐、都甲薫、宇佐美徳隆、末益崇

    第62回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  633. 真空蒸着法による導電性基板上への BaSi2薄膜の作製

    須原貴道、原康祐、末益崇、宇佐美徳隆

    第62回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  634. 希少元素フリーSnS/BaSi2太陽電池の提案と数値シミュレーション

    原康祐、末益崇、宇佐美徳隆

    第62回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  635. 多結晶Si上に成長したBaSi2薄膜表面のKFM法によるポテンシャル分布評価

    李云鵬、馬場正和、沼田涼平、都甲薫、宇佐美徳隆、関口隆史、末益崇

    第62回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  636. MBE法で形成したBaSiエピタキシャル膜/酸化膜界面の欠陥準位評価

    武内大樹、高部涼太、都甲薫、原康祐、宇佐美徳隆、末益崇

    第62回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  637. 顕微PLイメージング:多結晶シリコンウエハ評価法としての可能性

    二宮駿也、沓掛健太朗、出浦桃子、大野裕、宇佐美徳隆、米永一郎

    第62回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  638. 歪みSi/Si1-xCx/Si(001)ヘテロ構造の結晶性と不純物活性化過程との関係

    藤原幸亮、酒井翔一朗、小林昭太、有元圭介、山中淳二、中川清和、宇佐美徳隆、星裕介、澤野憲太郎

    第62回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:東海大学   国名:日本国  

  639. 伸張歪みSi/緩和SiGe/Si(110)の表面モフォロジーへの成長速度の影響

    宇津山直人、佐藤圭、有元 介、山中淳二、中川清和、宇佐美徳隆、澤野憲太

    第62回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:東海大学   国名:日本国  

  640. イオン注入成長法で作製した圧縮歪みSi/Si1-xCx/Si(001)構造MOSFETの電気特性評価

    中込諒、酒井翔一朗、藤原幸亮、有元圭介、山中淳二、中川清和、宇佐美徳隆、星裕介、澤野憲太郎

    第62回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:東海大学   国名:日本国  

  641. 変調表面テクスチャにおける光閉じ込め効果の理論的検討

    太野垣健、岸本裕子、星裕介、宇佐美徳隆

    第62回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  642. Arイオン注入法を用いた圧縮歪み/緩和Si1-xCxヘテロ構造の作製

    有澤洋, 星裕介, 藤原幸亮, 山中淳二, 有元圭介, 中川清和, 澤野憲太郎, 宇佐美徳隆

    第62回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  643. コロイダルリソグラフィを利用したテクスチャSi基板表面へのナノ構造形成とその太陽電池特性

    星裕介, 平井悠司, 宇佐美徳隆

    第62回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  644. SnS/BaSi2ヘテロ接合太陽電池に向けた真空蒸着法によるSnS薄膜作製

    鈴木慎太郎,須原貴道,原康祐,宇佐美徳隆

    第62回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  645. ナノ構造体・結晶シリコン融合構造におけるGeドット積層構造とフォトニックナノ構造の独立形状制御

    青沼理, 星裕介, 太野垣健, 宇佐美徳隆

    第62回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  646. 真空蒸着法により作製したBaSi2薄膜の膜厚による影響

    中川慶彦、原康祐、末益崇、宇佐美徳隆

    第62回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  647. 真空蒸着法による導電性基板上へのBaSi2薄膜の作製

    須原貴道、原康祐、末益崇、宇佐美徳隆

    第62回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  648. 浮遊キャスト成長法におけるデンドライト結晶を利用した多結晶Siの組織制御と転位密度の低減効果の実証

    平松巧也、高橋勲、宇佐美徳隆

    第62回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  649. シードキャスト法における結晶Siの粒界と転位発生との関係

    岩田 大将, 高橋 勲,宇佐美 徳隆

    第62回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2015年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東海大学   国名:日本国  

  650. SiGe系量子ドットを利用したフォトニックナノ構造形成と太陽電池への応用 国際会議

    宇佐美徳隆

    電子情報技術産業協会第12回「量子ドット利用デバイス技術分科会」 

     詳細を見る

    開催年月日: 2015年1月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:電子情報技術産業協会会議室   国名:日本国  

  651. Growth of high-quality multicrystalline silicon ingot for solar cells 国際会議

    N.Usami

    Singapore-Japan Joint Workshop on Photovoltaics 2014 

     詳細を見る

    開催年月日: 2014年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:シンガポール共和国  

  652. Optical Properties of Quantum-Dot-Based Surface Photonic Nanostructures in Ge/Si Quantum Dot Solar Cells 国際会議

    T.Tayagaki, Y.Kishimoto, Y.Hoshi, N.Usami

    Optical Nanostructures and Advanced Materials for Photovoltaics  

     詳細を見る

    開催年月日: 2014年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:オーストラリア連邦  

  653. Geometry Control of Si-based Photonic Nanostructures Coupled with Quantum Dot Multilayers and Integration to Crystalline Si Solar Cells 国際会議

    N.Usami, Y.Hoshi, T.Tayagaki, I.Takahashi, O.Aonuma, A.Novikov, D.Yurasov, T.Oikawa, K.Ohdaira

    Optical Nanostructures and Advanced Materials for Photovoltaics  

     詳細を見る

    開催年月日: 2014年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:オーストラリア連邦  

  654. A proposal of photonic nanostructures coupled with textured Si substrates for advanced light trapping 国際会議

    Y.Hoshi, T.Tayagaki, Y.Kishimoto, N.Usami

    The 6th World Conference on Photovoltaic Energy Conversion 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  655. Large-grained Ge Thin Films on Glass Formed by Al-induced Crystallization for Inexpensive Tandem Solar Cells 国際会議

    K.Toko, K.Nakazawa, N.Oya, N.Usami, T.Suemasu

    The 6th World Conference on Photovoltaic Energy Conversion 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  656. Si-based New Material for High Efficiency Thin Film Solar Cell Applications 国際会議

    W.Du, K.Toko, M.Baba, R.Takabe, N.Usami, T.Suemasu

    The 6th World Conference on Photovoltaic Energy Conversion 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  657. Correlation Between PL Intensity and Dislocation Density in Silicon Crystal Using High Spatial Resolution PL Measurement 国際会議

    S.Ninomiya, K.Kutsukake, M.Deura, Y.Ohno, N.Usami, I. Yonenaga

    The 6th World Conference on Photovoltaic Energy Conversion 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  658. Evaluation of Surface Potential Distributions Around Grain Boundaries and Minority-carrier Diffusion Lengths in Impurity Doped n- and p- type BaSi2 Epitaxial Films 国際会議

    D.Tsukahara, M.Baba, K.Toko, K.O.Hara, N.Usami, K.Watanabe, T.Sekiguchi, T.Suemasu

    The 6th World Conference on Photovoltaic Energy Conversion 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  659. Epitaxial Growth of BaSi2 Films on Ge(111) Substrates by Molecular Beam Epitaxy 国際会議

    R.Takabe, M.Baba, W.Du, K.Toko, K.O.Hara, N.Usami , T.Suemasu

    The 6th World Conference on Photovoltaic Energy Conversion 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  660. Effects of Surface Conditions and Grain Boundaries on Minority-carrier Lifetime in Undoped n-BaSi2 on Si(111) 国際会議

    R.Takabe, K.O.Hara, M.Baba, W.Du, K.Toko, N.Usami, T.Suemasu

    The 6th World Conference on Photovoltaic Energy Conversion 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  661. Enhancement of Light Absorption in Ge/Si Quantum Dots Solar Cells by Surface Photonic Nanostructures 国際会議

    T.Tayagaki, Y.Kishimoto, Y.Hoshi, N.Usami

    The 6th World Conference on Photovoltaic Energy Conversion 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  662. Fast Formation of Poly-Si Thin Films with 200um Grains by Aluminum-Induced Crystallization with a Temperature Profiling grains by Aluminum-Induced Crystallization with a temperature profiling 国際会議

    S.Tutashkonko, V.H.Nguyen, N.Usami

    The 6th World Conference on Photovoltaic Energy Conversion 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  663. Effect of Anodization Process of Aluminum Oxide Template on Selective Growth of Si Nanowires 国際会議

    V.H.Nguyen, S.Tutashkonko, Y.Hoshi, N.Usami

    The 6th World Conference on Photovoltaic Energy Conversion 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  664. Fabrication of single-phase BaSi2 thin films on silicon substrates by vacuum evaporation for solar cell applications 国際会議

    Y.Nakagawa, K.O.Hara, T.Suemasu, N.Usami

    The 6th World Conference on Photovoltaic Energy Conversion 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  665. How can we control adjacent dendrite crystals in parallel direction to realize high-quality multicrystalline Si ingot for solar cells? 国際会議

    T.Hiramatsu, I.Takahashi, N.Usami

    The 6th World Conference on Photovoltaic Energy Conversion 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  666. Geometry in Si-based photonic nanostructures coupled with Ge quantum dot multilayers and its impact on optical properties 国際会議

    O.Aonuma, Y.Hoshi, T.Tayagaki, A.Novikov, D.Yurasov, N.Usami

    The 6th World Conference on Photovoltaic Energy Conversion 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  667. Comparison of Phosphorus Gettering Effect in Faceted Dendrite and Small Grain of Multicrystalline Silicon Wafers Grown by Floating Cast Method 国際会議

    S.Joonwichien, I.Takahashi, S.Matsushima, N.Usami

    The 6th World Conference on Photovoltaic Energy Conversion 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  668. Application of heterojunction to Si-based solar cells using photonic nanostructures coupled with vertically aligned Ge quantum dots 国際会議

    I.Takahashi, Y.Hoshi, T.Tayagaki, T.Oikawa, K.Ohdaira, N.Usami

    The 6th World Conference on Photovoltaic Energy Conversion 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  669. Numerical simulation of new earth-abundant SnS/BaSi2 thin-film solar cells with high efficiencies exceeding 20 % 国際会議

    K.O.Hara, N.Usami

    The 6th World Conference on Photovoltaic Energy Conversion 

     詳細を見る

    開催年月日: 2014年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  670. Large-scale implementation of floating cast method to realize high-quality multicrystalline silicon ingot 国際会議

    N.Usami

    The 7th forum on the Science and Technology of Silicon Materials 

     詳細を見る

    開催年月日: 2014年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  671. Calculation of temperature distribution for controlling growth of dendrite crystals to decrease dislocation density in a multicrystalline Silicon ingot 国際会議

    T.Hiramatsu, I.Takahashi, S.Matsushima, N.Usami

    The 7th forum on the Science and Technology of Silicon Materials 

     詳細を見る

    開催年月日: 2014年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  672. Multiscale Si-based Materials for Photovoltaic Applications 国際会議

    N.Usami , I.Takahashi, S.Joonwichien, S.Matsushima, Y.Hoshi, K.Hara

    Eleventh International Conference on Flow Dynamics 

     詳細を見る

    開催年月日: 2014年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  673. Contact angles of adjacent dendrite crystals to form grain boundary and iron precipitation in multicrystalline silicon ingot grown by floating cast method 国際会議

    S.Joonwichien, I.Takahashi, S.Matsushima, N.Usami

    29th European PV Solar Energy Conference and Exhibition 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:オランダ王国  

  674. Investigation of Light Trapping in Large-Scale Photonic Nanostructures Fabricated from Vertically Aligned Germanium Quantum Dots on Crystalline Silicon 国際会議

    T.Tayagaki, Y.Kishimoto, Y.Hoshi, N.Usami

    29th European PV Solar Energy Conference and Exhibition 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:オランダ王国  

  675. Impact of Ge/Si heterostructures to Open-Circuit Voltage in Ge/Si Quantum Dot Solar Cells 国際会議

    T.Tayagaki, Y.Hoshi, N.Usami

    29th European PV Solar Energy Conference and Exhibition 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:オランダ王国  

  676. Fabrication of BaSi2 thin films with long carrier lifetime for thin-film solar cell applications by vacuum evaporation 国際会議

    K.O.Hara, Y.Nakagawa, N.Usami

    29th European PV Solar Energy Conference and Exhibition 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:オランダ王国  

  677. Selective Growth of Vertical Silicon Nanowires Array Using Anodic Aluminum Oxide Template 国際会議

    V.H.NGUYEN, S.TUTASHKONKO, Y.HOSHI, N.USAMI

    29th European PV Solar Energy Conference and Exhibition 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:オランダ王国  

  678. Optical properties for solar cell structures by coupling Ge quantum dot multilayers with textureed substrates 国際会議

    Y.Hoshi, T.Tayagaki, O.Aonuma, N.Usami

    29th European PV Solar Energy Conference and Exhibition 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:オランダ王国  

  679. シリコン補給層を利用したガラス基板上BaSi2単相蒸着膜の厚膜化

    原 康祐,中川 慶彦,末益 崇,宇佐美 徳隆

    第75回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:北海道大学   国名:日本国  

  680. 顕微PLイメージングによるSi結晶中粒界の電気的特性評価

    二宮駿也,沓掛健太朗,出浦桃子,大野裕,宇佐美徳隆,米永一郎

    第75回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:北海道大学   国名:日本国  

  681. 金属誘起層交換成長による非晶質基板上Ge薄膜の結晶方位制御

    都甲薫,中沢宏紀,大谷直生,宇佐美徳隆,末益崇

    第75回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:北海道大学   国名:日本国  

  682. Al誘起成長によるSi薄膜をテンプレートとしたSi薄膜の固相成長

    筋原 康博,高橋 勲,宇佐美 徳隆

    第75回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:北海道大学   国名:日本国  

  683. MBE法によるGe(111)基板上のBaSi2エピタキシャル膜の作製と評価

    髙部涼太,馬場正和,Weijie Du,都甲薫,原康祐,宇佐美徳隆,末益崇

    第75回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:北海道大学   国名:日本国  

  684. 結晶成長温度の変化によるフォトニックナノ構造の形状制御と光学特性

    青沼 理、星 裕介、太野垣 健、A.Novikov、D.Yurasov、宇佐美 徳隆

    第75回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:北海道大学   国名:日本国  

  685. Siバルク多結晶の結晶組織制御に向けた炉内温度分布計算

    平松巧也、高橋勲、松島悟、宇佐美徳隆

    第75回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:北海道大学   国名:日本国  

  686. 表面フォトニックナノ構造における光透過特性と光閉じ込め効果

    太野垣健、岸本祐子、星裕介、宇佐美徳隆

    第75回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:北海道大学   国名:日本国  

  687. Siテクスチャ基板を用いたGeドット成長とその太陽電池応用

    星裕介、青沼理、太野垣健、宇佐美徳隆

    第75回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:北海道大学   国名:日本国  

  688. Additional Low Temperature Multiple Cycles of Annealing and Cooling after Phosphorus Diffusion to Improve Lifetime in Multicrystalline Silicon

     詳細を見る

    開催年月日: 2014年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  689. 実用サイズSiインゴットにおける デンドライト成長を利用した多結晶組織制御

    高橋勲,平松巧也, 松島悟, 宇佐美徳隆

    第75回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:北海道大学   国名:日本国  

  690. 真空蒸着法で作製したBaSi2薄膜のキャリアライフタイムの評価

    中川 慶彦,原 康祐,末益 崇,宇佐美 徳隆

    第75回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:北海道大学   国名:日本国  

  691. 歪み Si/Si 1-xCx/Si(001)構造 の不純物活性化過程における結晶性及び電気特性評価

    藤原幸亮 , 酒井翔一朗, 古川洋志, 井上樹範, 有元圭介, 山中淳二, 中川清和, 宇佐美徳隆, 星裕介, 澤野憲太郎

    第75回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:北海道大学   国名:日本国  

  692. 伸張歪みSi/緩和SiGe/Si(110)の微細構造および電気的特性への熱処理の影響

    宇津山直人,有元圭介,山中淳二, 中川清和,宇佐美徳隆,澤野憲太郎

    第75回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:北海道大学   国名:日本国  

  693. イオン注入法で作製した圧縮歪み Si/Si 1-xCx/Si(001)構造の結晶性及びデバイス特性評価

    中込諒, 酒井翔一朗, 藤原幸亮, 古川洋志,有元圭介, 山中淳二, 中川清和, 宇佐美徳隆, 星裕介, 澤野憲太郎

    第75回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:北海道大学   国名:日本国  

  694. Enhanced Light Absorption in Ge/Si Quantum Dot Solar Cells by Surface Photonic Nanostructures 国際会議

    T.Tayagaki, Y.Kishimoto, Y.Hoshi, N.Usami

    SSDM2014 

     詳細を見る

    開催年月日: 2014年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  695. Photonic nanostructures coupled with vertically aligned quantum dots for solar cell applications 国際会議

    N.Usami

    The 6th IEEE International Nanoelectronics Conference 2014 

     詳細を見る

    開催年月日: 2014年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  696. Evaluation of potential variation aroung grain boundaries in BaSi2 on poly-crystalline Si substrates 国際会議

    M.Baba, W.Du, R.Takabe, K.Toko, K.Watanabe, T.Sekiguchi, K.O.Hara, N.Usami, T.Suemasu

    The 6th IEEE International Nanoelectronics Conference 2014 

     詳細を見る

    開催年月日: 2014年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  697. Arsenic doping into BaSi2 semiconductor films by ion implantation and thermal annealing 国際会議

    K.O.Hara, N.Usami, M.Baba, K.Toko, T.Suemasu

    The 6th IEEE International Nanoelectronics Conference 2014 

     詳細を見る

    開催年月日: 2014年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  698. A proposal for advanced photon management in solar cells by photonic nanostructures coupled with textured substrates 国際会議

    Y.Hoshi, T.Tayagaki, O.Aonuma, N.Usami

    Grand Renewable Energy 2014 international conference 

     詳細を見る

    開催年月日: 2014年7月 - 2014年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  699. Investigation of the open-circuit voltage and carrier extraction in Ge/Si quantum dot solar cells 国際会議

    T.Tayagaki, Y.Hoshi, N.Usami

    Grand Renewable Energy 2014 international conference 

     詳細を見る

    開催年月日: 2014年7月 - 2014年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  700. Si融液に濡れない膜の製膜とその応用 国際会議

    水野 千尋, 大久保 智昭, 小松 隆一, 高橋 勲, 宇佐美 徳隆

    2014年度 応用物理・物理系学会中国四国支部合同学術講演会 

     詳細を見る

    開催年月日: 2014年7月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:松江   国名:日本国  

  701. Realization of Single-Phase BaSi2 Films by Vacuum Evaporation with Appropriate Optical Properties for Solar Cell Applications 国際会議

    K.O.Hara, Y.Nakagawa, T.Suemasu, N.Usami

    International conference and summer school on advanced silicide technology 2014 

     詳細を見る

    開催年月日: 2014年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  702. Effect of substrate thickness on Al-induced-crystallized Ge thin films on flexible polyimide substrates 国際会議

    N.Oya, K.Toko, N.Usami, T.Suemasu

    International conference and summer school on advanced silicide technology 2014 

     詳細を見る

    開催年月日: 2014年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  703. Investigation on the J-V characteristics of BaSi2 Schottky junctions with different metal electrodes 国際会議

    W.Du, M.Baba, R.Takabe, N.Zhang, K.Toko, N.Usami, T.Suemasu

    International conference and summer school on advanced silicide technology 2014 

     詳細を見る

    開催年月日: 2014年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  704. Crystal growth of undoped and impurity doped BaSi2 films on poly-crystalline Si 国際会議

    M.Baba, K.O.Hara, D.Tsukahara, K.Toko, N.Usami, T.Suemasu

    International conference and summer school on advanced silicide technology 2014 

     詳細を見る

    開催年月日: 2014年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  705. Investigation of surface potential distributions of impurity-doped n-BaSi2 thin-films by Kelvin probe force microscopy 国際会議

    D.Tsukahara, M.Baba, R.Takabe, K.Toko, K.O.Hara, N.Usami, K.Watanabe, T.Sekiguchi, T.Suemasu

    International conference and summer school on advanced silicide technology 2014 

     詳細を見る

    開催年月日: 2014年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  706. Effect of grain areas on minority-carrier lifetime in undoped n-BaSi2 on Si(111) 国際会議

    R.Takabe, K.O.Hara, M.Baba, W.Du, N.Shimada, K.Toko, N.Usami, T.Suemasu

    International conference and summer school on advanced silicide technology 2014 

     詳細を見る

    開催年月日: 2014年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  707. Ge量子ドットフォトニックナノ構造を用いた高効率化技術の開発

    太野垣健、星裕介、岸本祐子、宇佐美徳隆

    第11回次世代の太陽光発電シンポジウム 

     詳細を見る

    開催年月日: 2014年7月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:宮崎   国名:日本国  

  708. 浮遊キャスト成長法によるデンドライト組織制御に向けた炉内温度分布の検討

    高橋勲、Supawan Joonwichien、平松巧也、松島悟、宇佐美徳隆

    第11回次世代の太陽光発電シンポジウム 

     詳細を見る

    開催年月日: 2014年7月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:宮崎   国名:日本国  

  709. Fast formation of poly-Si thin films with 200um+ grains by Aluminum-Induced Crystallization with a temperature profiling

    S.Tutashkonko, V.H.Nguyen, N.Usami

     詳細を見る

    開催年月日: 2014年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  710. Selective Growth of Epitaxial Si Nanowire Array Embedded by Anodic Aluminum Oxide Template

    V.H.Nguyen, S.Tutashkonko, Y.Hoshi, N.Usami

     詳細を見る

    開催年月日: 2014年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  711. 高空間分解能測定によるSi結晶のPL強度と転 位密度の相関解明

    二宮俊也、沓掛健太朗、出浦桃子、大野裕、宇佐美徳隆、米永一郎

    第11回次世代の太陽光発電シンポジウム 

     詳細を見る

    開催年月日: 2014年7月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:宮崎   国名:日本国  

  712. Relationship between growth direction of dendrite crystals and microstructures of multicrystalline silicon ingot grown by floating cast method

    S.Joonwichien, I.Takahashi, S.Matsushima, N.Usami

     詳細を見る

    開催年月日: 2014年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  713. Improvement of Annealing Procedure to Suppress Defect Generation during Impurity Gettering in Multicrystalline Silicon for Solar Cells 国際会議

    I.Takahashi, S.Joonwichien, K.Kutsukake, S.Matsushima, I.Yonenaga, N.Usami

    The 40th IEEE Photovoltaic Specialists Conference 

     詳細を見る

    開催年月日: 2014年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  714. Light trapping in large-scale photonic nanostructures fabricated from vertically aligned Ge quantum dots on Si 国際会議

    T.Tayagaki, Y.Hoshi, Y.Kishimoto, N.Usami

    The 40th IEEE Photovoltaic Specialists Conference 

     詳細を見る

    開催年月日: 2014年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  715. Investigation of the cause of reduced open circuit voltage in Ge/Si quantum dot solar cells 国際会議

    T.Tayagaki, Y.Hoshi, N.Usami

    The 40th IEEE Photovoltaic Specialists Conference 

     詳細を見る

    開催年月日: 2014年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  716. ナノ構造体・結晶シリコン融合太陽電池 国際会議

    宇佐美 徳隆

    東北大学産学コンソーシアム年度末報告会 

     詳細を見る

    開催年月日: 2014年4月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東北大学流体科学研究所   国名:日本国  

  717. Enhanced Phosphorus Gettering of Impurities in Multicrystalline Silicon at Low Temperature 国際会議

    S.Joonwichien, I.Takahashi, S.Matsushima, N.Usami

    SiliconPV 2014, the 4th International Conference on Silicon Photovoltaics 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:オランダ王国  

  718. MOVPE成長 -c面InGaN/GaN多重量子井戸構造における準安定相混在の抑制

    正直 花奈子, 崔 正焄, 岩渕 拓也, 宇佐美 徳隆, 谷川 智之, 窪谷 茂幸, 花田 貴, 片山 竜二, 松岡 隆志

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  719. KFM法によるSb-doped BaSi2薄膜表面の粒界ポテンシャル評価

    塚原大地, 馬場正和, 髙部涼太, 都甲薫, 渡辺健太郎, 原康介, 宇佐美徳隆, 末益崇

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  720. KFM 法によるB 添加p 型BaSi2 エピタキシャル薄膜表面のポテンシャル分布

    馬場正和, 塚原大地, WeijieDu, 都甲薫, 渡辺健太郎, 原康介, 宇佐美徳隆, 末益崇

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  721. BaSi2エピタキシャル薄膜へのAsイオン注入と高温アニール

    原康祐, 宇佐美徳隆, 馬場正和, 都甲薫, 末益崇

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  722. 熱処理条件の違いによる多結晶Si中の欠陥と不純物への影響

    高橋勲, S.Joonwichien, 松島悟, 宇佐美徳隆

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  723. シリコン結晶におけるPL強度と転位密度の相関

    二宮駿也, 沓掛健太朗, 大野裕, 出浦桃子, 宇佐美徳隆, 米永一郎

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  724. フォトニック・テクスチャダブル構造を利用した太陽電池の作製

    星裕介, 青沼理, 太野垣健, 宇佐美徳隆

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  725. 逆 AIC 法を用いた多結晶 Ge/Al/ガラス構造の形成と拡散制御層効果

    中沢宏紀, 都甲薫, 宇佐美徳隆, 末益崇

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  726. プラスチック基板上における非晶質Ge薄膜のAl誘起成長法

    大谷直生, 都甲薫, 沼田諒平, 中沢宏紀, 宇佐美徳隆, 末益崇

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  727. 「講演奨励賞受賞記念講演」(15分)Al 誘起層交換成長法によるGe 結晶薄膜/絶縁基板の極低温形成

    沼田諒平, 都甲薫, 大谷直生, 宇佐美徳隆, 末益崇

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  728. Effect of Vertical Miniband on the Photovoltaic Performance of a Solar Cell with Quantum Dot Superlattice Fabricated by Using Bio-Template and Neutral Beam Etching Technology

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  729. イオン注入法がSi1-XCX/Si(001)構造の欠陥形成過程に及ぼす効果

    中込諒, 酒井翔一朗, 藤原幸亮, 古川洋志, 有元圭介, 山中淳二, 中川清和, 宇佐美徳隆, 星裕介, 澤野憲太郎

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  730. 不純物イオン注入および熱処理がSi1-xCx層の結晶性に及ぼす影響

    藤原幸亮, 酒井翔一朗, 古川洋志, 井上樹範, 有元圭介, 山中淳二, 中川清和, 宇佐美徳隆, 星裕介, 澤野憲太郎

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  731. 圧縮歪みSi/Si1-XCX/Si(100)ヘテロ構造における 炭素傾斜組成の電気伝導特性への効果

    酒井翔一朗, 古川洋志, 有元圭介, 山中淳二, 中川清和, 宇佐美徳隆, 星裕介, 澤野憲太郎

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  732. アンドープn型BaSi2エピタキシャル膜少数キャリア寿命の結晶粒径依存

    高部涼太, 原康祐, 馬場正和, WeijieDu, 都甲薫, 宇佐美徳隆, 末益崇

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  733. A Simple Alternative of Barrier Layer Removal of Nanoporous Alumina Template on Silicon Substrate

    H.V.Nguyen, Y.Hoshi, N.Usami

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  734. 真空蒸着法によるガラス基板上へのBaSi2薄膜の作製と評価

    原康祐, 中川慶彦, 宇佐美徳隆, 末益崇

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  735. 真空蒸着法によるSi基板上へのBaSi2薄膜の作製

    中川慶彦, 原康祐, 宇佐美徳隆, 末益崇

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  736. I-V and C-V characteristics of the metal/undoped-BaSi2 Schottky diodes

     詳細を見る

    開催年月日: 2014年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  737. Ge量子ドット積層フォトニックナノ構造を用いた光キャリア生成増大

    太野垣健, 星裕介, 岸本裕子, 宇佐美徳隆

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2014年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  738. 次世代太陽電池用シリコン系マルチスケール結晶

    宇佐美 徳隆

    戦略的研究基盤形成支援事業成果報告会シンポジウム 

     詳細を見る

    開催年月日: 2014年2月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  739. Crystalline Si solar cells from crystal growth to the market 国際会議

    N.Usami

    The 2nd Malaysia-Japan Joint Workshop on Compound Solar Cells and Systems 

     詳細を見る

    開催年月日: 2014年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:マレーシア  

  740. Integration of nanostructures in crystalline silicon solar cells 国際会議

    N.Usami

    Tenth International Conference on Flow Dynamics (ICFD2013) 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  741. Floating cast method as a growth technique to realize high-quality multicrystalline silicon ingot for solar cells 国際会議

    N.Usami

    Global Photovoltaic Conference 2013 (GPVC 2013) & Aseanian Conference on Dye-sensitized & Organic Solar Cells (DSC-OPV8) 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:大韓民国  

  742. 浮遊キャスト成長法による多結晶組織制御と応力制御による欠陥発生抑制

    高橋 勲,Supawan Joonwichien, 沓掛 健太朗, 宇佐美 徳隆

    2013年度東北大学金属材料研究所ワークショップ 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  743. ナノ構造体・結晶シリコン融合太陽電池

    宇佐美 徳隆

    第5回薄膜太陽電池セミナー2013 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  744. ナノ構造体・結晶シリコン融合構造の作製とその太陽電池特性

    星 裕介、太野垣 健、木口 賢紀、宇佐美 徳隆

    第5回薄膜太陽電池セミナー2013 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  745. pn接合太陽電池における電荷分離の駆動力と開放電圧

    原 康祐, 宇佐美 徳隆

    第5回薄膜太陽電池セミナー2013 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  746. Floating cast method for growing high-quality multicrystalline silicon ingot: effect of non-uniform removal Si melt on conversion efficiencies

    S.Joonwichien, I.Takahashi, S.Matsushima, N.Usami

     詳細を見る

    開催年月日: 2013年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  747. Influence of the micro-patterned ZnO layer on the growth of polycrystalline-Si layer by aluminum-induced layer exchange process 国際会議

    Wonbeom Chang, Sungkuk Choi, Soohoon Jung, Jinyeop Yoo, Jeungwoo Lee, Kosuke Hara, Haruna Watanabe, Noritaka Usami, and Jiho Chang

    The 2nd International Conference on Advanced Electromaterials (ICAE2013) 

     詳細を見る

    開催年月日: 2013年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:大韓民国  

  748. Pore Modulation of Anodic Aluminum Oxide on Silicon Substrate at Room Temperature as a Template for Silicon Nanowires 国際会議

    V.H.Nguyen, Y.Hoshi, and N.Usam

    the 23rd International Photovoltaic Science and Engineering Conference 

     詳細を見る

    開催年月日: 2013年10月 - 2013年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:台湾  

  749. Investigation of the open circuit voltage in c-Si solar cells with Ge/Si heterostructures 国際会議

    T.Tayagaki, Y.Hoshi, N.Usami

    the 23rd International Photovoltaic Science and Engineering Conference 

     詳細を見る

    開催年月日: 2013年10月 - 2013年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:台湾  

  750. Control of dendritic structure to suppress dislocation generation during crystal growth in a large-scale ingot 国際会議

    I. Takahashi, S. Joonwichien, S. Matsushima, and N. Usami

    the 23rd International Photovoltaic Science and Engineering Conference 

     詳細を見る

    開催年月日: 2013年10月 - 2013年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:台湾  

  751. Large-scale implementation of floating cast method to grow high-quality multicrystalline silicon ingot 国際会議

    N.Usami, S.Matsushima, S.Joonwichien, and I.Takahashi

    7th International Workshop on Crystalline Silicon Solar Cells (CSSC7) 

     詳細を見る

    開催年月日: 2013年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  752. Growth of a 70kg mono-like silicon ingot with functional grain 国際会議

    K.Kutsukake, N.Usami, Y.Ohno, Y.Tokumoto, I.Yonenaga

    7th International Workshop on Crystalline Silicon Solar Cells (CSSC7) 

     詳細を見る

    開催年月日: 2013年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  753. Relationship between dendritic structure and dislocation generation in a large-scale multicrystalline Silicon ingot grown by floating cast method 国際会議

    I.Takahashi, S.Joonwichien, S.Matsushima and N.Usami

    7th International Workshop on Crystalline Silicon Solar Cells (CSSC7) 

     詳細を見る

    開催年月日: 2013年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  754. Towards implementation of floating cast method for growing large-scale high-quality multicryalline Si ingot using designed double crucibles 国際会議

    S.Joonwichien , S.Matsushima , H.Watanabe, N.Usami

    28th European PV Solar Energy Conference and Exhibition 

     詳細を見る

    開催年月日: 2013年9月 - 2013年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:フランス共和国  

  755. Optical properties of photonic nanostructures coupled with Ge quantum dots formed by maskless wet etching process 国際会議

    Y.Hoshi, K.Ooi, T.Tayagaki, T.Kiguchi, N.Usami

    28th European PV Solar Energy Conference and Exhibition 

     詳細を見る

    開催年月日: 2013年9月 - 2013年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:フランス共和国  

  756. PLイメージングによるモノライクSi中の転位分布の評価

    二宮駿也,沓掛健太朗, 大野裕, 徳本有紀, 宇佐美徳隆, 米永一郎

    第74回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  757. Large-grained Al-induced crystallized Ge thin films for developing tandem solar cells on glass substrates 国際会議

    K.Toko, K.Nakazawa, R.Numata, N.Usami, T.Suemasu

    2013 JSAP-MRS Joint Symposia 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  758. Tunneling Properties of Heavily Doped BaSi2/Si Hetero-junctions in Different Structures for BaSi2 Solar Cells on Si Surfaces 国際会議

    W.Du, M.Baba, R.Takabe, K.Toko, N.Usami, T.Suemasu

    2013 JSAP-MRS Joint Symposia 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  759. Potential barrier height at grain boundaries in BaSi2 epitaxial thin films studied by Kelvin probe force microscopy 国際会議

    M.Baba, S.Tsurekawa, W.Du, K.Toko, K.O.Hara, N.Usami, T.Suemasu

    2013 JSAP-MRS Joint Symposia 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  760. Investigation of the causes of reduced open circuit voltage in quantum dot solar cells 国際会議

    T.Tayagaki, Y.Hoshi, N.Usami

    2013 JSAP-MRS Joint Symposia 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  761. Fabrication of defect-free sub-20-nm germanium nanodisk structure using bio-template and neutral beam etching 国際会議

    M.E.Fauzi, T.Okada, M.M.Rahman, Y.Hoshi, K.Sawano, I.Yamashita, N.Usami, and S.Samukawa

    2013 JSAP-MRS Joint Symposia 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  762. Effect of geometry in photonic nanostructures coupled with Ge quantum dots on optical properties 国際会議

    Y.Hoshi, K.Ooi, T.Tayagaki, T.Kiguchi, and N.Usami

    2013 JSAP-MRS Joint Symposia 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  763. On the Origin of Drastic Enhancement of Excess-Carrier Lifetime by Annealing BaSi2 Epitaxial Films 国際会議

    K.O.Hara, N.Usami, K.Nakamura, R.Takabe, M.Baba, K.Toko and T.Suemasu

    2013 JSAP-MRS Joint Symposia 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  764. 厚さ1.5 μmを超えるBaSi2膜のSi(111)基板上へのMBE成長

    髙部涼太,中村航太郎,馬場正和,Weijie Du,Muhammad Ajmal Khan,都甲薫,笹瀬雅人,原康祐,宇佐美徳隆,末益崇

    第74回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  765. Formation and Characterization of a Three Dimensional Silicon Quantum Dot Superlattice Fabricated by Top-Down Process Using Bio-Template and Neutral Beam Etching Technology for its Application to a High Efficiency Quantum Dot Solar Cell

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  766. 圧縮歪みSi/Si1-XCX/Si(100)ヘテロ構造における結晶欠陥形成過程の研究

    酒井翔一朗,古川洋志,有元圭介,山中淳二,中川清和,宇佐美徳隆,星裕介,澤野憲太郎,白木靖寛

    第74回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  767. Al 誘起成長Si 層の結晶方位・粒径に与える成長温度効果

    沼田諒平,都甲薫,宇佐美徳隆,末益崇

    第74回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  768. 絶縁基板上における大粒径Ge(111)薄膜の極低温(200˚C)Al誘起成長

    沼田諒平,都甲薫,大谷直生,宇佐美徳隆,末益崇

    第74回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  769. 導電膜/ガラス上における非晶質 Ge 薄膜の Al 誘起成長

    中沢宏紀,都甲薫,宇佐美徳隆,末益崇

    第74回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  770. Control of microstructures and reduction of stress in multicrystalline Si ingot grown by floating cast method using designed double crucibles

    S.Joonwichien, S.Matsushima , I.Takahashi, N.Usami

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  771. 浮遊キャスト成長法により作製した大型インゴットの粒界性格と転位発生の関係

    高橋勲,Supawan Joonwichien, 松島悟, 宇佐美徳隆

    第74回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  772. 機能性粒界を利用した70kgモノライクSiインゴットの成長

    沓掛 健太朗,宇佐美 徳隆,大野 裕,徳本 有紀,米永 一郎

    第74回応用物理学会秋季学術講演会 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  773. Control of grain boundaries and its impact on materials properties in 国際会議

    N.Usami

    10th Topical Workshop on Heterostructure Microelectronics 

     詳細を見る

    開催年月日: 2013年9月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  774. 次世代太陽電池創製に向けたマルチスケールシリコン系結晶

    宇佐美 徳隆、太野垣 健、星 裕介、高橋 勲、Supawan Joonwichien

    応用物理学会シリコンテクノロジー分科会研究集会 「最先端エネルギーナノデバイス」 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:産業技術総合研究所   国名:日本国  

  775. Fabrication of large-area photonic nanostructuers coupled with Ge quantum dots and their appilcation to solar cells 国際会議

    Noritaka Usami, Yusuke Hoshi, Takanori Kiguchi, Kazufumi Ooi, and Takeshi

    17th International Conference on Crystal Growth and Epitaxy ICCGE-17 

     詳細を見る

    開催年月日: 2013年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:ポーランド共和国  

  776. Mechanism of Strain Relaxation in BaSi2 Epitaxial Films on Si(111) Substrates during Post-Growth Annealing and Application for Film Exfoliation 国際会議

    K.O.Hara, N.Usami, K.Nakamura, R.Takabe, M.Baba, K.Toko, and T.Suemasu

    the Asia-Pacific Conference on Green Technology with Silicides and Related Materials2013 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  777. Effect of Ge/Al thickness on Al-induced crystallization of amorphous Ge layers on glass substrates 国際会議

    K.Nakazawa, K.Toko, N.Saitoh, N.Yoshizawa, N.Usami, T.Suemasu

    the Asia-Pacific Conference on Green Technology with Silicides and Related Materials2013 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  778. Investigation on the Surface Morphologies and Tunneling Properties of BaSi2/Si hetero-junction for BaSi2 国際会議

    W.Du, M.Baba, R.Takabe, N.Zhang, K.Toko, N.Usami, T.Suemasu

    the Asia-Pacific Conference on Green Technology with Silicides and Related Materials2013 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  779. Fabrication of BaSi2 films on (111)-oriented Si layers formed by inverted Al-induced crystallization 国際会議

    R.Numata, K.Toko, N.Usami, T.Suemasu

    the Asia-Pacific Conference on Green Technology with Silicides and Related Materials2013 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  780. Fabrication and characterizations of phosphorus-doped n-type BaSi2 epitaxial films grown by molecular beam epitaxy 国際会議

    R.Takabe, M.Baba, K.Nakamura, W.Du, M.A.Khan, S.Koike, K.Toko, K.O.Hara, N.Usami, and T.Suemasu

    the Asia-Pacific Conference on Green Technology with Silicides and Related Materials2013 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  781. Al-induced crystallized Si and Ge thin films on insulators as epitaxial seeds for silicide 国際会議

    K.Toko, K.Nakazawa, R.Numata, N.Usami, T.Suemasu

    the Asia-Pacific Conference on Green Technology with Silicides and Related Materials2013 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  782. Epitaxial growth of BaSi2 films with large grains using vicinal Si(111) substrates 国際会議

    M.Baba, K.O.Hara, N.Saito, N.Yoshizawa, N.Usami, K.Toko, and T.Suemasu

    the Asia-Pacific Conference on Green Technology with Silicides and Related Materials2013 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  783. Landau level crossing and anti-crossing in Ge/SiGe bilayer two-dimensional hole systems 国際会議

    R.Moriya, Y.Hoshi, Y.Inoue, S.Masubuchi, K.Sawano, N.Usami, Y.Shiraki, T.Machida

    Symposium on Quantum Hall Effects and Related Topics 

     詳細を見る

    開催年月日: 2013年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:ドイツ連邦共和国  

  784. Grain boundary engineering for mono-like Si 国際会議

    K.Kutsukake, N.Usami, Y.Ohno, Y.Tokumoto, I.Yonenaga

    39th IEEE Photovoltaic Specialists Conference 

     詳細を見る

    開催年月日: 2013年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  785. Effect of Ge/Si heterostructures on carrier extraction in Si solar cells with Ge quantum dots 国際会議

    T.Tayagaki, Y.Hoshi, K.Ooi, T.Kiguchi, N.Usami

    39th IEEE Photovoltaic Specialists Conference 

     詳細を見る

    開催年月日: 2013年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  786. Type-II Ge/Si quantum dot superlattice for intermediate-band solar cell applications 国際会議

    W.Hu, M.E.Syazwan, M.Igarashi, A.Higo, M.Y.Lee, Y.M.Li, N.Usami, and S. Samukawa

    39th IEEE Photovoltaic Specialist Conference 

     詳細を見る

    開催年月日: 2013年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  787. High photo-current generation in a three-dimensional silicon quantum dot superlattice fabricated by combination of bio-template and neutral beam etching for quantum dot solar cell 国際会議

    M.M.Rahman, M.Igarashi, W.Hu, M.E.Syazwan, Y.Hoshi, N.Usami, S.Samukawa

    39th IEEE Photovoltaic Specialists Conference 

     詳細を見る

    開催年月日: 2013年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  788. Enhanced p-type conductivity and band gap narrowing in heavily B-doped p-BaSi2 films grown by molecular beam epitaxy 国際会議

    M.A.Khan, K.O.Hara, W.Du, M.Baba, K.Nakamura, M.Suzuno, K. Toko, N. Usami, and T. Suemasu

    39th IEEE Photovoltaic Specialists Conference 

     詳細を見る

    開催年月日: 2013年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  789. Characterization of grain boundary properties in BaSi2 epitaxial films on Si(111) and Si(001) by Kelvin probe force microscopy 国際会議

    M.Baba, S.Tsurekawa, K.Nakamura, W.Du, S.Koike, K.Toko, K.O.Hara, N.Usami, T.Suemasu

    39th IEEE Photovoltaic Specialists Conference 

     詳細を見る

    開催年月日: 2013年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  790. Growth of vertical silicon nanowires array using electrochemical alternative 国際会議

    V.H.Nguyen, H.Watanabe, Y.Hoshi, N.Usami

    39th IEEE Photovoltaic Specialists Conference 

     詳細を見る

    開催年月日: 2013年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  791. Improvement of excess-carrier lifetime in BaSi2 epitaxial films by post-growth annealing 国際会議

    K.O.Hara, N.Usami, K.Nakamura, R.Takabe, M.Baba, K.Toko, T.Suemasu

    39th IEEE Photovoltaic Specialists Conference 

     詳細を見る

    開催年月日: 2013年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:アメリカ合衆国  

  792. Vertically Epitaxial Silicon Nanowire Growth

    V.H.Nguyen, H.Watanabe, Y.Hoshi, T.Kiguchi, T.Konno, S.Samukawa,N.Usami

     詳細を見る

    開催年月日: 2013年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  793. N-type Doping of BaSi2 Epitaxial Films by Phosphorus Ion Implantation and Thermal Annealing 国際会議

    K.O.Hara, Y.Hoshi, N.Usami, Y.Shiraki, K.Nakamura, K.Toko, T.Suemasu

    The 8th International Conference on Silicon Epitaxy and Heterostructures (ICSI-8) 

     詳細を見る

    開催年月日: 2013年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  794. Carrier Extraction from Ge Quantum Dots in Si Solar Cells 国際会議

    T.Tayagaki, Y.Hoshi, K.Ooi, T.Kiguchi, N.Usami

    The 8th International Conference on Silicon Epitaxy and Heterostructures (ICSI-9) 

     詳細を見る

    開催年月日: 2013年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  795. Large-Grained Oriented Polycrystalline Si/Al/SiO2 Structures Formed by Al-Induced Layer Exchange Process 国際会議

    R.Numata, K.Toko, N.Saitoh, N.Yoshizawa, N.Usami, T.Suemasu

    The 8th International Conference on Silicon Epitaxy and Heterostructures (ICSI-9) 

     詳細を見る

    開催年月日: 2013年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  796. Growth Promotion of Al-Induced Crystallized Ge Thin-Films on Insulators by Enhancing Ge-Supply into Al Layers 国際会議

    K.Toko, R.Numata, K.Nakazawa, N.Usami, T.Suemasu

    The 8th International Conference on Silicon Epitaxy and Heterostructures (ICSI-9) 

     詳細を見る

    開催年月日: 2013年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  797. Control of geometry in Si-based photonic nanostructures formed by maskless wet etching process and its impact on optical properties 国際会議

    Y.Hoshi, T.Kiguchi, K.Ooi, T.Tayagaki, N.Usami

    The 8th International Conference on Silicon Epitaxy and Heterostructures (ICSI-9) 

     詳細を見る

    開催年月日: 2013年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  798. Control of geometry in Si-based photonic nanostructures formed by maskless wet etching process and its impact on optical properties 国際会議

    Y.Hoshi, T.Kiguchi, K.Ooi, T.Tayagaki, N.Usami

    The 8th International Conference on Silicon Epitaxy and Heterostructures  

     詳細を見る

    開催年月日: 2013年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  799. Carrier Extraction from Ge Quantum Dots in Si Solar Cells 国際会議

    T.Tayagaki, Y.Hoshi, K.Ooi, T.Kiguchi, N.Usami

    The 8th International Conference on Silicon Epitaxy and Heterostructures  

     詳細を見る

    開催年月日: 2013年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  800. Large-Grained Oriented Polycrystalline Si/Al/SiO2 Structures Formed by Al-Induced Layer Exchange Process 国際会議

    R.Numata, K.Toko, N.Saitoh, N.Yoshizawa, N.Usami, T.Suemasu

    The 8th International Conference on Silicon Epitaxy and Heterostructures 

     詳細を見る

    開催年月日: 2013年6月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  801. Growth Promotion of Al-Induced Crystallized Ge Thin-Films on Insulators by Enhancing Ge-Supply into Al Layers 国際会議

    K.Toko, R.Numata, K.Nakazawa, N.Usami, T.Suemasu

    The 8th International Conference on Silicon Epitaxy and Heterostructures 

     詳細を見る

    開催年月日: 2013年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  802. Impurity precipitation at crystal defects in multicrystalline Si: Effects of grain boundary characters and dislocation decoration on electrical properties

    S.Joonwichien, N.Usami

     詳細を見る

    開催年月日: 2013年5月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  803. ナノ構造体・結晶シリコン融合構造の作製とその太陽電池特性

    星 裕介、大井 万史、太野垣 健、木口 賢紀、宇佐美 徳隆

    次世代の太陽光発電システム第175委員会 第10回「次世代の太陽光発電システム」シンポジウム 

     詳細を見る

    開催年月日: 2013年5月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  804. BaSi2エピタキシャル薄膜の成長後アニールによる余剰キャリア寿命の増大

    原 康祐, 宇佐美 徳隆, 中村 航太郎, 高部 涼太, 馬場 正和, 都甲 薫, 末益 崇

    次世代の太陽光発電システム第175委員会 第10回「次世代の太陽光発電システム」シンポジウム  

     詳細を見る

    開催年月日: 2013年5月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  805. 太陽電池用マルチスケールシリコン系結晶

    宇佐美 徳隆

    独立行政法人日本学術振興会結晶成長の科学と技術第161委員会 第81回研究会 「太陽電池用結晶の新展開」 

     詳細を見る

    開催年月日: 2013年5月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:仙台   国名:日本国  

  806. ナノ構造を利用したシリコン系高効率太陽電池

    宇佐美 徳隆

    第一回未到エネルギー研究センター・セミナー 産学連携イノベーション促進事業 「垂直統合型技術結集と新しい産学連携システムによる最先端電気基盤技術の創出」 

     詳細を見る

    開催年月日: 2013年4月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:東北大学   国名:日本国  

  807. Ge量子ドット積層フォトニックナノ構造を用いた光キャリア生成増大

    太野垣健, 星裕介, 岸本裕子, 宇佐美徳隆

    第61回応用物理学会春季学術講演会 

     詳細を見る

    開催年月日: 2013年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

▼全件表示

共同研究・競争的資金等の研究課題 25

  1. 多結晶材料情報学による一般粒界物性理論の 確立とスマートシリコンインゴットの創製

    2017年10月 - 2024年3月

    科学技術振興機構 戦略的創造研究推進事業 CREST 

    宇佐美 徳隆

      詳細を見る

    資金種別:競争的資金

  2. オンシリコン多接合太陽電池の研究開発

    2023年5月 - 現在

    エネルギー・環境新技術先導研究プログラム 

      詳細を見る

    担当区分:研究代表者 

  3. セキュアでユビキタスな資源・エネルギー共創拠点

    2022年10月 - 現在

    科学技術振興機構  共創の場形成支援プログラム 

      詳細を見る

    担当区分:研究分担者 

  4. 非対称傾角粒界の未踏構造空間の実現と機械学習に よる特性評価を利用した粒界設計指導原理の構築

    2022年10月 - 2023年9月

    三菱財団  自然科学研究助成 

      詳細を見る

    配分額:7000000円

  5. 実験、計算科学、機械学習の融合による高品質多結晶シリコンの成長プロセスの開発 国際共著

    研究課題番号:120217706  2021年6月 - 2023年5月

    日本学術振興会  二国間交流事業 

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

  6. 機械学習を用いた粉体分級のシミュレーター

    2021年4月 - 2022年3月

    国内共同研究 

      詳細を見る

    担当区分:研究代表者  資金種別:産学連携による資金

  7. Alペーストを利用した半導体のエピタキシャル成長

    2021年2月 - 現在

    国内共同研究 

      詳細を見る

    資金種別:産学連携による資金

  8. 超高効率多段接合モジュール開発

    2020年7月 - 現在

    NEDO  太陽光発電主力電源化推進技術開発 

      詳細を見る

    資金種別:競争的資金

  9. 低コスト・高耐久太陽電池の国際共同研究開発 国際共著

    2020年7月 - 2023年11月

    NEDO  クリーンエネルギー分野における革新的技術の国際共同研究開発事業 

      詳細を見る

    資金種別:競争的資金

  10. シリコン量子ビットによる量子計算機向け大規模集積回路の実現

    2018年11月 - 2023年3月

    文部科学省  光・量子フラッグシッププログラム 

    森 貴洋

      詳細を見る

    資金種別:競争的資金

  11. 極薄シリコンウェーハを利用した高効率フレキシブル太陽電池の基盤技術に関する研究

    2018年4月 - 2020年3月

    日本学術振興会ロシアとの共同研究 

    宇佐美 徳隆

      詳細を見る

    資金種別:競争的資金

  12. 太陽電池用Siウェハ品質測定装置の開発に関する研究

    2018年1月 - 現在

    国内共同研究 

  13. 量子ドットと結合したフォトニックナノ構造によるシリコン系太陽電池の光マネジメント

    2016年4月 - 2018年3月

    日本学術振興会ロシアとの共同研究 

      詳細を見る

    資金種別:競争的資金

  14. 表面改質による核生成制御を利用した太陽電池用高品質シリコン多結晶インゴットの低コスト製造技術

    2015年10月 - 2016年9月

    科学技術振興機構  マッチングプランナープログラム 探索試験 

      詳細を見る

    資金種別:競争的資金

  15. 先端複合技術シリコン太陽電池プロセス共通基盤に関する研究開発

    2015年6月 - 2020年2月

    NEDO高性能・高信頼性太陽光発電の発電コスト低減技術開発 

      詳細を見る

    資金種別:競争的資金

  16. シリコンインゴット成長用離型剤に関する研究

    2015年4月 - 2016年3月

  17. 高効率で低コストな多結晶シリコンウェハプロセスの一貫した開発

    2012年10月 - 2015年3月

    科学技術振興機構 復興促進プログラム 

      詳細を見る

    資金種別:競争的資金

  18. 薄膜ナノワイヤー形成技術開発

    2012年7月 - 2016年3月

    科学技術振興機構 革新的エネルギー研究開発拠点形成事業「ナノワイヤー太陽電池」 

      詳細を見る

    資金種別:競争的資金

  19. 光とキャリアを完全利用するナノ構造体・結晶シリコン融合太陽電池

    2011年10月 - 2017年3月

    科学技術振興機構戦略的創造研究 先端的低炭素化技術開発 

      詳細を見る

    資金種別:競争的資金

  20. 超薄板太陽電池用シリコン基板の直接連続生産技術(「ガスフロート法」)の開発

    2011年10月 - 2013年3月

    NEDO新エネルギーベンチャー技術革新事業(太陽光発電) 

      詳細を見る

    資金種別:競争的資金

  21. ナノドットを利用した高効率結晶シリコン系太陽電池

    2011年8月 - 2012年3月

    科学技術振興機構研究シーズ探索プログラム 

      詳細を見る

    資金種別:競争的資金

  22. シリサイド半導体pn接合によるSiベース薄膜結晶太陽電池

    2010年10月 - 2016年3月

    科学技術振興機構戦略的創造研究CREST「太陽光を利用した独創的クリーンエネルギー生成技術の創出」 

      詳細を見る

    資金種別:競争的資金

  23. 浮遊キャスト成長法による高品質Si多結晶インゴット成長技術

    2010年7月 - 2015年3月

    NEDO太陽エネルギー技術研究開発/太陽光発電システム次世代高性能技術の開発 

      詳細を見る

    資金種別:競争的資金

  24. シリサイド半導体の物性調査

    2009年10月 - 2010年3月

    科学技術振興機構戦略的創造研究CREST「太陽光を利用した独創的クリーンエネルギー生成技術の創出」特定課題調査研究 

      詳細を見る

    資金種別:競争的資金

  25. 混晶半導体のグローバル成長制御による高機能ナノ材料の創製

    2001年10月 - 2006年3月

    NEDO産業技術研究事業費助成金 

      詳細を見る

    資金種別:競争的資金

▼全件表示

科研費 33

  1. データ駆動科学によるシリサイド大型結晶開発と高効率熱光発電セルへの応用

    研究課題/研究課題番号:23H01440  2023年4月 - 2026年3月

    科学研究費助成事業  基盤研究(B)

    鵜殿 治彦, 宇佐美 徳隆, 高倉 健一郎

      詳細を見る

    担当区分:研究分担者 

    データ駆動科学の活用によって材料やデバイス製造のプロセスを適正化し、実験コストを最小限に抑えて実用材料やデバイスを短期間で実現する動きが広がっている。本研究は、この手法を取り入れて直径2インチ以上の大型のマグネシウムシリサイド(Mg2Si)基板結晶を開発し、Mg2Siの熱光発電セル応用の可能性を探る研究である。
    地殻中資源量が豊富で毒性の無い元素で構成されるMg2Siは、資源・環境リスクが低く大量使用に適した半導体である。禁制帯幅が0.61eVで、熱的にも安定な為、熱源からの赤外輻射光で発電する熱光発電セルとして利用できれば、蓄熱源などを利用した大規模電源の実用化につながる。

  2. 表面界面制御による雨滴発電の高効率化と雨でも発電する太陽電池への挑戦

    研究課題/研究課題番号:22K18807  2022年6月 - 2024年3月

    科学研究費助成事業  挑戦的研究(萌芽)

    宇佐美 徳隆

      詳細を見る

    担当区分:研究代表者 

    配分額:6370000円 ( 直接経費:4900000円 、 間接経費:1470000円 )

    本研究では、脱炭素社会の早期実現に向け、さらなる大規模導入が期待される太陽光発電と、地球に豊富に存在する水や雨からエネルギーを高効率に収集する雨滴発電デバイスを融合することを目指す。摩擦帯電膜のナノ構造化や表面・界面の化学状態制御により雨滴発電デバイスの高効率化指針を明確化するとともに、雨滴発電デバイスを実装した雨天時にも発電する太陽光発電モジュールの実現に挑戦する。

  3. 太陽光発電の利用領域を拡大するドーパントフリーフレキシブル太陽電池創製への挑戦

    研究課題/研究課題番号:20K20998  2020年7月 - 2022年3月

    科学研究費助成事業  挑戦的研究(萌芽)

    宇佐美 徳隆

      詳細を見る

    担当区分:研究代表者 

    配分額:5590000円 ( 直接経費:4300000円 、 間接経費:1290000円 )

    本研究では、薄型結晶シリコンに対し、高性能ヘテロ接合と高効率光閉じ込め構造を実装したドーパントフリーフレキシブル太陽電池の創製に挑戦する。具体的には、金属酸化物と結晶シリコンのヘテロ接合において、界面近傍の精緻な化学状態制御により、高性能パッシベーション、高効率キャリア分離、高効率キャリア輸送を同時実現する。さらに、大面積の薄型結晶シリコンに適用可能であり、数ミクロン以下の少ない削りしろで光閉じ込め構造を形成するプロセスを開発する。これらを実装した太陽電池を作製して性能評価を行い、太陽電池動作を実証する。さらに、損失分析を行い、性能改善のための要件を明確化する。
    ドーパントフリーフレキシブル太陽電池の要素技術として、高いパッシベーション性能と高い伝導特性を両立する金属/酸化チタン/結晶シリコンヘテロ接合の形成プロセスを開発した。具体的には、結晶シリコンの事前酸化処理、原子層堆積法による酸化チタン薄膜の製膜、酸化チタン薄膜上への窒化チタン中間層の導入、金属薄膜の製膜などを含むプロセスである。また、フレキシブル性の発現する極薄シリコン基板に適用可能な光閉じ込め構造形成技術として、従来技術であるアルカリ溶液に対し、結晶シリコン表面へ金属ナノ粒子を形成する溶液と界面活性剤を加えるのみという簡便な溶液プロセスを考案した。
    本研究では、ドーパントを用いずに高効率太陽電池の要件を満たす新たな接合として、金属酸化物と結晶シリコンのヘテロ接合の研究を進め、界面の精緻な制御によりpn接合を凌駕する高性能化の可能性を示した。また、フレキシブル性の発現する薄い結晶シリコンにも適用可能な光閉じ込めのためのテクスチュア構造の形成技術を開発した。これらは、太陽電池の新用途開拓など、さらなる大規模普及や社会の脱炭素化を加速する技術への貢献が期待される。

  4. 軽元素制御による高機能な準安定シリコン系多元混晶材料の創製

    研究課題/研究課題番号:20H00303  2020年4月 - 2024年3月

    科学研究費助成事業  基盤研究(A)

    宇佐美 徳隆, 大山 研司

      詳細を見る

    担当区分:研究代表者 

    配分額:46150000円 ( 直接経費:35500000円 、 間接経費:10650000円 )

    本研究では、印刷・焼成からなるシリコンゲルマニウム混晶の独自成長プロセスを、スズと水素を含む多元素化と非平衡化に拡張し、ハイスループット実験にデータ科学を援用する新たな方法論により、準安定な高スズ組成と水素終端による高性能化を同時達成する多変量パラメータを効率的に決定する。この過程において、スズ位置の確定と置換率の定量評価の確実な実施に加え、白色中性子ホログラフィーの高度化により局所構造観測範囲を薄膜中の水素に拡大することに挑戦し、機能発現要因の解明を進める。
    半導体材料を含む特殊なぺーストを印刷した半導体単結晶基板を非真空下で熱処理するというシンプルなプロセスをベースに、高品質シリコン系多元混晶薄膜の成長技術の開発に取り組んでいる。特に、固溶限界を超える準安定な高スズ組成化と水素終端による高品質化を同時達成するシリコン系多元混晶を独自プロセスで実現することを目指している。本年度は、アルミニウムとゲルマニウムの合金粒子を含むペーストを用いたシリコンゲルマニウム混晶薄膜の成長を試みた。その結果、アルミニウム粒子とゲルマニウム粒子の混合ペーストを用いて成長する従来技術と比較して、より低温でペーストとシリコン基板の界面に溶液層が形成され、冷却過程において高い表面・界面平坦性を有するシリコンゲルマニウム歪み緩和膜を実現できることを示した。薄膜内部に自発的に形成される成長方向の組成傾斜は、最表面での高ゲルマニウム組成の実現と貫通転位密度の低減に有用である。また、前年度に導入したパルスレーザとビームスキャン制御機構から構成される高性能薄膜加熱システムを活用し、シリコン系多元混晶薄膜の非平衡成長の実現可能性についての検討を開始した。多様な条件でレーザ照射を行った試料に対して構造評価を行い、レーザパルスのエネルギーを一定とした場合は、パルス幅を短くすることでゲルマニウムが高濃度化する傾向を見出した。また、平衡成長で成長したゲルマニウムスズ薄膜を対象として、放射光X線施設SPring-8においてスズ周りの原子構造の評価を行い、スズがゲルマニウムの格子位置と置換していることを検証するとともに、置換位置のスズ量の定量評価の見通しを得た。さらに水素終端の構造の観測を実現するため、大強度陽子加速器施設J-PARCでの白色中性子ホログラフィー装置について、当該研究計画により高分解能検出機を導入し高分解能化、高能率化を進めた。
    本予算にて初年度に設計・導入したパルスレーザとビームスキャン制御機構から構成される高性能薄膜加熱システムを順調に稼働させ、シリコンゲルマニウム薄膜において短パルス化によるゲルマニウムの高濃度化を実証することができた。また、合金化した粒子を用いてペーストを作製することでも高濃度化に有用であるということがわかった。これらの知見をスズを含むペーストに活用することで、高いスズ組成の準安定相の実現が期待できる。また、蛍光X線ホログラフィーによりスズ周辺の局所構造に成功し、スズ位置の確定に成功した。この置換位置のスズの定量評価に見通しを得ている。また、本研究課題により進めてきた白色中性子ホログラフィーの高度化に対し実証実験を行い、原子像の信頼性において顕著な性能向上を確認できた。これは、これまで観測困難であった元素でもホログラフィー実験の対象になることを意味し、実際、今年度はさまざまな対象試料での測定に成功している。これにより水素終端観測実現の可能性が見えてきた。よって、おおむね順調に進展していると判断した。
    今後の研究においても、半導体材料を含む特殊なぺーストを印刷した半導体単結晶基板を非真空下で熱処理するというシンプルなプロセスをベースに、固溶限界を超える準安定な高スズ組成化と水素終端による高品質化を同時達成するシリコン系多元混晶を独自プロセスで実現することを目指して研究を進める。ゲルマニウムやスズを含むペーストをシリコン基板やゲルマニウム基板に印刷し、パルスレーザの利用を中心とするさまざまな手法の熱処理により、高いスズ組成の混晶薄膜の実現を目指す。また、水素プラズマによる欠陥終端機能を援用した高性能化や、大強度陽子加速器施設J-PARCにおいて、茨城大だけがもつ新技術である中性子ホログラフィーを用いて、本研究計画でここまで進めてきた高度化の成果をもとに、薄膜試料での局所構造測定に挑戦する。さらに、水素終端観測による水素位置と水素周辺の構造の可視化にも挑戦する。

  5. 局在水素によるヘテロ界面機能の強化

    研究課題/研究課題番号:18H05514  2018年6月 - 2023年3月

    科学研究費助成事業  新学術領域研究(研究領域提案型)

    一杉 太郎, 宇佐美 徳隆, 秋山 英二

      詳細を見る

    担当区分:研究分担者 

    水素の高精度局在化技術を確立し、ヘテロ界面での局在水素による新たな電子物性・機能の発現に成功した。
    【水素化物エピタキシャル薄膜成長】これまで、YOxHy、Ca2NHなどの薄膜合成に成功した。また、クロソ系錯体水素化物であるLi2B12H12の薄膜合成に挑戦した。しかし、Li2B12H12は真空中での昇華温度よりも熱分解温度が低いため、薄膜合成に成功していない。そのため、クロソ系錯体水素化物の薄膜合成に向けて、熱安定性の高い材料の成膜実験を行い、成膜指針を得ることが必要である。YOxHyエピタキシャル薄膜について、A05と共同で、光照射による金属化メカニズムの研究を進めた。その結果、光照射前後でのY骨格や組成変化がないことを確認した。そのため、ミクロスコピックな水素の局所状態変化が示唆され、水素の局所配位変化に由来した構造モデルを作製し、キャリア生成機構を検討している。
    【水素濃度分布の可視化】A01と連携し、SPring-8の高輝度X線を用いたエネルギー分散X線回折により、高強度鋼のU曲げおよび張出し試験片の応力分布を求めた。それにより、水素脆化によるき裂発生が高応力部に生じることを確かめた。加えて、超高圧下での鉄及び鉄基合金の水素に起因する変態挙動を明らかにした。また、A04と連携し開発したIr錯体を用いた水素可視化技術を適用し、張出し試験片の塑性ひずみ分布と水素拡散挙動の関係を、水素透過量のマッピングから明らかにした。さらに、新たなポリアニリンを用いた水素可視化法を開発した。
    【界面制御による太陽電池性能の向上】酸化チタン/結晶シリコンへの原子状水素注入よる表面パッシベーション性能の向上メカニズムの解明をA05との共同研究により進めた。高性能試料では、界面に水素が局在していることや、水素が関与する結合の結合エネルギーが増加し、より安定な結合が形成されていることを見出した。
    当初計画通り、水素化物エピタキシャル成長を含む水素の高精度局在化技術を確立し、ヘテロ界面での局在水素による新たな電子物性・機能の発現に成功した。
    さらに、有機物を用いた水素検出などに成功し、おおむね順調に進展している。
    今後、学問分野の枠を超えた水素機能の融合に注力する。そして、イオン伝導体を用いた固体内へのヒドリド、プロトンを含む多様な水素種導入による物性制御を狙い、高次水素機能を誘起する。
    1. YOxHyエピタキシャル薄膜の光誘起金属化のメカニズム解明を進める。構造モデルの妥当性を補強するため、X線吸収微細構造(EXAFS)解析によるY・Oの結晶構造解析を行う(A01、A05と連携)。この解析結果も含め、理論計算を用いた電子状態計算を行い、キャリア生成機構の解明を目指す(A05と連携)。また、金属化後の再絶縁化(120oC、2時間)を、室温かつより短時間で実現できるように、電解水素チャージ法の導入による水素組成調整を目指す(A02内連携)。
    2. A01と連携し、赤外レーザー堆積法によるクロソ系錯体水素化物の薄膜合成に挑戦する。分解温度の高いCs2B12H12を最初のターゲットとして、成膜を行い、得られた知見を活用して、高いLiイオン伝導性を示す材料であるLi2B12H12の成膜を目指す。
    3. A04およびA03と連携し、水素を蛍光発色によって可視化する視認性の良い新規な水素可視化法を開発する。さらに、水素の検出感度に優れると考えられるポリアニリンを用いた水素可視化法を適用し、腐食による水素侵入マッピングを行うとともに、金属中の微細組織と水素拡散挙動の動的可視化を行う。これらを基に水素脆化抑制の基本原理を求める。
    4. 太陽電池の効率向上に向け、界面機能強化のための水素プラズマ処理の多次元プロセスパラメータの最適化を、ベイズ最適化を援用して適応的に実施する。水素局在による界面機能の高度化を実証できたため、開発中のシリコンナノ結晶をシリコン酸化膜に複合化した新規保護膜など多様な材料系への展開を図る。

  6. マイクロLEDへの応用に向けたガラス基板上シリコン薄膜への窒化ガリウムの選択成長

    研究課題/研究課題番号:17F17366  2017年11月 - 2020年3月

      詳細を見る

    担当区分:その他 

    前年度に、アルミニウム誘起層交換成長法により作製するシリコン薄膜の結晶方位ゆらぎの新たな評価法とその制御因子についての知見を得た。
    本年度は、前年度に得られた知見をベースとして、結晶方位ゆらぎの程度を系統的に変化させたシリコン薄膜をアルミニウム誘起層交換成長法により作製し、さらに窒化ガリウム薄膜を有機金属気相成長法により成長する実験に着手した。また、その高品質化メカニズムについて検討を進めた。窒化ガリウム薄膜の成長実験は、シリコン(111)単結晶基板上の成長に対して最適化された条件に固定して行った。窒化ガリウムの高品質化に有効な窒化アルミニウム緩衝層を導入している。
    作製した窒化ガリウム薄膜に対し、エックス線回折の半値幅を指標として結晶性の評価を実施したところ、下地となるシリコン薄膜の結晶方位ゆらぎを抑制することにより、結晶性を大きく改善できることがわかった。具体的なプロセス条件としては、アルミニウム誘起層交換成長における熱処理温度と時間を、400℃程度の低温と20分程度の短時間とすることが重要であるとわかった。また、窒化ガリウム薄膜は、下地のシリコン薄膜多結晶と対応して配向した多結晶となっている。電子顕微鏡観察の結果から、各結晶粒の中心部に穴状の物理的な欠陥が存在することが明らかとなった。この欠陥は、シリコン薄膜の成長時において過剰な過飽和度により{111}配向しなかったシリコン結晶に起因しており、今後の改善が必要である。
    令和元年度が最終年度であるため、記入しない。
    令和元年度が最終年度であるため、記入しない。

  7. 結晶シリコン太陽電池の高効率化に向けたキャリア選択性材料に関する研究

    研究課題/研究課題番号:17F17723  2017年4月 - 2019年3月

      詳細を見る

    担当区分:その他 

    高い透明度と導電性を両立するキャリア選択性材料として酸化チタンに注目し、スパッタリング法および原子層堆積法による薄膜作製と特性評価を実施した。高い導電を得るためにニオブをドーピングした酸化チタン薄膜の作製には、スパッタリング法を用いた。堆積速度、基板温度、ガス流量、成膜時の圧力およびポストアニーリングプロセスの影響についての調査を実施し、最適な条件のもとで、結晶シリコン太陽電池の透明導電膜として十分な透明度と導電性を達成することができた。
    太陽電池への実装には、界面でのキャリア再結合速度を十分に低くすることが必要とされる。界面のパッシベーション性能については、低いダメージでの成膜が可能である原子層堆積法を用いて調査を実施した。基板温度とポストアニーリング温度を系統的に変化させるとともに、結晶シリコンとの界面に酸化アルミニウム膜を導入し、その効果を調べた。その結果、n型シリコン基板、p型シリコン基板のいずれにおいても実効少数キャリア寿命が2ミリ秒を超える良好な特性を実現することができた。
    高いパッシベーションを有する膜の上に、さらにニオブをドーピングした酸化チタン膜を堆積させると、成膜時のダメージによりパッシベーション性能が低下することがわかった。このダメージは、ポストアニールにより一部は回復可能であるが、さらなる高性能化が課題である。また太陽電池への実装においては、電極材料とオーミック接触を得るためのプロセス開発が必要である。
    平成30年度が最終年度であるため、記入しない。
    平成30年度が最終年度であるため、記入しない。

  8. 太陽電池用高品質シリコン多結晶インゴット成長技術の開発

    2014年11月 - 2016年10月

    科学研究費補助金 

      詳細を見る

    担当区分:研究代表者 

  9. シリコン融液表面の放射冷却による薄板状結晶成長とガスフロートへの挑戦

    2013年4月 - 2015年3月

    科学研究費補助金  挑戦的萌芽研究

      詳細を見る

    担当区分:研究代表者 

  10. イオン注入による欠陥制御を利用した圧縮歪みシリコンの実現と高正孔移動度素子応用

    2012年4月 - 2015年3月

    科学研究費補助金  基盤研究(B)

      詳細を見る

    担当区分:研究代表者 

  11. シリコン多結晶の微細組織制御と高効率薄膜太陽電池への応用

    2010年4月 - 2012年3月

    科学研究費補助金 

      詳細を見る

    担当区分:研究代表者 

  12. 融液中に浮遊させたSi結晶の成長メカニズムの研究と高品質Si多結晶の成長技術開発

    2008年4月 - 2011年3月

    科学研究費補助金  基盤研究(S)

    中嶋一雄

      詳細を見る

    担当区分:研究分担者 

  13. 局所領域三次元原子構造解析のための電子線励起X線ホログラフィーの開発

    2006年4月 - 2010年3月

    科学研究費補助金  基盤研究(B)

    林好一

      詳細を見る

    担当区分:研究分担者 

  14. バルク多結晶組織アーキテクチュアに向けた結晶成長技術開発と高効率太陽電池への応用

    2006年4月 - 2009年3月

    科学研究費補助金  若手研究(A)

      詳細を見る

    担当区分:研究代表者 

  15. ゲルマニウム結晶ウェハーの高温加圧加工によるX線用モノクロメーター結晶の研究

    2006年4月 - 2007年3月

    科学研究費補助金 

      詳細を見る

    担当区分:研究分担者 

  16. 絶縁体上SiGe仮想基板の形成機構の解明と高機能電子デバイスへの応用

    2003年4月 - 2005年3月

    科学研究費補助金  若手研究(A)

      詳細を見る

    担当区分:研究代表者 

  17. SiGe基板単結晶の低欠陥化と歪みを制御した機能性ヘテロ構造の創製

    2002年4月 - 2007年3月

    科学研究費補助金  基盤研究(S)

      詳細を見る

    担当区分:研究分担者 

  18. 量子ドットによる歪み誘起バンドギャップ変化を利用した太陽電池用材料の創製

    2002年4月 - 2003年3月

    科学研究費補助金  特定領域研究

      詳細を見る

    担当区分:研究代表者 

  19. SiGe混晶基板を利用した歪み制御Si系高機能電子デバイスに関する研究

    2001年4月 - 2003年3月

    科学研究費補助金  基盤研究(B)

      詳細を見る

    担当区分:研究代表者 

  20. 過冷却度を制御した融液成長法による太陽電池用Si多結晶の大粒径化と高効率化

    2001年4月 - 2003年3月

    科学研究費補助金  基盤研究(A)

    中嶋一雄

      詳細を見る

    担当区分:研究分担者 

  21. シリコン系混晶半導体のグローバル成長制御

    2001年4月 - 2002年3月

    科学研究費補助金  特定領域研究

      詳細を見る

    担当区分:研究代表者 

  22. 人工IV族半導体の形成と光・電子物性制御

    1999年4月 - 2004年3月

    科学研究費補助金  特定領域研究

    白木靖寛

      詳細を見る

    担当区分:研究分担者 

  23. III-V-N型窒化物系混晶半導体の巨大ボウイング効果と物性応用

    1999年4月 - 2003年3月

    科学研究費補助金  基盤研究(B)

    尾鍋研太郎

      詳細を見る

    担当区分:研究分担者 

  24. 不均一歪み場制御による無フォノン励起子発光増大に関する研究

    1999年4月 - 2002年3月

    科学研究費補助金  基盤研究(B)

    白木靖寛

      詳細を見る

    担当区分:研究分担者 

  25. 溶質元素補給ゾーン成長法による均一組成を持った多元系バルク単結晶の開発

    1999年4月 - 2001年3月

    科学研究費補助金  基盤研究(A)

    中嶋一雄

      詳細を見る

    担当区分:研究分担者 

  26. 極微プローブ光を用いた半導体微細構造の空間および時間分解分光に関する研究

    1999年4月 - 2001年3月

    科学研究費補助金  基盤研究(C)

      詳細を見る

    担当区分:研究代表者 

  27. 半導体量子ドットの構造制御と新機能素子への応用に関する研究

    1997年4月 - 1999年3月

    科学研究費補助金  奨励研究(A)

      詳細を見る

    担当区分:研究代表者 

  28. 機能性IV族半導体超構造の結晶成長と高機能集積回路への応用に関する研究

    1997年4月 - 1999年3月

    科学研究費補助金  基盤研究(A)

    白木靖寛

      詳細を見る

    担当区分:研究分担者 

  29. 核スピン濃度と分布を制御した半導体超構造の作製と評価

    1997年4月 - 1998年3月

    科学研究費補助金  重点領域研究

    伊藤公平

      詳細を見る

    担当区分:研究分担者 

  30. 分極反転エピタクシーの研究と波長変換素子への応用

    1996年4月 - 1999年3月

    科学研究費補助金  基盤研究(A)

    伊藤良一

      詳細を見る

    担当区分:研究分担者 

  31. 新しい超構造を有する間接遷移型半導体の光学遷移に関する研究

    1996年4月 - 1998年3月

    科学研究費補助金  基盤研究(B)

    白木靖寛

      詳細を見る

    担当区分:研究分担者 

  32. 超微細構造半導体の結晶成長および配列制御に関する研究

    1996年4月 - 1997年3月

    科学研究費補助金  奨励研究(A)

      詳細を見る

    担当区分:研究代表者 

  33. 間接型半導体の量子マイクロ構造による光学遷移制御

    1994年4月 - 1996年3月

    科学研究費補助金  一般研究(B)

    白木靖寛

      詳細を見る

    担当区分:研究分担者 

▼全件表示

産業財産権 26

  1. 設計最適化装置、設計最適化方法、プログラムおよび記録媒体

    田中 博之,宇佐美 徳隆,沓掛 健太朗

     詳細を見る

    出願人:東海国立大学機構,理化学研究所

    出願番号:2023-116245  出願日:2023年7月

  2. シリコン太陽電池の製造方法

    藩 伍根、宇佐美 徳隆、藤原 航三

     詳細を見る

    出願番号:2010-041228  出願日:2010年2月

    公開番号:2011-179126 

    出願国:国内  

  3. 太陽電池および太陽電池の製造方法

    宇佐美 徳隆、藩 伍根

     詳細を見る

    出願番号:2010-014654  出願日:2010年1月

    公開番号:2011-154472 

    出願国:国内  

  4. Si多結晶インゴット、Si多結晶インゴットの製造方法およびSi多結晶ウェハー

    中嶋 一雄、藤原 航三、宇佐美 徳隆

     詳細を見る

    出願番号:2008-231575  出願日:2008年9月

    公開番号:2009-084145 

    出願国:国内  

  5. Si結晶インゴットの製造方法

    中嶋 一雄、宇佐美 徳隆

     詳細を見る

    出願番号:2008-180842  出願日:2008年7月

    公開番号:2009-173518 

    出願国:国内  

  6. 太陽電池およびその製造方法並びにBaSi2層の成膜方法

    末益 崇、宇佐美 徳隆

     詳細を見る

    出願番号:2007-285876  出願日:2007年11月

    公開番号:2009-115337 

    出願国:国内  

  7. Siバルク多結晶インゴット

    藤原 航三、中嶋 一雄、宇佐美 徳隆

     詳細を見る

    出願番号:2007-208755  出願日:2007年8月

    公開番号:2009-040641 

    出願国:国内  

  8. 太陽電池用シリコン単結晶基板および太陽電池素子、並びにその製造方法

    喜田 道夫、藩 伍根、金子 恭二郎、中嶋 一雄、宇佐美 徳隆、藤原 航三

     詳細を見る

    出願番号:2006-256941  出願日:2006年9月

    公開番号:2007-142370 

    出願国:国内  

  9. 単結晶育成方法および装置

    中嶋 一雄、宍戸 統悦、宇佐美 徳隆、西村 博、長澤 亨

     詳細を見る

    出願番号:2005-340670  出願日:2005年11月

    公開番号:2007-145629 

    出願国:国内  

  10. 太陽電池およびその製造

    宇佐美 徳隆、中嶋 一雄、藤原 航三

     詳細を見る

    出願番号:2005-044025  出願日:2005年2月

    公開番号:2006-229133 

    出願国:国内  

  11. 太陽電池の製造方法

    横山 敬志、宇佐美 徳隆、藩 伍根、中嶋 一雄

     詳細を見る

    出願番号:2004-217356  出願日:2004年7月

    公開番号:2006-041108 

    出願国:国内  

  12. 結晶成長方法、及び結晶成長装置

    藤原 航三、中嶋 一雄、宇治原 徹、宇佐美 徳隆

     詳細を見る

    出願番号:2004-089326  出願日:2004年3月

    公開番号:2005-272230 

    出願国:国内  

  13. 水素生成光装置

    中嶋 一雄、宇佐美 徳隆、佐崎 元、宇治原 徹

     詳細を見る

    出願番号:2002-040388  出願日:2002年2月

    公開番号:2003-238104 

    出願国:国内  

  14. 粒界性格制御多結晶の作製方法

    宇佐美 徳隆、藤原 航三、中嶋 一雄

     詳細を見る

    公開番号:WO07004631 

    出願国:外国  

  15. 光起電力素子、太陽電池、及び光起電力素子の製造方法

    宇佐美 徳隆、中嶋 一雄、宇治原 徹、藤原 航三

     詳細を見る

    特許番号/登録番号:3893466  登録日:2006年12月 

    出願国:国内  

  16. Si薄膜の作製方法

    中嶋 一雄、宇佐美 徳隆、宇治原 徹、藤原 航三

     詳細を見る

    特許番号/登録番号:3978494  登録日:2007年7月 

    出願国:国内  

  17. Si系結晶の製造方法

    中嶋 一雄、藤原 航三、宇佐美 徳隆、宇治原 徹、我妻 幸長

     詳細を見る

    特許番号/登録番号:4054873  登録日:2007年12月 

    出願国:国内  

  18. 結晶成長方法、バルク単結晶成長用バルク予備結晶、及びバルク単結晶成長用バルク予備結晶の作製方法

    中嶋 一雄、我妻 幸長、宇佐美 徳隆、藤原 航三、宇治原 徹

     詳細を見る

    特許番号/登録番号:4122382  登録日:2008年5月 

    出願国:国内  

  19. Siバルク多結晶インゴットの製造方法

    宇佐美 徳隆、中嶋 一雄、高橋 勲

     詳細を見る

    特許番号/登録番号:4528995  登録日:2010年6月 

    出願国:国内  

  20. バルク多結晶材料の製造方法

    宇佐美 徳隆、藤原 航三、中嶋 一雄

     詳細を見る

    特許番号/登録番号:4923249  登録日:2012年2月 

    出願国:国内  

  21. Ge系結晶の成長方法、Ge系結晶、Ge系結晶基板及び太陽電池

    中嶋 一雄、藤原 航三、宇佐美 徳隆、宇治原 徹、我妻 幸長

     詳細を見る

    特許番号/登録番号:3855059  登録日:2006年9月 

    出願国:国内  

  22. 太陽電池及びその製造方法

    中嶋 一雄、宇佐美 徳隆、藤原 航三、宇治原 徹

     詳細を見る

    特許番号/登録番号:3472837  登録日:2003年9月 

    出願国:国内  

  23. 多元系多結晶太陽電池及びその製造方法

    中嶋 一雄、宇佐美 徳隆、藤原 航三

     詳細を見る

    特許番号/登録番号:3472830  登録日:2003年9月 

    出願国:国内  

  24. 液体拡散係数測定法

    宇治原 徹、藤原 航三、宇佐美 徳隆、中嶋 一雄

     詳細を見る

    特許番号/登録番号:3491042  登録日:2003年11月 

    出願国:国内  

  25. 太陽電池

    宇治原 徹、宇佐美 徳隆、藤原 航三、中嶋 一雄

     詳細を見る

    特許番号/登録番号:3787629  登録日:2006年4月 

    出願国:国内  

  26. 歪み半導体単結晶の作製方法

    宇佐美 徳隆、宇治原 徹、藤原 航三、中嶋 一雄

     詳細を見る

    特許番号/登録番号:3837527  登録日:2006年8月 

    出願国:国内  

▼全件表示

 

担当経験のある科目 (本学) 23

  1. 機能材料プロセス

    2020

  2. マテリアル量子化学

    2020

  3. マテリアル固体物理2及び演習

    2020

  4. マテリアル量子化学

    2019

  5. マテリアル固体物理2及び演習

    2019

  6. 機能材料プロセス

    2018

  7. マテリアル固体物理2及び演習

    2018

  8. 数学IIおよび演習

    2017

  9. 機能材料プロセス

    2017

  10. 電磁気学II

    2017

  11. 数学IIおよび演習

    2016

  12. 電磁気学II

    2016

  13. 半導体結晶工学特論

    2016

  14. 物質と材料の科学

    2016

  15. 数学IIおよび演習

    2015

  16. 電磁気学II

    2015

  17. フォトニクス材料工学特論

    2015

  18. 物質と材料の科学

    2015

  19. 電磁気学II

    2014

  20. 数学IIおよび演習

    2014

  21. 半導体結晶工学特論

    2014

  22. 物質と材料の科学

    2014

  23. 電磁気学II

    2013

▼全件表示

担当経験のある科目 (本学以外) 5

  1. The Global Energy Expert Seminar

    2023年9月 Korea University)

     詳細を見る

    科目区分:大学院専門科目  国名:大韓民国

  2. ナノ流動学特論

    2022年8月 東北大学)

     詳細を見る

    科目区分:大学院専門科目 

  3. ナノ流動学特論

    2021年 東北大学)

     詳細を見る

    科目区分:大学院専門科目 

  4. ナノ流動学特論

    2020年4月 - 2021年3月 東北大学)

     詳細を見る

    科目区分:大学院専門科目 

  5. 最先端ナノ物性・ナノ工学特論

    2020年4月 - 2021年3月 筑波大学)

     詳細を見る

    科目区分:大学院専門科目 

 

社会貢献活動 16

  1. 大学セミナー 九州内高専および半導体材料デバイス研究ネットワーク全国24高専

    役割:講師

    2023年12月

  2. 大学セミナー 九州内高専および半導体材料デバイス研究ネットワーク全国24高専

    役割:講師

    熊本高専  2022年10月

  3. TV出演:New Technologies & Opportunities with SDGs

    役割:出演

    NHK World  2022年9月

  4. 豊西総合大学

    役割:講師

    愛知県立豊田西高等学校  2021年12月

  5. 中部経済同友会×名古屋大学第2回勉強会

    役割:講師

    2021年3月

  6. 特別授業 愛知県立刈谷北高等学校

    役割:講師

    愛知県立刈谷北高等学校  2020年10月

  7. 出前講義 静岡県立磐田南高等学校

    役割:講師

    2018年9月

     詳細を見る

    対象: 高校生

    種別:出前授業

  8. 出前講義 静岡県立磐田南高等学校

    役割:講師

    静岡県立磐田南高等学校  2017年9月

     詳細を見る

    対象: 高校生

    種別:出前授業

  9. 公開講座「未来を拓くグリーンナノテクノロジー」

    役割:講師

    東北大学流体科学研究所  2017年8月

     詳細を見る

    対象: 大学院生, 社会人・一般

    種別:講演会

  10. 出前講義 静岡県立静岡高等学校

    役割:講師

    2017年6月

  11. 出前講義 三重県立四日市高等学校

    役割:講師

    三重県立四日市高等学校  2016年9月

     詳細を見る

    対象: 高校生

    種別:出前授業

  12. 公開講座「地球の未来を拓くグリーンナノテクノロジー」

    役割:講師

    東北大学流体科学研究所  2016年8月

     詳細を見る

    対象: 社会人・一般

    種別:講演会

  13. 公開講座「地球の未来を拓くグリーンナノテクノロジー」

    役割:講師

    東北大学流体科学研究所  2015年8月

     詳細を見る

    対象: 大学院生, 社会人・一般

  14. 出前講義 愛知県立一宮西高等学校

    2014年12月

  15. 出前講義 愛知県立旭野高等学校

    2014年6月

  16. みやぎ県民大学

    2013年8月

▼全件表示

メディア報道 39

  1. EtaVolt develops technology to ‘rejuvenate’ solar panels and AI method identifies new way to spot dislocations in polycrystalline materials. インターネットメディア

    optics.org  2024年1月

     詳細を見る

    執筆者:本人以外 

  2. AI reveals mysteries of polycrystalline materials インターネットメディア

    Tech Explorist  2023年12月

     詳細を見る

    執筆者:本人以外 

  3. 実験・計算・AIを融合した材料解析の新手法を構築=名大など インターネットメディア

    ASCII.jp×ビジネス  2023年12月

     詳細を見る

    執筆者:本人以外 

  4. L’intelligence artificielle révèle des structures cachées dans les matériaux polycristallins インターネットメディア

    Enerzine  2023年12月

     詳細を見る

    執筆者:本人以外 

  5. AI-Driven Discovery: Mysteries of Polycrystalline Materials Unraveled インターネットメディア

    SciTechDaily  2023年12月

  6. AI unlocks secrets of polycrystalline materials インターネットメディア

    SC Online News  2023年12月

  7. Synthetic intelligence unravels mysteries of polycrystalline supplies インターネットメディア

    T-Gate  2023年12月

  8. Artificial intelligence unravels mysteries of polycrystalline materials インターネットメディア

    Phys.org  2023年12月

  9. Artificial intelligence unravels mysteries of polycrystalline materials インターネットメディア

    EureckAlert  2023年12月

  10. AI Deciphers Polycrystalline Materials Mysteries インターネットメディア

    Mirage News  2023年12月

  11. Artificial intelligence unravels mysteries of polycrystalline materials インターネットメディア

    Bioengineer.org  2023年12月

  12. Artificial intelligence unravels mysteries of polycrystalline materials インターネットメディア

    ScienMag  2023年12月

  13. AI helps design better polycrystalline electronics: Study インターネットメディア

    Interesting Engineering  2023年12月

     詳細を見る

    執筆者:本人以外 

  14. 実験・計算・AIを融合した多結晶材料情報学によるマクロからナノへの材料解析手法を構築 ~複雑な多結晶の学理深化と革新材料創成の幕開け~ インターネットメディア

    Tii技術情報  2023年12月

  15. Could AI unlock mysteries of polycrystalline materials? インターネットメディア

    Innovation News Network  2023年12月

  16. AI helps design better polycrystalline electronics: Study インターネットメディア

    MSN  2023年12月

     詳細を見る

    執筆者:本人以外 

  17. AI Reveals New Path to Control Dislocations in Everyday Materials インターネットメディア

    AZO materials  2023年12月

  18. Crystal Clear AI: Revolutionizing the Future of Electronics Manufacturing インターネットメディア

    SciTechDaily  2023年12月

  19. AI-based technique for predicting crystal orientation improves the efficiency of manufacturing most electronic devices インターネットメディア

    nanowerk  2023年10月

  20. AI-based technique for predicting crystal orientation improves the efficiency of manufacturing most electronic devices インターネットメディア

    AlphaGalileo  2023年10月

  21. AI-based technique for predicting crystal orientation improves the efficiency of manufacturing most electronic devices インターネットメディア

    Today Headline  2023年7月

  22. 名大など、AIで結晶粒方位分布を予測 多結晶材解析に インターネットメディア

    NIKKEI Tech Foresight  2023年6月

     詳細を見る

    執筆者:本人以外 

  23. 名大ら,結晶写真とAIで結晶粒方位分布を予測 インターネットメディア

    オプトエレクトロニクスオンライン  2023年5月

  24. 結晶の写真からAIにより結晶粒方位分布を予測 ~多結晶材料の簡便かつ高速な組織解析に応用可能~ インターネットメディア

    Tii技術情報  2023年5月

  25. 名古屋大学など、印刷でSiGe膜 太陽電池を低コスト化 新聞・雑誌

    日本経済新聞  2022年10月

     詳細を見る

    執筆者:本人以外 

  26. 非真空の印刷法による高品質SiGe半導体の製造法、名大などが開発 インターネットメディア

    マイナビニュース  2022年9月

  27. 名古屋大ら、高品質のSiGe半導体を印刷で実現 インターネットメディア

    EE Times Japan  2022年9月

  28. 印刷によるシリコンゲルマニウム半導体の作製に成功――高効率多接合太陽電池の低コスト化に寄与 名大ら インターネットメディア

    fabcross for エンジニア  2022年9月

     詳細を見る

    執筆者:本人以外 

  29. 名大ら,印刷で高品質シリコンゲルマニウム膜を実現 インターネットメディア

    オプトエレクトロニクスオンライン  2022年9月

     詳細を見る

    執筆者:本人以外 

  30. 名大・阪大・東北大など、印刷で高品質なシリコンゲルマニウム半導体を実現 インターネットメディア

    nikkei.com  2022年9月

  31. Study Explores Relationship Between Passivation and Conductivity of Electrical Contact in Solar Cells インターネットメディア

    AZO Materials  2022年3月

     詳細を見る

    執筆者:本人以外 

  32. New Type of Electrical Contact can Improve Stability of Solar Cells インターネットメディア

    AZO Optics  2022年3月

     詳細を見る

    執筆者:本人以外 

  33. Scientists fabricate novel electrical component to improve stability of solar cells インターネットメディア

    SOLAR DAILY  2022年3月

     詳細を見る

    執筆者:本人以外 

  34. Scientists fabricate novel electrical component to improve stability of solar cells インターネットメディア

    TecXplore  2022年3月

     詳細を見る

    執筆者:本人以外 

  35. Novel electrical component improves stability of solar cells インターネットメディア

    nanowerk  2022年3月

     詳細を見る

    執筆者:本人以外 

  36. Scientists fabricate novel electrical component to improve stability of solar cells インターネットメディア

    AlphaGalileo  2022年3月

     詳細を見る

    執筆者:本人以外 

  37. 電子通ししつつ表面保護 次世代太陽電池に提案 新聞・雑誌

    日刊工業新聞  2022年2月

     詳細を見る

    執筆者:本人以外 

  38. 名大ら,導電性の高い酸化シリコン保護膜を実現 インターネットメディア

    オプトエレクトロニクスオンライン  2022年1月

     詳細を見る

    執筆者:本人以外 

  39. ナノ結晶を電子の通り道とする酸化シリコン保護膜を実現 ~次世代シリコン系太陽電池の開発に期待~ インターネットメディア

    日本の研究.com  2022年1月

     詳細を見る

    執筆者:本人以外 

▼全件表示