Updated on 2024/03/29

写真a

 
NAKATSUKA, Osamu
 
Organization
Graduate School of Engineering Materials Physics 1 Professor
Graduate School
Graduate School of Engineering
Undergraduate School
School of Engineering Physical Science and Engineering
Title
Professor
Contact information
メールアドレス

Degree 1

  1. 工学(博士) ( 名古屋大学 ) 

Research Interests 9

  1. crystalline growth

  2. thin film

  3. surface structure

  4. crystalline structure

  5. electrical property:electron conduction

  6. solid state devices

  7. Nanotechnology

  8. Semiconductor

  9. Interface

Research Areas 2

  1. Others / Others  / Engineering@Applied Physics/Fundamentals of Engineering@Surface Physics

  2. Others / Others  / Engineering@Applied Physics/Fundamentals of Engineering@Applied Physics of Property and Crystallography

Current Research Project and SDGs 2

  1. Control of crystalline and electrical properties of metal/semiconductor interfaces

  2. Epitaxial growth technology of group-IV semiconductors

Research History 9

  1. Nagoya University   Institute of Materials and Systems for Sustainability Center for Integrated Research of Future Electronics Innovative Devices Section

    2017.4

  2. Graduate School of Engineering, Nagoya University   Professor

    2016.7

      More details

    Country:Japan

  3. Stanford University   Department of Electrical Engineering   Visiting Associate Professor

    2015.4 - 2016.9

      More details

    Country:United States

  4. 名古屋大学大学院工学研究科・准教授

    2010.10 - 2016.6

      More details

    Country:Japan

  5. 名古屋大学大学院工学研究科・講師

    2007.5

      More details

    Country:Japan

  6. 財団法人 高輝度光科学研究センター 外来研究員(兼職)

    2006.1

      More details

    Country:Japan

  7. EcoTopia Science Institute, Nagoya UniversityAssistant Professor

    2004.4 - 2007.4

      More details

    Country:Japan

  8. Center for Integrated Research in Science and Engineering, Nagoya UniversityAssistant Professor

    2001.6 - 2004.3

      More details

    Country:Japan

  9. Venture Business Laboratory, Kyoto UniversityPost Doctoral Fellow

    2000.4 - 2001.5

      More details

    Country:Japan

▼display all

Education 3

  1. Nagoya University   Graduate School, Division of Engineering   Department of Crystalline Materials Science

    - 2000

      More details

    Country: Japan

  2. Nagoya University   Graduate School, Division of Engineering   Department of Crystalline Materials Science

    - 1997

      More details

    Country: Japan

  3. Nagoya University   Faculty of Engineering   Department of Applied Physics

    - 1995

      More details

    Country: Japan

Professional Memberships 5

  1. The Japan Society of Applied Physics

    2010.4

  2. Electrochemical Society   Japan Section Secretary/Treasurer

    2021.4

  3. 日本結晶成長学会

  4. 日本表面科学会

    - 2018.3

  5. 日本真空協会

    - 2018.3

Committee Memberships 12

  1. (公社) 応用物理学会   第60,61期代議員  

    2021.2 - 2023.3   

      More details

    Committee type:Academic society

  2. 2021 Inthernational Conference on Solid State Devices and Materials (SSDM2021)   Program Committee (JJAP Special Issues Editors)  

    2021.1 - 2021.12   

      More details

    Committee type:Academic society

  3. 13th International Symposium on Advanced Plasma Scienceand its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science, (ISPlasma2021/IC-PLANTS2021)   Program Committee  

    2021.1 - 2021.11   

      More details

    Committee type:Academic society

  4. 2020 Inthernational Conference on Solid State Devices and Materials (SSDM2020)   Program Committee (JJAP Special Issues Editors)  

    2020.9 - 2020.12   

      More details

    Committee type:Academic society

  5. 独立行政法人日本学術振興会 産学協力委員会 R025 先進薄膜界面機能創製委員会   委員  

    2020.4   

      More details

    Committee type:Other

  6. Advanced Metallization Conference 2020 Asian Session, Special Symposium Committee   ADMETA Plus 2020 委員会  

    2020.2 - 2021.12   

      More details

    Committee type:Academic society

  7. (公社) 応用物理学会 薄膜・表面物理分科会   常任幹事  

    2019.4 - 2021.3   

      More details

    Committee type:Academic society

  8. (公社) 応用物理学会   第58,59期代議員  

    2019.2 - 2021.3   

      More details

    Committee type:Academic society

  9. (公社) 応用物理学会 シリコンテクノロジー分科会   幹事(多層配線システム研究会)  

    2018.4   

      More details

    Committee type:Academic society

  10. Japanese Journal of Applied Physics   Editorial board member of Japanese Journal of Applied Physics  

    2012.4   

      More details

    Committee type:Academic society

  11. 電子デバイス界面テクノロジー研究会   実行プログラム委員  

    2012.4   

      More details

    Committee type:Academic society

  12. (公社) 応用物理学会東海支部   支部幹事  

    2011.4   

      More details

    Committee type:Academic society

▼display all

Awards 7

  1. The Best Oral Presentation Awards

    2021.3   13th International Symposium on Advanced Plasma Scienceand its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science, (ISPlasma2021/IC-PLANTS2021)   Heterostructure design favorable for n+-Ge1−xSnx pseudo-direct transition layer for optoelectronic application

    Shiyu Zhang, Masahiro Fukuda, Shigehisa Shibayama, and Osamu Nakatsuka

     More details

    Award type:Award from international society, conference, symposium, etc.  Country:Japan

  2. 優秀研究賞 キオクシア奨励研究 2019年度プロセス部門

    2020.11   キオクシア株式会社   界面ナノ構造制御による超低抵抗金属/IV族半導体コンタクト形成技術

    中塚 理

     More details

    Country:Japan

  3. APEX/JJAP Editorial Contribution Award

    2016.4   Japanese Jouranal Applied Physcis  

    Osamu Nakatsuka

     More details

    Award type:Honored in official journal of a scientific society, scientific journal  Country:Japan

  4. SSDM Paper Award 2015

    2015.9   SSDM Organizing Committee   Operations of CMOS Inverter and Ring Oscillator Composed of Ultra-Thin Body Poly-Ge p- and n-MISFETs for Stacked Channel 3D-IC

    Y. Kamata, M. Koike, E. Kurosawa, M. Kurosawa, H. Ota, O. Nakatsuka, S. Zaima, and T. Tezuka

     More details

    Award type:Award from international society, conference, symposium, etc.  Country:Japan

  5. ADMETA Technical Achievement Award 2014

    2015.9   ADMETA Committee   Formation of Epitaxial NiGe Layer on Ge(001) Substrate and Influence of Interface Structure on Schottky Barrier Height

    O. Nakatsuka, Y. Deng, M. Sakashita, and S. Zaima

     More details

    Award type:Award from international society, conference, symposium, etc.  Country:Japan

  6. ISTDM 2008 Best Poster Award

    2008.5   The fourth International SiGe Technology and Device Meeting  

  7. 第3回 P&I パテントコンテスト:パテント・オブ・ザ・イヤープロセス・テクノロジー部門

    2006.11  

     More details

    Country:Japan

    特許第3733424 号「ニッケルシリコン系薄膜、ニッケルシリコン系多層膜構造及びニッケルシリコン系薄膜の作製方法」に関して。

▼display all

 

Papers 278

  1. ゲルマニウムスズⅣ族混晶薄膜の結晶成長と電子物性 Invited Reviewed

    中塚理, 黒澤昌志

    応用物理   Vol. 88 ( 9 ) page: pp. 597-603   2019.9

     More details

    Authorship:Lead author   Language:Japanese  

    DOI: 10.11470/oubutsu.88.9_597

  2. Growth and applications of GeSn-related group-IV semiconductor materials Invited Reviewed

    S. Zaima, O. Nakatsuka, N. Taoka, M. Kuorsawa, W. Takeuchi, and M. Sakashita

    Sci. Technol. Adv. Mater.   Vol. 16   page: 043502 (22pages)   2015.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  3. Emergence of ferroelectricity in ZrO2 thin films on TiN/Si featuring high temperature sputtering method Reviewed

    Nagano, J; Ikeguchi, S; Doi, T; Sakashita, M; Nakatsuka, O; Shibayama, S

    MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING   Vol. 163   2023.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.mssp.2023.107553

    Web of Science

  4. Self-organized Ge<sub>1-<i>x</i> </sub>Sn<i> <sub>x</sub> </i> quantum dots formed on insulators and their room temperature photoluminescence Reviewed

    Hashimoto, K; Shibayama, S; Asaka, K; Sakashita, M; Kurosawa, M; Nakatsuka, O

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 62 ( 7 )   2023.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ace5f9

    Web of Science

  5. Heteroepitaxial growth of CaGe2 films on high-resistivity Si(111) substrates and its application for germanane synthesizing Reviewed

    Okada, K; Shibayama, S; Sakashita, M; Nakatsuka, O; Kurosawa, M

    MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING   Vol. 161   2023.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.mssp.2023.107462

    Web of Science

  6. Lattice-matched growth of high-Sn-content (<i>x</i>∼0.1) Si<sub>1-<i>x</i> </sub>Sn<sub> <i>x</i> </sub> layers on Si<sub>1-<i>y</i> </sub>Ge<sub> <i>y</i> </sub> buffers using molecular beam epitaxy Reviewed

    Fujimoto, K; Kurosawa, M; Shibayama, S; Sakashita, M; Nakatsuka, O

    APPLIED PHYSICS EXPRESS   Vol. 16 ( 4 )   2023.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1882-0786/acc3da

    Web of Science

  7. Crystalline and optoelectronic properties of Ge<sub>1-<i>x</i> </sub>Sn<i> <sub>x</sub> </i>/high-Si-content-Si<i> <sub>y</sub> </i>Ge<sub>1-<i>x</i>-<i>y</i> </sub>Sn<i> <sub>x</sub> </i> double-quantum wells grown with low-temperature molecular beam epitaxy Reviewed

    Zhang, SY; Shibayama, S; Nakatsuka, O

    SEMICONDUCTOR SCIENCE AND TECHNOLOGY   Vol. 38 ( 1 )   2023.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6641/aca7d9

    Web of Science

  8. Sn-incorporation effect on thermoelectric properties of Sb-doped Ge-rich Ge<sub>1-<i>x</i>-<i>y</i> </sub>Si<sub> <i>x</i> </sub>Sn<sub> <i>y</i> </sub> epitaxial layers grown on GaAs(001) Reviewed

    Kurosawa, M; Nakata, M; Zhan, TZ; Tomita, M; Watanabe, T; Nakatsuka, O

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 61 ( 8 )   2022.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ac7bc7

    Web of Science

  9. Crystal structure change in multilayer GeH flakes by hydrogen desorption under ultrahigh vacuum environments Reviewed

    Itoh, M; Araidai, M; Ohta, A; Nakatsuka, O; Kurosawa, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 61 ( SC )   2022.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ac4140

    Web of Science

  10. Enhancement of channel mobility in 4H-SiC trench MOSFET by inducing stress at SiO<sub>2</sub>/SiC gate interface Reviewed

    Kagoshima, E; Takeuchi, W; Kutsuki, K; Sakashita, M; Fujiwara, H; Nakatsuka, O

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 61 ( SC )   2022.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ac528d

    Web of Science

  11. High-pressure polycrystalline thin-film synthesis and semiconducting property of platinum pernitride Reviewed

    Niwa, K; Iizuka, T; Kurosawa, M; Nakamura, Y; Valencia, HO; Kishida, H; Nakatsuka, O; Sasaki, T; Gaida, NA; Hasegawa, M

    AIP ADVANCES   Vol. 12 ( 5 )   2022.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/5.0090089

    Web of Science

  12. Solid-phase crystallization of ultra-thin amorphous Ge layers on insulators Reviewed

    Oishi, R; Asaka, K; Bolotov, L; Uchida, N; Kurosawa, M; Nakatsuka, O

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 61 ( SC )   2022.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ac4686

    Web of Science

  13. Visualization of local strain in 4H-SiC trench metal-oxide-semiconductor field-effect transistor using synchrotron nanobeam X-ray diffraction Reviewed

    Takeuchi, W; Kagoshima, E; Sumitani, K; Imai, Y; Shibayama, S; Sakashita, M; Kimura, S; Tomita, H; Nishiwaki, T; Fujiwara, H; Nakatsuka, O

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 61 ( SC )   2022.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ac4c6d

    Web of Science

  14. Impact of oxide/4H-SiC interface state density on field-effect mobility of counter-doped n-channel 4H-SiC MOSFETs Reviewed

    Doi, T; Shibayama, S; Sakashital, M; Taokal, N; Shimizu, M; Nakatsuka, O

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 61 ( 2 )   2022.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ac4555

    Web of Science

  15. Low-temperature formation of Mg/n-type 4H-SiC ohmic contacts with atomically flat interface by lowering of Schottky barrier height Reviewed

    Doi, T; Shibayama, S; Sakashita, M; Kojima, K; Shimizu, M; Nakatsuka, O

    APPLIED PHYSICS EXPRESS   Vol. 15 ( 1 )   2022.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1882-0786/ac407f

    Web of Science

  16. Photoluminescence properties of heavily Sb doped Ge<sub>1-<i>x</i> </sub>Sn<i> <sub>x</sub> </i> and heterostructure design favorable for n<SUP>+</SUP>-Ge<sub>1-<i>x</i> </sub>Sn<i> <sub>x</sub> </i> active layer Reviewed

    Zhang, SY; Fukuda, M; Jeon, J; Sakashita, M; Shibayama, S; Nakatsuka, O

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 61 ( SA )   2022.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ac25da

    Web of Science

  17. Constructed Ge Quantum Dots and Sn Precipitate SiGeSn Hybrid Film with High Thermoelectric Performance at Low Temperature Region Reviewed

    Peng, Y; Miao, L; Liu, CY; Song, HL; Kurosawa, M; Nakatsuka, O; Back, SY; Rhyee, JS; Murata, M; Tanemura, S; Baba, T; Baba, T; Ishizaki, T; Mori, T

    ADVANCED ENERGY MATERIALS   Vol. 12 ( 2 )   2022.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1002/aenm.202103191

    Web of Science

  18. Interface Structures and Electrical Properties of Micro-Fabricated Epitaxial Hf-Digermanide/<i>n</i>-Ge(001) Contacts Reviewed

    Kasahara, K; Senga, K; Sakashita, M; Shibayama, S; Nakatsuka, O

    IEEE JOURNAL OF THE ELECTRON DEVICES SOCIETY   Vol. 10   page: 744 - 750   2022

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1109/JEDS.2021.3139728

    Web of Science

  19. Reinforcement of power factor in N-type multiphase thin film of Si<sub>1-x-y</sub>Ge<sub>x</sub>Sn<sub>y</sub> by mitigating the opposing behavior of Seebeck coefficient and electrical conductivity Reviewed

    Lai, HJ; Peng, Y; Gao, J; Song, HL; Kurosawa, M; Nakatsuka, O; Takeuchi, T; Miao, L

    APPLIED PHYSICS LETTERS   Vol. 119 ( 11 )   2021.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/5.0062339

    Web of Science

  20. Lowering of the Schottky barrier height of metal/n-type 4H-SiC contacts using low-work-function metals with thin insulator insertion Reviewed

    Doi, T; Shibayama, S; Sakashita, M; Shimizu, M; Nakatsuka, O

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 60 ( 7 )   2021.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ac0ab2

    Web of Science

  21. No external load measurement strategy for micro thermoelectric generator based on high-performance Si<sub>1-x-y</sub>Ge<sub>x</sub>Sn<sub>y</sub> film Reviewed

    Peng, Y; Zhu, SJ; Lai, HJ; Gao, J; Kurosawa, M; Nakatsuka, O; Tanemura, S; Peng, BL; Miao, L

    JOURNAL OF MATERIOMICS   Vol. 7 ( 4 ) page: 665 - 671   2021.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.jmat.2020.12.002

    Web of Science

  22. Impact of Wet Annealing on Ferroelectric Phase Formation and Phase Transition of HfO<sub>2</sub>-ZrO<sub>2</sub> System Reviewed

    Shibayama, S; Nagano, J; Asaka, K; Sakashita, M; Nakatsuka, O

    ACS APPLIED ELECTRONIC MATERIALS   Vol. 3 ( 5 ) page: 2203 - 2211   2021.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1021/acsaelm.1c00171

    Web of Science

  23. Silicon-based low-dimensional materials for thermal conductivity suppression: recent advances and new strategies to high thermoelectric efficiency Reviewed

    Lai Huajun, Peng Ying, Gao Jie, Kurosawa Masashi, Nakatsuka Osamu, Takeuchi Tsunehiro, Miao Lei

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 60 ( SA )   2021.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/abbb69

    Web of Science

  24. Improved interface uniformity of epitaxial HfGe<sub>2</sub>/Ge(001) contact by microfabrication and its electron conduction property Reviewed

    Kasahara, K; Senga, K; Sakashita, M; Shibayama, S; Nakatsuka, O

    TWENTIETH INTERNATIONAL WORKSHOP ON JUNCTION TECHNOLOGY (IWJT 2021)     page: 58 - 60   2021

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Web of Science

  25. Formation of ultra-thin Ge1-xSnx/Ge1-x-ySixSny quantum heterostructures and their electrical properties for realizing resonant tunneling diode Reviewed

    Suwito Galih Ramadana, Fukuda Masahiro, Suprayoga Edi, Ohtsuka Masahiro, Hasdeo Eddwi Hesky, Nugraha Ahmad Ridwan Tresna, Sakashita Mitsuo, Shibayama Shigehisa, Nakatsuka Osamu

    APPLIED PHYSICS LETTERS   Vol. 117 ( 23 )   2020.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/5.0024905

    Web of Science

  26. Crystal Growth of Epitaxial 3C-SiC Thin Film on Si Substrate by Chemical Vapor Deposition using Single Precursor of Vinylsilane Invited Reviewed

    T. Doi, K. Hashimoto, W. Takeuchi, and O. Nakatsuka

    ECS Trans.   Vol. 98   page: 169 - 176   2020.10

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1149/09805.0169ecst

  27. (Invited) Heteroepitaxy and Strain Engineering of Germanium-Silicon-Tin Ternary Alloy Semiconductor Thin Films for Energy Band Design Invited Reviewed

    O. Nakatsuka, S. Shibayama, M. Kurosawa, and M. Sakashita

    ECS Trans.   Vol. 98   page: 149 - 156   2020.10

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1149/09805.0149ecst

  28. Realizing high thermoelectric performance in p-type Si1-x-yGexSny thin films at ambient temperature by Sn modulation doping Reviewed

    Peng Ying, Lai Huajun, Liu Chengyan, Gao Jie, Kurosawa Masashi, Nakatsuka Osamu, Takeuchi Tsunehiro, Zaima Shigeaki, Tanemura Sakae, Miao Lei

    APPLIED PHYSICS LETTERS   Vol. 117 ( 5 )   2020.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/5.0012087

    Web of Science

  29. Ferroelectric phase formation for undoped ZrO2 thin films by wet O-2 annealing Reviewed

    Shibayama Shigehisa, Nagano Jotaro, Sakashita Mitsuo, Nakatsuka Osamu

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 59   2020.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ab80de

    Web of Science

  30. Saturation of electrically activated Sb concentration in heavily Sb-doped n(+)-Ge1-xSnx epitaxial layers Reviewed

    Jeon Jihee, Shibayama Shigehisa, Nakatsuka Osamu

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 59   2020.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ab867d

    Web of Science

  31. Impact of byproducts formed on a 4H-SiC surface on interface state density of Al2O3/4H-SiC(0001) gate stacks Reviewed

    Doi Takuma, Shibayama Shigehisa, Takeuchi Wakana, Sakashita Mitsuo, Taoka Noriyuki, Shimizu Mitsuaki, Nakatsuka Osamu

    APPLIED PHYSICS LETTERS   Vol. 116 ( 22 )   2020.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.5143574

    Web of Science

  32. Fermi-level pinning at metal/4H-SiC contact induced by SiCxOy interlayer Reviewed

    Hashimoto Kentaro, Doi Takuma, Shibayama Shigehisa, Nakatsuka Osamu

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 59   2020.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ab6e06

    Web of Science

  33. Mobility enhancement by mechanical uniaxial stress on 4H-SiC (0001) lateral metal-oxide-semiconductor field-effect-transistor Reviewed

    Takeuchi Wakana, Kutsuki Katsuhiro, Kagoshima Eiji, Onishi Toru, Iwasaki Shinya, Sakashita Mitsuo, Fujiwara Hirokazu, Nakatsuka Osamu

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 59   2020.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.35848/1347-4065/ab6d85

    Web of Science

  34. Realizing High Thermoelectric Performance at Ambient Temperature by Ternary Alloying in Polycrystalline Si1-x-yGexSny Thin Films with Boron Ion Implantation Reviewed

    Peng Ying, Miao Lei, Gao Jie, Liu Chengyan, Kurosawa Masashi, Nakatsuka Osamu, Zaima Shigeaki

    SCIENTIFIC REPORTS   Vol. 9   2019.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1038/s41598-019-50754-4

    Web of Science

    PubMed

  35. Development of Germanium-Tin-Related Semiconductor Heterostructures for Energy Band Design in Electronic and Optoelectronic Applications Invited Reviewed

    O. Nakatsuka, M. Fukuda, M. Sakashita, M. Kurosawa, S. Shibayama, and S. Zaima

    ECS Trans.   Vol. 92 ( 4 ) page: 41-46   2019.10

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/09204.0041ecst

  36. Formation and optoelectronic property of strain-relaxed Ge1-x-ySixSny/Ge1-xSnx/Ge1-x-ySixSny double heterostructures on a boron-ion-implanted Ge(001) substrate Reviewed

    Fukuda Masahiro, Rainko Denis, Sakashita Mitsuo, Kurosawa Masashi, Buca Dan, Nakatsuka Osamu, Zaima Shigeaki

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 58   2019.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/ab1b62

    Web of Science

  37. Ultra-low resistance contact for n-type Ge1-xSnx with by in-situ Sb heavily doping and nickel stanogermanide formation

    J. Jeon, A. Suzuki, S. Shibayama, S. Zaima, and O. Nakatsuka

      Vol. 119 ( 96 ) page: 5-9   2019.6

     More details

    Language:English  

  38. イオン注入法によるⅣ族半導体混晶薄膜の歪緩和促進機構について

    祖父江秀隆, 福田雅大, 柴山茂久, 財満鎭明, 中塚理

    信学技報   Vol. 119 ( 96 ) page: 17-20   2019.6

     More details

    Language:English  

  39. Operation of thin-film thermoelectric generator of Ge-rich poly-Ge1-xSnx on SiO2 fabricated by a low thermal budget process Reviewed

    Takahashi Kouta, Ikenoue Hiroshi, Sakashita Mitsuo, Nakatsuka Osamu, Zaima Shigeaki, Kurosawa Masashi

    APPLIED PHYSICS EXPRESS   Vol. 12 ( 5 )   2019.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1882-0786/ab1969

    Web of Science

  40. Effect of carbon in Si oxide interlayers of the Al2O3/4H-SiC structure on interfacial reaction by oxygen radical treatment Reviewed

    Doi Takuma, Takeuchi Wakana, Shibayama Shigehisa, Sakashita Mitsuo, Taoka Noriyuki, Nakatsuka Osamu, Zaima Shigeaki

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 58   2019.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/aafb54

    Web of Science

  41. Effect of carbon in Si oxide interlayers of the Al2O3/4H-SiC structure on interfacial reaction by oxygen radical treatment (vol 58, SBBD05, 2019) Reviewed

    Doi Takuma, Takeuchi Wakana, Shibayama Shigehisa, Sakashita Mitsuo, Taoka Noriyuki, Nakatsuka Osamu, Zaima Shigeaki

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 58   2019.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/ab0f24

    Web of Science

  42. Influence of Sn precursors on Ge1-xSnx growth using metal-organic chemical vapor deposition Reviewed

    Miki Yusuke, Takeuchi Wakana, Nakatsuka Osamu, Zaima Shigeaki

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 58 ( SA )   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/aaec1a

    Web of Science

  43. Synthesis of heavily Ga-doped Si1-xSnx/Si heterostructures and their valence-band-offset determination Reviewed

    Kurosawa Masashi, Inaishi Yu, Tange Ryuji, Sakashita Mitsuo, Nakatsuka Osamu, Zaima Shigeaki

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 58 ( SA )   2019.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/1347-4065/aaeb36

    Web of Science

  44. Further reduction of Schottky barrier height of Hf-germanide/n-Ge(001) contacts by forming epitaxial HfGe2 Reviewed

    Senga Kazuki, Shibayama Shigehisa, Sakashita Mitsuo, Zaima Shigeaki, Nakatsuka Osamu

    2019 NINETEENTH INTERNATIONAL WORKSHOP ON JUNCTION TECHNOLOGY (IWJT)     page: .   2019

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.23919/iwjt.2019.8802901

    Web of Science

  45. Fabrication of Porous Silicon using Photolithography and Reactive Ion Etching (RIE) Reviewed

    Pratiwi Nur'aini Dian, Handayani Mita, Suryana Risa, Nakatsuka Osamu

    MATERIALS TODAY-PROCEEDINGS   Vol. 13   page: 92 - 96   2019

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.matpr.2019.03.194

    Web of Science

  46. Patterned Porous Silicon Prepared by Reactive Ion Etching Technique Reviewed

    Suryana R., Pratiwi N. D., Handayani M., Santika M., Nakatsuka O.

    INTERNATIONAL CONFERENCE ON ADVANCED MATERIALS FOR BETTER FUTURE 2018   Vol. 578   2019

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1757-899X/578/1/012019

    Web of Science

  47. Crystalline and Electrical Properties of Ge<sub>1-x</sub>Sn<sub>x</sub>/Ge<sub>1-x-y</sub>Si<sub>x</sub>Sn<sub>y</sub> Quantum Well Structures Reviewed

    Suwito, GR; Fukuda, M; Shibayama, S; Sakashita, M; Nakatsuka, O; Zaima, S

    2019 COMPOUND SEMICONDUCTOR WEEK (CSW)     2019

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Web of Science

  48. Impact of crystalline structures on the thermal stability and Schottky barrier height of NiGe/Ge contact Reviewed

    Deng Yunsheng, He Dongsheng, Qiu Yang, Gu Rui, He Jiaqing, Nakatsuka Osamu

    APPLIED PHYSICS LETTERS   Vol. 113 ( 25 )   2018.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.5078558

    Web of Science

  49. Ultra-thin germanium-tin on insulator structure through direct bonding technique Reviewed

    Maeda Tatsuro, Chang Wen Hsin, Irisawa Toshifumi, Ishii Hiroyuki, Oka Hiroshi, Kurosawa Masashi, Imai Yukihiro, Nakatsuka Osamu, Uchida Noriyuki

    SEMICONDUCTOR SCIENCE AND TECHNOLOGY   Vol. 33 ( 12 )   2018.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6641/aae620

    Web of Science

  50. Optoelectronic properties of high-Si-content-Gel-x-ySixSny/Ge1-xSnx/Ge1-x-ySixSny double heterostructure Reviewed

    Fukuda Masahiro, Rainko Denis, Sakashita Mitsuo, Kurosawa Masashi, Buca Dan, Nakatsuka Osamu, Zaima Shigeaki

    SEMICONDUCTOR SCIENCE AND TECHNOLOGY   Vol. 33 ( 12 )   2018.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6641/aaebb5

    Web of Science

  51. Growth and electrical properties of in situ Sb-doped Ge1-xSnx epitaxial layers for source/drain stressor of strained-Ge transistors Reviewed

    Jeon Jihee, Suzuki Akihiro, Takahashi Kouta, Nakatsuka Osamu, Zaima Shigeaki

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 12 )   2018.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.121303

    Web of Science

  52. Formation of ultra-low resistance contact with nickel stanogermanide/heavily doped n(+)-Ge1-xSnx structure Reviewed

    Jeon Jihee, Suzuki Akihiro, Nakatsuka Osamu, Zaima Shigeaki

    SEMICONDUCTOR SCIENCE AND TECHNOLOGY   Vol. 33 ( 12 )   2018.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6641/aae624

    Web of Science

  53. Defect evaluation in strain-relaxed Ge0.947Sn0.053 grown on (001) Si Reviewed

    Gupta S., Shimura Y., Richard O., Douhard B., Simoen E., Bender H., Nakatsuka O., Zaima S., Loo R., Heyns M.

    APPLIED PHYSICS LETTERS   Vol. 113 ( 19 )   2018.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.5048683

    Web of Science

  54. Formation of epitaxial Hf digermanide/Ge(001) contact and its crystalline properties Reviewed

    Nakatsuka Osamu, Suzuki Akihiro, McVittie James, Nishi Yoshio, Zaima Shigeaki

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 7 )   2018.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.07MA05

    Web of Science

  55. Energy band structure and electrical properties of Ga-oxide/GaN interface formed by remote oxygen plasma Reviewed

    Yamamoto Taishi, Taoka Noriyuki, Ohta Akio, Nguyen Xuan Truyen, Yamada Hisashi, Takahashi Tokio, Ikeda Mitsuhisa, Makihara Katsunori, Nakatsuka Osamu, Shimizu Mitsuaki, Miyazaki Seiichi

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 6 )   2018.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.06KA05

    Web of Science

  56. Alleviation of Fermi level pinning at metal/n-Ge interface with lattice-matched SixGe1-x-ySny ternary alloy interlayer on Ge Reviewed

    Suzuki Akihiro, Nakatsuka Osamu, Sakashita Mitsuo, Zaima Shigeaki

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 6 )   2018.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.060304

    Web of Science

  57. Dopant behavior in heavily doped polycrystalline Ge1-xSnx layers prepared with pulsed laser annealing in water Reviewed

    Takahashi Kouta, Kurosawa Masashi, Ikenoue Hiroshi, Sakashita Mitsuo, Nakatsuka Osamu, Zaima Shigeaki

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 4 )   2018.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.04FJ02

    Web of Science

  58. High n-type Sb dopant activation in Ge-rich poly-Ge1-xSnx layers on SiO2 using pulsed laser annealing in flowing water Reviewed

    Takahashi Kouta, Kurosawa Masashi, Ikenoue Hiroshi, Sakashita Mitsuo, Nakatsuka Osamu, Zaima Shigeaki

    APPLIED PHYSICS LETTERS   Vol. 112 ( 6 )   2018.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4997369

    Web of Science

  59. Epitaxial growth of heavily doped n(+)-Ge layers using metal-organic chemical vapor deposition with in situ phosphorus doping Reviewed

    Ike Shinichi, Takeuchi Wakana, Nakatsuka Osamu, Zaima Shigeaki

    THIN SOLID FILMS   Vol. 645   page: 57 - 63   2018.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2017.10.013

    Web of Science

  60. Formation of SiC thin films by chemical vapor deposition with vinylsilane precursor Reviewed

    Doi Takuma, Takeuchi Wakana, Jin Yong, Kokubun Hiroshi, Yasuhara Shigeo, Nakatsuka Osamu, Zaima Shigeaki

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 1 )   2018.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.01AE08

    Web of Science

  61. Effect of N bonding structure in AlON deposited by plasma-assisted atomic layer deposition on electrical properties of 4H-SiC MOS capacitor Reviewed

    Takeuchi Wakana, Yamamoto Kensaku, Sakashita Mitsuo, Nakatsuka Osamu, Zaima Sigeaki

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 1 )   2018.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.01AE06

    Web of Science

  62. Improved thermoelectric property of B-doped Si/Ge multilayered quantum dot films prepared by RF magnetron sputtering Reviewed

    Peng Ying, Miao Lei, Li Chao, Huang Rong, Urushihara Daisuke, Asaka Toru, Nakatsuka Osamu, Tanemura Sakae

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 1 )   2018.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.01AF03

    Web of Science

  63. Selective growth of Ge1-xSnx epitaxial layer on patterned SiO2/Si substrate by metal-organic chemical vapor deposition Reviewed

    Takeuchi Wakana, Washizu Tomoya, Ike Shinichi, Nakatsuka Osamu, Zaima Shigeaki

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 57 ( 1 )   2018.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.57.01AC05

    Web of Science

  64. The morphological study of porous silicon formed by electrochemical anodization method

    Suryana R., Sandi D. K., Nakatsuka O.

    INTERNATIONAL CONFERENCE ON ADVANCED MATERIALS FOR BETTER FUTURE 2017   Vol. 333   2018

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1757-899X/333/1/012034

    Web of Science

  65. A New Application of Ge1-xSnx: Thermoelectric Materials Reviewed

    Kurosawa Masashi, Imai Yukihiro, Iwahashi Taisei, Takahashi Kouta, Sakashita Mitsuo, Nakatsuka Osamu, Zaima Shigeaki

    SIGE, GE, AND RELATED COMPOUNDS: MATERIALS, PROCESSING, AND DEVICES 8   Vol. 86 ( 7 ) page: 321 - 328   2018

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/08607.0321ecst

    Web of Science

  66. In situ phosphorus-doped Ge1-xSnx layers grown using low-temperature metal-organic chemical vapor deposition Reviewed

    Ike Shinichi, Takeuchi Wakana, Nakatsuka Osamu, Zaima Shigeaki

    SEMICONDUCTOR SCIENCE AND TECHNOLOGY   Vol. 32 ( 12 )   2017.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6641/aa90d2

    Web of Science

  67. Self-organized lattice-matched epitaxy of Si1-xSnx alloys on (001)-oriented Si, Ge, and InP substrates Reviewed

    Kurosawa Masashi, Kato Motohiro, Takahashi Kouta, Nakatsuka Osamu, Zaima Shigeaki

    APPLIED PHYSICS LETTERS   Vol. 111 ( 19 )   2017.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4995812

    Web of Science

  68. Si1-xGex Bulk Single Crystals for Substrates of Electronic Devices Reviewed

    K. Kinoshita, Y. Arai, T. Maeda, and O. Nakatsuka

    Mater. Sci. Semicond. Proc.   Vol. 70 ( 1 ) page: 12-16   2017.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  69. Modulation of Fermi level pining position at metal/n-Ge interface by semimetal Ge1-xSnx and Sn interlayers Reviewed

    A. Suzuki, M. Sakashita, O. Nakatsuka, and S. Zaima

    Mater. Sci. Semicond. Proc.   Vol. 70 ( 1 ) page: 162-166   2017.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  70. Formation and characterization of Ge1-x-ySixSny/ Ge1-xSnx/Ge1-x-ySixSny double heterostructures with strain-controlled Ge1-x-ySixSny layers Reviewed

    M. Fukuda, T. Yamaha, T. Asano, S. Fujinami, Y. Shimura, M. Kurosawa, O. Nakatsuka, and S. Zaima

    Mater. Sci. Semicond. Proc.   Vol. 70 ( 1 ) page: 156-161   2017.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  71. Low-temperature crystallization of Ge-rich GeSn layers on Si3N4 substrate Reviewed

    I. Yoshikawa, M. Kurosawa, W. Takeuchi, M. Sakashita, O. Nakatsuka, and S. Zaima

    Mater. Sci. Semicond. Proc.   Vol. 70 ( 1 ) page: 151-155   2017.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  72. EXAFS study of local structure contributing to Sn stability in SiyGe1-y-zSnz Reviewed

    Y. Shimura, T. Asano, T. Yamaha, M. Fukuda, W. Takeuchi, O. Nakatsuka, and S. Zaima

    Mater. Sci. Semicond. Proc.   Vol. 70 ( 1 ) page: 133-138   2017.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  73. Electrical and optical properties improvement of GeSn layers formed at high temperature under well-controlled Sn migration Reviewed

    Taoka Noriyuki, Capellini Giovanni, Schlykow Viktoria, Montanari Michele, Zaumseil Peter, Nakatsuka Osamu, Zaima Shigeaki, Schroeder Thomas

    MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING   Vol. 70   page: 139 - 144   2017.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.mssp.2017.07.013

    Web of Science

  74. Sii(1-x)Ge(x) bulk single crystals for substrates of electronic devices Reviewed

    Kinoshita Kyoichi, Arai Yasutomo, Maeda Tatsuro, Nakatsuka Osamu

    MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING   Vol. 70   page: 12 - 16   2017.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.mssp.2016.10.012

    Web of Science

  75. Formation and characterization of Ge<sub>1-x-y</sub>Si<sub>x</sub>Sn<sub>y</sub>/Ge<sub>1-x</sub>Sn<sub>x</sub>/ Ge<sub>1-x-y</sub>Si<sub>x</sub>Sn<sub>y</sub> double heterostructures with strain-controlled Ge<sub>1-x-y</sub>S<sub>i</sub>xSn<sub>y</sub> layers Reviewed

    Fukuda, M; Yamaha, T; Asano, T; Fujinami, S; Shimura, Y; Kurosawa, M; Nakatsuka, O; Zaima, S

    MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING   Vol. 70   page: 156 - 161   2017.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.mssp.2016.10.024

    Web of Science

  76. Growth and Applications of Si1-xSnx Thin Films Invited Reviewed

    M. Kurosawa, O. Nakatsuka, and S. Zaima

    ECS Trans.   Vol. 8 ( 4 ) page: 253-258   2017.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  77. Control of Ge1-x-ySixSny layer lattice constant for energy band alignment in Ge1-xSnx/Ge1-x-ySixSny heterostructures Reviewed

    Fukuda Masahiro, Watanabe Kazuhiro, Sakashita Mitsuo, Kurosawa Masashi, Nakatsuka Osamu, Zaima Shigeaki

    SEMICONDUCTOR SCIENCE AND TECHNOLOGY   Vol. 32 ( 10 )   2017.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1361-6641/aa80ce

    Web of Science

  78. Selective epitaxial growth of Ge1-xSnx on Si by using metal-organic chemical vapor deposition Reviewed

    T. Washizu, S. Ike, Y. Inuzuka, W. Takeuchi, O. Nakatsuka, and S. Zaima

    J. Crystal Growth   Vol. 468   page: 614-619   2017.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  79. Evaluation of energy band offset of Si1-xSnx semiconductors by numerical calculation using density functional theory Reviewed

    Nagae Yuki, Kurosawa Masashi, Araidai Masaaki, Nakatsuka Osamu, Shiraishi Kenji, Zaima Shigeaki

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 56 ( 4 )   2017.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.04CR10

    Web of Science

  80. 4H-SiC MOSキャパシタのAlON絶縁膜のリーク電流特性に窒素結合状態が与える効果

    竹内和歌奈, 山本建策, 三村智博, 坂下満男, 中塚理, 財満鎭明

    特別研究会「電子デバイス界面テク ノロジー研究会―材料・プロセス・デバイス特性の物理―」 (第22回)     page: 127-130   2017.1

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  81. 水中パルスレーザアニールを用いた多結晶Ge1-xSnx層中Sbの高活性化

    高橋恒太, 黒澤昌志, 池上浩, 坂下満男, 中塚理, 財満鎭明

    特別研究会「電子デバイス界面テク ノロジー研究会―材料・プロセス・デバイス特性の物理―」 (第22回)     page: 67-70   2017.1

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  82. 金属/Ge接合へのSixGe1-x-ySny 界面層導入がショットキー障壁高さに及ぼす効果

    鈴木陽洋, 戸田祥太, 中塚理, 坂下満男, 財満鎭明

    特別研究会「電子デバイス界面テク ノロジー研究会―材料・プロセス・デバイス特性の物理―」 (第22回)     page: 63-66   2017.1

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  83. Hydrogen-surfactant-mediated epitaxy of Ge1-xSnx layer and its effect on crystalline and photoluminescence properties Reviewed

    O. Nakatsuka, S. Fujinami, T. Asano, T. Koyama, M. Kurosawa, M. Sakashita, H. Kishida, and S. Zaima

    Jpn. J. Appl. Phys.   Vol. 56 ( 1S ) page: 01AB05 (6 pages)   2017.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  84. Solid phase crystallization of Si1-x-ySnxCy ternary alloy layers and characterization of its crystalline and optical properties Reviewed

    S. Yano, T. Yamaha, Y. Shimura, W. Takeuchi, M. Sakashita, M. Kurosawa, O. Nakatsuka, and S. Zaima

    Jpn. J. Appl. Phys.   Vol. 56 ( 1S ) page: 01AB02 (7 pages)   2017.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  85. Electrical and optical properties improvement of GeSn layers formed at high temperature under well-controlled Sn migration Reviewed

    Taoka Noriyuki, Capellini Giovanni, Schlykow Viktoria, Montanari Michele, Zaumseil Peter, Nakatsuka Osamu, Zaima Shigeaki, Schroeder Thomas

    MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING   Vol. 57   page: 48 - 53   2017.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.mssp.2016.09.040

    Web of Science

  86. Hydrogen-surfactant-mediated epitaxy of Ge<sub>1-<i>x</i></sub>Sn<i><sub>x</sub></i> layer and its effects on crystalline quality and photoluminescence property Reviewed

    Nakatsuka, O; Fujinami, S; Asano, T; Koyama, T; Kurosawa, M; Sakashita, M; Kishida, H; Zaima, S

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 56 ( 1 )   2017.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.01AB05

    Web of Science

  87. Solid-phase crystallization of Si<sub>1<i>-x-y</i></sub>Sn<i><sub>x</sub></i>C<i><sub>y</sub></i> ternary alloy layers and characterization of their crystalline and optical properties Reviewed

    Yano, S; Yamaha, T; Shimura, Y; Takeuchi, W; Sakashita, M; Kurosawa, M; Nakatsuka, O; Zaima, S

    JAPANESE JOURNAL OF APPLIED PHYSICS   Vol. 56 ( 1 )   2017.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.56.01AB02

    Web of Science

  88. Electrical and Optical Properties Improvement of GeSn Layers Formed at High Temperature under Well-controlled Sn Migration Reviewed

    N. Taoka, G. Capellini, V. Schlykow, M. Montanari, P. Zaumseil, O. Nakatsuka, S. Zaima, and T. Schroeder

    Mater. Sci. Semicond. Proc.   Vol. 57   page: 48-53   2017

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  89. Development of in-situ Sb-Doped Ge1-xSnx Epitaxial Layers for Source/Drain Stressor of Strained Ge Transistors Reviewed

    Jeon Jihee, Suzuki Akihiro, Takahashi Kouta, Nakatsuka Osamu, Zaima Shigeaki

    2017 IEEE ELECTRON DEVICES TECHNOLOGY AND MANUFACTURING CONFERENCE (EDTM)     page: 249 - 251   2017

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Web of Science

  90. Analysis of Microscopic Strain and Crystalline Structure in Ge/Ge1-xSnx Fine Structures by Using Synchrotron X-ray Microdiffraction Reviewed

    S. Ike, O. Nakatsuka, Y. Inuzuka, T. Washizu, W. Takeuchi, Y. Imai, S. Kimura, and S. Zaima

    ECS Trans.   Vol. 75 ( 8 ) page: 769-775   2016.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  91. Influence of GeO2 deposition temperature by in atomic layer deposition on electrical properties of Ge gate stack+K12 Reviewed

    M. Kanematsu, S. Shibayama, M. Sakashita, W. Takeuchi, O. Nakatsuka, and S. Zaima

    Jpn. J. Appl. Phys.   Vol. 55 ( 8S2 ) page: 08PC05 (5 pages)   2016.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  92. Density functional study for crystalline structures and electronic properties of Si1-xSnx binary alloys Reviewed

    Y. Nagae, M. Kurosawa, S. Shibayama, M. Araidai, M. Sakashita, O. Nakatsuka, K. Shiraishi, and S. Zaima

    Jpn. J. Appl. Phys.   Vol. 55 ( 8S2 ) page: 08PE04 (4 pages)   2016.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  93. Ge基板上エピタキシャルGeSn膜の電気的活性な欠陥の評価

    金田裕一, 兼松正行, 坂下満男, 竹内和歌奈, 中塚理, 財満鎭明

    信学技報   Vol. 116 ( 118 ) page: 37-41   2016.6

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  94. Crystalline Structure of TiC Ultra Thin Layers Formed on Highly Oriented Pyrolytic Graphite by Chemical Reaction from Ti/Graphite System Reviewed

    O. Nakatsuka, K. Hisada, S. Oida, A. Sakai, and S. Zaima

    Jpn. J. Appl. Phys.   Vol. 55 ( 6S3 ) page: 06JE02 (4 pages)   2016.6

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  95. Sn系IV族半導体混晶薄膜の成長と物性評価 Invited

    志村洋介, 竹内和歌奈, 坂下満男, 黒澤昌志, 中塚理, 財満鎭明

    信学技報   Vol. 116 ( 1 ) page: 23-26   2016.4

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  96. Effect of in situ Sb doping on crystalline and electrical characteristics of n-type Ge1-xSnx epitaxial layer Reviewed

    J. Jeon, T. Asano, Y. Shimura, W. Takeuchi, M. Kurosawa, M. Sakashita, O. Nakatsuka, and S. Zaima

    Jpn. J. Appl. Phys.   Vol. 55 ( 4S ) page: 04EB13 (5 pages)   2016.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.55.04EB13

  97. Influence of Precursor Gas on SiGe Epitaxial Material Quality in Terms of Structural and Electrical Defects Reviewed

    S. Ike, E. Simoen, Y. Shimura, A. Hikavyy, W. Vandervorst, R. Loo, W. Takeuchi, O. Nakatsuka, and S. Zaima

    Jpn. J. Appl. Phys.   Vol. 55 ( 4S ) page: 04EJ11 (5 pages)   2016.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.55.04EJ11

  98. Effect of Nitridation for SiO2/SiC Interface on Defects Properties near Conduction Band Edge Reviewed

    W. Takeuchi, K. Yamamoto, N. Taoka, M.Sakashita, T. Kanemura, O. Nakatsuka, and S. Zaima

    Jpn. J. Appl. Phys.   Vol. 55 ( 4S ) page: 04ER13 (5 pages)   2016.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.55.04ER13

  99. Growth of ultra-high Sn content Ge1-xSnx epitaxial layer and its impact on controlling Schottky barrier height at metal/Ge interface Reviewed

    A. Suzuki, O. Nakatsuka, S. Shibayama, M. Sakashita, W. Takeuchi, M. Kurosawa, and S. Zaima

    Jpn. J. Appl. Phys.   Vol. 55 ( 4S ) page: 04EB12 (6 pages)   2016.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.55.04ER13

  100. Experimental observation of type-I energy band alignment in lattice matched Ge1-x-y SixSny/Ge heterostructures Reviewed

    T. Yamaha, S. Shibayama, T. Asano, K. Kato, M. Sakashita, W. Takeuchi, O. Nakatsuka, and S. Zaima

    Appl. Phys. Lett.   Vol. 108   page: 061909 (5 pages)   2016.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4941991

  101. Low thermal budget n-type doping into Ge(001) surface using ultraviolet laser irradiation in phosphoric acid solution Reviewed

    K. Takahashi, M. Kurosawa, H. Ikenoue, M. Sakashita, W. Takeuchi, O. Nakatsuka, and S. Zaima

    Appl. Phys. Lett.   Vol. 108   page: 052104 (4 pages)   2016.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4941236

  102. Defect and dislocation structures in low-temperature-grown Ge and Ge1-xSnxepitaxial layers on Si(110) substrates Reviewed

    S. Kidowaki, T. Asano, Y. Shimura, M. Kurosawa, N. Taoka, O. Nakatsuka, and S. Zaima

    Thin Solid Films   Vol. 598   page: 72-81   2016.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2015.11.048

  103. Characterization of crystallinity of Ge1-xSnx epitaxial layers grown using metal-organic chemical vapor deposition Reviewed

    Y. Inuzuka, S. Ike, T. Asano, W. Takeuchi, O. Nakatsuka, and S. Zaima

    Thin Solid Films   Vol. 602   page: 7-12   2016

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2015.10.043

  104. Characterization of Shallow- and Deep-Level Defects of Undoped Ge1-xSnx Epitaxial Layers by Electrical Measurements Reviewed

    W. Takeuchi, T. Asano, Y. Inuzuka, M. Sakashita, O. Nakatsuka, and S. Zaima

    ECS J. Solid State Sci. Tech.   Vol. 5 ( 4 ) page: P3082-P3086   2015.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/2.0151604jss

  105. Mobility Behavior of Si1-x-yGexSny Polycrystals Grown on Insulators Reviewed

    T. Ohmura, T. Yamaha, M. Kurosawa, W. Takeuchi, M. Sakashita, N. Taoka, O. Nakatsuka, and S. Zaima

    Trans. MRS-J   Vol. 40 ( 4 ) page: 351-354   2015.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  106. Reduction of Schottky barrier height at metal/n-Ge interface by introducing an ultra-high Sn content Ge1-xSnx interlayer Reviewed

    A. Suzuki, O. Nakatsuka, S. Shibayama, M. Sakashita, W. Takeuchi, M. Kurosawa, and S. Zaima

    Appl. Phys. Lett.   Vol. 107   page: 212103 (5 pages)   2015.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  107. Atom probe tomography study on Ge1-x-ySnxCy hetero-epitaxial film on Ge substrates Reviewed

    E. Kamiyama, K. Sueoka, K. Terasawa, T. Yamaha, O. Nakatsuka, S. Zaima, K. Izunome, K. Kashima, and H. Uchida

    Thin Solid Films   Vol. 592 ( A ) page: 54-58   2015.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  108. Characterization of crystallinity of Ge1-xSnx epitaxial layers grown using metal-organic chemical vapor deposition Reviewed

    Y. Inuzuka, S. Ikea, T. Asanoa, W. Takeuchi, O. Nakatsuka, and S. Zaima

    Thin Solid Films     page: in press   2015.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  109. Challenges of Energy Band Engineering with New Sn-Related Group IV Semiconductor Materials for Future Integrated Circuits Invited Reviewed

    S. Zaima, O. Nakatsuka, T. Yamaha, T. Asano, S. Ike, A. Suzuki, M. Kurosawa, W. Takeuchi, M. Sakashita

    ECS Trans.   Vol. 69 ( 10 ) page: 89-98   2015.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  110. Oxygen and germanium migration at low temperature influenced by the thermodynamic nature of the materials used in germanium metal-insulator-semiconductor structures Reviewed

    K. Kato, N. Taoka, M. Sakashita, O. Nakatsuka, and S. Zaima

    Appl. Phys. Lett.   Vol. 107   page: 102102 (5 pages)   2015.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  111. Effect of Sn on crystallinity and electronic property of low temperature grown polycrystalline-Si1-x-yGexSny layers on SiO2 Reviewed

    T. Yamaha, M. Kurosawa, T. Ohmura, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    Solid State Electronics   Vol. 110   page: 54-58   2015.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  112. Epitaxial formation of Ni germanide on Ge(001) substrate by reactive deposition Reviewed

    Y. Deng, O. Nakatsuka, A. Suzuki, M. Sakashita, and S. Zaima

    Solid State Electronics   Vol. 110   page: 44-48   2015.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  113. Epitaxial growth and crystalline properties of Ge1-x-ySixSny on Ge(001) substrates Reviewed

    T. Asano, T. Terashima, T. Yamaha, M. Kurosawa, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    Solid State Electronics   Vol. 110   page: 49-53   2015.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  114. Growth of Si1-x-ySnxCy ternary alloy layer on Si(001) substrate and characterization of its crystalline property Reviewed

    T. Yamaha, M. Kurosawa, W. Takeuchi, O. Nakatsuka, and S. Zaima

    Jpn. J. Appl. Phys.   Vol. 54 ( 8S1 ) page: 08KA11   2015.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  115. High-mobility tin-doped polycrystalline germanium layers formed on insulating substrates by low-temperature solid-phase crystallization Reviewed

    W. Takeuchi, N. Taoka, M. Kurosawa, M. Sakashita, O. Nakatsuka, and S. Zaima

    Appl. Phys. Lett.   Vol. 107   page: 022103 (4 pages)   2015.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  116. Ge1-xSnxエピタキシャル層中の欠陥へ及ぼす熱処理の効果

    浅野孝典, 柴山茂久, 竹内和歌奈, 坂下満男, 中塚理, 財満鎭明

    電子情報通信学会技術研究報告   Vol. 115 ( 108 ) page: 63-68   2015.6

     More details

    Language:Japanese  

  117. Epitaxial Ge1-xSnx layers grown by metal-organic chemical vapor deposition using Tertiary-butyl-germane and Tri-butyl-vinyl-tin Reviewed

    Y. Inuzuka, S. Ike, T. Asano, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    ECS Solid State Lett.   Vol. 4 ( 8 ) page: P1-P3   2015.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  118. SiO2/SiC MOS界面の欠陥特性に酸窒化処理が与える影響 Invited

    竹内和歌奈, 山本建策, 坂下満男, 金村髙司, 中塚理, 財満鎭明

    電子情報通信学会技術研究報告   Vol. 115 ( 108 ) page: 27-30   2015.6

     More details

    Language:Japanese  

  119. 金属/Ge界面への超高Sn組成SnxGe1-x層導入による界面電気伝導特性の制御

    鈴木陽洋, 柴山茂久, 坂下満男, 竹内和歌奈, 中塚理, 財満鎭明

    電子情報通信学会技術研究報告   Vol. 115 ( 108 ) page: 57-61   2015.6

     More details

    Language:Japanese  

  120. Characterization of locally strained Ge1-xSnx/Ge fine structures by synchrotron X-ray microdiffraction Reviewed

    S. Ike, O. Nakatsuka, Y. Moriyama, M. Kurosawa, N. Taoka, Y. Imai, S. Kimura, T. Tezuka, and S. Zaima

    Appl. Phys. Lett.   Vol. 106   page: 182104 (5 pages)   2015.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  121. 高Sn組成SiSnの形成とバンド構造 ~ 直接遷移構造化を目指して ~ Invited

    黒澤昌志, 竹内和歌奈, 坂下満男, 中塚理, 財満鎭明

    電子情報通信学会技術研究報告   Vol. 115 ( 18 ) page: 35-37   2015.4

     More details

    Language:Japanese  

  122. Near-infrared light absorption by polycrystalline SiSn alloys grown on insulating layers Reviewed

    M. Kurosawa, M. Kato, T. Yamaha, N. Taoka, O. Nakatsuka, and S. Zaima

    Appl. Phys. Lett.   Vol. 106   page: 171908 (5 pages)   2015.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  123. Influence of Interface Structure on Electrical Properties of NiGe/Ge Contacts Reviewed

    Y. Deng, O. Nakatsuka, M. Sakashita, and S. Zaima

    Jpn. J. Appl. Phys.   Vol. 54 ( 5S ) page: 05EA01 (6 pages)   2015.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  124. Impact of Hydrogen Surfactant on Crystallinity of Ge1-xSnx Epitaxial Layers Reviewed

    T. Asano, N. Taoka, K. Hozaki, W. Takeuchi, M. Sakashita, O. Nakatsuka, and S. Zaima

    Jpn. J. Appl. Phys.   Vol. 54 ( 4S ) page: 04DH15 (4 pages)   2015.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  125. エピタキシャル金属/ゲルマニウム接合の形成による界面電気伝導特性の制御 Invited

    中塚理, 鄧云生, 鈴木陽洋, 坂下満男, 田岡紀之, 財満鎭明

    電子情報通信学会技術研究報告   Vol. 114 ( 469 ) page: 17-22   2015.3

     More details

    Language:Japanese  

  126. Formation, crystalline structure, and optical properties of Ge1-x-ySnxCy ternary alloy layers Reviewed

    T. Yamaha, K. Terasawa, H. Oda, M. Kurosawa, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    Jpn. J. Appl. Phys   Vol. 54 ( 4S ) page: 04DH08 (6 pages)   2015.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  127. Formation of chemically stable GeO2 on the Ge surface with pulsed metal-organic chemical vapor deposition Reviewed

    S. Shibayama, T. Yoshida, K. Kato, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    Appl. Phys. Lett.   Vol. 106   page: 062107 (4 pages)   2015.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  128. Non-uniform depth distributions of Sn concentration induced by Sn migration and desorption during GeSnSi layer formation Reviewed

    N. Taoka, T. Asano, T. Yamaha, T. Terashima, O. Nakatsuka, I. Costina, P. Zaumseil, G. Capellini, S. Zaima, and T. Schroeder

    Appl. Phys. Lett.   Vol. 106   page: 061107 (5 pages)   2015.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  129. GeO2薄膜の正方晶形成による化学的安定性の向上

    柴山茂久, 吉田鉄兵, 加藤公彦, 坂下満男, 竹内和歌奈, 田岡紀之, 中塚理, 財満鎭明

    特別研究会 「ゲートスタック研究会 ─材料・プロセス・評価の物理─」(第20回研究会)     page: 185-188   2015.1

     More details

    Language:Japanese  

  130. Sn/Geコンタクトにおけるフェルミレベルピニングの軽減およびショットキー障壁高さの低減

    鈴木陽洋, 鄧云生, 柴山茂久, 黒澤昌志, 坂下満男, 竹内和歌奈, 中塚理, 財満鎭明

    特別研究会 「ゲートスタック研究会 ─材料・プロセス・評価の物理─」(第20回研究会)     page: 59-62   2015.1

     More details

    Language:Japanese  

  131. Formation of high-quality oxide/Ge1-xSnx interface with high surface Sn content by controlling Sn migration Reviewed

    K. Kato, N. Taoka, T. Asano, T. Yoshida, M. Sakashita, O. Nakatsuka, and S. Zaima

    Appl. Phys. Lett.   Vol. 105   page: 122103 (5 pages)   2014.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4896146

  132. Robustness of Sn precipitation during thermal oxidation of Ge1-xSnx on Ge(001) Reviewed

    K. Kato, T. Asano, N. Taoka, M. Sakashita, W. Takeuchi, O. Nakatsuka, and S. Zaima

    Jpn. J. Appl. Phys.   Vol. 53 ( 8S1 ) page: 08LD04 (8 pages)   2014.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.53.08LD04

  133. Interface properties of Al2O3/Ge structures with thin Ge oxide interfacial layer formed by pulsed metal organic chemical vapor deposition Reviewed

    T. Yoshida, K. Kato, S. Shibayama, M. Sakashita, N. Taoka, W. Takeuchi, O. Nakatsuka, and S. Zaima

    Jpn. J. Appl. Phys.   Vol. 53 ( 8S1 ) page: 08LD03 (6 pages)   2014.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.53.08LD03

  134. Importance of Ge surface oxidation with high oxidation rate in obtaining low interface state density at oxide/Ge interfaces Reviewed

    S. Shibayama, K. Kato, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    Jpn. J. Appl. Phys.   Vol. 53 ( 8S1 ) page: 08LD02 (6 pages)   2014.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.53.08LD02

  135. 多層セル型太陽電池用IV族多元系混晶の結晶成長と界面構造制御 Invited Reviewed

    中塚理, 財満鎭明

    日本結晶成長学会誌   Vol. 41 ( 2 ) page: 74-80   2014.7

     More details

    Authorship:Lead author   Language:Japanese  

  136. [依頼講演] “絶縁膜上におけるIV族半導体多結晶薄膜の低温形成 ~ 低融点Snの活用 ~ Invited

    黒澤昌志, 田岡紀之, 池上浩, 竹内和歌奈, 坂下満男, 中塚理, 財満鎭明

    電子情報通信学会技術研究報告   Vol. 114 ( 88 ) page: 91-95   2014.6

     More details

    Language:Japanese  

  137. Sn/Geコンタクトにおけるフェルミレベルピニング現象の軽減

    鈴木陽洋, 朝羽俊介, 横井淳, 黒澤昌志, 加藤公彦, 坂下満男, 田岡紀之, 中塚理, 財満鎭明

    電子情報通信学会技術研究報告   Vol. 114 ( 88 ) page: 11-16   2014.6

     More details

    Language:Japanese  

  138. Ge1-xSnxエピタキシャル成長における積層欠陥構造の制御

    浅野孝典, 田岡紀之, 中塚理, 財満鎭明

    電子情報通信学会技術研究報告   Vol. 114 ( 88 ) page: 21-25   2014.6

     More details

    Language:Japanese  

  139. n型Ge単結晶中におけるSnと空孔関連欠陥との相互作用

    竹内和歌奈, 田岡紀之, 坂下満男, 中塚理, 財満鎭明

    電子情報通信学会技術研究報告   Vol. 114 ( 57 ) page: 113-118   2014.5

     More details

    Language:Japanese  

  140. Band alignment at interfaces of amorphous Al2O3 with Ge1-xSnx- and strained Ge-based channels Reviewed

    H.-Y. Chou, V. V. Afanas'ev, M. Houssa, A. Stesmans, B. Vincent, F. Gencarelli, Y. Shimura, C. Merckling, R. Loo, O. Nakatsuka, and S. Zaima

    Appl. Phys. Lett.   Vol. 104   page: 202107 (5 pages)   2014.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4878558

  141. Formation of high-quality Ge1-xSnx layer on Ge(110) substrate with strain-induced confinement of stacking faults at Ge1-xSnx/Ge interfaces Reviewed

    T. Asano, N. Taoka, O. Nakatsuka and S. Zaima

    Appl. Phys. Express   Vol. 7 ( 6 ) page: 061301 (3 pages)   2014.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/APEX.7.061301

  142. Interaction of Sn atoms with defects introduced by ion implantation in Ge substrate Reviewed

    N. Taoka, M. Fukudome, W. Takeuchi, T. Arahira, M. Sakashita, O. Nakatsuka, and S. Zaima

    J. Appl. Phys.   Vol. 115   page: 173102 (7 pages).   2014.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4874800

  143. Impacts of AlGeO formation by post thermal oxidation of Al2O3/Ge structure on interfacial properties Reviewed

    S. Shibayama, K. Kato, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    Thin Solid Films   Vol. 557   page: 282–287   2014.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2013.10.084

  144. Epitaxial formation and electrical properties of Ni germanide/Ge(110) contacts Reviewed

    Y. S. Deng, O. Nakatsuka, J. Yokoi, N. Taoka, and S. Zaima

    Thin Solid Films   Vol. 557   page: 84-89   2014.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2013.10.017

  145. Characterization of Crystalline Structures of SiGe Substrate Formed by Traveling Liquidus-Zone Method for Devices with Ge/SiGe Reviewed

    T. Yamaha, O. Nakatsuka, N. Taoka, K. Kinoshita, S. Yoda, and S. Zaima

    Thin Solid Films   Vol. 557   page: 129-134   2014.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2013.10.097

  146. Influence of Ge Substrate Orientation on Crystalline Structures of Ge1-xSnx Epitaxial Layers Reviewed

    T. Asano, S. Kidowaki, M. Kurosawa, N. Taoka, O. Nakatsuka, and S. Zaima

    Thin Solid Films   Vol. 557   page: 159–163   2014.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2013.10.087

  147. Formation and characterization of locally strained Ge1-xSnx/Ge microstructures Reviewed

    S. Ike, Y. Moriyama, M. Kurosawa, N. Taoka, O. Nakatsuka, Y. Imai, S. Kimura, T. Tezuka, and S. Zaima

    Thin Solid Films   Vol. 557   page: 164–168   2014.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2013.08.126

  148. Analysis for positions of Sn atoms in epitaxial Ge1-xSnx film in low temperature depositions Reviewed

    E. Kamiyama, K. Sueoka, O. Nakatsuka, N. Taoka, and S. Zaima, K. Izunome, K. Kashima

    Thin Solid Films   Vol. 557   page: 173–176   2014.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2013.10.070

  149. Importance of Control of Oxidant Partial Pressure on Structural and Electrical Properties of Pr-oxide Films Reviewed

    K. Kato, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    Thin Solid Films   Vol. 557   page: 276–281   2014.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2013.10.088

  150. Stabilized formation of tetragonal ZrO2 thin film with high permittivity Reviewed

    K. Kato, T. Saito, S. Shibayama, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    Thin Solid Films   Vol. 557   page: 192–196   2014.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2014.01.031

  151. Formation and crystalline structure of Ni silicides on Si(110) substrate Reviewed

    O. Nakatsuka, M. Hasegawa, K. Kato, N. Taoka, and S. Zaima

    Jpn. J. Appl. Phys.   Vol. 53 ( 5S2 ) page: 05GA12 (5 pages)   2014.4

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.53.05GA12

  152. Observation of lattice spacing fluctuation and strain undulation around through-Si vias in wafer-on-wafer structures using X-ray microbeam diffraction Reviewed

    N. Taoka, O. Nakatsuka, Y. Mizushima, H. Kitada, Y. S. Kim, T. Nakamura, T. Ohba, and S. Zaima

    Jpn. J. Appl. Phys.   Vol. 53 ( 5S2 ) page: 05GE03 (6 pages)   2014.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.53.05GE03

  153. Effect of thermal cleaning on formation of epitaxial Ni germanide layer on Ge(110) substrate Reviewed

    Y. Deng, O. Nakatsuka, N. Taoka, and S. Zaima

    Jpn. J. Appl. Phys.   Vol. 53 ( 5S2 ) page: 05GA06 (6 pages)   2014.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.53.05GA06

  154. Reduction of Schottky barrier height for n-type Ge contact by using Sn electrode Reviewed

    A. Suzuki, S. Asaba, J. Yokoi, K. Kato, M. Kurosawa, M. Sakashita, N. Taoka, O. Nakatsuka, and S. Zaima

    Jpn. J. Appl. Phys.   Vol. 53 ( 4S ) page: 04EA06 (6 pages)   2014.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.53.04EA06

  155. Large grain growth of Ge-rich Ge1-x Snx (x~0.02) on insulating surfaces using pulsed laser annealing in flowing water Reviewed

    M. Kurosawa, N. Taoka, H. Ikenoue, O. Nakatsuka, and S. Zaima

    Appl. Phys. Lett.   Vol. 104   page: 061901 (3 pages)   2014.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4864627

  156. Defects Induced by Reactive Ion Etching in Ge Substrate Reviewed

    Kusumandari, N. Taoka, W. Takeuchi, M. Sakashita, O. Nakatsuka, S. Zaima

    Advanced Materials Research   Vol. 896   page: 241-244   2014.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.4028/www.scientific.net/AMR.896.241

  157. Al2O3/SiC MOS構造における伝導帯端近傍の電気特性

    田岡紀之, 坂下満男, 中塚理, 財満鎭明

    特別研究会 「ゲートスタック研究会 ─材料・プロセス・評価の物理─」(第19回研究会)     page: 205-208   2014.1

     More details

    Language:Japanese  

  158. 低界面準位密度を有するGe MOS構造を実現するGe表面の酸化条件

    柴山茂久, 加藤公彦, 坂下満男, 竹内和歌奈, 田岡紀之, 中塚理,財満鎭明

    特別研究会 「ゲートスタック研究会 ─材料・プロセス・評価の物理─」(第19回研究会)     page: 13-16   2014.1

     More details

    Language:Japanese  

  159. 固溶限を超えるSn組成を有するGe1-xSnx層中におけるSn原子の熱安定性

    加藤公彦, 浅野孝典, 田岡紀之, 坂下満男, 竹内和歌奈, 中塚理, 財満鎭明

    特別研究会 「ゲートスタック研究会 ─材料・プロセス・評価の物理─」(第19回研究会)     page: 37-40   2014.1

     More details

    Language:Japanese  

  160. MOCVD法により形成した極薄GeO2を用いた Al2O3/GeOx/Ge 構造の電気的特性および構造評価

    吉田鉄兵, 加藤公彦, 柴山茂久, 坂下満男, 田岡紀之, 竹内和歌奈, 中塚理, 財満鎭明

    特別研究会 「ゲートスタック研究会 ─材料・プロセス・評価の物理─」(第19回研究会)     page: 131-134   2014.1

     More details

    Language:Japanese  

  161. Effect of Sn atoms on incorporation of vacancies in epitaxial Ge1-xSnx film grown at low temperature Reviewed

    E. Kamiyama, S. Nakagawa, K Sueoka, T. Ohmura, T. Asano, O. Nakatsuka, N. Taoka, S. Zaima, K. Izunome, and K. Kashima

    Appl. Phys. Express   Vol. 7 ( 2 ) page: 021302 (3 pages)   2014.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/APEX.7.021302

  162. Al2O3/SiC MOS構造における伝導帯端近傍の電気特性

    田岡紀之, 坂下満男, 中塚理, 財満鎭明

    特別研究会 「ゲートスタック研究会 ─材料・プロセス・評価の物理─」(第18回研究会)     page: 205-208   2014.1

     More details

    Language:Japanese  

  163. Al2O3/Ge構造に対する熱酸化機構の解明

    柴山茂久, 加藤公彦, 坂下満男, 竹内和歌奈, 田岡紀之, 中塚理, 財満鎭明

    特別研究会 「ゲートスタック研究会 ─材料・プロセス・評価の物理─」(第18回研究会)     page: 39-42   2014.1

     More details

    Language:Japanese  

  164. テトラエトキシゲルマニウムを用いた極薄Ge酸化膜の形成

    吉田鉄兵, 加藤公彦, 柴山茂久, 坂下満男, 竹内和歌奈, 田岡紀之, 中塚理, 財満鎭明

    特別研究会 「ゲートスタック研究会 ─材料・プロセス・評価の物理─」(第18回研究会)     page: 151-154   2014.1

     More details

    Language:Japanese  

  165. Pr 酸化膜/Ge構造におけるゲート金属が界面反応に与える影響

    加藤公彦, 坂下満男, 竹内和歌奈, 田岡紀之, 中塚理, 財満鎭明

    特別研究会 「ゲートスタック研究会 ─材料・プロセス・評価の物理─」(第18回研究会)     page: 155-158   2014.1

     More details

    Language:Japanese  

  166. Reduction of Interface States Density Due to Post Oxidation with Formation of AlGeO Layer at Al2O3/Ge Interface Reviewed

    S. Shibayama, K. Kato, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    ECS Trans.   Vol. 58 ( 9 ) page: 301-308   2013.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/05809.0301ecst

  167. Heteroepitaxial Growth of Sn-Related Group-IV Materials on Si Platform for Microelectronic and Optoelectronic Applications: Challenges and Opportunities Invited Reviewed

    O. Nakatsuka, N. Taoka, T. Asano, T. Yamaha, M. Kurosawa, M. Sakashita, and S. Zaima

    ECS Trans.   Vol. 58 ( 9 ) page: 149-155   2013.10

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/05809.0149ecst

  168. Characterization of Local Strain Structures in Heteroepitaxial Ge1-xSnx/Ge Microstructures by Using Microdiffraction Method Reviewed

    S. Ike, Y. Moriyama, M. Kurosawa, N. Taoka, O. Nakatsuka, Y. Imai, S. Kimura, T. Tezuka, and S. Zaima,

    ECS Trans.   Vol. 58 ( 9 ) page: 185-192   2013.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/05809.0185ecst

  169. Liquid-Sn-driven lateral growth of poly-GeSn on insulator assisted by surface oxide layer Reviewed

    M. Kurosawa, N. Taoka, M. Sakashita, O. Nakatsuka, M. Miyao, and S. Zaima

    Appl. Phys. Lett.   Vol. 103   page: 101904 (4 pages)   2013.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: http://dx.doi.org/10.1063/1.4820405

  170. Understanding of interface structures and reaction mechanisms induced by Ge or GeO diffusion in Al2O3/Ge structure Reviewed

    S. Shibayama, K. Kato, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    Appl. Phys. Lett.   Vol. 103   page: 082114 (4 pages)   2013.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4819127

  171. Broad defect depth distribution in germanium substrates induced by CF4 plasma Reviewed

    Kusumandari, N. Taoka, W. Takeuchi, M. Fukudome, M. Sakashita, O. Nakatsuka, and S. Zaima

    Appl. Phys. Lett.   Vol. 103   page: 033511 (4 pages)   2013.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.4815925

  172. Development of epitaxial growth technology for Ge1-xSnx alloy and study of its properties for Ge nanoelectronics Invited Reviewed

    O. Nakatsuka, Y. Shimura, W. Takeuchi, N. Taoka, and S. Zaima

    Solid-State Electron.   Vol. 83   page: 82-86   2013.5

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.sse.2013.01.040

  173. Epitaxial growth and anisotropic strain relaxation of Ge1-xSnx layers on Ge(1 1 0) substrates

    T. Asano, Y. Shimura, O. Nakatsuka, and S. Zaima

    Solid-State Electron.   Vol. 83   page: 71-75   2013.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.sse.2013.01.039

  174. Effect of gate metal on chemical bonding state in metal/Pr-oxide/Ge gate stack structure Reviewed

    K. Kato, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    Solid-State Electron.   Vol. 83   page: 56-60   2013.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.sse.2013.01.029

  175. Interfacial Reaction Mechanism in Al2O3/Ge Structure by Oxygen Radical

    K. Kato, S. Shibayama, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    Jpn. J. Appl. Phys.   Vol. 52   page: 04CA08 (7 pages)   2013.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.04CA08

  176. Influence of Sn Incorporation and Growth Temperature on Crystallinity of Ge1-xSnx Layers Heteroepitaxially Grown on Ge(110) Substrates Reviewed

    T. Asano, Y. Shimura, O. Nakatsuka, and S. Zaima

    Thin Solid Films   Vol. 531   page: 504-508   2013.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: http://dx.doi.org/10.1016/j.tsf.2012.12.063

  177. Suppressive Effect of Interface Reaction and Water Absorption by Al Incorporation into Pr-oxide Film Reviewed

    W. Takeuchi, K. Furuta, K .Kato, M. Sakashita, H. Kondo, O. Nakatsuka, and S. Zaima

    J. Phys.: Conf. Ser.   Vol. 417   page: 012017 (6 pages)   2013.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: http://dx.doi.org/10.1088/1742-6596/417/1/012017

  178. Effect of Interfacial Reactions in Radical Process on Electrical Properties of Al2O3/Ge Gate Stack Structure Reviewed

    K. Kato, M. Sakashita, W. Takeuchi, O. Nakatsuka, and S. Zaima

    J. Phys.: Conf. Ser.   Vol. 417   page: 012001   2013.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1088/1742-6596/417/1/012001

  179. Effects of Light Exposure during Plasma Processing on Electrical Properties of GeO2/Ge Structures Reviewed

    Kusumandari, W. Takeuchi, K. Kato, S. Shibayama, M. Sakashita, N. Taoka, O. Nakatsuka, and S. Zaima

    Jpn. J. Appl. Phys.   Vol. 52   page: 01AC04   2013.1

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.7567/JJAP.52.01AC04

  180. Al2O3/Ge構造に対する熱酸化機構の解明

    柴山茂久, 加藤公彦, 坂下満男, 竹内和歌奈, 田岡紀之, 中塚理, 財満鎭明

    特別研究会 「ゲートスタック研究会 ─材料・プロセス・評価の物理─」(第18回研究会)     page: 39-42   2013.1

     More details

    Language:Japanese  

  181. Pr 酸化膜/Ge構造におけるゲート金属が界面反応に与える影響

    加藤公彦, 坂下満男, 竹内和歌奈, 田岡紀之, 中塚理, 財満鎭明

    特別研究会 「ゲートスタック研究会 ─材料・プロセス・評価の物理─」(第18回研究会)     page: 155-158   2013.1

     More details

    Language:Japanese  

  182. テ トラエトキシゲルマニウムを用いた極薄Ge酸化膜の形成

    吉田鉄兵, 加藤公彦, 柴山茂久, 坂下満男, 竹内和歌奈, 田岡紀之, 中塚理, 財満鎭明

    特別研究会 「ゲートスタック研究会 ─材料・プロセス・評価の物理─」(第18回研究会)     page: 151-154   2013.1

     More details

    Language:Japanese  

  183. Effect of atomic deuterium irradiation on initial growth of Sn and Ge1-xSnx on Ge(0 0 1) substrates Reviewed

    T. Shinoda, O. Nakatsuka, Y. Shimura, S. Takeuchi, and S. Zaima

    Appl. Surf. Sci.   Vol. 259 ( 15 ) page: 754-757   2012.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.apsusc.2012.07.116

  184. Growth and Characterization of Heteroepitaxial Layers of Ge1-x-ySixSny Ternary Alloy Reviewed

    T. Yamaha, O. Nakatsuka, S. Takeuchi, W. Takeuchi, N. Taoka, K. Araki, K. Izunome, and S. Zaima

    ECS Trans.   Vol. 50 ( 9 ) page: 907-913   2012.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  185. Growth and Optical Properties of Ge1-xSnx Alloy Thin Films with a High Sn Content Invited Reviewed

    S. Zaima, O. Nakatsuka, M. Nakamura, W. Takeuchi, Y. Shimura, and N. Taoka

    ECS Trans.   Vol. 50 ( 9 ) page: 897-902   2012.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/05009.0897ecst

  186. Growth of Ge1-xSnx heteroepitaxial layers with very high Sn contents on InP(001) substrates Reviewed

    M. Nakamura, Y. Shimura, S. Takeuchi, O. Nakatsuka, and S. Zaima

    Thin Solid Films   Vol. 520 ( 8 ) page: 3201–3205   2012.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2011.10.153

  187. Homogeneous Si0.5Ge0.5 bulk crystal growth as substrates for strained Ge thin films by the traveling liquidus-zone method Reviewed

    K. Kinoshita, O. Nakatsuka, S. Yoda, and S. Zaima

    Thin Solid Films   Vol. 520 ( 8 ) page: 3279–3282   2012.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2011.08.047

  188. Improvement of Al2O3/Ge interfacial properties by O2-annealing Reviewed

    S. Shibayama, K. Kato, M. Sakashita, W. Takeuchi, O. Nakatsuka, and S. Zaima

    Thin Solid Films   Vol. 520 ( 8 ) page: 3397–3401   2012.2

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2011.10.103

  189. Low temperature formation of Si1-x-yGexSny-on-insulator structures by using solid-phase mixing of Ge1-zSnz/Si-on-insulator substrates Reviewed

    O. Nakatsuka, M. Mochizuki, Y. Shimura, T. Yamaha, and S. Zaima

    Thin Solid Films   Vol. 520 ( 8 ) page: 3288–3292   2012.2

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2011.10.120

  190. Homogeneous Si0.5Ge0.5 bulk crystal growth as substrates for strained Ge thin films by the traveling liquidus-zone method Reviewed

    K. Kinoshita, O. Nakatsuka, S. Yoda, and S. Zaima

    Thin Solid Films   Vol. 520 ( 8 ) page: 3279–3282   2012.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2011.08.047

  191. In-situ Ga doping of fully strained Ge1-xSnx heteroepitaxial layers grown on Ge(001) substrates Reviewed

    Y. Shimura, S. Takeuchi, O. Nakatsuka, B. Vincent, F. Gencarelli, T. Clarysse, W. Vandervorst, M. Caymax, R. Loo, A. Jensen, D.H. Petersen, and S. Zaima

    Thin Solid Films   Vol. 520 ( 8 ) page: 3206–3210   2012.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.tsf.2011.10.084

  192. Crystallinity Improvement of Epitaxial Ge Grown on a Ge(110) Substrate by Incorporation of Sn Reviewed

    Y. Shimura, T. Asano, O. Nakatsuka, and S. Zaima

    Appl. Phys. Express   Vol. 5   page: 015501   2012.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/APEX.5.015501

  193. Characterization of Damage of Al2O3/Ge Gate Stack Structure Induced with Light Radiation during Plasma Nitridation Reviewed

    Kusumandari, W.Takeuchi, K. Kato, S. Shibayama, M. Sakashita, O. Nakatsuka, and S. Zaima

    Jpn. J. Appl. Phys.   Vol. 51   page: 01AJ01   2012.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.51.01AJ01

  194. Control of Interfacial Properties of Al2O3/Ge Gate Stack Structure using Radical Nitridation Technique Reviewed

    K. Kato, S. Kyogoku, M. Sakashita, W. Takeuchi, H. Kondo, S. Takeuchi, O. Nakatsuka, and S. Zaima

    Jpn. J. Appl. Phys.   Vol. 50 ( 10 ) page: 10PE02 (7 pages)   2011.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.50.10PE02

  195. Structural Analysis of Si-Based Nanodot Arrays Self-Organized by Selective Etching of SiGe/Si Films Reviewed

    M. Takahashi, Y. Nakamura, J. Kikkawa, O. Nakatsuka, S. Zaima, and Akira Sakai

    Jpn. J. Appl. Phys.   Vol. 50 ( 8 ) page: 08LB11 (4 pages)   2011.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.50.08LB11

  196. Formation of Ni(Ge1-xSnx) Layers with Solid-Phase Reaction in Ni/Ge1-xSnx/Ge Systems Reviewed

    T. Nishimura, Y. Shimura, S. Takeuchi, B. Vincent, A. Vantomme, J. Dekoster, M. Caymax, R. Loo, O. Nakatsuka, and S. Zaima

    Solid-State Electronics   Vol. 60 ( 1 ) page: 46-52   2011.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.sse.2011.01.025

  197. Control of Strain Relaxation Behavior of Ge1-xSnx Layers Reviewed

    Y. Shimura, S. Takeuchi, N. Tsutsui, O. Nakatsuka, A. Sakai, and S. Zaima

    Solid-State Electronics   Vol. 60 ( 1 ) page: 84-88   2011.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.sse.2011.01.023

  198. Control of Interfacial Properties of Pr-oxide/Ge Gate Stack Structure by Introduction of Nitrogen Reviewed

    K. Kato, H. Kondo, M. Sakashita, O. Nakatsuka, and S. Zaima

    Solid-State Electronics   Vol. 60 ( 1 ) page: 70-74   2011.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.sse.2011.01.029

  199. Ge1-xSnx stressors for strained-Ge CMOS Invited Reviewed

    S. Takeuchi, Y. Shimura, T. Nishimura, B. Vincent, G. Eneman, T. Clarysse, A. Vantomme, J. Dekoster, M. Caymax, R. Loo, O. Nakatsuka, and S. Zaima

    Solid-State Electronics   Vol. 60 ( 1 ) page: 53-57   2011.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.sse.2011.01.022

  200. Crystalline orientation dependence of electrical properties of Mn Germanide/Ge(1 1 1) and (0 0 1) Schottky contacts Reviewed

    T. Nishimura, O. Nakatsuka, S. Akimoto, W. Takeuchi, and S. Zaima

    Microelectron. Eng.   Vol. 88 ( 5 ) page: 605-609   2011.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.mee.2010.08.014

  201. Characterization of Local Strain around Through-Silicon Via Interconnects by using X-ray Microdiffraction Reviewed

    O. Nakatsuka, H. Kitada, Y. S. Kim, Y. Mizushima, T. Nakamura, T. Ohba, and S. Zaima

    pn. J. Appl. Phys.   Vol. 50   page: 05ED03   2011.5

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.50.05ED03

  202. Formation of Palladium Silicide Thin Layers on Si(110) Substrates Reviewed

    R. Suryana, O. Nakatsuka, and S. Zaima

    Jpn. J. Appl. Phys.   Vol. 50   page: 05EA09   2011.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.50.05EA09

  203. Molecular beam deposition of Al2O3 on p-Ge(001)/Ge0.95Sn0.05 heterostructure and impact of a Ge-cap interfacial layer Reviewed

    C. Merckling, X. Sun, Y. Shimura, A. Franquet, B. Vincent, S. Takeuchi, W. Vandervorst, O. Nakatsuka, S. Zaima, R. Loo, and M. Caymax

    Appl. Phys. Lett.   Vol. 98   page: 192110   2011.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1063/1.3589992

  204. Characterization of GeSn materials for future Ge pMOSFETs source/drain stressors Reviewed

    B. Vincent, Y. Shimura, S. Takeuchi, T. Nishimura, G. Eneman, A. Firrincieli, J. Demeulemeester, A. Vantomme, T. Clarysse, O. Nakatsuka, S. Zaima, J. Dekoster, M. Caymax, and R. Loo

    ,Microelectron. Eng.   Vol. 88 ( 4 ) page: 342-346   2011.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1016/j.mee.2010.10.025

  205. Analysis of Local Leakage Current of Pr Oxide Thin Films with Conductive Atomic Force Microscopy Reviewed

    M. Adachi, M. Sakashita, H. Kondo, W. Takeuchi, O. Nakatsuka, and S. Zaima

    Jpn. J. Appl. Phys.   Vol. 50   page: 04DA08   2011.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.50.04DA08

  206. Effect of Pr Valence State on Interfacial Structure and Electrical Properties of Pr-oxide/PrON/Ge Gate Stack Structure Reviewed

    K. Kato, M. Sakashita, W. Takeuchi, H. Kondo, O. Nakatsuka, and S. Zaima

    Jpn. J. Appl. Phys.   Vol. 50   page: 04DA17   2011.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1143/JJAP.50.04DA17

  207. Pr酸化膜/Si構造へのAl導入による界面反応抑制効果

    古田和也, 竹内和歌奈, 加藤公彦, 坂下満男, 近藤博基, 中塚理, 財満鎭明

    特別研究会研究報告“ゲートスタック研究会 -材料・プロセス・評価の物理-”(第16回研究会     page: 51-54   2011.1

     More details

    Language:Japanese  

  208. 電流検出型原子間力顕微鏡を 用いた欠陥に起因するPr酸化膜のリーク電流機構の解明

    足立正樹, 加藤雄三, 坂下満男, 竹内和歌奈, 近藤博基, 中塚理, 財満鎭明

    特別研究会研究報告“ゲートスタック研究会 -材料・プロセス・評価の物理-”(第16回研究会     page: 123-126   2011.1

     More details

    Language:Japanese  

  209. Pr酸化膜/PrON/Ge構造におけるPrの化学結合状態が電気的特性に及ぼす影響

    加藤公彦, 坂下満男, 竹内和歌奈, 近藤博基, 中塚理, 財満鎭明

    特別研究会研究報告“ゲートスタック研究会 -材料・プロセス・評価の物理-”(第16回研究会     page: 99-102   2011.1

     More details

    Language:Japanese  

  210. Al2O3界 面層およびラジカル窒化法によるHigh-k/Ge界面構造および電気的特性の制御

    加藤公彦, 京極真也, 坂下満男, 竹内和歌奈, 近藤博基, 中塚理, 財満鎭明

    特別研究会研究報告“ゲートスタック研究会 -材料・プロセス・評価の物理-”(第16回研究会     page: 55-58   2011.1

     More details

    Language:Japanese  

  211. GeSn Technology: Impact of Sn on Ge CMOS Applications Invited Reviewed

    S. Zaima, O. Nakatsuka, Y. Shimura, S. Takeuchi, B. Vincent, F. Gencarelli, T. Clarysse, J. Demeulemeester, K. Temst, A. Vantomme, M. Caymax, and R. Loo

    ECS Trans.   Vol. 41 ( 7 ) page: 231-238   2011

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1149/1.3633303

  212. Low temperature growth of Ge1-xSnx buffer layers for tensile-strained Ge layers Reviewed

    Y. Shimura, N. Tsutsui, O. Nakatsuka, A. Sakai, S. Zaima

    Thin Solid Films   Vol. 518 ( 6 ) page: S2-S5   2010

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  213. Formation of Palladium Silicide on Heavily Doped Si(001) Substrates Using Ti Intermediate Layer Reviewed

    R. Suruyana, O. Nakatsuka, S. Zaima

    Jpn. J. Appl. Phys.   Vol. 49   page: 05FA09 (5 pages)   2010

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  214. Mobility Behavior of Ge1-xSnx Layers Grown on Silicon-on-Insulator Substrates Reviewed

    O. Nakatsuka, N. Tsutsui, Y. Shimura, S. Takeuchi, A. Sakai, S. Zaima

    Jpn. J. Appl. Phys.   Vol. 49   page: 04DA10 (4 pages)   2010

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  215. Structural change of direct silicon bonding substrates by interfacial oxide out-diffusion annealing Reviewed

    T. Kato, Y. Nakamura, J. Kikkawa, A. Sakai, E. Toyoda, K. Izunome, O. Nakatsuka, S. Zaima, Y. Imai, S. Kimura, O. Sakata

    Thin Solid Films   Vol. 518 ( 6 ) page: S147-W150   2010

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  216. Novel Method to Introduce Uniaxial Tensile Strain in Ge by Microfabrication of Ge/Si1-xGex Structures on Si(001) Substrates Reviewed

    T. Mizutani, O. Nakatsuka, A. Sakai, H. Kondo, M. Ogawa, and S. Zaima

    Solid-State Electronics   Vol. 53 ( 11 ) page: 1198-1201   2009.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  217. Microstructures in directly bonded Si substrates Reviewed

    Y. Ohara, T. Ueda, A. Sakai, O. Nakatsuka, M. Ogawa, S. Zaima, E. Toyoda, H. Isogai, T. Senda, K. Izunome, H. Tajiri, O. Sakata, S. Kimura, T. Sakata, H. Mori

    Solid-State Electronics   Vol. 53 ( 8 ) page: 837-840   2009.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  218. Control of Sn Precipitation and Strain Relaxation in Compositionally Step-Graded Ge1-xSnx Buffer Layers for Tensile-Strained Ge Layers Reviewed

    Y. Shimura, N. Tsutsui, O. Nakatsuka, A. Sakai, and S. Zaima

    Jpn. J. Appl. Phys. 48   Vol. 48   page: 04C130   2009.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  219. Characterization and Analyses of Interface Structures in Directly Bonded Si(011)/Si(001) Substrates Reviewed

    E. Toyoda, A. Sakai, H. Isogai, T. Senda, K. Izunome, K. Omote, O. Nakatsuka, S. Zaima

    Jpn. J. Appl. Phys.   Vol. 48   page: 021208   2009.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    We investigated the interface structure of directly bonded Si(011)/Si(001) substrates prepared by conventional bonding and grind-back. The interfacial structure was analyzed by transmission electron microscopy (TEM) and in-plane X-ray diffraction (XRD). The plan-view and cross-sectional TEM observations provided evidence that screw dislocation lines were localized to the interfacial plane and that threading dislocations were absent. Grazing-incidence in-plane XRD analyses confirmed the existence of mosaic structures at the interface. These structures were formed because of the deformation field produced by the screw dislocations. This allowed a high level of crystallinity to be maintained in regions away from the interface in both the Si(011) layer and the Si(001) wafer.

  220. Mechanical Properties and Chemical Reactions at the Directly Bonded Si-Si Interface Reviewed

    E. Toyoda, A. Sakai, T. Senda, H. Isogai, K. Izunome, O. Nakatsuka, M. Ogawa, S. Zaim

    Jpn. J. Appl. Phys.   Vol. 48   page: 011202-1-5   2009.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Directly bonded interfaces of hydrophilic and hydrophobic Si(100) wafers were studied from the viewpoint of bonding energy and chemical products as a function of the annealing temperature. The experimental results indicated that for both hydrophilic and hydrophobic Si/Si bonded wafer pairs, the behavior of the bubbles at the bonding interface and the bonding energy were closely related to the behavior of the hydrogen and oxygen atoms at the bonding interface. The bonding mechanisms for both cases have been discussed on the basis of the chemical reactions induced by the annealing temperature.

  221. Control of Dislocations and Sn Precipitations for Fabrication of Tensile-strained Ge on Ge1-xSnx Buffer Layer Reviewed

    Y. Shimura, N. Tsutsui, O. Nakatsuka, A. Sakai, and S. Zaima

    Trans. MRS-J   Vol. 34 ( 2 ) page: 301-304   2009

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  222. Plasma surface treatment of polymers with inductivity-coupled RF plasmas driven by low-inductance antenna units Reviewed

    Y. Setsuhara, K. Cho, K. Takenaka, A. Ebe, M. Shiratani, M. Sekine, M. Hori, E. Ikenaga, H. Kondo, O. Nakatsuka, S. Zaima

    Thin Solid Films   Vol. 518 ( 3 ) page: 1006-1011   2009

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  223. Ferromagnetism and Electronic structures of Nonstoichiometric Heusler-Alloy Fe3-xMnxSi Epilayers Grown on Ge(111) Reviewed

    K. Hamaya, H. Itoh, O. Nakatsuka, K. Ueda, K. Yamamoto, M. Itakura, T. Taniyama, T. Ono, M. Miyao

    Phys. Rev. Lett.   Vol. 102   page: 137204 (4 pages)   2009

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  224. Formation of Uniaxial Tensile-strained Ge by Using Micro-patterning of Ge/Si1-xGe x/Si Structures Reviewed

    T. Mizutani, O. Nakatsuka, A. Sakai, H. Kondo, and S. Zaima

    Trans. MRS-J   Vol. 34 ( 2 ) page: 305-308   2009

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  225. *Silicide and germanide technology for contacts and gates in MOSFET applications Invited Reviewed

    S. Zaima, O. Nakatsuka, H. Kondo, M. Sakashita, A. Sakai, and M. Ogawa

    Thin Solid Films   Vol. 517 ( 1 ) page: 80-83   2008.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  226. Characterization of bonding structures of directly bonded hybrid crystal orientation substrates Reviewed

    E. Toyoda, A. Sakai, O. Nakatsuka, H. Isogai, T. Senda, K. Izunome, M. Ogawa, and S. Zaima

    Thin Solid Films   Vol. 517 ( 1 ) page: 323-326   2008.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  227. Tensile strained Ge layers on strain-relaxed Ge1-xSnx/virtual Ge substrates Reviewed

    S. Takeuchi, A. Sakai, O. Nakatsuka, M. Ogawa, and S. Zaima

    Thin Solid Films   Vol. 517 ( 1 ) page: 159-162   2008.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  228. Growth of highly strain-relaxed Ge1-xSnx/virtual Ge by a Sn precipitation controlled compositionally step-graded method Reviewed

    S. Takeuchi, Y. Shimura, O. Nakatsuka, S. Zaima, M. Ogawa, and A. Sakai

    Appl. Phys. Lett.   Vol. 92   page: 231916   2008.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  229. Epitaxial Ag Layers on Si Substrates as a Buffer Layer for Carbon Nanotube Growth Reviewed

    S. Oida, A. Sakai, O. Nakatsuka, M. Ogawa, and S. Zaima

    Appl. Surf. Sci.   Vol. 47   page: 3742-3747   2008.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  230. Dependence of Electrical Characteristics on Interfacial Structures of Epitaxial NiSi2/Si Schottky Contacts Formed from Ni/Ti/Si System Reviewed

    O. Nakatsuka, A. Suzuki, S. Akimoto, A. Sakai, M. Ogawa and S. Zaima

    Jpn. J. Appl. Phys.   Vol. 47 ( 4 ) page: 2402-2406   2008.4

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  231. Scanning Tunneling Microscopy Observation of Initial Growth of Sn and Ge1-xSnx Layers on Ge(001) Substrates Reviewed

    M. Yamazaki, S. Takeuchi, O. Nakatsuka, A. Sakai, M. Ogawa, and S. Zaima

    Appl. Surf. Sci.   Vol. 254 ( 19 ) page: 6048-60651   2008.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  232. Effect of alcohol sources on synthesis of single-walled carbon nanotubes Reviewed

    S. Oida, A. Sakai, O. Nakatsuka, M. Ogawa and S. Zaima

    Appl. Surf. Sci.   Vol. 254 ( 23 ) page: 7697-7702   2008.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  233. Ge基板上に作製したPr酸化膜の評価 Reviewed

    坂下満男, 鬼頭伸幸, 加藤亮祐, 近藤博基, 中塚理, 酒井朗, 小川正毅, 財満鎭明

    特別研究会研究報告“ゲートスタック研究会 -材料・プロセス・評価の物理-”(第13回研究会)     page: 237-242   2008.2

     More details

    Language:Japanese  

  234. Contact properties of epitaxial NiSi2/heavily doped Si structures formed from Ni/Ti/Si systems Reviewed

    S. Akimoto, O. Nakatsuka, A. Suzuki, A. Sakai, M. Ogawa, S. Zaima

    Advanced Metallization Conference (AMC)     page: 101-105   2008

     More details

    Language:English  

  235. Interface and defect control for group IV channel engineering

    A. Sakai, Y. Ohara, T. Ueda, E. Toyoda, K. Izunome, S. Takeuchi, Y. Shimura, O. Nakatsuka, M. Ogawa, S. Zaima, S. Kimura

    ECS Transactions   Vol. 16 ( 10 ) page: 687-698   2008

     More details

    Language:English  

  236. パルスレーザー蒸着法によるGe基板上へのPr酸化膜の作製とその構造及び電気的特性評価

    鬼頭伸幸, 坂下満男, 酒井朗, 中塚理, 近藤博基, 小川正毅, 財満鎭明

    特別研究会研究報告“ゲートスタック研究会 –材料・プロセス・評価の物理-”(第12回研究会)     page: 251-256   2007.2

     More details

    Language:Japanese  

  237. Strain relaxation of patterned Ge and SiGe layers on Si(001) substrates

    S. Mochizuki, A. Sakai, O. Nakatsuka, H. Kondo, K. Yukawa, K. Izunome, T. Senda, E. Toyoda, M. Ogawa, and S. Zaima

    Semicond. Sci. Tech.   Vol. 22 ( 1 ) page: S132-S136   2007.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    We have investigated dislocation morphology and strain relaxation mechanisms of SiGe and Ge sub-micron wide striped mesa lines patterned on Si(0 0 1) substrates. The patterning of SiGe and Ge layers principally leads to asymmetric elastic strain relaxation. Post-patterning anneal induces 60° dislocation introduction to relax the strain but the narrower the line width the more dominant is the elastic strain relaxation. In the case of 250 nm wide SiGe lines, 60° dislocation introduction along the line is critically suppressed so that asymmetric strain distribution is realized. On the other hand, for the Ge line structure, pre-formed pure edge dislocations elongate along both orthogonal directions at the heterointerface independent of the line geometry even with the line width of 250 nm. Thus strain relaxation occurs symmetrically and rigidly. These results can be explained by deference of the introduction and propagation mechanisms of 60° and pure-edge dislocations.

  238. Ge(001)表面の酸素エッチングおよび初期酸化過程の原子スケール評価

    山崎理弘, 若園恭伸, 酒井朗, 中塚理, 竹内正太郎, 小川正毅, 財満鎭明

    特別研究会研究報告“ゲートスタック研究会 –材料・プロセス・評価の物理-”(第12回研究会)     page: 197-202   2007.1

     More details

    Language:Japanese  

  239. Growth and structure evaluation of strain-relaxed Ge1-xSnx buffer layers grown on various types of substrates Reviewed

    S. Takeuchi, A. Sakai, K. Yamamoto, O. Nakatsuka, M. Ogawa, and S. Zaima

    Semicond. Sci. Tech.   Vol. 22 ( 1 ) page: S231-S235   2007.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    We have performed growth and structure evaluation of strain-relaxed Ge1-xSnx buffer layers grown on Si(0 0 1), virtual Ge(0 0 1) and bulk Ge(0 0 1) substrates. In the case of Si(0 0 1), amorphous Ge1-xSnx phases are partially formed as well as many threading dislocations in Ge0.98Sn0.02 layers. Employing virtual Ge substrates to reduce the lattice mismatch at the interface leads to epitaxial Ge0.978Sn0.022 layers with a flat surface. Most of threading dislocations in the Ge0.978Sn0.022 layer comes from pre-existing ones in the virtual Ge substrate and propagates laterally, leaving misfit segments at the Ge0.978Sn0.022/virtual Ge interface, after post-deposition annealing (PDA). This simultaneously results in the reduction of threading dislocation density and the promotion of strain relaxation. In the case of bulk Ge(0 0 1), although low threading dislocation density can be achieved, less than 106 cm-2, the film exhibits surface undulation and a lesser degree of strain relaxation even after PDA.

  240. Silicide and germanide technology for contacts and metal gates in MOSFET applications

    S. Zaima, O. Nakatsuka, H. Kondo, M. Sakashita, A. Sakai, M. Ogawa

    ECS Transactions   Vol. 11 ( 6 ) page: 197-205   2007

     More details

    Language:English  

  241. Impact of Pt incorporation on thermal stability of NiGe layers on Ge(001) substrates

    O. Nakatsuka, A. Suzuki, A. Sakai, M. Ogawa, S. Zaima

    Extended Abstracts of the 7th International Workshop on Junction Technology, IWJT 2007     page: 87-88   2007

     More details

    Language:English  

  242. Electrical properties of epitaxial NiSi2/Si contacts with extremely flat interface formed in Ni/Ti/Si(0 0 1) system Reviewed

    O. Nakatsuka, A. Suzuki, A. Sakai, M. Ogawa, and S. Zaima

    Microelectron. Eng.   Vol. 83 ( 11-12 ) page: 2272-2276   2006.11

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  243. Ni-Silicide/Si and SiGe(C) Contact Technology for ULSI Applications Invited

    O. Nakastuka, S. Zaima, A. Sakai, and M. Ogawa

    Proceedings of the 14th annual IEEE International Conference on Advanced Thermal Processing of Semiconductors     page: 31-37   2006.10

     More details

    Language:English  

  244. Control of misfit dislocations in strain-relaxed SiGe buffer layers on SOI substrates Reviewed

    N. Taoka, A. Sakai, S. Mochizuki, O. Nakatsuka, M. Ogawa and S. Zaima

    Thin Solid Films   Vol. 508 ( 1-2 ) page: 147-151   2006.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    A thin strain-relaxed SiGe buffer layer with pure-edge dislocations has been grown on a silicon-on-insulator (SOI) substrate by using low-temperature epitaxy of Ge and subsequent high-temperature annealing. The dislocation morphology and crystalline mosaicity of the strain-relaxed SiGe layers has been measured, and the influence of the thickness of the SiGe and SOI layers on these features has been investigated. Behavior of the dislocations introduced from the interface between the SiGe and the buried oxide layers during high-temperature annealing shows a high dependence on the thickness of the SOI layer. This SOI thickness dependence can be explained by considering the effects of the image force exerted on the dislocations. A higher temperature anneal and a thinner SOI layer are both found to reduce the crystalline mosaicity.

  245. *Local strain in SiGe/Si heterostructures analyzed by X-ray microdiffraction Reviewed

    S. Mochizuki, A. Sakai, N. Taoka, O. Nakatsuka, S. Takeda, S. Kimura, M. Ogawa, and S. Zaima

    Thin Solid Films   Vol. 508 ( 1-2 ) page: 128-131   2006.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    We have investigated, using X-ray microdiffraction, local strain and crystalline texture in SiGe layers fabricated under various growth conditions on Si(001) substrates. Two-dimensional reciprocal space maps and contour maps in a series of the X-ray rocking curves were taken from the SiGe layers with misfit dislocations having either a 60 degrees or pure-edge character. Quantitative analysis for fine structures observed in the diffraction peak revealed that crystal domains with sizes ranging from 50 nm to 200 nm at tilt angles from 0.00 degrees to 0.42 degrees with respect to the Si[001] direction are formed in the layer relaxed with 60 degrees dislocations. Furthermore, nonuniformity of crystalline texture having a size of 2 to 4 μm was also detected. On the other hand, no remarkable domain structures were detected from diffraction profiles when the sample is predominantly strain-relaxed by pure-edge dislocations. In this case, homogeneous strain distribution with reduced mosaicity is realized in the micrometer-sized regions.

  246. Initial Growth Process of TiN Films in Ultrahigh-Vacuum Rapid Thermal Chemical Vapor Deposition Reviewed

    Y. Okuda, S. Naito, O. Nakatsuka, H. Kondo, T. Okuhara, A. Sakai, S. Zaima, and Y. Yasuda

    Jpn. J. Appl. Phys.   Vol. 45 ( 1A ) page: 49-53   2006.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    We have investigated the crystalline and electrical properties of Ni silicide/Si and SiGeC contacts for ULSI applications. NiSi/Si contacts promises the contact resistivity as low as 10-8 ohm-cm2 for both n+- and p+-Si. Degradation of the sheet resistance of NiSi layers critically depends on the annealing time particularly at temperatures ranging from 650 deg.C to 750 deg.C. The enlargement of the Si-exposed region concomitant with the NiSi agglomeration is a dominant factor responsible for the increase in sheet resistance and the activation energy of this process is estimated to be 2.8±0.4 eV. Incorporation of Ge into Ni/Si systems is effective in raising the transformation temperature from NiSi to NiSi2. Incorporation of C into NiSi/Si system effectively suppresses the NiSi agglomeration. C introduction also causes the pile-up of B atoms at the NiSi/Si interface, which promises the reduction of the contact resistivity.

  247. Dislocation morphology and crystalline mosaicity in strain-relaxed SiGe buffer layers on SOI

    A. Sakai, N. Taoka, O. Nakatsuka, M. Ogawa, S. Zaima

    IEEJ Transactions on Electronics, Information and Systems   Vol. 126 ( 9 ) page: 1083-1087   2006

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

  248. Ni silicide and germanide technology for contacts and metal gates in MOSFET applications

    S. Zaima, O. Nakatsuka, H. Kondo, M. Sakashita, A. Sakai, M. Ogawa

    ICSICT-2006: 2006 8th International Conference on Solid-State and Integrated Circuit Technology, Proceedings     page: 322-325   2006

     More details

    Language:English  

  249. Scanning tunneling microscopy study on the reaction of oxygen with clean Ge(001) surfaces

    A. Sakai, Y. Wakazono, O. Nakatsuka, S. Zaima, M. Ogawa

    ECS Transactions   Vol. 2 ( 7 ) page: 1197-1203   2006

     More details

    Language:English  

  250. Thermal stability and electrical properties of Ni-silicide on C-incorporated Si Reviewed

    O. Nakatsuka, K. Okubo, A. Sakai, M. Ogawa, S. Zaima, J. Murota, and Y. Yasuda

    Proc. of Advanced Metallization Conference 2004 (AMC2004)     page: 293-298   2005.10

     More details

    Language:English  

  251. *Low Temperature Formation of Epitaxial NiSi2 Layers with Solid-Phase Reaction in Ni/Ti/Si(001) Systems Reviewed

    O. Nakatsuka, K. Okubo, Y. Tsuchiya, A. Sakai, S. Zaima, and Y. Yasuda

    Jpn. J. Appl. Phys.   Vol. 44 ( 5A ) page: 2945-2947   2005

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

    The solid-phase epitaxial growth of NiSi2 in Ni/Ti/Si systems has been investigated. Continuous epitaxial NiSi2 layers consisting of pyramidal domains with {111} facets at the Ni-silicide/Si interface can be formed by annealing at a temperature (350 deg.C) lower than that for conventional Ni/Si systems. This NiSi2 layer is transformed to a uniform epitaxial NiSi2 layer with an atomically flat silicide/Si interface by additional annealing at 850deg.C, while the {111} facets at the NiSi2/Si interface remains in the Ni/Si system under the same annealing conditions. Moreover, the epitaxial NiSi2 layer formed at 350 deg.C exhibits a high thermal robustness even after annealing at higher than 750 deg.C, in contrast to the polycrystalline NiSi layer.

  252. SiGeバッファ層の歪緩和および転位構造制御 Reviewed

    田岡紀之, 酒井朗, 望月省吾, 中塚理, 小川正毅, 財満鎭明

    日本結晶成長学会誌   Vol. 32   page: 89-98   2005

     More details

    Authorship:Lead author   Language:Japanese   Publishing type:Research paper (scientific journal)  

  253. Analysis of microstructures in SiGe buffer layers on silicon-on-insulator substrates Reviewed

    N. Taoka, A. Sakai, S. Mochizuki, O. Nakatsuka, M. Ogawa, S. Zaima, T. Tezuka, N. Sugiyama, and S. Takagi

    Jpn. J. Appl. Phys.   Vol. 44 ( 10 ) page: 7356-7363   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  254. *Improvement in NiSi/Si contact properties with C-implantation Reviewed

    O. Nakatsuka, K. Okubo, A. Sakai, M. Ogawa, Y. Yasuda, and S. Zaima

    Microelectronic Engineering   Vol. 82 ( 3-4 ) page: 479-484   2005

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  255. Pure-edge dislocation network for strain-relaxed SiGe/Si(001) systems Reviewed

    A. Sakai, N. Taoka, O. Nakatsuka, S. Zaima, and Yukio Yasuda

    Appl. Phys. Lett.   Vol. 86   page: 221916-221918   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  256. Initial growth behaviors of SiGeC in SiGe and C alternate deposition Reviewed

    S. Takeuchi, O. Nakatsuka, Y. Wakazono, A. Sakai, S. Zaima, and Y. Yasuda

    Materials Science in Semiconductor Processing   Vol. 8 ( 1-3 ) page: 5-9   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  257. Growth and characterization of strain-relaxed SiGe buffer layers on Si(001) substrates with pure-edge misfit dislocations Reviewed

    N. Taoka, A. Sakai, T. Egawa, O. Nakatsuka, S. Zaima, and Y. Yasuda

    Materials Science in Semiconductor Processing   Vol. 8 ( 1-3 ) page: 131-135   2005

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  258. Influence of structural variation of Ni silicide thin films on electrical property for contact materials Reviewed

    K. Okubo, Y. Tsuchiya, O. Nakatsuka, A. Sakai, S. Zaima, and Y. Yasuda

    Jpn. J. Appl. Phys.   Vol. 43 ( 4B ) page: 1896-1900   2004

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    K. Okubo, Y. Tsuchiya, O. Nakatsuka, A. Sakai, S. Zaima, and Y. Yasuda

  259. Si及びSi1-x-yGexCy上のNiシリサイド形成 Invited

    中塚理, 酒井朗, 財満鎭明

    電気学会研究会資料 電子材料研究会   Vol. EFM-04 ( 41-48 ) page: 25-30   2004

     More details

    Authorship:Lead author   Language:Japanese  

  260. Influence of C incorporation on the initial growth of epitaxial NiSi2 on Si(100) Reviewed

    E. Okada, O. Nakatsuka, S. Oida, A. Sakai, S. Zaima, and Y. Yasuda

    Appl. Surf. Sci.   Vol. 237 ( 1-4 ) page: 150-155   2004

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    The initial growth of NiSi2 on Si(1 0 0) surfaces with a thin C layer by scanning tunneling microscopy has been investigated. The surface roughening with the formation of epitaxial NiSi2 domains is effectively suppressed even by the introduction of a submonolayer thick C layer. The reduction of an average size of epitaxial NiSi2 islands and the increase in the nucleation density of NiSi2 islands are caused by incorporating of C atoms into the surface before the 4.8-monolayer thick Ni deposition. The adsorption condition of C atoms on the surface more strongly influences the density and the average size of epitaxial NiSi2 islands rather than the total amount of deposited C atoms does.

  261. Interfacial reaction and electrical properties in Ni/Si and Ni/SiGe(C) contacts Reviewed

    S. Zaima, O. Nakatsuka, A. Sakai, J. Murota, and Y. Yasuda

    Appl. Surf. Sci.   Vol. 224 ( 1-4 ) page: 215-221   2004

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    We have investigated the interfacial solid-phase reaction and electrical properties of Ni/Si and Ni/SiGeC systems with various Ge and C compositions. The incorporation of Ge into Si substrates raised the transition temperature from the NiSi phase to the NiSi2 phase. The incorporation of C effectively suppresses the agglomeration of NiSi and the formation of {1 1 1} facets at NiSi2/Si interface, which provides the low sheet resistance even after high temperature annealing. NiSi/Si systems show the contact resistivity as low as 1E-8 Ω sq.cm for both n+- and p+-type contacts. This is accounted by the pile-up of P at the NiSi/Si interface for n+-type and the low Schottky barrier height for p+-type contact. The pile-up of B at the NiSi/p+-Si0.996C0.004 interface after the annealing at 750deg.C is also found.

  262. Influence of Si1-xGex interlayer on the initial growth of SiGeC on Si(100) Reviewed

    S. Ariyoshi, S. Takeuchi, O. Nakatsuka, A. Sakai, S. Zaima, and Y. Yasuda

    Appl. Surf. Sci.   Vol. 224 ( 1-4 ) page: 117-121   2004

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  263. Dislocation structures and strain-relaxation in SiGe buffer layers on Si (0 0 1) substrates with an ultra-thin Ge interlayer Reviewed

    T. Yamamoto, A. Sakai, T. Egawa, N. Taoka, O. Nakatsuka, S. Zaima, and Y. Yasuda,

    Appl. Surf. Sci.   Vol. 224 ( 1-4 ) page: 108-112   2004

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  264. Strain-relaxation mechanisms of SiGe layers formed by two-step growth on Si(0 0 1) substrates Reviewed

    T. Egawa, A. Sakai, T. Yamamoto, N. Taoka, O. Nakatsuka, S. Zaima and Y. Yasuda

    Appl. Surf. Sci.   Vol. 224 ( 1-4 ) page: 104-107   2004

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  265. High resolution-high energy x-ray photoelectron spectroscopy using third-generation synchrotron radiation source, and its application to Si-high k insulator systems Reviewed

    K. Kobayashi, M. Yabashi, Y. Takata, T. Tokushima, S. Shin, K. Tamasaku, D. Miwa, T. Ishikawa, H. Nohira, T. Hattori, Y. Sugita, O. Nakatsuka, A. Sakai, and S. Zaima

    Appl. Phys. Lett.   Vol. 83 ( 5 ) page: 1005-1007   2003

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  266. Development of Ni/Al and MUM ohmic contact materials for p-type 4H-SiC Reviewed

    R. Konishi, R. Yasukochi, O. Nakatsuka, Y. Koide, M. Moriyama and M. Murakami

    Mater. Sci. Eng. B   Vol. 98 ( 3 ) page: 286-293   2003

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  267. Effect of Al interlayers on Two-Step Epitaxial Growth of CoSi2 on Si(100) Reviewed

    O. Nakatsuka, H. Onoda , E. Okada, H. Ikeda, A. Sakai, S. Zaima, and Y. Yasuda

    Appl. Surf. Sci.   Vol. 216 ( 1-4 ) page: 174-180   2003

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  268. Ultra-high vacuum rapid thermal chemical vapor deposition for formation of TiN as barrier metals Reviewed

    S. Naito, M. Okada, O. Nakatsuka, T. Okuhara, A. Sakai, S. Zaima, and Y. Yasuda,

    Rapid Thermal Processing for Future Semiconductor Devices     page: 29-35   2003

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  269. Scanning Tunneling Microscopy of Initial Nitridation Processes on Oxidized Si(100) Surface with Radical Nitrogen Reviewed

    R. Takahashi, Y. Kobayashi, H. Ikeda, M. Sakashita, O. Nakatsuka, A. Sakai, S. Zaima, and Y. Yasuda

    Jpn. J. Appl. Phys.   Vol. 42 ( 4B ) page: 1966-1970   2003

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  270. Electrical properties and solid-phase reactions in Ni/Si(100) contacts Reviewed

    Y. Tsuchiya, A. Tobioka, O. Nakatsuka, H. Ikeda, A. Sakai, S. Zaima, and Y. Yasuda

    Jpn. J. Appl. Phys.   Vol. 41 ( 4B ) page: 2450-2454   2002

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  271. Low resistance TiAl ohmic contacts with multi-layered structure for p-type 4H-SiC Reviewed

    O. Nakatsuka, T. Takei, Y. Koide, and M. Murakami

    Mater. Trans.   Vol. 43 ( 7 ) page: 1684-1688   2002

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  272. CoAl ohmic contact materials with improved surface morphology for p-type 4H-SiC Reviewed

    O. Nakatsuka, Y. Koide, and M. Murakami

    Proc. of Silicon Carbide and Related Materials 2001 (MATERIALS SCIENCE FORUM)   Vol. 389 ( 3 ) page: 885-888   2002

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  273. Electrical properties of Ni silicide/Si contact Reviewed

    Y. Tsuchiya, O. Nakatsuka, H. Ikeda, A. Sakai, S. Zaima, and Y. Yasuda

    Proc. of Advanced Metallization Conference 2001 (AMC2001)     page: 679-684   2001

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  274. Dependence of contact resistivity on impurity concentration in Co/Si systems Reviewed

    O. Nakatsuka, T. Ashizawa, K. Nakai, A. Tobioka, A. Sakai, S. Zaima, and Y. Yasuda

    Appl. Surf. Sci.   Vol. 159-160   page: 149-153   2000

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  275. Interfacial reactions of Ti/ and Zr/Si1-xGex/Si contacts with rapid thermal annealing Invited Reviewed

    Y. Yasuda, O. Nakatsuka, and S. Zaima

    Thin Solid Films   Vol. 373   page: 73-78   2000

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  276. Contact resistivities and electrical properties of Co/Si contacts by rapid thermal annealing Reviewed

    O. Nakatsuka, T. Ashizawa, H. Iwano, S. Zaima, and Y. Yasuda

    Proc. of Advanced Metallization and Interconnect Systems for ULSI Applications in 1998     page: 605-611   1999

     More details

    Authorship:Lead author   Language:English   Publishing type:Research paper (scientific journal)  

  277. Effect of Ge atoms on interfacial reactions of Ti/ and Zr/Si1-xGex/Si contacts Reviewed

    H. Iwano, H. Hayashi, M. Yoshinaga, O. Nakatsuka, S. Zaima, and Y. Yasuda

    Proc. of Advanced Metallization and Interconnect Systems for ULSI Applications in 1998     page: 599-604   1999

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

  278. Conductance oscillations in hopping conduction systems fabricated by focus ion beam implantation Reviewed

    H. Kondo, H. Iwano, O. Nakatsuka, K. Kaga, S. Zaima, and Y Yasuda

    Jpn. J. Appl. Phys.   Vol. 36 ( 6B ) page: 4046-4048   1997

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

▼display all

Books 4

  1. 第3章,第3節,Geエピタキシャル成長と薄膜構造制御

    中塚理( Role: Sole author)

    株式会社エヌ・ティー・エス  2013 

     More details

    Language:Japanese

  2. Chapter 1.4: Heterostructure Interfaces and Strain

    O. Nakatsuka and S. Zaima (edited by L. Vivien and L. Pavesi)( Role: Joint author)

    CRC Press  2013 

     More details

    Language:English

  3. Chapter 17: Slicide and germanide technology for interconnections in ultra-large-scale integrated (ULSI) applications

    S. Zaima and O. Nakatsuka( Role: Joint author)

    Woodhead Publishing  2011 

     More details

    Language:English

  4. Chapter 8, Silicide in "Advanced Nanoscale ULSI Interconnects: Fundamentals and Applications"

    O. Nakatsuka and S. Zaima (編集) Yosi Shacham-Diamand, Tetsuya Osaka, Madhav Datta, Takayuki Ohba( Role: Joint author)

    Springer  2009.10 

     More details

    Language:English

Presentations 421

  1. 界面層挿入が低仕事関数金属/n型4H-SiC界面のSBHに与える影響

    土井拓馬, 柴山茂久, 坂下満男, 清水三聡, 中塚理

    第68回応用物理学会春季学術講演会  2021.3.18 

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  2. Si(001)基板上におけるSi1−xSnx薄膜のエピタキシャル成長

    黒澤昌志, 丹下龍志, 中塚理

    第68回応用物理学会春季学術講演会  2021.3.18 

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  3. ウェット熱処理によるZrO2薄膜の強誘電相発現機構

    柴山茂久, 永野丞太郎, 安坂幸師, 坂下満男, 中塚理

    第68回応用物理学会春季学術講演会  2021.3.17 

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  4. 高温堆積におけるZrO2薄膜結晶相の下地依存性

    永野丞太郎, 柴山茂久, 坂下満男, 中塚理

    第68回応用物理学会春季学術講演会  2021.3.16 

     More details

    Event date: 2021.3

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  5. Heterostructure design favorable for n+-Ge1−xSnx pseudo-direct transition layer for optoelectronic application Invited International conference

    S. Zhang, M. Fukuda, S. Shibayama, and O. Nakatsuka

    13th International Symposium on Advanced Plasma Scienceand its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science, (ISPlasma2021/IC-PLANTS2021) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Virtual symposium   Country:Japan  

  6. Epitaxial growth of strain‐relaxed and high-Sn-content n-Ge1-xSnx on Si(111) substrate with Ge buffer layer Invited International conference

    A. Huang, S. Shibayama, and O. Nakatsuka

    The 8th Asian Conference on Crystal Growth and Crystal Technology (CGCT) 

     More details

    Event date: 2021.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:On-Line Conference   Country:Japan  

  7. HfO2-ZrO2系の強誘電相発現におけるウェット熱処理の効果

    柴山茂久, 永野丞太郎, 坂下満男, 中塚理

    応用物理学会 薄膜・表面物理分科会・シリコンテクノロジー分科会共催特別研究会「電子デバイス界面テクノロジー研究会―材料・プロセス・デバイス特性の物理―」(第26回)  2021.1.23 

     More details

    Event date: 2021.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  8. 低仕事関数金属/4H-SiC界面におけるMIGSの影響

    土井拓馬, 柴山茂久, 坂下満男, 清水三聡, 中塚理

    応用物理学会 薄膜・表面物理分科会・シリコンテクノロジー分科会共催特別研究会「電子デバイス界面テクノロジー研究会―材料・プロセス・デバイス特性の物理―」(第26回)  2021.1.26 

     More details

    Event date: 2021.1

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  9. 低仕事関数金属を用いたn型4H-SiCに対する低ショットキー障壁コンタクトの実現

    土井拓馬, 柴山茂久, 坂下満男, 清水三聡, 中塚理

    先進パワー半導体分科会第7回講演会  2021.1.22 

     More details

    Event date: 2021.1

    Language:Japanese   Presentation type:Poster presentation  

    Country:Japan  

  10. GeSn系Ⅳ族混晶半導体の創製と結晶・電子物性制御 Invited

    中塚理

    第5回TIA-EXA広域エレクトロニクス融合セミナー ~革新デバイスに向けた新材料と集積技術~  2020.12.9 

     More details

    Event date: 2020.12

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  11. Heteroepitaxy and Strain Engineering of Germanium-Silicon-Tin Ternary Alloy Semiconductor Thin Films for Energy Band Design Invited International conference

    O. Nakatsuka, S. Shibayama, M. Kurosawa, and M. Sakashita

    Pacificrim Meeting on Electrochemical and Solid-State Science (PRiME2020) 

     More details

    Event date: 2020.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Online event   Country:United States  

  12. Crystal Growth of Epitaxial 3C-SiC Thin Film on Si Substrate By Chemical Vapor Deposition using Single Precursor of Vinylsilane International conference

    T. Doi, K. Hashimoto, W. Takeuchi, and O. Nakatsuka

    Pacificrim Meeting on Electrochemical and Solid-State Science (PRiME2020) 

     More details

    Event date: 2020.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Online event   Country:United States  

  13. Understanding wet annealing effect on phase transition and ferroelectric phase formation for Hf1-xZrxO2 film International conference

    S. Shibayama, J. Nagano, M. Sakashita, and O. Nakatsuka

    2020 Inthernational Conference on Solid State Devices and Materials (SSDM2020) 

     More details

    Event date: 2020.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:ALL-viertual conference   Country:Japan  

  14. コンタクト抵抗率低減のための金属/IV族半導体界面制御技術 Invited

    中塚理, 柴山茂久, 坂下満男

    第84回半導体・集積回路技術シンポジウム 

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  15. Ge1-xSnx溶融成長時に生じる偏析現象の理解

    中尾天哉, 西島泰樹, 清水智, 角田功, 中塚理, 黒澤昌志

    第81回応用物理学会秋季学術講演会 

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  16. 多層ゲルマナンフレークからの水素脱離

    伊藤麻維, 洗平昌晃, 大田晃生, 中塚理, 黒澤昌志

    第81回応用物理学会秋季学術講演会  2020.9.9 

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  17. GaAs(001)基板上におけるGe1−x−ySixSny薄膜のエピタキシャル成長

    中田壮哉, 詹天卓, 富田基裕, 渡邉孝信, 中塚理, 黒澤昌志

    第81回応用物理学会秋季学術講演会  2020.9.9 

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  18. 絶縁膜上における極薄Ge薄膜の固相成長

    大石遼, 黒澤昌志, 中塚理

    第81回応用物理学会秋季学術講演会  2020.9.9 

     More details

    Event date: 2020.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  19. GeSn系IV族混晶ヘテロ構造の結晶成長と光電物性制御 Invited

    中塚 理

    阪大CSRN 第二回異分野研究交流会 「半導体・ナノカーボン系」  2020.8.28 

     More details

    Event date: 2020.8

    Language:Japanese   Presentation type:Public lecture, seminar, tutorial, course, or other speech  

    Venue:オンライン   Country:Japan  

  20. In-situ Sb Doping into Ge1−xSnx Epitaxial Layer toward Enhancement of Photoluminescence Intensity International conference

    M. Fukuda, J. Jeon, M. Sakashita, S. Shibayama, M. Kurosawa, and O. Nakatsuka

    The 8th International Symposium on Control of Semiconductor Interfaces (ISCSI-VIII) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sendai   Country:Japan  

  21. Crystal Growth and Characterization of Group-IV Alloy Semiconductor Heterostructures for Future Electronic Devices Invited International conference

    O. Nakatsuka, M. Kurosawa, S. Shibayama, and M. Sakashita, S. Zaima

    The 8th International Symposium on Control of Semiconductor Interfaces (ISCSI-VIII) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Sendai   Country:Japan  

  22. Ferroelectric Phase Evolution of Undoped ZrO2 Thin Film by Wet O2 Annealing Process International conference

    S. Shibayama, J. Nagano, M. Sakashita, O. Nakatsuka

    2019 International Workshop on DIELECTRIC THIN FILMS FOR FUTURE ELECTRON DEVICES - SCIENCE AND TECHNOLOGY - (IWDTF2019) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tokyo   Country:Japan  

  23. Saturation of Activated Sb Atom in Heavily Sb-Doped Ge Epitaxial Thin Films International conference

    J. Jeon, S. Shibayama, S. Zaima, and O. Nakatsuka

    International Conference on Materials and Systems for Sustainability 2019 (ICMaSS2019) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya   Country:Japan  

  24. Thermoelectric properties of silicon germanium wires with a composition gradient International conference

    M. Nakata, O. Nakatsuka, M. Tomita, T. Watanabe, and M. Kurosawa

    International Conference on Materials and Systems for Sustainability 2019 (ICMaSS2019) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  25. Theoretical Investigation of Self-organization Behavior of Si0.5Sn0.5 Nano-particles International conference

    Y. Nagae, M. Kurosawa, and O. Nakatsuka

    International Conference on Materials and Systems for Sustainability 2019 (ICMaSS2019) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  26. Development of in-situ cyclic metal layer oxidation to form abrupt Al2O3/4H-SiC interface International conference

    T. Doi, S. Shibayama, W. Takeuchi, M. Sakashita, N. Taoka, M. Shimizu, and O. Nakatsuka

    International Conference on Materials and Systems for Sustainability 2019 (ICMaSS2019) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  27. Optoelectronic Property of GeSn and GeSiSn Heterostructure International conference

    M. Fukuda, M. Sakashita, S. Shibayama, M. Kurosawa, S. Zaima, and O. Nakatsuka

    International Conference on Materials and Systems for Sustainability 2019 (ICMaSS2019) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya   Country:Japan  

  28. Improvement of thermoelectric properties of Si1-x-yGexSny thin films by ion implantation and rapid thermal annealing International conference

    Y. Peng, L. Miao, M. Kurosawa, and O. Nakatsuka

    International Conference on Materials and Systems for Sustainability 2019 (ICMaSS2019) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  29. Model development of MOCVD growth for realizing high-Sn-content Ge1-xSnx epitaxial layer ~ What physical properties are required for precursors? ~ International conference

    Y. Miki, S. Shibayama, S. Zaima, and O. Nakatsuka

    International Conference on Materials and Systems for Sustainability 2019 (ICMaSS2019) 

     More details

    Event date: 2019.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  30. Saturation of Sb1+ Concentration in Heavily Sb-doped n+-Ge Epitaxial Layers International conference

    J. Jeon, S. Shibayama, and O. Nakatsuka

    Advanced Metallization Conference 2019: 29th Asian Session (ADMETA Plus 2019) 

     More details

    Event date: 2019.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tokyo   Country:Japan  

  31. Influence of Dopant on Thermoelectric Properties of Si-rich Poly-Si1-xSnx Layers Grown on Insulators International conference

    K. Sato, O. Nakatsuka, and M. Kurosawa

    2019 International Conference on Solid State Devices and Materials (SSDM 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  32. Impact of Mechanical Uniaxial Stress on Mobility Enhancement of 4H-SiC (0001) MOSFET International conference

    W. Takeuchi, K. Kutsuki, E. Kagoshima, T. Onishi, S. Iwasaki, M. Sakashita, H. Fujiwara, and O. Nakatsuka

    2019 International Conference on Solid State Devices and Materials (SSDM 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya   Country:Japan  

  33. In-situ Cyclic Metal Layer Oxidation for Further Improving Interface Properties of Al2O3/4H-SiC(0001) Gate Stacks International conference

    T. Doi, S. Shibayama, W. Takeuchi, M. Sakashita, N. Taoka, M. Shimizu, and O. Nakatsuka

    2019 International Conference on Solid State Devices and Materials (SSDM 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya   Country:Japan  

  34. Strain Relaxation Enhancement of Ge1-x-ySixSny Epitaxial Layer on Ge Substrate Using Ion-Implantation Method International conference

    H. Sofue, M. Fukuda, S. Shibayama, S. Zaima, and O. Nakatsuka

    2019 International Conference on Solid State Devices and Materials (SSDM 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya   Country:Japan  

  35. Fermi Level Pinning at Metal/4H-SiC Contact Induced by SiCxOy Interlayer International conference

    K. Hashimoto, T. Doi, S. Shibayama, and O. Nakatsuka

    2019 International Conference on Solid State Devices and Materials (SSDM 2019) 

     More details

    Event date: 2019.9

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  36. GeSn and GeSiSn Heterostructures for Optoelectronic Applications Invited International conference

    O. Nakatsuka, M. Fukuda, M. Kurosawa, S. Shibayama, M. Sakashita, and S. Zaima

    2019 IEEE Photonics Society Summer Topicals Meeting Series 

     More details

    Event date: 2019.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Fort Lauderdale   Country:United States  

  37. Further reduction of Schottky barrier height of Hf-germanide/n-Ge(001) contacts by forming epitaxial HfGe2 International conference

    K. Senga, S. Shibayama, M. Sakashita, S. Zaima, and O. Nakatsuka

    19th International Workshop on Junction Technology 2019 (IWJT2019) 

     More details

    Event date: 2019.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kyoto   Country:Japan  

  38. Development and challenges of group-IV alloy semiconductors for nanoelectronic applications Invited International conference

    S. Zaima, O. Nakatsuka, M. Kurosawa, M. Sakashita, and S. Shibayama

    The Eleventh International Conference on High-Performance Ceramics (CICC-11) 

     More details

    Event date: 2019.5

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:Kunming   Country:China  

  39. Tin-incorporation effect on thermoelectric properties of p-type polycrystalline Si1-xGex layers grown on SiO2 International conference

    Y. Peng, L. Miao, J. Gao, M. Kurosawa, O. Nakatsuka, and S. Zaima

    The Eleventh International Conference on High-Performance Ceramics (CICC-11) 

     More details

    Event date: 2019.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kunming   Country:China  

  40. Crystalline and Electrical Properties of Ge1-xSnx/Ge1-x-ySixSny QuantumWell Structures International conference

    G. R. Suwito, M. Fukuda, S. Shibayama, M. Sakashita, O. Nakatsuka, and S. Zaima

    Compoud Semiconductor Week 2019 (CSW 2019) 

     More details

    Event date: 2019.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nara   Country:Japan  

  41. Formation of Strain-relaxed Ge1-x-ySixSny Epitaxial Layer using Ionimplanted Ge Substrate International conference

    H. Sofue, M. Fukuda, S. Shibayama, O. Nakatsuka, and S. Zaima

    11th International Symposium on Advanced Plasma Science and Its Applications for Nitrides Nanomaterials / 12th International Conference on Plasma-Nano Technology and Science (ISPlasma 2019 / IC-PLANTS 2019) 

     More details

    Event date: 2019.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya   Country:Japan  

  42. GeSn-related group-IV semiconductor heterostructures for electronic and optoelectronic applications Invited International conference

    O. Nakatsuka, M. Fukuda, M. Kurosawa, M. Sakashita, and S. Zaima

    12th International WorkShop on New Group IV Semiconductor Nanoelectronics 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Sendai   Country:Japan  

  43. Formation of Nickel Stanogermanide/Heavily Doped n+-Ge1-xSnx Structure with Ultra-Low Contact Resistivity International conference

    J. Jeon, A. Suzuki, S. Shibayama, O. Nakatsuka, and S. Zaima

    12th International WorkShop on New Group IV Semiconductor Nanoelectronics 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sendai   Country:Japan  

  44. Study of factors to limit increasing Sn content in Ge1-xSnx for MOCVD method International conference

    Y. Miki, W. Takeuchi, S. Shibayama, O. Nakatsuka, and S. Zaima

    12th International WorkShop on New Group IV Semiconductor Nanoelectronics 

     More details

    Event date: 2018.11

    Language:English   Presentation type:Poster presentation  

    Venue:Sendai   Country:Japan  

  45. Formation and Optoelectronic Characterization of Strain-relaxed Ge1-x-ySixSny/Ge1-xSnx/Ge1-x-ySixSny Double-heterostructure International conference

    M. Fukuda, M. Sakashita, M. Kurosawa, O. Nakatsuka, and S. Zaima

    14th International Conference on Atomically Controlled Surfaces, Interfaces and Nanostructures (ACSIN-14) in conjunction with 26th International Colloquium on Scanning Probe Microscopy (ICSPM26) 

     More details

    Event date: 2018.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sendai   Country:Japan  

  46. Thermoelectric Performance of Polycrystalline Si1-x-yGexSny Ternary Alloy Layer Prepared with Ion Implantation International conference

    Y. Peng, M. Kurosawa, O. Nakatsuka, L. Miao, J. Gao, and S. Zaima

    14th International Conference on Atomically Controlled Surfaces, Interfaces and Nanostructures (ACSIN-14) in conjunction with 26th International Colloquium on Scanning Probe Microscopy (ICSPM26) 

     More details

    Event date: 2018.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sendai   Country:Japan  

  47. Impact of Crystalline Property of SixGe1-x-ySny Ternary Alloy Interlayer on Schottky Barrier Height Engineering of Metal/Ge Contact International conference

    O. Nakatsuka, A. Suzuki, M. Sakashita, and S. Zaima

    Advanced Metallization Conference 2018: 28th Asian Session (ADMETA Plus 2018) 

     More details

    Event date: 2018.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Beijing   Country:China  

  48. Composition and Strain Engineering of New Group-IV Thermoelectric Materials Invited International conference

    M. Kurosawa, Y. Imai, T. Iwahashi, K. Takahashi, M. Sakashita, O. Nakatsuka, and S. Zaima

    AiMES 2018 Meeting 

     More details

    Event date: 2018.9 - 2018.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Cancun   Country:Mexico  

  49. Formation of laterally graded SixGe1-x stripes for thermoelectric generator International conference

    M. Nakata, K. Takahashi, T. Nishijima, S. Shimizu, I. Tsunoda, O. Nakatsuka, S. Zaima, T. Watanabe, and M. Kurosawa

    The 3rd International Symposium on Creation of Life Innovation Materials for Interdisciplinary and International Researcher Development (iLIM-3) 

     More details

    Event date: 2018.9

    Language:English   Presentation type:Poster presentation  

    Venue:Tokyo   Country:Japan  

  50. Growth and electronic properties of GeSn-related group-IV alloy semicondcutor thin films Invited International conference

    O. Nakatsuka, M. Kurosawa, W. Takeuchi, M. Sakashita, and S. Zaima

    2018 International Conference on Solid State Devices and Materials (SSDM 2018) 

     More details

    Event date: 2018.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Tokyo   Country:Japan  

  51. Impact of Oxygen Radical Treatment on Improvement of Al2O3/SiC Interface International conference

    T. Doi, W. Takeuchi, M. Sakashita, N. Taoka, O. Nakatsuka, and S. Zaima

    2018 International Conference on Solid State Devices and Materials (SSDM 2018) 

     More details

    Event date: 2018.9

    Language:English   Presentation type:Poster presentation  

    Venue:Tokyo   Country:Japan  

  52. Thin Film Growth and Characterization of Group-IV Alloy Semiconductors for Future Nanoelectronic Applications Invited International conference

    O. Nakatsuka, M. Kurosawa, and S. Zaima

    The 9th International Conference on Physics and Its Applications (ICOPIA) 

     More details

    Event date: 2018.8

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:Surakarta   Country:Indonesia  

  53. Engineering optoelectronic properties of high-Sn-content GeSn, GeSiSn, and SiSn thin films Invited International conference

    O. Nakatsuka, M. Kurosawa, M. Fukuda, M. Sakashita, W. Takeuchi, and S. Zaima

    IEEE Photonics Society Summer Topical Meeting Series 2018 

     More details

    Event date: 2018.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Hawaii   Country:United States  

  54. Engineering electronic properties of GeSn-related group-IV thin films for nanoelectronic applications Invited International conference

    O. Nakatsuka, M. Kurosawa, W. Takeuchi, M. Sakashita, and S. Zaima

    European Materials Research Society (2018 E-MRS Spring Meeting) 

     More details

    Event date: 2018.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Strasbourg   Country:France  

  55. GeSn-based thin film thermoelectric generators Invited International conference

    M. Kurosawa, M. Sakashita, O. Nakatsuka, and S. Zaima

    International Conference on Processing & Manufacturing of Advanced Materials Processing, Fabrication, Properties, Applications (THERMEC'2018) 

     More details

    Event date: 2018.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Paris   Country:France  

  56. Formation of Ultra-Low Resistance Contact with Nickel Stanogermanide/Heavily Doped n+-Ge1-xSnx Structure International conference

    J. Jihee, A. Suzuki, K. Takahashi, O. Nakatsuka, and S. Zaima

    1st Joint Conference ICSI / ISTDM 2018 

     More details

    Event date: 2018.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Potsdam   Country:Germany  

  57. Ultra-thin GeSn on Insulator structure through the direct bonding technique International conference

    T. Maeda, W. H. Chang, T. Irisawa, H. Ishii, H. Hattori, M. Kurosawa, Y. Imai, O. Nakatsuka, and N. Uchida

    1st Joint Conference ICSI / ISTDM 2018 

     More details

    Event date: 2018.5

    Language:English   Presentation type:Poster presentation  

    Venue:Potsdam   Country:Germany  

  58. Optoelectronic Characterization of Ge1-x-ySixSny/Ge1-xSnx/Ge1-x-ySixSny Double-Heterostructure with High-Si-Content Ge1-x-ySixSny Layer International conference

    M. Fukuda, D. Rainko, M. Sakashita, M. Kurosawa, D. Buca, O. Nakatsuka, and S. Zaima

    1st Joint Conference ICSI / ISTDM 2018 

     More details

    Event date: 2018.5

    Language:English   Presentation type:Poster presentation  

    Venue:Potsdam   Country:Germany  

  59. Domain size effects on thermoelectric properties of p-type Ge0.95Sn0.05 layers grown on GaAs and Si substrates International conference

    Y. Imai, K. Takahashi, N. Uchida, T. Maeda, O. Nakatsuka, S. Zaima, and M. Kurosawa

    The 2nd Electron Devices Technology and Manufacturing (EDTM 2018) 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Poster presentation  

    Venue:Kobe   Country:Japan  

  60. Low thermal budget fabrication of poly-Ge1-xSnx thin film thermoelectric generator International conference

    K. Takahashi, H. Ikenoue, M. Sakashita, O. Nakatsuka, S. Zaima, and M. Kurosawa

    The 2nd Electron Devices Technology and Manufacturing (EDTM 2018) 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Poster presentation  

    Venue:Kobe   Country:Japan  

  61. Heavily p-type Doping to Si1-xSnx Layers Grown on SOI Substrates International conference

    Y. Inaishi, M. Kurosawa, M. Sakashita, O. Nakatsuka, and S. Zaima

    10th International Symposium on Advanced Plasma Science and Its Applications for Nitrides Nanomaterials / 11th International Conference on Plasma-Nano Technology and Science (ISPlasma 2018 / IC-PLANTS 2018) 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya   Country:Japan  

  62. Growth of Ge1-xSnx Layer by Metal-organic Chemical Vapor Deposition Method using Tetrakis Dimethylamino Tin International conference

    Y. Miki, W. Takeuchi, O. Nakatsuka, and S. Zaima

    10th International Symposium on Advanced Plasma Science and Its Applications for Nitrides Nanomaterials / 11th International Conference on Plasma-Nano Technology and Science (ISPlasma 2018 / IC-PLANTS 2018) 

     More details

    Event date: 2018.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya   Country:Japan  

  63. Heterostructure Engineering of GeSn and SiGeSn Group-IV Alloy Semiconductor Layers Invited International conference

    O. Nakatsuka, M. Kurosawa, W. Takeuchi, M. Sakashita, and S. Zaima

    11th International WorkShop on New Group IV Semiconductor Nanoelectronics 

     More details

    Event date: 2018.2

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Sendai   Country:Japan  

  64. Low-Temperature Chemical Vapor Deposition of SiC Thin Film Using Vinylsilane for Metal Surface Coating International conference

    T. Doi, W. Takeuchi, Y. Jin, H. Kokubun, S. Yasuhara, O. Nakatsuka, and S. Zaima

    11th International WorkShop on New Group IV Semiconductor Nanoelectronics 

     More details

    Event date: 2018.2

    Language:English   Presentation type:Poster presentation  

    Venue:Sendai   Country:Japan  

  65. Crystal growth of GeSn-based materials and its application for thin-film thermoelectric generators Invited International conference

    M. Kurosawa, M. Sakashita, O. Nakatsuka, and S. Zaima

    The 2017 Global Research Efforts on Energy and Nanomaterials (GREEN 2017) 

     More details

    Event date: 2017.12

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:Taipei   Country:Taiwan, Province of China  

  66. Energy Band Structure of Ga-oxide/GaN Interface Formed by Remote O2 Plasma International conference

    T. Yamamoto, N. Taoka, A. Ohta, T. X. Nguyen, H. Yamada, T. Takahashi, M. Ikeda, K. Makihara, O. Nakatsuka, M. Shimizu, and S. Miyazaki

    2017 International Workshop on DIELECTRIC THIN FILMS FOR FUTURE ELECTRON DEVICES: SCIENCE AND TECHNOLOGY (2017 IWDTF) 

     More details

    Event date: 2017.11

    Language:English   Presentation type:Poster presentation  

    Venue:Nara   Country:Japan  

  67. Characterization of Defects in Ge1-xSnx Gate Stack Structure International conference

    Y. Kaneda, S. Ike, M. Kanematsu, M. Sakashita, W. Takeuchi, O. Nakatsuka, and S. Zaima

    2017 International Workshop on DIELECTRIC THIN FILMS FOR FUTURE ELECTRON DEVICES: SCIENCE AND TECHNOLOGY (2017 IWDTF) 

     More details

    Event date: 2017.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nara   Country:Japan  

  68. Development of GeSn-Related Group-IV Semiconductor Thin Films for Future Si Nanoelectronic Applications Invited International conference

    S. Zaima, O. Nakatsuka, M. Kurosawa, W. Takeuchi, and M. Sakashita

    the 4th International Symposium on Hybrid Materials and Processing (HyMaP 2017) 

     More details

    Event date: 2017.11

    Language:English   Presentation type:Oral presentation (keynote)  

    Venue:Busan   Country:Korea, Republic of  

  69. GeSn and related group-IV alloy thin films for future Si nanoelectronics Invited International conference

    O. Nakatsuka, M. Kurosawa, W. Takeuchi, M. Sakashita and S. Zaima

    The Tenth International Conference on High-Performance Ceramics (CICC-10) 

     More details

    Event date: 2017.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Nanchang   Country:China  

  70. Crystalline and electrical properties of epitaxial HfGe2/Ge contact for lowering Schottky barrier height International conference

    O. Nakatsuka, A. Suzuki, J. McVittie, Y. Nishi, and S. Zaima

    Advanced Metallization Conference 2017: 27th Asian Session (ADMETA Plus 2017) 

     More details

    Event date: 2017.10

    Language:English   Presentation type:Poster presentation  

    Venue:Tokyo   Country:Japan  

  71. Growth and Applications of Si1-xSnx Thin Films Invited International conference

    M. Kurosawa, O. Nakatsuka, and S. Zaima

    The 232th Electrochemical Society Meeting (232nd ECS MEETING) 

     More details

    Event date: 2017.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:MD   Country:United States  

  72. Numerical calculation of energy band offset of Si1-xSnx by density functional calculation International conference

    Y. Nagae, M. Kurosawa, M. Araidai, O. Nakatsuka, K. Shiraishi, and S. Zaima

    The 2nd International Symposium on Creation of Life Innovation Materials for Interdisciplinary and International Researcher Development (iLIM-2) 

     More details

    Event date: 2017.9

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  73. Heavy n- and p-type doping for polycrystalline Ge1-xSnx layers using pulsed laser annealing in water International conference

    K. Takahashi, M. Kurosawa, H. Ikenoue, M. Sakashita, O. Nakatsuka, and S. Zaima

    The 2nd International Symposium on Creation of Life Innovation Materials for Interdisciplinary and International Researcher Development (iLIM-2) 

     More details

    Event date: 2017.9

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  74. Control of Electrical Property at Metal/Ge Interface with Group-IV Alloy Interlayer International conference

    A. Suzuki, O. Nakatsuka, M. Sakashita, and S. Zaima

    International Conference on Materials and Systems for Sustainability 2017 (ICMaSS 2017) 

     More details

    Event date: 2017.9 - 2017.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya   Country:Japan  

  75. Characterization of Crystallinity and Energy Band Alignment of Ge1-xSnx/Ge1-x-ySixSny Heterostructure International conference

    M. Fukuda, M. Sakashita, M. Kurosawa, O. Nakatsuka, and S. Zaima

    International Conference on Materials and Systems for Sustainability 2017 (ICMaSS 2017) 

     More details

    Event date: 2017.9 - 2017.10

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  76. Strain measurement of simulated finFET structures of Ge and GeSn prepared by MOCVD International conference

    K. Saitoh, S. Ou, S. Ike, O. Nakatsuka and, S. Zaima

    International Conference on Materials and Systems for Sustainability 2017 (ICMaSS 2017) 

     More details

    Event date: 2017.9 - 2017.10

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  77. Thermal Stability Study of in-situ Sb-Doped n- Ge1-xSnx Epitaxial Layers for Source/Drain Stressor of Strained Ge Transistors International conference

    J. Jeon, A. Suzuki, O. Nakatsuka and, S. Zaima

    International Conference on Materials and Systems for Sustainability 2017 (ICMaSS 2017) 

     More details

    Event date: 2017.9 - 2017.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya   Country:Japan  

  78. Fabrication and Thermoelectric Mechanism Study of Flexible Si1-xGex Superlattice Films International conference

    Y. Peng, L. Miao, C. Li, R. Huang, D. Urushihara, T. Asaka, M. Kurosawa, O. Nakatsuka, and S. Zaima

    International Conference on Materials and Systems for Sustainability 2017 (ICMaSS 2017) 

     More details

    Event date: 2017.9 - 2017.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya   Country:Japan  

  79. Sb-doping effect on thermal and electrical properties of Ge-rich Ge1-xSnx layers International conference

    T. Iwahashi, M. Kurosawa, N. Uchida, Y. Ohishi, T. Maeda, O. Nakatsuka, and S. Zaima

    2017 International Conference on Solid State Devices and Materials (SSDM 2017) 

     More details

    Event date: 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sendai   Country:Japan  

  80. Dopants behavior in polycrystallization of heavily doped Ge1-xSnx layer using pulsed laser annealing in water International conference

    K. Takahashi, M. Kurosawa, H. Ikenoue, M. Sakashita, O. Nakatsuka, and S. Zaima

    2017 International Conference on Solid State Devices and Materials (SSDM 2017) 

     More details

    Event date: 2017.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sendai   Country:Japan  

  81. Synthesis of p- and n-type Ge1-xSnx Thin Films toward New Group-IV Thermoelectric Materials International conference

    M. Kurosawa, Y. Imai, T. Iwahashi, A. Ohta, N. Uchida, Y. Ohishi, T. Maeda, O. Nakatsuka, and S. Zaima

    36th Annual International Conference on Thermoelectrics (2017 ICT) 

     More details

    Event date: 2017.7 - 2017.8

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Pasadena   Country:United States  

  82. Characterization of energy band structure of Si1-x-ySnxCy ternary alloy layers prepared with solid-phase crystallization International conference

    S. Yano, O. Nakatsuka, C. Lim, M. Sakashita, M. Kurosawa, and S. Zaima

    29th International Conference on Defects in Semiconductors (ICDS 2017) 

     More details

    Event date: 2017.7 - 2017.8

    Language:English   Presentation type:Poster presentation  

    Venue:Matsue   Country:Japan  

  83. Research and development of GeSn-related thin-film semiconductors for nanoelectronic and optoelectronic applications Invited International conference

    O. Nakatsuka, M. Kurosawa, W. Takeuchi, M. Sakashita, and S. Zaima

    Frontiers in Materials Processing Applications, Research and Technology (FiMPART 2017) 

     More details

    Event date: 2017.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Bordeaux   Country:France  

  84. Formation of Epitaxial Hf Germanide/Ge Contacts for Schottky Barrier Height Engineering International conference

    O. Nakatsuka, A. Suzuki, J. McVittie, Y. Nishi, and S. Zaima

    17th International Workshop on Junction Technology 2017 (IWJT 2017) 

     More details

    Event date: 2017.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kyoto   Country:Japan  

  85. Development of GeSn and related semiconductor thin films for next generation optoelectronic applications Invited International conference

    O. Nakatsuka, M. Kurosawa, W. Takeuchi, M. Sakashita, and S. Zaima

    2017 Global Conference on Polymer and Composite Materials (PCM 2017) 

     More details

    Event date: 2017.5

    Language:English   Presentation type:Poster presentation  

    Venue:Tianhe District, Guangzhou   Country:China  

  86. Alleviation of Fermi level pinning at metal/Ge interface using lattice-matching group-IV ternary alloy interlayer International conference

    A. Suzuki, O. Nakatsuka, S. Toda, M. Sakashita, and S. Zaima

    The 10th International Conference on Silicon Epitaxy and Heterostructures (ICSI-10) 

     More details

    Event date: 2017.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Coventry   Country:United Kingdom  

  87. Solid phase epitaxy of Si1-xSnx layers on various substrates International conference

    M. Kurosawa, M. Kato, K. Takahashi, O. Nakatsuka, and S. Zaima

    The 10th International Conference on Silicon Epitaxy and Heterostructures (ICSI-10) 

     More details

    Event date: 2017.5

    Language:English   Presentation type:Poster presentation  

    Venue:Coventry   Country:United Kingdom  

  88. Epitaxial growth of n+-Ge1-xSnxlayerswith in situ phosphorus doping using low-temperature metal-organic chemical vapor deposition method International conference

    S. Ike, W. Takeuchi, O. Nakatsuka, and S. Zaima

    The 10th International Conference on Silicon Epitaxy and Heterostructures (ICSI-10) 

     More details

    Event date: 2017.5

    Language:English   Presentation type:Poster presentation  

    Venue:Coventry   Country:United Kingdom  

  89. Formation of heavily Sb and Ga doped poly-Ge1-xSnx layers on insulator using pulsed laser annealing in water International conference

    K. Takahashi, M. Kurosawa, H. Ikenoue, M. Sakashita, O. Nakatsuka, and S. Zaima

    The 10th International Conference on Silicon Epitaxy and Heterostructures (ICSI-10) 

     More details

    Event date: 2017.5

    Language:English   Presentation type:Poster presentation  

    Venue:Coventry   Country:United Kingdom  

  90. Control of lattice constant of Ge1-x-ySixSny layer for energy band engineering in Ge1-xSnx/Ge1-x-ySixSny heterostructure International conference

    M. Fukuda, K. Watanabe, M. Sakashita, M. Kurosawa, O. Nakatsuka, and S. Zaima

    The 10th International Conference on Silicon Epitaxy and Heterostructures (ICSI-10) 

     More details

    Event date: 2017.5

    Language:English   Presentation type:Poster presentation  

    Venue:Coventry   Country:United Kingdom  

  91. Formation of SiC and SiCN Films by Chemical Vapor Deposition using Vinylsilane International conference

    T. Doi, W. Takeuchi, Y. Jin, H. Kokubun, S. Yasuhara, O. Nakatsuka and S. Zaima

    9th International Symposium on Advanced Plasma Science and Its Applications for Nitrides Nanomaterials / 10th International Conference on Plasma-Nano Technology and Science (ISPlasma 2017 / IC-PLANTS 2017) 

     More details

    Event date: 2017.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya   Country:Japan  

  92. Electrical Properties of AlON/4H-SiC MOS Capacitor Prepared by Plasma-Assisted Atomic Layer Deposition International conference

    W. Takeuchi, K. Yamamoto, T. Mimura, M. Sakashita, O. Nakatsuka, and , S. Zaima

    9th International Symposium on Advanced Plasma Science and Its Applications for Nitrides Nanomaterials / 10th International Conference on Plasma-Nano Technology and Science (ISPlasma 2017 / IC-PLANTS 2017) 

     More details

    Event date: 2017.3

    Language:English   Presentation type:Poster presentation  

    Venue:Nagoya   Country:Japan  

  93. Selective Growth of Ge1-xSnx Epitaxial Layer on Patterned Si Substrate using Metal-organic Chemical Vapor Deposition Method International conference

    T. Washizu, S. Ike, W. Takeuchi, O. Nakatsuka, S. Zaima

    9th International Symposium on Advanced Plasma Science and Its Applications for Nitrides Nanomaterials / 10th International Conference on Plasma-Nano Technology and Science (ISPlasma 2017 / IC-PLANTS 2017) 

     More details

    Event date: 2017.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya   Country:Japan  

  94. Development of in-situ Sb-Doped Ge1-xSnx Epitaxial Layers for Source/Drain Stressor of Strained GeTransistors International conference

    J. Jeon, A. Suzuki, K. Takahashi, O. Nakatsuka, and S. Zaima

    Electron Devices Technology and Manufacturing Conference (EDTM 2017) 

     More details

    Event date: 2017.2 - 2017.3

    Language:English   Presentation type:Poster presentation  

    Venue:Toyama   Country:Japan  

  95. Effect of Oxynitridation Annealing for SiO2/SiC Interface on Defects Properties Invited International conference

    W. Takeuchi, K. Yamamoto, M. Sakashita, O. Nakatsuka and S. Zaima

    10th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     More details

    Event date: 2017.2

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Sendai   Country:Japan  

  96. Influence of atomic layer deposition temperature of GeO2 layer on electrical properties of Ge and Ge1-xSnx gate stack International conference

    Y. Kaneda, M. Kanematsu, M. Sakashita, W. Takeuchi, O. Nakatsuka and S. Zaima

    10th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     More details

    Event date: 2017.2

    Language:English   Presentation type:Poster presentation  

    Venue:Sendai   Country:Japan  

  97. Solid phase crystallization of Ge0.98Sn0.02 layers on various insulating substrates International conference

    I. Yoshikawa, M. Kurosawa, W. Takeuchi, M. Sakashita, O. Nakatsuka and S. Zaima

    10th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     More details

    Event date: 2017.2

    Language:English   Presentation type:Poster presentation  

    Venue:Sendai   Country:Japan  

  98. In situ phosphorus doping of Ge and Ge1-xSnx epitaxial layers by low-temperature metal-organic chemical vapor deposition International conference

    S. Ike, W. Takeuchi, O. Nakatsuka and S. Zaima

    10th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     More details

    Event date: 2017.2

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sendai   Country:Japan  

  99. Growth of Si1-xSnx heteroepitaxial layers with very high Sn contents on InP(001) substrates International conference

    JSPS Meeting 2016 : Workshop on "Atomically Controlled Processing for Ultra-large Scale Integration" 

     More details

    Event date: 2016.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Germany  

  100. Formation of heavily Sb doped poly-Ge1-xSnx layer using pulsed laser annealing in water International conference

    JSPS Meeting 2016 : Workshop on "Atomically Controlled Processing for Ultra-large Scale Integration" 

     More details

    Event date: 2016.11

    Language:English   Presentation type:Poster presentation  

    Country:Germany  

  101. Control of Schottky barrier height of metal/Ge contact using group-IV alloy interlayers International conference

    JSPS Meeting 2016 : Workshop on "Atomically Controlled Processing for Ultra-large Scale Integration" 

     More details

    Event date: 2016.11

    Language:English   Presentation type:Poster presentation  

    Country:Germany  

  102. Characterization of Deep-Level Defects in Ge1-xSnx Epitaxial Layers using Deep Level Transient Spectroscopy International conference

    The 7th International Symposium on Advanced Science and Technology of Silicon Materials (7th JSPS Silicon Symposium) 

     More details

    Event date: 2016.11

    Language:English   Presentation type:Poster presentation  

    Country:United States  

  103. Microwave Annealing for Low-Thermal Budget Process of Nickel Monogermanide/Germanium Contact Formation International conference

    Advanced Metallization Conference 2016: 26th Asian Session (ADMETA Plus 2016) 

     More details

    Event date: 2016.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  104. Low Temperature Crystallization of SiSn Binary Alloys Invited International conference

    The 1st International Symposium on Creation of Life Innovation Materials for Interdisciplinary and International Researcher Development (iLIM-1) 

     More details

    Event date: 2016.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  105. Analysis of Microscopic Strain and Crystalline Structure in Ge/Ge1-xSnx Fine Structures By Using Synchrotron X-Ray Microdiffraction International conference

    Pacific Rim Meeting 2016 Joint The 230th Electrochemical Society Meeting (PRiME 2016/230th ECS Meeting) 

     More details

    Event date: 2016.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  106. Growth of Heavily Doped n-Ge Epitaxial Layer by In situ Phosphorus-doping with Low-temperature Metal-Organic Chemical Vapor Deposition International conference

    2016 International Conference on Solid State Devices and Materials (SSDM 2016) 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  107. Effect of N bonding structure in AlON on leakage current of 4H-SiC MOS capacitor International conference

    2016 International Conference on Solid State Devices and Materials (SSDM 2016) 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  108. Investigation of effects of inner stress with Sn incorporation on energy band of Si1-xSnx using density functional theory and photoelectron spectroscopy International conference

    2016 International Conference on Solid State Devices and Materials (SSDM 2016) 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  109. Heavy Sb-doping for poly-GeSn on insulator using pulsed laser annealing in water International conference

    2016 International Conference on Solid State Devices and Materials (SSDM 2016) 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  110. Impact of SixGe1-x-ySny interlayer on reduction in Schottky barrier height of metal/n-Ge contact International conference

    2016 International Conference on Solid State Devices and Materials (SSDM 2016) 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  111. Challenges in Engineering Materials Properties for GeSn Nanoelectronics Invited International conference

    The 2016 European Materials Research Society (E-MRS) Fall Meeting 

     More details

    Event date: 2016.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Poland  

  112. Low-Temperature Selective Epitaxial Growth of Ge on Si by using Metal Organic Chemical Vapor Deposition International conference

    The 18th International Conference on Crystal Growth and Epitaxy (ICCGE-18) 

     More details

    Event date: 2016.8

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  113. Growth and applications of GeSn-related group-IV semiconductor materials Invited International conference

    IEEE 2016 Summer Topicals Meeting Series 

     More details

    Event date: 2016.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:United States  

  114. Control of the Fermi level pinning position at metal/Ge interface by using Ge1-xSnx interlayer International conference

    7th International Symposium on Control of Semiconductor Interfaces (ISCSI-VII) and International SiGe Technology and Device Meeting (ISTDM 2016) 

     More details

    Event date: 2016.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  115. Direct Measurement of Anisotropic Local Strain in Ge Nanostructures Strained with MOCVD-grown Ge1-xSnx by using Microdiffraction International conference

    7th International Symposium on Control of Semiconductor Interfaces (ISCSI-VII) and International SiGe Technology and Device Meeting (ISTDM 2016) 

     More details

    Event date: 2016.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  116. Electrical and Optical Properties Improvement of GeSn Layers Formed at High Temperature under Well-controlled Sn Migration International conference

    7th International Symposium on Control of Semiconductor Interfaces (ISCSI-VII) and International SiGe Technology and Device Meeting (ISTDM 2016) 

     More details

    Event date: 2016.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  117. Effect of local and global strain on thermal stability of Sn in GeSn based film International conference

    7th International Symposium on Control of Semiconductor Interfaces (ISCSI-VII) and International SiGe Technology and Device Meeting (ISTDM 2016) 

     More details

    Event date: 2016.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  118. Si1-xGex Bulk Single Crystals for Substrates of Electronic Devices International conference

    7th International Symposium on Control of Semiconductor Interfaces (ISCSI-VII) and International SiGe Technology and Device Meeting (ISTDM 2016) 

     More details

    Event date: 2016.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  119. Formation and Characterization of GeSiSn/GeSn/GeSiSn Double-Heterostructure with Strain-controlled GeSiSn layer International conference

    7th International Symposium on Control of Semiconductor Interfaces (ISCSI-VII) and International SiGe Technology and Device Meeting (ISTDM 2016) 

     More details

    Event date: 2016.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  120. Interfacial Energy Control for Low-Temperature Crystallization of Ge-rich GeSn Layers on Insulating Substrate International conference

    7th International Symposium on Control of Semiconductor Interfaces (ISCSI-VII) and International SiGe Technology and Device Meeting (ISTDM 2016) 

     More details

    Event date: 2016.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  121. Development of GeSn thin film technology for electronic and optoelectronic applications Invited International conference

    2016 Energy Materials Nanotechnology (EMN) Summer Meeting and Photodetectors Meeting 

     More details

    Event date: 2016.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Mexico  

  122. International collaboration: the path to breakthroughs in (Si)GeSn material development International conference

    12th International Nanotechnology Conference on Communication ans Cooperation (INC12) 

     More details

    Event date: 2016.5

    Language:English   Presentation type:Poster presentation  

    Country:Belgium  

  123. Solid phase crystallization of Si1-x-ySnxCy ternary alloy layers and characterization of its crystalline and optical properties International conference

    8th International Symposium on Advanced Plasma Science and Its Applications for Nitrides Nanomaterials / 9th International Conference on Plasma-Nano Technology and Science (ISPlasma 2016 / IC-PLANTS 2016) 

     More details

    Event date: 2016.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  124. Impact of Atomic Hydrogen Irradiation on Epitaxial Growth of Ge1-xSnx and its Crystalline Property International conference

    8th International Symposium on Advanced Plasma Science and Its Applications for Nitrides Nanomaterials / 9th International Conference on Plasma-Nano Technology and Science (ISPlasma 2016 / IC-PLANTS 2016) 

     More details

    Event date: 2016.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  125. Structural and Electrical Properties of Low Temperature CVD-Grown SiGe Epitaxial Layers International conference

    9th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     More details

    Event date: 2016.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  126. Phosphorus doping into Ge with low electrical damage by liquid immersion laser doping International conference

    9th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     More details

    Event date: 2016.1

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  127. Control of Schottky barrier height at metal/Ge interface by insertion of Ge1-xSnx layer International conference

    9th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     More details

    Event date: 2016.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  128. Formation of poly-Si1-x-ySnxCy ternary alloy layer and characterization of its crystalline and optical properties International conference

    9th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     More details

    Event date: 2016.1

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  129. Formation of GeSn layer sandwiched with strain-controlled GeSiSn layers International conference

    9th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     More details

    Event date: 2016.1

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  130. Crystalline and Electrical Properties of in-situ Sb-Doped Ge1-xSnx Epitaxial Layers International conference

    9th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     More details

    Event date: 2016.1

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  131. Strain measurement of heteroepitaxial GeSn/Ge with a finFET structure International conference

    K. Saitoh, K. Doi, N. Tanaka, S. Ike, O. Nakatsuka, S. Zaima

    International Symposium on EcoTopia Science 2015 (ISETS '15) 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya   Country:Japan  

  132. Schottky Barrier Engineering by Epitaxial Metal Germanide/Germanium Contacts International conference

    International Symposium on EcoTopia Science 2015 (ISETS '15) 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  133. X-ray Microdiffraction Characterization of Local Strain Distribution in GeSn/Ge Nanostructures International conference

    International Symposium on EcoTopia Science 2015 (ISETS '15) 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  134. Silicon-tin semiconductors for near-infrared optoelectronic device applications International conference

    International Symposium on EcoTopia Science 2015 (ISETS '15) 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  135. Calculation of Si1-xSnx Energy Band Structures by using Density Functional Theory Considering Atomic Configuration International conference

    International Symposium on EcoTopia Science 2015 (ISETS '15) 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  136. Characterization of electrically active defects in epitaxial GeSn/n-Ge junctions International conference

    International Symposium on EcoTopia Science 2015 (ISETS '15) 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  137. Control of Schottky Barrier Height at Metal/Ge Interface by SnxGe1-x Interlayer International conference

    International Symposium on EcoTopia Science 2015 (ISETS '15) 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  138. Electrical Characteristics of Ge pn-junction Diodes Prepared by Using Liquid Immersion Laser Doping International conference

    International Symposium on EcoTopia Science 2015 (ISETS '15) 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  139. Recent Progress of Silicon Tin Alloys for Advanced Semiconductor Devices International conference

    M. Kurosawa, O. Nakatsuka, and S. Zaima

    International Workshop on Advanced Nanomaterials for Future Electron Devices 2015 (IWAN 2015) 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya   Country:Japan  

  140. Crystal growth and energy band engineering of group-IV semiconductor thin films for nanoelectronic applications International conference

    O. Nakatsuka, M. Kurosawa, W. Takeuchi, Y. Shimura, M. Sakashita, and S. Zaima

    International Workshop on Advanced Nanomaterials for Future Electron Devices 2015 (IWAN 2015) 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya   Country:Japan  

  141. Influence of Atomic Layer Deposition Temperature of GeO2 Layer on Electrical Properties of Ge Gate Stack International conference

    M. Kanematsu, S. Shibayama, M. Sakashita, W. Takeuchi, O. Nakatsuka, S. Zaima

    2015 International Workshop on DIELECTRIC THIN FILMS FOR FUTURE ELECTRON DEVICES - SCIENCE AND TECHNOLOGY - (2015 IWDTF) 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tokyo   Country:Japan  

  142. Evaluation of Energy Band Structure of Si1-xSnx by Density Functional Theory Calculation and Photoelectron Spectroscopy International conference

    Y. Nagae, S. Shibayama, M. Kurosawa, M. Araidai, M. Sakashita, O. Nakatsuka, K. Shiraishi, S. Zaima

    2015 International Workshop on DIELECTRIC THIN FILMS FOR FUTURE ELECTRON DEVICES - SCIENCE AND TECHNOLOGY - (2015 IWDTF) 

     More details

    Event date: 2015.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tokyo   Country:Japan  

  143. Challenges of Energy Band Engineering with New Sn-Related Group IV Semiconductor Materials for Future Integrated Circuits Invited International conference

    S. Zaima, O. Nakatsuka, T. Yamaha, T. Asano, S. Ike, A. Suzuki, M. Kurosawa, W. Takeuchi, and M. Sakashita

    The 228th Electrochemical Society Meeting 

     More details

    Event date: 2015.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Phoenix   Country:United States  

  144. Crystal Growth of GeSn-related Group-IV Thin Films for Integrating on Si Nanoelectronics Platform Invited International conference

    S. Zaima, O. Nakatsuka, T. Asano, T. Yamaha, S. Ike, A. Suzuki, M. Kurosawa, W. Takeuchi and M. Sakashita

    2015 International Conference on Solid State Devices and Materials (SSDM 2015) 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Sapporo   Country:Japan  

  145. Impact of Ultra-high Sn Content SnxGe1-x Interlayer on Reducing Schottky Barrier Height at Metal/n-Ge Interface International conference

    A. Suzuki, O. Nakatsuka, S. Shibayama, M. Sakashita, W. Takeuchi, M. Kurosawa and S. Zaima

    2015 International Conference on Solid State Devices and Materials (SSDM 2015) 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Poster presentation  

    Venue:Sapporo   Country:Japan  

  146. Influence of in-situ Sb-Doping on Crystalline and Electrical Characteristics of n-type Ge1-xSnx Epitaxial Layer International conference

    J. Jeon, T. Asano, W. Takeuchi, M. Kurosawa, O. Nakatsuka and S. Zaima

    2015 International Conference on Solid State Devices and Materials (SSDM 2015) 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Poster presentation  

    Venue:Sapporo   Country:Japan  

  147. Effect of Nitridation for SiO2/SiC Interface on Defects Properties near Conduction Band Edge International conference

    W. Takeuchi, K. Yamamoto, M. Sakashita, T. Kanemura, O. Nakatsuka and S. Zaima

    2015 International Conference on Solid State Devices and Materials (SSDM 2015) 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sapporo   Country:Japan  

  148. Influence of Precursor Gas on SiGe Epitaxial Material Quality in Terms of Structural and Electrical Defects International conference

    S. Ike, E. Simoen, Y. Shimura, A. Hikavyy, W. Vandervorst, R. Loo, W. Takeuchi, O. Nakatsuka and S. Zaima

    2015 International Conference on Solid State Devices and Materials (SSDM 2015) 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sapporo   Country:Japan  

  149. Crystalline Structure and Chemical Reaction of Ti Thin Layer on Highly Oriented Pyrolytic Graphite International conference

    O. Nakatsuka, K. Hisada, S. Oida, A. Sakai, and S. Zaima

    Advanced Metallization Conference 2015: 25th Asian Session (ADMETA Plus 2015) 

     More details

    Event date: 2015.9

    Language:English   Presentation type:Poster presentation  

    Venue:Seoul   Country:Korea, Republic of  

  150. Characterization of Deep-level Defects in Epitaxial Ge1-xSnx/Ge structure Invited International conference

    W. Takeuchi, T. Asano, Y. Inuzuka, M. Sakashita, O. Nakatsuka and S. Zaima

    JSPS International Core-to-Core Program Workshop Atomically Controlled Processing for Ultra-large Scale Integration 

     More details

    Event date: 2015.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Marseille   Country:France  

  151. Reduction of Schottky barrier height with Sn/Ge contact International conference

    A. Suzuki, O. Nakatsuka, S. Shibayama, M. Sakashita, W. Takeuchi, M. Kurosawa, S. Zaima

    JSPS International Core-to-Core Program Workshop Atomically Controlled Processing for Ultra-large Scale Integration 

     More details

    Event date: 2015.7

    Language:English   Presentation type:Poster presentation  

    Venue:Marseille   Country:France  

  152. Development of polycrystalline Sn-related group-IV semiconductor thin films - Aiming for 3D-IC Invited International conference

    M. Kurosawa, W. Takeuchi, M. Sakashita, O. Nakatsuka, and S. Zaima

    2015 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2015) 

     More details

    Event date: 2015.6 - 2015.7

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Jeju island   Country:Korea, Republic of  

  153. Formation of Ge pn-junction diode by phosphorus doping with liquid immersion laser irradiation International conference

    K. Takahashi, M. Kurosawa, H. Ikenoue, M. Sakashita, W. Takeuchi, O. Nakatsuka, S. Zaima

    15th International Workshop on Junction Technology 2015 (IWJT 2015) 

     More details

    Event date: 2015.6

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Kyoto   Country:Japan  

  154. Formation of type-I energy band alignment of Ge1-x-ySixSny/Ge hetero structure International conference

    T. Yamaha, K. Kato, S. Shibayama, T. Asano, M. Sakashita, O. Nakatsuka, and S. Zaima

    The 9th International Conference On Silicon Epitaxy And Heterostructures (ICSI-9) 

     More details

    Event date: 2015.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Montreal   Country:Canada  

  155. Thermophysical characterizations of Ge1-xSnx epitaxial layers aiming for thermoelectric devices International conference

    M. Kurosawa, M. Fukuda, K. Takahashi, M. Sakashita, O. Nakatsuka, and S. Zaima

    The 9th International Conference On Silicon Epitaxy And Heterostructures (ICSI-9) 

     More details

    Event date: 2015.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Montreal   Country:Canada  

  156. Characterization of Crystallinity of Ge1-xSnx Epitaxial Layers Grown by using Metal-Organic Chemical Vapor Deposition International conference

    Y. Inuzuka, S. Ike, T. Asano, W. Takeuchi, O. Nakatsuka, and S. Zaima

    The 9th International Conference On Silicon Epitaxy And Heterostructures (ICSI-9) 

     More details

    Event date: 2015.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Montreal   Country:Canada  

  157. Control of Electrically Active Defects in Ge1-xSnx Epitaxial Layers International conference

    T. Asano, S. Shibayama, W. Takeuchi, M. Sakashita, O. Nakatsuka, S. Zaima

    The 9th International Conference On Silicon Epitaxy And Heterostructures (ICSI-9) 

     More details

    Event date: 2015.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Montreal   Country:Canada  

  158. Solid Phase Epitaxy of High Sn Content Si1-xSnx layer (x>0.2) on Ge Substrates for Optical Communication Applications International conference

    M. Kato, M. Kurosawa, T. Yamaha, N. Taoka, O. Nakatsuka, and S. Zaima

    The 9th International Conference On Silicon Epitaxy And Heterostructures (ICSI-9) 

     More details

    Event date: 2015.5

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Montreal   Country:Canada  

  159. Electrically-Active Defects in Ge1-xSnx Epitaxtial Layer International conference

    W. Takeuchi, T. Asano, M. Sakashita, O. Nakatsuka, S. Zaima

    7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides Nanomaterials / 8th International Conference on Plasma-Nano Technology and Science (ISPlasma 2015 / IC-PLANTS 2015) 

     More details

    Event date: 2015.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya   Country:Japan  

  160. Solid phase epitaxy of Ge1-x-ySnxCy ternary alloy layers International conference

    H. Oda, T. Yamaha, M. Kurosawa, W. Takeuchi, M. Sakashita, O. Nakatsuka, S. Zaima

    7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides Nanomaterials / 8th International Conference on Plasma-Nano Technology and Science (ISPlasma 2015 / IC-PLANTS 2015) 

     More details

    Event date: 2015.3

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Nagoya   Country:Japan  

  161. Crystal growth of Si1-xSnx alloys with high Sn contents International conference

    M. Kurosawa, M. Kato, Y. Nagae, T. Yamaha, O. Nakatsuka and S. Zaima

    8th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     More details

    Event date: 2015.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sendai   Country:Japan  

  162. Photoluminescence Property of Ge1-xSnx Epitaxial Layers Grown on Ge(001) substrates International conference

    T. Asano, K. Hozaki, T. Koyama, N. Taoka, O. Nakatsuka, H. Kishida and S. Zaima

    8th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     More details

    Event date: 2015.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sendai   Country:Japan  

  163. Behaviors of tin related defects in Sb doped n-type germanium International conference

    W. Takeuchi, N. Taoka, M. Sakashita, O. Nakatsuka and S. Zaima

    8th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     More details

    Event date: 2015.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Sendai   Country:Japan  

  164. Crystalline and Optical Properties of Ge1-x-ySixSny Ternary Alloy Layers for Solar Cell Application International conference

    T. Yamaha, S. Asaba, T. Terashima, T. Asano, W. Takeuchi, M. Sakashita, N. Taoka, O. Nakatsuka, and S. Zaima

    8th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     More details

    Event date: 2015.1

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Sendai   Country:Japan  

  165. Formation of strain-free Si1-x-yGexSny layers on Ge surfaces by using solid-liquid coexisting annealing International conference

    M. Kato, M. Kurosawa, T. Yamaha, N. Taoka, O. Nakatsuka and S. Zaima

    8th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     More details

    Event date: 2015.1

    Language:English   Presentation type:Poster presentation  

    Venue:Sendai   Country:Japan  

  166. Epitaxial Growth of Ge1-xSnx Thin Films by using Metal-Organic Chemical Vapor Deposition International conference

    Y. Inuzuka, S. Ike, T. Asano, W. Takeuchi, O. Nakatsuka, and S. Zaima

    8th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" 

     More details

    Event date: 2015.1

    Language:English   Presentation type:Poster presentation  

    Venue:Sendai   Country:Japan  

  167. Growth and Characterization of Si1-x-ySnxCy Ternary Alloy Thin Films for Solar Cell Application International conference

    T. Yamaha, H. Oda, M. Kurosawa, W. Takeuchi, O. Nakatsuka, and S. Zaima

    The 6th World Conferenceon Photovoltaic Energy Conversion (WCPEC-6) 

     More details

    Event date: 2014.11

    Language:English   Presentation type:Poster presentation  

    Venue:Kyoto   Country:Japan  

  168. Development of metal/Ge contacts for engineering Schottky barriers International conference

    O. Nakatsuka, Y. Deng, A. Suzuki, S. Shibayama, M. Kurosawa, W. Takeuchi, M. Sakashita, N. Taoka, and S. Zaima

    JSPS Core-to-Core Program Workshop "Atomically Controlled Processing for Ultralarge Scale Integration" 

     More details

    Event date: 2014.11

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Leuven(imec)   Country:Belgium  

  169. Hydrogen Surfactant Epitaxy of Ge1-xSnx Layers International conference

    T. Asano, N. Taoka, K. Hozaki, W. Takeuchi,M. Sakashita, O. Nakatsuka, and S. Zaima

    JSPS Core-to-Core Program Workshop "Atomically Controlled Processing for Ultralarge Scale Integration" 

     More details

    Event date: 2014.11

    Language:English   Presentation type:Poster presentation  

    Venue:Leuven(imec)   Country:Belgium  

  170. Growth and Characterization of Ternary Alloy Ge1-x-ySnxCy Layers International conference

    T. Yamaha, H. Oda, M. Kurosawa, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    JSPS Core-to-Core Program Workshop "Atomically Controlled Processing for Ultralarge Scale Integration" 

     More details

    Event date: 2014.11

    Language:English   Presentation type:Poster presentation  

    Venue:Leuven(imec)   Country:Belgium  

  171. Study of Local Strain Distribution in Ge1-xSnx/Ge Fine Structure by using Synchrotron X-ray Microdiffraction International conference

    S. Ike, Y. Moriyama, M. Kurosawa, N. Taoka, O. Nakatsuka, Y. Imai, S. Kimura, T. Tezuka, and S. Zaima

    JSPS Core-to-Core Program Workshop "Atomically Controlled Processing for Ultralarge Scale Integration" 

     More details

    Event date: 2014.11

    Language:English   Presentation type:Poster presentation  

    Venue:Leuven(imec)   Country:Belgium  

  172. Formation of Epitaxial NiGe Layer on Ge(001) Substrate and Influence of Interface Structure on Schottky Barrier Height International conference

    O. Nakatsuka, Y. Deng, M. Sakashita, and S. Zaima

    Advanced Metallization Conference 2014: 24th Asian Session (ADMETA Plus 2014) in conjunction with the 3rd International Workshop on Advanced Packaging & System Technology (IWAPS) 

     More details

    Event date: 2014.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tokyo   Country:Japan  

  173. Low Schottky barrier height contacts with Sn electrode for various orientation n-Ge substrates International conference

    A. Suzuki, D. Yunsheng, S. Shibayama, M. Kurosawa, M. Sakashita, O. Nakatsuka, and S. Zaima

    Advanced Metallization Conference 2014: 24th Asian Session (ADMETA Plus 2014) in conjunction with the 3rd International Workshop on Advanced Packaging & System Technology (IWAPS) 

     More details

    Event date: 2014.10

    Language:English   Presentation type:Poster presentation  

    Venue:Tokyo   Country:Japan  

  174. Poly & Epitaxial Crystallization of Silicon-tin Binary Alloys for Future Optoelectronics International conference

    M. Kurosawa, M. Kato, K. Takahashi, T. Yamaha, N. Taoka, O. Nakatsuka, and S. Zaima

    16th International Conference on Thin Films (ICTF16) 

     More details

    Event date: 2014.10

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Dubrovnik   Country:Croatia  

  175. Challenges and Developments in GeSn Process Technology for Si Nanoelectronics Invited International conference

    S. Zaima, O. Nakatsuka, N. Taoka, K. Kato, W. Takeuchi, and M. Sakashita

    226th Meeting of The Electrochemical Society (ECS) and SMEQ (Sociedad Mexicana de Electroquímica) Joint International Meeting 

     More details

    Event date: 2014.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Cancun   Country:Mexico  

  176. Epitaxial Growth of GeSn Layers on (001), (110), and (111) Si and Ge Substrates Invited International conference

    O. Nakatsuka, N. Taoka, T. Asano, T. Yamaha, M. Kurosawa, W. Takeuchi, and S. Zaima

    226th Meeting of The Electrochemical Society (ECS) and SMEQ (Sociedad Mexicana de Electroquímica) Joint International Meeting 

     More details

    Event date: 2014.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Venue:Cancun   Country:Mexico  

  177. Operations of CMOS Inverter and Ring Oscillator Composed of Ultra-Thin Body Poly-Ge p- and n-MISFETs for Stacked Channel 3D-IC International conference

    Y. Kamata, M. Koike, E. Kurosawa, M. Kurosawa, H. Ota, O. Nakatsuka, S. Zaima and T. Tezuka

    2014 International Conference on Solid State Devices and Materials (SSDM 2014) 

     More details

    Event date: 2014.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tsukuba   Country:Japan  

  178. Electrically Active Defects in GeSnSi/Ge Junctions Formed at Low Temperature International conference

    N. Taoka, T. Asano, T. Yamaha, T. Terashima, S. Asaba, O. Nakatsuka, P. Zaumseil, G. Capellini, T. Schroeder and S. Zaima

    2014 International Conference on Solid State Devices and Materials (SSDM 2014) 

     More details

    Event date: 2014.9

    Language:English   Presentation type:Poster presentation  

    Venue:Tsukuba   Country:Japan  

  179. Sub-300C fabrication of poly-GeSn junctionless tri-gate p-FETs enabling sequential 3D integration of CMOS circuits International conference

    M. Kurosawa, Y. Kamata, H. Ikenoue, N. Taoka, O. Nakatsuka, T. Tezuka and S. Zaima

    2014 International Conference on Solid State Devices and Materials (SSDM 2014) 

     More details

    Event date: 2014.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tsukuba   Country:Japan  

  180. Formation and Energy Band Engineering of Ternary Alloy Ge1-x-ySnxCy Layers International conference

    T. Yamaha, H. Oda, M. Kurosawa, W. Takeuchi, N. Taoka, O. Nakatsuka and S. Zaima

    2014 International Conference on Solid State Devices and Materials (SSDM 2014) 

     More details

    Event date: 2014.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tsukuba   Country:Japan  

  181. Growth of Two Inch Si0.5Ge0.5 Bulk Single Crystals International conference

    K. Kinoshita, Y. Arai, O. Nakatsuka, K. Taguchi, H. Tomioka, R. Tanaka and S. Yoda

    2014 International Conference on Solid State Devices and Materials (SSDM 2014) 

     More details

    Event date: 2014.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tsukuba   Country:Japan  

  182. Impact of Hydrogen Surfactant Epitaxy and Annealing on Crystallinity of Epitaxial Ge1-xSnx Layers International conference

    T. Asano, N. Taoka, K. Hozaki, W. Takeuchi, M. Sakashita, O. Nakatsuka and S. Zaima

    2014 International Conference on Solid State Devices and Materials (SSDM 2014) 

     More details

    Event date: 2014.9

    Language:English   Presentation type:Oral presentation (general)  

    Venue:Tsukuba   Country:Japan  

  183. Mobility behavior of Si1-x-yGexSny polycrystals grown on insulators International conference

    T. Ohmura, T. Yamaha, M. Kurosawa, W. Takeuchi, M. Sakashita, N. Taoka, O. Nakatsuka, and S. Zaima

    International Union of Materials Research Societies - International Conference in Asia 2014 (IUMRS-ICA 2014) 

     More details

    Event date: 2014.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  184. Transformation of Defects Structure in Germanium by Sn Ion Implantation International conference

    W. Takeuchi, N. Taoka, M. Sakashita, O. Nakatsuka, and S. Zaima

    International Union of Materials Research Societies - International Conference in Asia 2014 (IUMRS-ICA 2014) 

     More details

    Event date: 2014.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  185. Crystalline Growth and Characterization of Group-IV Ternary Alloy Thin Films for Solar Cell International conference

    T. Yamaha, K. Terasawa, T. Terashima, W. Takeuchi, M. Sakashita, N. Taoka, O. Nakatsuka, and S. Zaima

    Grand Renewable Energy 2014 International Conference and Exhibition 

     More details

    Event date: 2014.7 - 2014.8

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  186. Low temperature growth of SiSn polycrystals with high Sn contents on insulating layers International conference

    M. Kurosawa, M. Kato, T. Yamaha, N. Taoka, O. Nakatsuka, and S. Zaima

    International SiGe Technology and Device Meeting 2014 (ISTDM 2014) 

     More details

    Event date: 2014.6

    Language:English   Presentation type:Poster presentation  

    Country:Singapore  

  187. Impact of Sn incorporation on low temperature growth of polycrystalline-Si1-xGex layers on insulators International conference

    T. Yamaha, T. Ohmura, M. Kurosawa, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    International SiGe Technology and Device Meeting 2014 (ISTDM 2014) 

     More details

    Event date: 2014.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Singapore  

  188. Epitaxial growth and crystalline properties of Ge1-x-ySixSny Layers on Ge(001) Substrates International conference

    T. Asano, T. Terashima, T. Yamaha, M. Kurosawa, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    International SiGe Technology and Device Meeting 2014 (ISTDM 2014) 

     More details

    Event date: 2014.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Singapore  

  189. Crystal growth of Sn-related group-IV alloy thin films for advanced silicon nanoelectronics International conference

    S. Zaima, O. Nakatsuka, N. Taoka, M. Kurosawa, T. Asano, T. Yamaha, and W. Takauchi

    International SiGe Technology and Device Meeting 2014 (ISTDM 2014) 

     More details

    Event date: 2014.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Singapore  

  190. Growth and crystalline properties of Ge1-x-ySnxCy ternary alloy thin films on Ge(001) substrate International conference

    K. Terasawa, T. Yamaha, M. Kurosawa, W. Takeuchi, N. Taoka, O. Nakatsuka, E. Kamiyama, R. Matsutani, R. Suwa, K. Kashima, K. Izunome, K. Sueoka, and S. Zaima

    International SiGe Technology and Device Meeting 2014 (ISTDM 2014) 

     More details

    Event date: 2014.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Singapore  

  191. Impact of crystalline structure on electrical property of NiGe/Ge contact International conference

    Y. Deng, O. Nakatsuka, N. Taoka, S. Zaima

    International SiGe Technology and Device Meeting 2014 (ISTDM 2014) 

     More details

    Event date: 2014.6

    Language:English   Presentation type:Poster presentation  

    Country:Singapore  

  192. Formation and Electrical Properties of Metal/Ge1-xSnx Contacts International conference

    O. Nakatsuka, T. Nishimura, A. Suzuki, K. Kato, Y. Deng, M. Kurosawa, W. Takeuchi, M. Sakashita, N. Taoka, and S. Zaima

    14th International Workshop on Junction Technology (IWJT 2014) 

     More details

    Event date: 2014.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:China  

  193. Strain Distributions at Edge of Corner in Bonded Si in Chip-on-Wafer Structures International conference

    N. Taoka, O. Nakatsuka, Y. Mizushima, H. Kitada, Y. S. Kim, T. Nakamura, T. Ohba, and S. Zaima

    Materials for Advanced metallization (MAM 2014) 

     More details

    Event date: 2014.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Germany  

  194. Formation and Electrical Property of Epitaxial NiGe/Ge(110) Schottky Contacts International conference

    Y. Deng, O. Nakatsuka, N. Taoka and S. Zaima

    7th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar 

     More details

    Event date: 2014.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  195. Sn-assisted low temperature crystallization of polycrystalline Ge1-xSnx thin-films on insulating surfaces International conference

    M. Kurosawa, T. Yamaha, W. Takeuchi, N. Taoka, O. Nakatsuka, H. Ikenoue, and S. Zaima

    7th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar 

     More details

    Event date: 2014.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  196. Substrate Orientation Dependence of Crystalline Structures of Epitaxial GeSn Layers International conference

    T. Asano, S. Kidowaki, M. Kurosawa, N. Taoka, O. Nakatsuka and S. Zaima

    7th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar 

     More details

    Event date: 2014.1

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  197. Characterization of Crystalline Structures of SiGe Substrate Formed by Traveling Liquidus-Zone Method and Fabrication of Strained Ge Layer International conference

    T. Yamaha, O. Nakatsuka, N. Taoka, K. Kinoshita, S. Yoda and S. Zaima

    7th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar 

     More details

    Event date: 2014.1

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  198. Sn-related Group-IV semiconductor materials for electronic and optoelectronic applications International conference

    O. Nakatsuka, N. Taoka, T. Asano, T. Yamaha, M. Kurosawa, W. Takeuchi, M. Sakashita, and S. Zaima

    3rd international Conference on Nanotek and Expo (Nanotek-2013) 

     More details

    Event date: 2013.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:United States  

  199. Development of Ge1-xSn and Ge1-x-ySixSny thin film materials for future electronic applications International conference

    O. Nakatsuka, N. Taoka, T. Asano, T. Yamaha, M. Kurosawa, K. Kato, W. Takeuchi, M. Sakashita, and S. Zaima

    8th International Conference on Processing & Manufacturing of Advanced Materials (THERMEC' 2013) 

     More details

    Event date: 2013.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  200. Quantitative Guideline for Formation of Ge MOS Interface with Low Interface State Density International conference

    S. Shibayama, K. Kato, N. Taoka, M. Sakashita, O. Nakatsuka, S. Zaima

    2013 International Workshop on DIELECTRIC THIN FILMS FOR FUTURE ELECTRON DEVICES - SCIENCE AND TECHNOLOGY - (IWDTF 2013) 

     More details

    Event date: 2013.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  201. Robustness of Sn Precipitation During Thermal Process of Ge1-xSnx International conference

    K. Kato, T. Asano, N. Taoka, M. Sakashita, O. Nakatsuka, S. Zaima

    2013 International Workshop on DIELECTRIC THIN FILMS FOR FUTURE ELECTRON DEVICES - SCIENCE AND TECHNOLOGY - (IWDTF 2013) 

     More details

    Event date: 2013.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  202. Interface Properties of Al2O3/Ge MOS Structures with Thin Ge Oxide Interfacial Layer Formed by Pulsed MOCVD International conference

    T. Yoshida, K. Kato, S. Shibayama, M. Sakashita, N. Taoka, W. Takeuchi, O. Nakatsuka, S. Zaima

    2013 International Workshop on DIELECTRIC THIN FILMS FOR FUTURE ELECTRON DEVICES - SCIENCE AND TECHNOLOGY - (IWDTF 2013) 

     More details

    Event date: 2013.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  203. Heteroepitaxial Growth of Sn-Related Group-IV Materials On Si Platform for Microelectronic and Optoelectronic Applications: Challenges and Opportunities International conference

    O. Nakatsuka, N. Taoka, T. Asano, T. Yamaha, M. Kurosawa, M. Sakashita and S. Zaima

    The 224th Electrochemical Society Meeting 

     More details

    Event date: 2013.10 - 2013.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:United States  

  204. Reduction of Interface States Density due to Post Oxidation with Formation of AlGeO Layer at Al2O3/Ge Interface International conference

    S. Shibayama, K. Kato, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka and S. Zaima

    The 224th Electrochemical Society Meeting 

     More details

    Event date: 2013.10 - 2013.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  205. Characterization of Local Strain Structures in Heteroepitaxial Ge1-xSnx/Ge Microstructures by using Microdiffraction Method International conference

    S. Ike, Y. Moriyama, M. Kurosawa, N. Taoka, O. Nakatsuka, Y. Imai, S. Kimura, T. Tezuka, and S. Zaima

    The 224th Electrochemical Society Meeting 

     More details

    Event date: 2013.10 - 2013.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  206. Interaction between Sn atoms and Defects Introduced by Ion Implantation in Ge Substrate International conference

    N. Taoka, M. Fukudome, T. Arahira, W. Takeuchi, M. Sakashita, O. Nakatsuka, and S. Zaima

    JSPS Core-to-Core Program Workshop - Atomically Controlled Processing for Ultralarge Scale Integration - 

     More details

    Event date: 2013.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Germany  

  207. Stabilization for Higher-k Films with Meta-Stable Crystalline Structure International conference

    K. Kato, T. Saito, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    JSPS Core-to-Core Program Workshop - Atomically Controlled Processing for Ultralarge Scale Integration - 

     More details

    Event date: 2013.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Germany  

  208. Fluctuation of Lattice Spacing around Trough Si Vias in Wafer -on -wafer Structures International conference

    N. Taoka, O. Nakatsuka, Y. Mizushima, H. Kitada, Y. S. Kim, T. Nakamura, T. Ohba, and S. Zaima

    Advanced Metallization Conference 2013 (AMC 2013) 30th Edition 

     More details

    Event date: 2013.10

    Language:English   Presentation type:Poster presentation  

    Country:United States  

  209. Formation and Crystalline Structure of Ni Silicides on Si(110) Substrate International conference

    O. Nakatsuka, M. Hasegawa, K. Kato, N. Taoka, and S. Zaima

    Advanced Metallization Conference 2013:23rd Asian Session (ADMETA Plus 2013) 

     More details

    Event date: 2013.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  210. Thermal Stability of Epitaxial NiGe Layers Formed on Ge(110) Substrate International conference

    Y. Deng, O. Nakatsuka, N. Taoka, and S. Zaima

    Advanced Metallization Conference 2013:23rd Asian Session (ADMETA Plus 2013) 

     More details

    Event date: 2013.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  211. Fluctuation of Lattice Spacing around Trough Si Vias in Wafer -on -wafer Structures International conference

    N. Taoka, O. Nakatsuka, Y. Mizushima, H. Kitada, Y. S. Kim, T. Nakamura, T. Ohba, and S. Zaima

    Advanced Metallization Conference 2013:23rd Asian Session (ADMETA Plus 2013) 

     More details

    Event date: 2013.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  212. Reduction of Schottky Barrier Height for n-type Ge Contact by using Sn Electrode International conference

    A. Suzuki, S. Asaba, J. Yokoi, O. Nakatsuka, M. Kurosawa, K. Kato, M. Sakashita, N. Taoka and S. Zaima

    2013 International Conference on Solid State Devices and Materials (SSDM 2013) 

     More details

    Event date: 2013.9

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  213. Engineering of Energy Band Structure with Epitaxial Ge1-x-ySixSny/n-Ge Hetero Junctions for Solar Cell Applications International conference

    S. Asaba, T. Yamaha, M. Kurosawa, M. Sakashita, N. Taoka, O. Nakatsuka and S. Zaima

    2013 International Conference on Solid State Devices and Materials (SSDM 2013) 

     More details

    Event date: 2013.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  214. Interaction of Sn atoms with Defects Introduced by Ion Implantation in Ge Substrate International conference

    T. Arahira, M. Fukudome, N. Taoka, W. Takeuchi, M. Sakashita, O. Nakatsuka and S. Zaima

    2013 International Conference on Solid State Devices and Materials (SSDM 2013) 

     More details

    Event date: 2013.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  215. Large grain growth of poly-GeSn on insulator by pulsed laser annealing in water International conference

    M. Kurosawa, N. Taoka, H. Ikenoue, O. Nakatsuka and S. Zaima

    2013 International Conference on Solid State Devices and Materials (SSDM 2013) 

     More details

    Event date: 2013.9

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  216. Strain Undulation around Through Si Vias in Wafer-On-Wafer Structures International conference

    N. Taoka, O. Nakatsuka, Y. Mizushima, H. Kitada, Y. S. Kim, T. Nakamura, T. Ohba and S. Zaima

    2nd international Workshop Advanced Packaging & System Technology (IWAPS 2013) 

     More details

    Event date: 2013.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  217. Function of Additional Element Incorporation for Tetragonal ZrO2 Formation International conference

    K. Kato, T. Saito, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, S. Zaima

    NIMS Conference 2013 -Structure Control of Atomic/Molecular Thin Films and Their Applications- 

     More details

    Event date: 2013.7

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  218. Crystalline Structures and Electrical Property of Epitaxial Ni Germanide Layers Formed on Ge(110) Substrate International conference

    Y. Deng, J. Yokoi, O. Nakatsuka, N. Taoka, S. Zaima

    The 8th International Conference on Silicon Epitaxy and Heterostructures (ICSI-8) and the 6th International Symposium on Control of Semiconductor Interfaces (ISCSI-VI)  

     More details

    Event date: 2013.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  219. Impacts of AlGeO Formation by Post Thermal Oxidation of Al2O3/Ge Structure on Interface Properties International conference

    S. Shibayama, K. Kato, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, S. Zaima

    The 8th International Conference on Silicon Epitaxy and Heterostructures (ICSI-8) and the 6th International Symposium on Control of Semiconductor Interfaces (ISCSI-VI)  

     More details

    Event date: 2013.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  220. Formation of Tetragonal ZrO2 Thin Film by ALD Method International conference

    K. Kato, T. Saito, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, S. Zaima

    The 8th International Conference on Silicon Epitaxy and Heterostructures (ICSI-8) and the 6th International Symposium on Control of Semiconductor Interfaces (ISCSI-VI)  

     More details

    Event date: 2013.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  221. Influence of Ge Substrate Orientation on Crystalline Structures of Ge1-xSnx Epitaxial Layers International conference

    T. Asano, S. Kidowaki, M. Kurosawa, N. Taoka, O. Nakatsuka, S. Zaima

    The 8th International Conference on Silicon Epitaxy and Heterostructures (ICSI-8) and the 6th International Symposium on Control of Semiconductor Interfaces (ISCSI-VI)  

     More details

    Event date: 2013.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  222. Epitaxial Growth of Strained Ge Layer on Si1-xGex Substrate Formed with Traveling Liquidus-Zone Method International conference

    T. Yamaha, O. Nakatsuka, N. Taoka, K. Kinoshita, S. Yoda, S. Zaima

    The 8th International Conference on Silicon Epitaxy and Heterostructures (ICSI-8) and the 6th International Symposium on Control of Semiconductor Interfaces (ISCSI-VI)  

     More details

    Event date: 2013.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  223. Crystalline Phase Control of Pr-Oxide Films by Regulating Oxidant Partial Pressure and Si Diffusion International conference

    K. Kato, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, S. Zaima

    The 8th International Conference on Silicon Epitaxy and Heterostructures (ICSI-8) and the 6th International Symposium on Control of Semiconductor Interfaces (ISCSI-VI)  

     More details

    Event date: 2013.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  224. Formation and Characterization of Locally Strained Ge1-xSnx/Ge Microstructures International conference

    S. Ike, Y. Moriyama, M. Kurosawa, N. Taoka, O. Nakatsuka, Y. Imai, S. Kimura, T. Tezuka, S. Zaima

    The 8th International Conference on Silicon Epitaxy and Heterostructures (ICSI-8) and the 6th International Symposium on Control of Semiconductor Interfaces (ISCSI-VI)  

     More details

    Event date: 2013.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  225. Electrical Activity of Threading Dislocations and Defect Complexes in GeSn Epitaxial Layers International conference

    S. Gupta, E. Simoen, T. Asano, O. Nakatsuka, F. Gencarelli, Y. Shimura, A. Moussa, R. Loo, S. Zaima, B. Baert, A. Dobri, N. D. Nguyen, M. Heyns

    The 8th International Conference on Silicon Epitaxy and Heterostructures (ICSI-8) and the 6th International Symposium on Control of Semiconductor Interfaces (ISCSI-VI)  

     More details

    Event date: 2013.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  226. Incorporation of a Vacancy with an Sn Atom in Epitaxial Ge1-xSnx Film Growth at Lower Temperature International conference

    E. Kamiyama, K. Sueoka, O. Nakatsuka, N. Taoka, S. Zaima, K. Izunome, K. Kashima

    The 8th International Conference on Silicon Epitaxy and Heterostructures (ICSI-8) and the 6th International Symposium on Control of Semiconductor Interfaces (ISCSI-VI)  

     More details

    Event date: 2013.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  227. Lateral Growth Enhancement of Poly-Ge1-xSnx on SiO2 using a Eutectic Reaction International conference

    M. Kurosawa, N. Taoka, M. Sakashita, O. Nakatsuka, M. Miyao, S. Zaima

    The 8th International Conference on Silicon Epitaxy and Heterostructures (ICSI-8) and the 6th International Symposium on Control of Semiconductor Interfaces (ISCSI-VI)  

     More details

    Event date: 2013.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  228. Control of Al2O3/Ge interfacial structures by post oxidation technique using oxygen radical International conference

    K. Kato, S. Shibayama, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, S. Zaima

    5rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  229. Defects introduced in germanium substrate by reactive ion etching International conference

    Kusumandari, W. Takeuchi, M. Sakashita, N. Taoka, O. Nakatsuka, S. Zaima

    5rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013) 

     More details

    Event date: 2013.1 - 2013.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  230. Formation and Stress Characterization of NiGe/Ge(110) and Ge(001) Contacts International conference

    Y. Deng, J. Yokoi, O. Nakatsuka and S. Zaima

    Advanced Metallization Conference 2012: 22nd Asian Session (ADMETA Plus 2012) 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  231. Impedance Spectroscopy of GeSn/Ge Heterostructures by a Numerical Method International conference

    B. Baert, O. Nakatsuka, S. Zaima and N. Nguyen

    The Pacific Rim Meeting on Electrochemical and Solid-State Science (PRiME 2012) Joint International 222nd ECS Meeting 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  232. Growth and Characterization of Heteroepitaxial Layers of Ge1-x-ySixSny Ternary Alloy International conference

    T. Yamaha, O. Nakatsuka, S. Takeuchi, W. Takeuchi, N. Taoka, K. Araki, K. Izunome and S. Zaima

    The Pacific Rim Meeting on Electrochemical and Solid-State Science (PRiME 2012) Joint International 222nd ECS Meeting 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  233. Growth and Optical Properties of Ge1-xSnx Alloy Thin Films with a High Sn Content International conference

    S. Zaima, O. Nakatsuka, M. Nakamura, W. Takeuchi, Y. Shimura and N. Taoka

    The Pacific Rim Meeting on Electrochemical and Solid-State Science (PRiME 2012) Joint International 222nd ECS Meeting 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  234. Potential of GeSn Alloys for Application to Future Nanoelectronics International conference

    O. Nakatsuka, and S. Zaima

    The 6th Kentingan Physics Forum (the 6th KPF): International Conference on Physics and Its Applications (ICOPIA) 

     More details

    Event date: 2012.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Indonesia  

  235. Increase of Si0.5Ge0.5 Bulk Single Crystal Size as Substrates for Strained Ge Epitaxial Layers International conference

    K. Kinoshita, O. Nakatsuka, Y. Arai, K. Taguchi, H. Tomioka, R. Tanaka and S. Yoda

    2012 International Conference on Solid State Devices and Materials (SSDM 2012) 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  236. Interfacial Reaction Mechanism in Al2O3/Ge Structure by Oxygen Radical International conference

    K. Kato, S. Shibayama, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    2012 International Conference on Solid State Devices and Materials (SSDM 2012) 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  237. High Mobility Poly-GeSn Layer Formed by Low Temperature Solid Phase Crystallization International conference

    W. Takeuchi, N. Taoka, M. Kurosawa, M. Fukutome, M. Sakashita, O. Nakatsuka, and S. Zaima

    2012 International Conference on Solid State Devices and Materials (SSDM 2012) 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  238. Impact of Sn corporation on Epitaxial Growth of Ge Layers on Si(110) Substrates International conference

    S. Kidowaki, T. Asano, Y. Shimura, N. Taoka, O. Nakatsuka and S. Zaima

    2012 International Conference on Solid State Devices and Materials (SSDM 2012) 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  239. In situ Sb doping in Ge1-xSnx Epitaxial Layers with High Sn Contents International conference

    K. Hozaki, M. Nakamura, Y. Shimura, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    International Union of Materials Research Societies-International Conference on Electronic Materials 2012 (IUMRS-ICEM 2012) 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  240. Electrical Properties of Epitaxially Grown p+-Ge1-xSnx/n-Ge Diodes International conference

    S. Asaba, J. Yokoi, H. Matsuhita, Y. Deng, N. Taoka, O. Nakatsuka, and S. Zaima

    International Union of Materials Research Societies-International Conference on Electronic Materials 2012 (IUMRS-ICEM 2012) 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  241. Importance of Si Bandbending at Zero Bias Condition for Schottky Barrier Height Control at Metal/Si Interfaces with Ultra-thin Al2O3 Layer International conference

    H. Matsushita, W. Takeuchi, M. Sakashita, N. Taoka, O. Nakatsuka and S. Zaima

    International Union of Materials Research Societies-International Conference on Electronic Materials 2012 (IUMRS-ICEM 2012) 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  242. Thermal Oxidation Mechanism of Ge through Al2O3 Layer Formed on Ge Substrate International conference

    S. Shibayama, K. Kato, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    International Union of Materials Research Societies-International Conference on Electronic Materials 2012 (IUMRS-ICEM 2012) 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  243. Epitaxial Growth of Ge1-xSnx Layers on (110)-oriented Si and Ge Substrates International conference

    T. Asano, S. Kidowaki, Y. Shimura, N. Taoka, O. Nakatsuka, and S. Zaima

    International Union of Materials Research Societies-International Conference on Electronic Materials 2012 (IUMRS-ICEM 2012) 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  244. Epitaxial Growth and Characterizations of Ge1-xSnx and Ge1-x-ySixSny Thin Layers for Nanoelectronic and Optoelectronic Applications International conference

    O. Nakatsuka, N. Taoka, M. Sakashita, W. Takeuchi, S. Zaima

    University of Vigo and JSPS Core-to-Core Program Joint Seminar 

     More details

    Event date: 2012.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Spain  

  245. Effects of Light and Air Exposures on Electrical Properties of GeO2/Ge and Al2O3/Ge Gate Stack Structures International conference

    Kusumandari, W. Takeuchi, K. Kato, S. Shibayama, M. Sakashita, N. Taoka, O. Nakatsuka, and S. Zaima

    2012 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2012) 

     More details

    Event date: 2012.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  246. Potential of GeSn Alloys for Application to Si Nanoelectronics International conference

    S. Zaima, Y. Shimura, M. Nakamura, W. Takeuchi, M. Sakashita, and O. Nakatsuka

    2012 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2012) 

     More details

    Event date: 2012.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  247. Control of Interfacial and Electrical Properties of Metal/Pr-oxide/Ge Gate Stack Structures International conference

    K. Kato, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, S. Zaima

    CNSE and JSPS Core-to-Core Program Joint Seminar 

     More details

    Event date: 2012.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  248. GeSn Alloy for Nanoelectronic and Optoelectronic Devices International conference

    O. Nakatsuka, Y. Shimura, W. Takeuchi, N. Taoka and S. Zaima

    CNSE and JSPS Core-to-Core Program Joint Seminar 

     More details

    Event date: 2012.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  249. Epitaxial Growth and Characterization of GeSn Layers on Ge(110) and Si(110) Substrates International conference

    T. Asano, S. Kidowaki, Y. Shimura, N. Taoka, O. Nakatsuka, S. Zaima

    CNSE and JSPS Core-to-Core Program Joint Seminar 

     More details

    Event date: 2012.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  250. Epitaxial Growth and Anisotropic Strain Relaxation of Ge1-xSnx Layers on Ge(110) Substrates International conference

    T. Asano, Y. Shimura, N. Taoka, O. Nakatsuka, and S. Zaima

    International SiGe Technology and Device Meeting 2012 (ISTDM 2012) 

     More details

    Event date: 2012.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  251. Electrical Characterization of p-Ge1-xSnx/p-Ge and p-Ge1-xSnx/n-Ge Heterostructures by Numerical Simulation of Admittance Spectroscopy International conference

    B. Baert, D. Y. N. Truong, O. Nakatsuka, S. Zaima, and N. D. Nguyen

    International SiGe Technology and Device Meeting 2012 (ISTDM 2012) 

     More details

    Event date: 2012.6

    Language:English   Presentation type:Poster presentation  

    Country:United States  

  252. Material properties and applications of Ge1-xSnx alloys for Ge Nanoelectronics International conference

    O. Nakatsuka, Y. Shimura, W. Takeuchi, and S. Zaima

    International SiGe Technology and Device Meeting 2012 (ISTDM 2012) 

     More details

    Event date: 2012.6

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:United States  

  253. Optical Properties of Ge1-xSnx Epitaxial Layers with Very High Sn Contents International conference

    M. Nakamura, Y. Shimura, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    International SiGe Technology and Device Meeting 2012 (ISTDM 2012) 

     More details

    Event date: 2012.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  254. Effect of Gate Metal Electrode on Chemical Bonding State in Metal/Pr-oxide/Ge Gate Stack Structure International conference

    K. Kato, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka, and S. Zaima

    International SiGe Technology and Device Meeting 2012 (ISTDM 2012) 

     More details

    Event date: 2012.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  255. Comprehensive Study of Local Strain Structures with High Strain Resolution for Through-Silicon Via Interconnects International conference

    O. Nakatsuka, H. Kitada, Y. S. Kim, Y. Mizushima, T. Nakamura, T. Ohba, and S. Zaima

    12th International Workshop on Stress-Induced Phenomena in Metallization 

     More details

    Event date: 2012.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  256. Periodic Strain Undulation around Through Si Vias in Wafer-On-Wafer Structures International conference

    N. Taoka, O. Nakatsuka, Y. Mizushima, H. Kitada, Y. S. Kim, T. Nakamura, T. Ohba and S. Zaima

    Materials for Advanced Metallization 2013 (MAM 2013) 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Belgium  

  257. A comparative study of metal germanide formation on Ge1-xSnx International conference

    J. Demeulemeester, A. Schrauwen, K. Van Stiphout, O. Nakatsuka, M. Adachi, Y. Shimura, S. Zaima, C. M. Comrie, C. Detavernier, K. Temst, and A. Vantommea

    Materials for Advanced Metallization 2013 (MAM 2013) 

     More details

    Event date: 2012.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Belgium  

  258. Feasibility of Ge Device Fabrication by Low Temperature Processes on ULSI Circuits International conference

    N. Taoka, M. Kurosawa, K. Kato, S. Shibayama, M. Sakashita, O. Nakatsuka and S. Zaima

    6th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar 

     More details

    Event date: 2012.2

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  259. Crystalline Properties of Ge1-xSnx Epitaxial Layers on Ge(110) International conference

    T. Asano, M. Kurosawa, N. Taoka, O. Nakatsuka and S. Zaima

    6th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar 

     More details

    Event date: 2012.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  260. Control of Interfacial Reactions in Al2O3/Ge Structures International conference

    S. Shibayama, K. Kato, M. Sakashita, W. Takeuchi, N. Taoka, O. Nakatsuka and S. Zaima

    6th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar 

     More details

    Event date: 2012.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  261. Low temperature crystallization of group-IV semiconductors induced by eutectic metals (Al, Sn) International conference

    M. Kurosawa, N. Taoka, M. Sakashita, O. Nakatsuka, M. Miyao and S. Zaima

    6th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar 

     More details

    Event date: 2012.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  262. Growth and Characterization of Ge1-x-ySixSny Epitaxial Layers for Solar Cell International conference

    T. Yamaha, O. Nakatsuka, N. Taoka, W. Takeuchi and S. Zaima

    6th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar 

     More details

    Event date: 2012.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  263. Crystalline and Electrical Properties of Ni germanium/Ge(110) Contacts International conference

    O. Nakatsuka, J. Yokoi, Y. Deng, N. Taoka and S. Zaima

    6th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar 

     More details

    Event date: 2012.2

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  264. Characterization of Local Strain around Trough Silicon Via Interconnects in Wafer-on-wafer Structures International conference

    O. Nakatsuka, H. Kitada, Y. S. Kim, Y. Mizushima, T. Nakamura, T. Ohba and S. Zaima

    IEEE International 3D System Integration Conference 2011 (IEEE 3DIC 2011) 

     More details

    Event date: 2012.1 - 2012.2

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  265. Analysis of Strain Structures for Semiconductor Integrated Circuits with Micro Raman Spectroscopy International conference

    O. Nakatsuka

    The latest applications by the Modern Laser Raman Microscopy 

     More details

    Event date: 2011.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Taiwan, Province of China  

  266. Materials Innovation in Si Nanoelectronics International conference

    S. Zaima, O. Nakatsuka

    2011 Tsukuba Nanotechnology Symposium (TNS'11) 

     More details

    Event date: 2011.12

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  267. Electrical Properties of Ultrathin-Nickel-Silicide Schottky Diodes on Si (100) International conference

    Y. Tamura, K. Kakushima, O. Nakatsuka, P. Ahmet, H. Nohira, K. Tsutsui, A. Nishiyama, N. Sugii, K. Natori, T. Hattori, H. Iwai, and R. Yoshihara

    15th The International Conference on Thin Films, 2011 (ICTF-15) 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  268. Effect of Interfacial Reactions in Radical Process on Electrical Properties of Al2O3/Ge Gate Stack Structure International conference

    K. Kato, M. Sakashita, W. Takeuchi, O. Nakatsuka, and S. Zaima

    15th The International Conference on Thin Films, 2011 (ICTF-15) 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  269. Suppressive Effect of Interface Reaction and Water Absorption by Al Incorporation into Pr-Oxide Film International conference

    W. Takeuchi, K. Furuta, K. Kato, M. Sakashita, H. Kondo, O. Nakatsuka, and S. Zaima

    15th The International Conference on Thin Films, 2011 (ICTF-15) 

     More details

    Event date: 2011.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  270. GeSn Technology: Impact of Sn on Ge CMOS Applications International conference

    S. Zaima, O. Nakatsuka, Y. Shimura, M. Adachi, M. Nakamura, S. Takeuchi, B. Vincent, F. Gencarelli, T. Clarysse, J. Demeulemeester, K. Temst, A. Vantomme, M. Caymax, and R. Loo

    220th The Electrochemical Society meeting (ECS 220th Meeting) 

     More details

    Event date: 2011.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:United States  

  271. Comprehensive Study of Local Strain Structures with High Strain Resolution for Through-Silicon Via Interconnects International conference

    O. Nakatsuka, H. Kitada, Y. S. Kim, Y. Mizushima, T. Nakamura, T. Ohba, and S. Zaima

    28th Annual Advanced Metallization Conference 2011 (AMC 2011) 

     More details

    Event date: 2011.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  272. Control of Defect Properties in Ge Heteroepitaxial Layers by Sn Incorporation and H2-Annealing International conference

    M. Adachi, Y. Shimura, O. Nakatsuka, and S. Zaima

    International Conference on Solid State Devices and Materials (SSDM 2011) 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  273. Formation and Properties of Epitaxial NiGe/Ge(110) Contacts International conference

    J. Yokoi, O. Nakatsuka, and S. Zaima,

    International Conference on Solid State Devices and Materials (SSDM 2011) 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  274. Strain and Dislocation Structures of Ge1-xSnx Heteroepitaxial Layers Grown on Ge(110) Substrates International conference

    T. Asano, Y. Shimura, O. Nakatsuka, and S. Zaima

    International Conference on Solid State Devices and Materials (SSDM 2011) 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  275. Strained Ge Layers on SiGe(Sn) Buffer Layers Formed by Solid-phase Mixing Method International conference

    T. Yamaha, K. Mochizuki, Y. Shimura, O.Nakatsuka, and S. Zaima

    International Conference on Solid State Devices and Materials (SSDM 2011) 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  276. Comprehensive Study of Local Strain Structures with High Strain Resolution for Through-Silicon Via Interconnects International conference

    O. Nakatsuka, H. Kitada, Y. S. Kim, Y. Mizushima, T. Nakamura, T. Ohba, and S. Zaima

    Advanced Metallization Conference 2011 (ADMETA plus 2011): 21st Asian Session 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  277. Electrical and optical properties of GeSn alloys International conference

    O. Nakatsuka, Y. Shimura, M. Adachi, M. Nakamura, and S. Zaima

    2nd GeSnWorkshop: GeSn Development and Future Applications 

     More details

    Event date: 2011.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Belgium  

  278. Improvement of Al2O3 Interfacial Properties by O2 Annealing International conference

    S. Shibayama, K. Kato, M. Sakashita, W. Takeuchi, O. Nakatsuka, and S. Zaima

    7th International Conference on Si Epitaxy and Heterostructures (iCSi-7 2011&GeSnWorkshop) 

     More details

    Event date: 2011.8 - 2011.9

    Language:English   Presentation type:Poster presentation  

    Country:Belgium  

  279. Homogeneous Si0.5Ge0.5 Bulk Crystal Growth as Substrates for Strained Ge Thin Films by the Traveling Liquidus-Zone Method International conference

    K. Kinoshita, O. Nakatsuka, S. Yoda, and S. Zaima

    7th International Conference on Si Epitaxy and Heterostructures (iCSi-7 2011&GeSnWorkshop) 

     More details

    Event date: 2011.8 - 2011.9

    Language:English   Presentation type:Poster presentation  

    Country:Belgium  

  280. Growth of Ge1-xSnx heteroepitaxial layers with very high Sn contents on InP(001) substrates International conference

    M. Nakamura, Y. Shimura, S. Takeuchi, O. Nakatsuka, and S. Zaima

    7th International Conference on Si Epitaxy and Heterostructures (iCSi-7 2011&GeSnWorkshop) 

     More details

    Event date: 2011.8 - 2011.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Belgium  

  281. In-situ Ga Doping to Fully Strained Ge1-xSnx Heteroepitaxial Layers Grown on Ge(001) Substrates International conference

    Y. Shimura, S. Takeuchi, O. Nakatsuka, B. Vincent, F. Gencarelli, T. Clarysse, W. Vandervorst, M. Caymax, R. Loo, and S. Zaima

    7th International Conference on Si Epitaxy and Heterostructures (iCSi-7 2011&GeSnWorkshop) 

     More details

    Event date: 2011.8 - 2011.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Belgium  

  282. Low Temperature Formation of Si1-x-yGexSny-on-Insulator Structures by Using Solid-Phase Mixing of Ge1-zSnz/Si-on-Insulator Substrates International conference

    K. Mochizuki, T. Yamaha, Y. Shimura, O. Nakatsuka, and S. Zaima

    7th International Conference on Si Epitaxy and Heterostructures (iCSi-7 2011&GeSnWorkshop) 

     More details

    Event date: 2011.8 - 2011.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Belgium  

  283. Epitaxial Growth of Ge1-xSnx for Strained Ge CMOS Devices International conference

    S. Zaima, Y. Shimura, S. Takeuchi and O. Nakatsuka

    International Conference on Processing & Manufacturing of Advanced Materials (THERMEC' 2011) 

     More details

    Event date: 2011.8

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Canada  

  284. Control of Surface and Interfacial Structure by Radical Nitridation Technique for Ge MOS Transistors International conference

    K. Kato, H. Kondo, M. Sakashita, W. Takeuchi, O. Nakatsuka, and S. Zaima

    The 4th International Conference on PLAsma-NanoTechnology & Science (IC-PLANTS 2011) 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  285. Characterization of Damages of Al2O3/Ge Gate Stacks Structure Induced with Light Radiation during Plasma Nitridation International conference

    Kusumandari, W. Takeuchi, K. Kato, M. Sakashita, O. Nakatsuka, S. Zaima

    3rd International Symposium on Advanced Plasma Science and its Application for Nitrides and Nanomaterials (ISPLasma 2011) 

     More details

    Event date: 2011.3

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  286. Control of Interfacial Properties of Al2O3/Ge Gate Stack Structure using Radical Nitridation Technique International conference

    K. Kato, S. Kyogoku, M. Sakashita, W. Takeuchi, H. Kondo, O. Nakatsuka, S. Zaima

    2011 International Workshop on Dielectric Thin Films for Future Electron Devices: Science and Technology (IWDTF 2011) 

     More details

    Event date: 2011.1

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  287. Influence of Light Radiation on Electrical Properties of Al2O3/Ge and GeO2/Ge Gate Stacks in Nitrogen Plasma International conference

    Kusumandari, W. Takeuchi, K. Kato, M. Sakashita, O. Nakatsuka, S. Zaima

    2011 International Workshop on Dielectric Thin Films for Future Electron Devices: Science and Technology (IWDTF 2011) 

     More details

    Event date: 2011.1

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  288. Theory of Workfunction Control of Silicides by Doping for Future Si-Nano-devices based on Fundamental Physics of Why Silicides Exist in Nature International conference

    T.Nakayama, K. Kakushima, O. Nakatsuka, Y. Machida, S. Sotome, T. Matsuki, K. Ohmori, H. Iwai, S. Zaima, T. Chikyow, K. Shiraishi, and K. Yamada

    2010  IEEE International Electron Devices Meeting 

     More details

    Event date: 2010.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:United States  

  289. Study of Ge Surface Passivation using Radical Nitridation Technique for Ge Channel MOS Transistors International conference

    K. Kato, H. Kondo, M. Sakashita, W. Takeuchi, O. Nakatsuka, S. Zaima

    The 1st Korea-Japan Symposium on Surface Technology 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Korea, Republic of  

  290. Tensile-Strained Ge and Ge1-xSnx Layers for High-Mobility Channels in Future CMOS Devices International conference

    S. Zaima, O. Nakatsuka, Y. Shimura, S. Takeuchi

    International Conference on Solid-State and Integrated Circuit Technology (ICSICT 2010) 

     More details

    Event date: 2010.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:China  

  291. Formation of Palladium Silicide Thin Layers on Si (110) Substrates International conference

    R. Suryana, O. Nakatsuka, and S. Zaima

    Advanced Metallization Conference 2010: 20th Asian Session (ADMETA 2010) 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  292. Characterization of Local Strain around Through-Silicon Via Interconnects by using X-ray Microdiffraction International conference

    O. Nakatsuka, H. Kitada, Y. S. Kim, Y. Mizushima, T. Nakamura, T. Ohba, and S. Zaima

    Advanced Metallization Conference 2010: 20th Asian Session (ADMETA 2010) 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  293. Control of Strain Relaxation Behavior of Ge1-xSnx Layers for Tensile Strained Ge Layers International conference

    Y. Shimura, S. Takeuchi, O. Nakatsuka, and S. Zaima

    218th ECS Meeting 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Poster presentation  

  294. Assessment of Ge1-xSnx Alloys for Strained Ge CMOS Devices International conference

    S. Takeuchi, Y. Shimura, T. Nishimura, B. Vincent, G. Eneman, T. Clarysse, J. Demeulemeester, K. Temst, A. Vantomme, J. Dekoster, M. Caymax, R. Loo, O. Nakatsuka, A. Sakai, and S. Zaima

    218th ECS Meeting 

     More details

    Event date: 2010.10

    Language:English   Presentation type:Oral presentation (invited, special)  

  295. Analysis of Local Leakage Current of Pr Oxide Thin Films with Conductive Atomic Force Microscopy International conference

    M. Adachi, M. Sakashita, H. Kondo, W. Takeuchi, O. Nakatsuka, and S. Zaima

    2010 International Conference on Solid State Devices and Materials (SSDM 2010) 

     More details

    Event date: 2010.9

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  296. Effects of Al Incorporation into Pr-oxides Formed by Atomic Layer Deposition International conference

    K. Furuta, W. Takeuchi, M. Sakashita, K. Kato, H. Kondo, O. Nakatsuka, and S. Zaima

    2010 International Conference on Solid State Devices and Materials (SSDM 2010) 

     More details

    Event date: 2010.9

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  297. Growth and Characterization of GeSn and Tensile-Strained Ge Layers for High Mobility Channels of CMOS Devices International conference

    O. Nakatsuka, Y. Shimura, S. Takeuchi, and S. Zaima

    The 7th Pacific Rim International Conference on Advanced Materials and Processing 

     More details

    Event date: 2010.8

    Language:English   Presentation type:Oral presentation (general)  

  298. Microscopic structure of directly bonded silicon substrates

    T. Kato, Y. Ohara, T. Ueda, J. Kikkawa, Y. Nakamura, A. Sakai, O. Nakatsuka, M. Ogawa, S. Zaima, E. Toyoda, H. Isogai, T. Senda, K. Izunome, H. Tajiri, O. Sakata, and S. Kimura

    International Symposium on Technology Evolution for Silicon Nano Electronics (ISTESNE) 

     More details

    Event date: 2010.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  299. Strained Ge and Ge1-xSnx technology for future CMOS devices

    S. Zaima, O. Nakatsuka, S. Takeuchi, Y. Shimura, A. Sakai, H. Kondo, and M. Sakashita

    International Symposium on Technology Evolution for Silicon Nano Electronics (ISTESNE) 

     More details

    Event date: 2010.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  300. Formation of Ge1-xSnx heteroepitaxial layers with high Sn content International conference

    Y. Shimura, S. Takeuchi, O. Nakatsuka, A. Sakai, and S. Zaima

    International Symposium on Technology Evolution for Silicon Nano Electronics (ISTESNE) 

     More details

    Event date: 2010.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  301. Control of strain structure by microfabrication of Ge/Si1-xGex layers on Si(001) Substrates

    K. Mochizuki, T. Mizutani, O. Nakatsuka, H. Kondo, and S. Zaima

    International Symposium on Technology Evolution for Silicon Nano Electronics (ISTESNE) 

     More details

    Event date: 2010.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  302. Dependence of electrical properties on crystalline structures of Mn5Ge3/Ge Schottky contacts International conference

    T. Nishimura, O. Nakatsuka, S. Akimoto, and S. Zaima

    International Symposium on Technology Evolution for Silicon Nano Electronics (ISTESNE) 

     More details

    Event date: 2010.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  303. Impact of nitride interfacial layer on electrical properties of high-k/Ge stacked structures

    K. Kato, H. Kondo, M. Sakashita, O. Nakatsuka, and S. Zaima

    International Symposium on Technology Evolution for Silicon Nano Electronics (ISTESNE) 

     More details

    Event date: 2010.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  304. Crystalline and electrical properties of PrAlO gate insulator films formed by atomic layer deposition

    K. Furuta, W. Takeuchi, M. Sakashita, H. Kondo, O. Nakatsuka, and S. Zaima

    International Symposium on Technology Evolution for Silicon Nano Electronics (ISTESNE) 

     More details

    Event date: 2010.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  305. Nitrogen content dependence of crystalline and electrical properties of ternary transition metal gate electrodes

    H. Matsushita, K. Miyamoto, K. Furumai, H. Kondo, M. Sakashita, O. Nakatsuka, and S. Zaima

    International Symposium on Technology Evolution for Silicon Nano Electronics (ISTESNE) 

     More details

    Event date: 2010.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  306. Structural change during the formation of directly bonded silicon substrates

    T. Kato, T. Ueda, Y. Ohara, J. Kikkawa, Y. Nakamura, A. Sakai, O. Nakatsuka, S. Zaima, E. Toyoda, K. Izunome, Y. Imai, S. Kimura, and O. Sakata

    International Symposium on Technology Evolution for Silicon Nano Electronics (ISTESNE) 

     More details

    Event date: 2010.6

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  307. Material Assessment for uni-axial strained Ge pMOS -1: Characterization of GeSn(B) material International conference

    B. Vincent, Y. Shimura, S. Takeuchi, T. Nishimura, J. Demeulemeester, G. Eneman, T. Clarysse, W. Vandervorst, A. Vantomme, O. Nakatsuka, S. Zaima, J. Dekoster, M. Caymax, and R. Loo

    International Workshop of GeSn Developments and Future Applications 

     More details

    Event date: 2010.5

    Language:English   Presentation type:Oral presentation (general)  

  308. GeSn: future applications and strategy International conference

    R. Loo, M. Caymax, B. Vincent, J. Dekoster, S. Takeuchi, O. Nakatsuka, S. Zaima, K. Temst, A. Vantomme

    International Workshop of GeSn Developments and Future Applications 

     More details

    Event date: 2010.5

    Language:English   Presentation type:Oral presentation (general)  

  309. (Si)GeSn requirements for optical device applications and solar cells International conference

    S. Takeuchi, B. Vincent, K. Temst, A. Vantomme, J. Dekoster, M. Caymax, R. Loo, O. Nakatsuka, S. Zaima

    International Workshop of GeSn Developments and Future Applications 

     More details

    Event date: 2010.5

    Language:English   Presentation type:Oral presentation (general)  

  310. Material Assessment for uni-axial strained Ge pMOS-2: Formation of Ni(GeSn) Layers with Solid-Phase Reactor International conference

    T. Nishimura, Y. Shimura, S. Takeuchi, B. Vincent, A. Vantomme, J. Dekoster, M. Caymax, R. Loo, O. Nakatsuka, and S. Zaima

    International Workshop of GeSn Developments and Future Applications 

     More details

    Event date: 2010.5

    Language:English   Presentation type:Oral presentation (general)  

  311. Bi-axially strained Ge grown on GeSn SRBs International conference

    O. Nakatsuka, S. Takeuchi, Y. Shimura, A. Sakai, and S. Zaima

    International Workshop of GeSn Developments and Future Applications 

     More details

    Event date: 2010.5

    Language:English   Presentation type:Oral presentation (general)  

  312. Control of Interfacial Properties of Pr-oxide/Ge Gate Stack Structure by Introduction of Nitrogen International conference

    K. Kato, H. Kondo, M. Sakashita, O. Nakatsuka, S. Zaima

    5th International SiGe Technology Device Meeting 2010 (ISTDM2010) 

     More details

    Event date: 2010.5

    Language:English   Presentation type:Oral presentation (general)  

  313. Ge1-xSnx stressors for strained-Ge CMOS International conference

    S. Takeuchi, Y. Shimura, T. Nishimura, B. Vincent, G. Eneman, T. Clarysse, A. Vantomme, J. Dekoster, M. Caymax, R. Loo, O. Nakatsuka, S. Zaima,

    5th International SiGe Technology Device Meeting 2010 (ISTDM2010) 

     More details

    Event date: 2010.5

    Language:English   Presentation type:Oral presentation (invited, special)  

  314. Control of Strain Relaxation Behavior of Ge1-xSnx Layers: Toward Tensile-Strained Ge Layers with Strain Value over 1% International conference

    Y. Shimura, S. Takeuchi, N. Tsutsui, O. Nakatsuka, A. Sakai, S. Zaima

    5th International SiGe Technology Device Meeting 2010 (ISTDM2010) 

     More details

    Event date: 2010.5

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  315. Formation of Ni(Ge1-xSnx) Layers with Solid-Phase Reaction in Ni/Ge1-xSnx/Ge Systems International conference

    T. Nishimura, Y. Shimura, S. Takeuchi, B. Vincent, A. Vantomme, J. Dekoster, M. Caymax, R. Loo, O. Nakatsuka, S. Zaima

    5th International SiGe Technology Device Meeting 2010 (ISTDM2010) 

     More details

    Event date: 2010.5

    Language:English   Presentation type:Oral presentation (general)  

  316. Crystalline Orientation Dependence of Electrical Properties on Mn Germanide/Ge(111) and (001) Schottky Contacts International conference

    T. Nishimura, O. Nakatsuka, S. Zaima

    Materials for Advanced Metallization Conference 

     More details

    Event date: 2010.3

    Language:English   Presentation type:Oral presentation (general)  

  317. Strain Relaxation Behavior of Ge1-xSnx Buffer Layers on Si and Virtual Ge Substrates International conference

    Y. Shimura, S. Takeuchi, N. Tsutsui, O. Nakatsuka, A. Sakai, S. Zaima

    5th International WorkShop on New Group IV Semiconductor Nanoelectronics, pp. 43-44, Sendai, Japan, Jan. 29-30, 2010. 

     More details

    Event date: 2010.1

    Language:English   Presentation type:Poster presentation  

  318. Potential of Ge1-xSnx alloys as high mobility channel materials and stressors International conference

    S. Takeuchi, Y. Shimura, T. Tsutsui, O. Nakatsuka, A. Sakai, S. Zaima

    5th International WorkShop on New Group IV Semiconductor Nanoelectronics 

     More details

    Event date: 2010.1

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  319. Microscopic characterization of Si(011)/Si(001) direct silicon bonding substrates International conference

    T. Kato, T. Ueda, Y. Ohara, J. Kikkawa, Y. Nakamura, A. Sakai, O. Nakatsuka, S. Zaima, E. Toyoda, K. Izunome, Y. Imai, S. Kimura, and O. Sakata

    5th International WorkShop on New Group IV Semiconductor Nanoelectronics 

     More details

    Event date: 2010.1

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  320. Control of Local Strain Structures by Microfabricated Shapes of Ge/Si1-xGex Layers International conference

    K. Mochizuki, T. Mizutani, O. Nakatsuka, H. Kondo, S. Zaima

    5th International WorkShop on New Group IV Semiconductor Nanoelectronics 

     More details

    Event date: 2010.1

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  321. Formation of Palladium Silicide on Heavily Doped Si (001) Substrates Using Ti Intermediate Layer International conference

    R. Suryana, O. Nakatsuka, and S. Zaima

    Advanced Metallization Conference 2009 (ADMETA): 19th Asian Session 

     More details

    Event date: 2009.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  322. Mobility Behavior in Ge1-xSnx Layers Grown on SOI Substrates International conference

    N. Tsutsui, Y. Shimura, O. Nakatsuka, A. Sakai, and S. Zaima,

    2009 International Conference on Solid State Devices and Materials (SSDM) 

     More details

    Event date: 2009.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  323. Influence of Interfacial Structure on Electrical Properties of Metal/Ge Schottky Contacts International conference

    O. Nakatsuka, S. Akimoto, T. Nishimura and S. Zaima

    The 9th International Workshop on Junction Technology (IWJT2009) 

     More details

    Event date: 2009.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  324. Structural change of direct silicon bonding substrates by interfacial oxide out-diffusion annealing International conference

    T. Kato, T. Ueda, Y. Ohara, J. Kikkawa, Y. Nakamura, A. Sakai, O. Nakatsuka, S. Zaima, E. Toyoda, K. Izunome, Y. Imai, S. Kimura, and O. Sakata

    The 6th International Conference on Silicon Epitaxy and Heterostructures 

     More details

    Event date: 2009.5

    Language:English   Presentation type:Poster presentation  

  325. Formation and characterization of tensile-strained Ge layers on Ge1-xSnx buffer layers International conference

    S. Zaima, O. Nakatsuka, Y. Shimura, N. Tsutsui, and A. Sakai

    The 6th International Conference on Silicon Epitaxy and Heterostructures 

     More details

    Event date: 2009.5

    Language:English   Presentation type:Oral presentation (invited, special)  

  326. Low Temperature Growth of Ge1-xSnx Buffer Layers for Tensile-strained Ge Layers International conference

    Y. Shimura, N. Tsutsui, O. Nakatsuka, A. Sakai, and S. Zaima

    The 6th International Conference on Silicon Epitaxy and Heterostructures 

     More details

    Event date: 2009.5

    Language:English   Presentation type:Oral presentation (general)  

  327. Analysis of Local Strain in Ge1-xSnx /Ge/Si(001) Heterostructures by X-ray Microdiffraction International conference

    O. Nakatsuka, Y. Shimura, N. Tsutsui, A. Sakai, Y. Imai, H. Tajiri, O. Sakata, S. Kimura, and S. Zaima

    The 6th International Conference on Silicon Epitaxy and Heterostructures 

     More details

    Event date: 2009.5

    Language:English   Presentation type:Poster presentation  

  328. Effect of Atomic Deuterium Irradiation on Initial Growth of Sn and Ge1-xSnx on Ge(001) Substrates International conference

    T. Shinoda, O. Nakatsuka, and S. Zaima

    The 6th International Conference on Silicon Epitaxy and Heterostructures 

     More details

    Event date: 2009.5

    Language:English   Presentation type:Poster presentation  

  329. Direct Silicon Bonding (DSB) 基板の接合界面欠陥解析

    豊田英二,酒井朗,中塚理,財満鎭明,磯貝宏道,仙田剛士,泉妻宏治

    第56回応用物理学関係連合講演会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  330. 低温成長による高Sn組成Ge1-xSnxバッファ層の形成

    志村洋介,筒井宣匡,中塚理,酒井朗,財満鎭明

    第56回応用物理学関係連合講演会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  331. Ge(001)表面上のSnおよびGe1-xSnx初期成長に及ぼす原子状重水素照射の効果

    篠田竜也,中塚理,財満鎭明

    第56回応用物理学関係連合講演会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  332. Ge/Si1-xGex/Siマイクロ構造形成による局所歪および転位挙動の制御

    水谷卓也,望月健太,中塚理,近藤博基,酒井朗,財満鎭明

    第56回応用物理学関係連合講演会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  333. Direct Si Bonding基板の界面酸化膜消滅熱処理過程における結晶性変化

    加藤哲司,大原悠司,吉川純,中村芳明,酒井朗,中塚理,財満鎭明,豊田英二,泉妻宏治,木村滋,坂田修身

    第56回応用物理学関係連合講演会 

     More details

    Event date: 2009.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  334. 原子状水素照射によるGe(001)表面上SnおよびGe1-xSnx初期成長構造の制御

    篠田竜也,山崎理弘,中塚理,財満鎭明

    第8回・日本表面科学会中部支部・学術講演会 

     More details

    Event date: 2008.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  335. 伸長歪Ge層実現のための高Sn組成Ge1-xSnxバッファ層成長

    志村洋介,筒井宣匡,中塚理,酒井朗,財満鎭明

    第8回・日本表面科学会中部支部・学術講演会 

     More details

    Event date: 2008.12

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  336. Atomistic analysis of directly bonded Si substrate interface International conference

    T. Ueda, Y. Ohara, A. Sakai, O. Nakatsuka, S. Zaima, E. Toyoda, K. Izunome, T. Sakata, and H. Mori

    The IUMRS International Conference in Asia 2008 (IUMRS-ICA 2008) 

     More details

    Event date: 2008.12

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  337. Formation of Uniaxial Tensile-strained Ge by using Micro-patterning of Ge/Si1-xGex/Si Structures International conference

    T. Mizutani, O. Nakatsuka, A. Sakai, H. Kondo, and S. Zaima

    The IUMRS International Conference in Asia 2008 (IUMRS-ICA 2008) 

     More details

    Event date: 2008.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  338. Formation and Characterization of Tensile-strained Ge layers on Ge1-xSnx Buffer Layers International conference

    Y. Shimura, N. Tsutsui, O. Nakatsuka, A. Sakai, and S. Zaima

    The IUMRS International Conference in Asia 2008 (IUMRS-ICA 2008) 

     More details

    Event date: 2008.12

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  339. Strain and interfacial defects in directly bonded Si substrates International conference

    Y. Ohara, T. Ueda, A. Sakai, O. Nakatsuka, S. Zaima, E. Toyoda, K. Izunome, H. Tajiri, O. Sakata and S. Kimura

    The IUMRS International Conference in Asia 2008 (IUMRS-ICA 2008) 

     More details

    Event date: 2008.12

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  340. Characterization and analyses of interface structures in directly bonded Si(011)/Si(001) substrates International conference

    E. Toyoda, A. Sakai, O. Nakatsuka, H. Isogai, T. Senda, K. Izunome, K. Omote, and S. Zaima

    The 5th International Symposium on Advanced Science and Technology of Silicon Materials 

     More details

    Event date: 2008.11

    Language:English   Presentation type:Oral presentation (invited, special)  

  341. Crystalline and Electrical Properties of Thin Pd Silicide Layer/Si Contacts International conference

    R. Suryana, S. Akimoto, O. Nakatsuka and S. Zaima

    Advanced Metallization Conference 2008 (ADMETA): 18th Asian Session 

     More details

    Event date: 2008.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  342. Formation of Tensile-Strained Ge Layers on Ge1-xSnx Buffer Layers and Control of Strain and Dislocation Structures International conference

    O. Nakatsuka, Y. Shimura, A. Sakai, and S. Zaima

    4th International WorkShop on New Group IV Semiconductor Nanoelectronics 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  343. Analysis of Uniaxial Tensile Strain in Microfabricated Ge/Si1-x Gex Structures on Si(001) Substrates International conference

    T. Mizutani, O. Nakatsuka, A. Sakai, H. Kondo, and S. Zaima

    4th International WorkShop on New Group IV Semiconductor Nanoelectronics 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  344. Formation and Characterization of Compositionally Step-graded Ge1-x Snx Buffer Layers for Tensile-strained Ge Layers International conference

    Y. Shimura, N. Tsutsui, O. Nakatsuka, A. Sakai, and S. Zaima

    4th International WorkShop on New Group IV Semiconductor Nanoelectronics, pp. 27-28, Sendai, Japan, Sept. 25-27, 2008. 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  345. Control of Sn Precipitation and Strain relaxation in Compositionally Step-graded Ge1-xSnx Buffer Layers for Tensile-strained Ge Layers International conference

    Y. Shimura, N. Tsutsui, O. Nakatsuka, A. Sakai, and S. Zaima

    2008 International Conference on Solid State Devices and Materials (SSDM) 

     More details

    Event date: 2008.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  346. Direct Silicon Bonding基板接合界面の原子レベル観察と評価

    酒井朗,上田貴哉,大原悠司,中塚理,財満鎭明,豊田英二,泉妻宏治,坂田孝夫,森博太郎

    第69回応用物理学会学術講演会 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  347. 金属シリサイド・ジャーマナイド/半導体コンタクトの界面構造および電子物性制御

    中塚理,酒井朗,財満鎭明

    第69回応用物理学会学術講演会 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  348. 伸張歪Ge形成に向けたGe1-xSnxバッファ層のSn組成及び転位構造制御

    志村洋介,筒井宣匡,中塚理,酒井朗,財満鎭明

    第69回応用物理学会学術講演会 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  349. Ge(001)表面上のSnおよびGe1-xSnx初期成長に及ぼす原子状水素照射の効果

    篠田竜也,山崎理弘,中塚理,財満鎭明

    第69回応用物理学会学術講演会 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  350. Direct Silicon Bonding (DSB) 基板の結晶性の評価

    豊田英二,酒井朗,中塚理,財満鎭明,磯貝宏道,泉妻宏治,仙田剛士,表一彦,今井康彦,木村滋

    第69回応用物理学会学術講演会 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  351. Direct Si Bonding基板の微細構造

    大原悠司,上田貴哉,酒井朗,中塚理,財満鎭明,豊田英二,泉妻宏治,木村滋,坂田孝夫,森博太郎

    第69回応用物理学会学術講演会 

     More details

    Event date: 2008.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  352. Microstructures in Directly Bonded Si Substrates International conference

    A. Sakai, Y. Ohara, T. Ueda, O. Nakatsuka, M. Ogawa, S. Zaima, E. Toyoda

    The fourth International SiGe Technology and Device Meeting, pp. 153-154, HsinChu, Taiwan, May 11-14, 2008. 

     More details

    Event date: 2008.5

    Language:English   Presentation type:Poster presentation  

  353. Novel Method to Introduce Uniaxial Tensile Strain in Ge by Microfabrication of Ge/Si1-xGex Structures on Si(001) Substrates International conference

    T. Mizutani, O. Nakatsuka, A. Sakai, H. Kondo, M. Ogawa, and S. Zaima

    The fourth International SiGe Technology and Device Meeting, pp. 149-150, HsinChu, Taiwan, May 11-14, 2008. 

     More details

    Event date: 2008.5

    Language:English   Presentation type:Poster presentation  

  354. Effect of Hydrogen on Initial Growth of Sn and Ge1-xSnx on Ge(001) substrates International conference

    M. Yamazaki, O. Nakatsuka, T. Shinoda, A. Sakai, M. Ogawa, and S. Zaima

    The fourth International SiGe Technology and Device Meeting 

     More details

    Event date: 2008.5

    Language:English   Presentation type:Poster presentation  

  355. Characterization and Analyses of Interface Structures in Directly Bonded Si(011)/Si(001) Substrates International conference

    E. Toyoda, A. Sakai, O. Nakatuka, S. Zaima, M. Ogawa, H. Isogai, T. Senda, K. Izunome, and K. Omote

    The fourth International SiGe Technology and Device Meeting 

     More details

    Event date: 2008.5

    Language:English   Presentation type:Poster presentation  

  356. 高不純物濃度Si(001)基板上におけるNi/Ti/Si系の固相反応および電気特性評価

    秋元信吾,中塚理,スルヤナリサ,鈴木敦之,酒井朗,小川正毅,財満鎭明

    第55回応用物理学関係連合講演会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  357. X線マイクロ回折によるIV族半導体薄膜の局所歪構造評価

    中塚理,酒井朗,小川正毅,財満鎭明

    第55回応用物理学関係連合講演会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  358. Ge MOSゲートスタックにおける界面反応の評価および制御技術

    財満鎭明,近藤博基,坂下満男,中塚理,酒井朗,小川正毅

    第55回応用物理学関係連合講演会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  359. アルコール原料ホットフィラメントCVD法による単層カーボンナノチューブ成長機構の解明

    種田智,酒井朗,中塚理,小川正毅,財満鎭明

    第55回応用物理学関係連合講演会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  360. 走査トンネル顕微鏡によるTi/Highly oriented pyrolytic graphite界面反応の評価

    久田憲司,種田智,中塚理,酒井朗,小川正毅,財満鎭明

    第55回応用物理学関係連合講演会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  361. パターン加工されたGe/Si1-xGex/Si(001)構造におけるGe層一軸性伸張歪構造の評価

    水谷卓也,湯川勝規,中塚理,近藤博基,酒井朗,小川正毅,財満鎭明

    第55回応用物理学関係連合講演会 

     More details

    Event date: 2008.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  362. Scanning Tunneling Microscopy Observation of Initial Growth of Sn and Ge1-xSnx Layers on Ge(001) Substrates International conference

    M. Yamazaki, S. Takeuchi, O. Nakatsuka, A. Sakai, M. Ogawa, and S. Zaima

    Fifth International Symposium on Control of Semiconductor Interfaces 

     More details

    Event date: 2007.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  363. Defect Control for Ge/Si and Ge1-xSnx/Ge/Si Heterostructures International conference

    A. Sakai, S. Takeuchi, O. Nakatsuka, M. Ogawa, and S. Zaima,

    Fifth International Symposium on Control of Semiconductor Interfaces 

     More details

    Event date: 2007.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  364. Characterization of Local Strains in Si1-xGex Hetero-mesa Structures on Si(001) Substrates by Using X-ray Microdiffraction International conference

    O. Nakatsuka, K. Yukawa, S. Mochizuki, A. Sakai, K. Fukuda, S. Kimura, O. Sakata, K. Izunome, T. Senda, E. Toyoda, M. Ogawa, and S. Zaima

    Fifth International Symposium on Control of Semiconductor Interfaces 

     More details

    Event date: 2007.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  365. Controlling Interface Properties of Silicide/Si Contacts for Si ULSI Applications International conference

    S. Zaima, O. Nakatsuka, A. Sakai, and M. Ogawa

    9th International Conference on Atomically Controlled Surfaces, Interfaces and Nanostructures 

     More details

    Event date: 2007.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  366. Effect of alcohol sources on synthesis of single-walled carbon nanotubes International conference

    S. Oida, A. Sakai, O. Nakatuska, M. Ogawa, and S. Zaima

    9th International Conference on Atomically Controlled Surfaces, Interfaces and Nanostructures 

     More details

    Event date: 2007.11

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  367. Growth and Characterization of Tensile-Strained Ge Layers on Strain Relaxed Ge1-xSnx Buffer Layers International conference

    O. Nakatsuka, S. Takeuchi, A. Sakai, M. Ogawa, and S. Zaima

    The 3nd international workshop on new group IV semiconductor nanoelectronics 

     More details

    Event date: 2007.11

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  368. Tensile Strained Ge Layers Grown on Compositionally Step-Graded Ge1-xSnx Buffer Layers International conference

    Y. Shimura, S. Takeuchi, A. Sakai, O. Nakatsuka, M. Ogawa, and S. Zaima

    The 3nd international workshop on new group IV semiconductor nanoelectronics 

     More details

    Event date: 2007.11

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  369. Electrical and Crystalline Properties of Epitaxial NiSi2/Si Contacts Fromed in Ni/Ti/Si(001) Systems International conference

    O. Nakatsuka, A. Suzuki, S. Akimoto, A. Sakai, M. Ogawa, and S. Zaima

    The Sixth Pacific Rim International Conference on Advanced Materials and Processing 

     More details

    Event date: 2007.11

    Language:English   Presentation type:Poster presentation  

  370. Contact Propeties of Epitaxial NiSi2/Heavily Doped Si Structures Formed from Ni/Ti/Si Systems International conference

    S. Akimoto, O. Nakatsuka, A. Suzuki, A. Sakai, M. Ogawa, and S. Zaima

    Advanced Metallization Conference 2007: 17th Asian Session 

     More details

    Event date: 2007.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  371. Silicide and Germanide Technology for Contacts and Metal Gates in MOSFET Applications International conference

    S. Zaima, O. Nakatsuka, H. Kondo, M. Sakashita, A. Sakai, and M. Ogawa

    212th Electrochemical Society Meeting 

     More details

    Event date: 2007.10

    Language:English   Presentation type:Oral presentation (invited, special)  

  372. Pr-Oxide-Based Dielectric Films on Ge Substrates International conference

    M. Sakashita, N. Kito, A. Sakai, H. Kondo, O. Nakatsuka, M. Ogawa and S. Zaima

    2007 International Conference on Solid State Devices and Materials 

     More details

    Event date: 2007.9

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  373. Dependence of Electrical Characteristics on Interfacial Structures of Epitaxial NiSi2/Si Schottky Contacts Formed from Ni/Ti/Si System International conference

    O. Nakatsuka, A. Suzuki, S. Akimoto, A. Sakai, M. Ogawa, and S. Zaima

    2007 International Conference on Solid State Devices and Materials 

     More details

    Event date: 2007.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  374. Strain and dislocations in group IV semiconductor heterostructures International conference

    A. Sakai, O. Nakatsuka, M. Ogawa, and S. Zaima

    Materials Research Society 2007 Spring Meeting 

     More details

    Event date: 2007.9

    Language:English   Presentation type:Oral presentation (invited, special)  

  375. Si1-xGex/Si(001)構造における転位および歪の評価と制御技術

    中塚理,酒井朗,近藤博基,小川正毅,財満鎭明

    2007年 電子情報通信学会 エレクトロニクスソサエティ大会 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  376. Direct Silicon Bonding (DSB) 基板の接合界面および結晶性の評価

    豊田英二,酒井朗3,磯貝宏道,仙田剛士,泉妻宏治,表和彦,中塚理,小川正毅,財満鎭明

    第68回応用物理学会学術講演会 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  377. ホットフィラメントCVD法による単層カーボンナノチューブ成長様式のアルコール種依存性

    種田智,酒井朗,中塚理,小川正毅,財満鎭明

    第68回応用物理学会学術講演会 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  378. Ge(001)基板上におけるGe1-xSnx初期成長形態の走査トンネル顕微鏡評価

    山崎理弘,竹内正太郎1,中塚理,酒井朗,小川正毅,財満鎭明

    第68回応用物理学会学術講演会 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  379. 階段状組成傾斜法を用いた伸張歪Ge/歪緩和Ge1-xSnx層/仮想Ge基板構造の形成

    志村洋介,竹内正太郎,酒井朗,中塚理,小川正毅,財満鎭明

    第68回応用物理学会学術講演会 

     More details

    Event date: 2007.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  380. Impact of Pt Incorporation on Thermal Stability of NiGe Layers on Ge(001) Substrates International conference

    O. Nakatsuka, A. Suzuki, A. Sakai, M. Ogawa, and S. Zaima

    7th International Workshop on Junction Technology 2007, pp. 87-88, Kyoto, Japan, June 8-9, 2007. 

     More details

    Event date: 2007.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  381. Characterization of bonding structures of directly bonded hybrid crystal orientation substrates International conference

    E. Toyoda, A. Sakai, H. Isogai, T. Senda, K. Izunome, O. Nakatsuka, M. Ogawa, and S. Zaima

    5th International Conference on Silicon Epitaxy and Heterostructures 

     More details

    Event date: 2007.5

    Language:English   Presentation type:Poster presentation  

  382. Tensile strained Ge layers on strain-relaxed Ge1-xSnx/virtual Ge substrates International conference

    S. Takeuchi, A. Sakai, O. Nakatsuka, M. Ogawa, and S. Zaima

    5th International Conference on Silicon Epitaxy and Heterostructures 

     More details

    Event date: 2007.5

    Language:English   Presentation type:Oral presentation (general)  

  383. Ge(001)表面の酸素エッチングおよび酸化過程の走査トンネル顕微鏡による観察評価

    山崎理弘,若園恭伸,酒井朗,中塚理,小川正毅,財満鎭明

    第6回・日本表面科学会中部支部・学術講演会 

     More details

    Event date: 2007.4

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  384. ダイレクトSiウェーハボンディングにおける接合特性の評価

    豊田英二,磯貝宏道,仙田剛士,泉妻宏治,中塚理,酒井朗,小川正毅,財満鎭明

    第54回応用物理学関係連合講演会 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  385. パターン加工されたSiGe/Siヘテロメサ構造における局所歪のX線マイクロ回折評価

    湯川勝規,望月省吾,中塚理,酒井朗,福田一徳,木村滋,坂田修身,泉妻宏治,仙田剛士,豊田英二,小川正毅,財満鎭明

    第54回応用物理学関係連合講演会 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  386. Hybrid Orientation Technology (HOT) 基板の接合界面および結晶性の評価

    豊田英二,磯貝宏道,仙田剛士,泉妻宏治,中塚理,酒井朗,小川正毅,財満鎭明

    第54回応用物理学関係連合講演会 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  387. Ge(001)基板上NiGe薄膜のPt添加による熱的安定性向上

    鈴木敦之,中塚理,酒井朗,小川正毅,財満鎭明

    第54回応用物理学関係連合講演会 

     More details

    Event date: 2007.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  388. Ge(001)表面の酸素エッチングおよび初期酸化過程の原子スケール評価

    山崎理弘,若園恭伸,酒井朗,中塚理,竹内正太郎,小川正毅,財満鎭明

    応用物理学会 薄膜・表面物理分科会・シリコンテクノロジー分科会共催特別研究会「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第12回研究会) 

     More details

    Event date: 2007.2

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  389. Scanning Tunneling Microscopy Study on the Reaction of Oxygen with Clean Ge(001) Surfaces International conference

    A. Sakai, Y. Wakazono, O. Nakatsuka, S. Zaima, and M. Ogawa

    210th Meeting of The Electrochemical Society 

     More details

    Event date: 2006.10

    Language:English   Presentation type:Poster presentation  

  390. Ni-Silicide/Si and SiGe(C) Contact Technology for ULSI Applications International conference

    O. Nakastuka, S. Zaima, A. Sakai, and M. Ogawa

    The 14th annual IEEE International Conference on Advanced Thermal Processing of Semiconductors 

     More details

    Event date: 2006.10

    Language:English   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  391. Buffer layer technology with misfit dislocation engineering International conference

    A. Sakai, O. Nakatsuka, M. Ogawa, and S. Zaima

    The 2nd International WorkShop on New Group IV Semiconductor Nanoelectronics 

     More details

    Event date: 2006.10

    Language:English   Presentation type:Oral presentation (invited, special)  

  392. Mosaicity and Dislocations in Strain-Relaxed SiGe Buffer Layers on SOI Substrates International conference

    O. Nakatsuka, N. Taoka, A. Sakai, S. Mochizuki, M. Ogawa, and S. Zaima

    The 2nd International WorkShop on New Group IV Semiconductor Nanoelectronics, pp. 71-72, Oct. 2-3, 2006, Sendai, Japan. 

     More details

    Event date: 2006.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  393. Dislocation Structure and Strain Relaxation of SiGe and Ge Sub-micron Stripe Lines on Si(001) Substrates International conference

    O. Nakatsuka, S. Mochizuki, A. Sakai, H. Kondo, K. Yukawa, M. Ogawa, and S. Zaima

    The 2nd International WorkShop on New Group IV Semiconductor Nanoelectronics 

     More details

    Event date: 2006.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  394. Interfacial Structure of HfON/SiN/Si Gate Stacks International conference

    O. Nakatsuka, M. Sakashita, H. Kondo, E. Ikenaga, M. Kobata, J.-J. Kim, H. Nohira, T. Hattori, A. Sakai, M. Ogawa, S. Zaima

    The 2nd International Workshop on Hard X-ray Photoelectron Spectroscopy 

     More details

    Event date: 2006.9

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  395. Ni/Ti/Si積層構造より形成したエピタキシャルNiSi2/Si(001)超平坦界面の電気特性評価

    鈴木敦之,中塚理,酒井朗,小川正毅,財満鎭明

    第67回応用物理学会学術講演会 

     More details

    Event date: 2006.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  396. Ge1-xSnx層の歪緩和および転位構造に及ぼすGe基板の効果

    竹内正太郎,酒井朗,中塚理,小川正毅,財満鎭明

    第67回応用物理学会学術講演会 

     More details

    Event date: 2006.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  397. 仮想Ge(001)基板上における歪緩和Ge1-xSnxバッファ層の成長と構造評価

    竹内正太郎,酒井朗,山本幸司,中塚理,小川正毅,財満鎭明

    第67回応用物理学会学術講演会 

     More details

    Event date: 2006.8

    Language:Japanese   Presentation type:Oral presentation (invited, special)  

    Country:Japan  

  398. Ge(001)表面の初期酸化およびエッチング過程の走査トンネル顕微鏡評価

    若園恭伸,山崎理弘,酒井朗,中塚理,竹内正太郎,小川正毅,財満鎭明

    第67回応用物理学会学術講演会 

     More details

    Event date: 2006.8

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  399. Control and characterization of strain in SiGe/Si heterostructures with engineered misfit dislocations International conference

    A. Sakai, N. Taoka, S. Mochizuki, K. Yukawa, O. Nakatsuka, S. Takeda, S. Kimura, M. Ogawa, and S. Zaima

    The third International SiGe Technology and Device Meeting 

     More details

    Event date: 2006.5

    Language:English   Presentation type:Oral presentation (invited, special)  

  400. Strain relaxation of patterned Ge and SiGe structures on Si(001) substrates International conference

     More details

    Event date: 2006.5

    Language:English   Presentation type:Oral presentation (general)  

  401. Systematic Characterization of Ni Full Silicide in Sub-100 nm Gate Regions International conference

    D. Ito, A. Sakai, O. Nakatsuka, H. Kondo, Y. Akasaka, M. Ogawa, and S. Zaima

    2006 MRS Spring Meeting 

     More details

    Event date: 2006.4

    Language:English   Presentation type:Poster presentation  

  402. エピタキシャルAgテンプレート層上における触媒金属CVD法に よるカーボンナノチューブ成長

    種田智,酒井朗,中塚理,小川正毅,財満鎭明

    第5回日本表面科学会中部支部学術講演会 

     More details

    Event date: 2006.4

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  403. Sub-100 nmゲート領域におけるNiシリサイド形成反応の観察

    伊東大介,酒井朗,中塚理,近藤博基,赤坂泰志,奈良安雄,小川正毅,財満鎭明

    第53回応用物理学関係連合講演会 

     More details

    Event date: 2006.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  404. エピタキシャルAg/Si(111)上における触媒金属CVD法によるカーボンナノチューブ成長

    種田智,酒井朗,中塚理,小川正毅,財満鎭明

    第53回応用物理学関係連合講演会 

     More details

    Event date: 2006.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  405. 仮想Ge(001)基板上における歪緩和Ge1-xSnxバッファ層の成長と構造評価

    竹内正太郎,酒井朗,山本幸司,中塚理,小川正毅,財満鎭明

    第53回応用物理学関係連合講演会 

     More details

    Event date: 2006.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  406. 極薄Ge中間層を用いた歪緩和Ge/Si(001)界面の転位構造制御

    湯川勝規,望月省吾,中塚理,酒井朗,竹田晋吾,木村滋,坂田修身,隅谷和嗣,泉妻宏治,仙田剛士,豊田英二,小川正毅,財満鎭明

    第53回応用物理学関係連合講演会 

     More details

    Event date: 2006.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  407. Si(001)基板上にパターン加工されたGeおよびSiGe層の歪緩和評価と制御

    望月省吾,湯川勝規,中塚理,近藤博基,酒井朗,泉妻宏治,仙田剛士,豊田英二,小川正毅,財満鎭明

    第53回応用物理学関係連合講演会 

     More details

    Event date: 2006.3

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  408. Electrical properties of epitaxial NiSi2/Si contacts with extremely flat interface formed in Ni/Ti/Si(001) system International conference

    O. Nakatsuka, A. Suzuki, A. Sakai, M. Ogawa, and S. Zaima

    Materials for Advanced Metallization Conference 2006 

     More details

    Event date: 2006.3

    Language:English   Presentation type:Poster presentation  

  409. Epitaxial NiSi2 layers with extremely flat interfaces in Ni/Ti/Si(001) system International conference

    A. Suzuki, K. Okubo, O. Nakatsuka, A. Sakai, M. Ogawa, and S. Zaima

    Advanced Metallization Conference 2005: 15th Asian Session 

     More details

    Event date: 2005.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  410. Dislocation and strain engineering for SiGe buffer layers on Si International conference

    A. Sakai, S. Mochizuki, N. Taoka, O. Nakatsuka, S. Takeda, S. Kimura, M. Ogawa, and S. Zaima

    Symposium on Crystalline Defects and Contamination: Their Impact and Control in Device Manufacturing IV (joint with 35th European Solid State Device Research Conference) 

     More details

    Event date: 2005.9

    Language:English   Presentation type:Oral presentation (invited, special)  

  411. Ni/Ti/Si(001)系におけるエピタキシャルNiSi2超平坦界面の低温形成

    鈴木敦之、大久保和哉、中塚理、酒井朗、小川正毅、財満鎭明

    第66回応用物理学会学術講演会 

     More details

    Event date: 2005.9

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  412. Impact of C implantation on electrical properties of NiSi/Si contact International conference

    O. Nakatsuka, K. Okubo, A. Sakai, M. Ogawa, and S. Zaima

    The 5th International Workshop on Junction Technology 

     More details

    Event date: 2005.6

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  413. Surface structures in the initial growth of epitaxial Si1-x-yGexCy layers in SiGe and C alternate deposition International conference

    S. Takeuchi, O. Nakatsuka, Y. Wakazono, A. Sakai, M. Ogawa, Y. Yasuda, and S. Zaima

    First International WorkShop on New Group IV Semiconductor Nanoelectronics 

     More details

    Event date: 2005.5

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  414. Control of Solid-Phase Reaction and Electrical Properties of Ni silicide/Si Contacts by Ge and C Incorporation International conference

    O. Nakatsuka, K. Okubo, A. Sakai, J. Murota, Y. Yasuda, M. Ogawa, and S. Zaima

    First International WorkShop on New Group IV Semiconductor Nanoelectronics 

     More details

    Event date: 2005.5

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  415. Hard X-Ray Photoelectron Spectroscopy for HfON/SiN/Si System International conference

    O. Nakatsuka, R. Takahishi, M. Sakashita, E. Ikenaga, K. Kobayashi, H. Nohira, T. Hattori, A. Sakai, M. Ogawa, and S. Zaima

    Fourth International Conference on Silicon Epitaxy and Heterostructures 

     More details

    Event date: 2005.5

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  416. Cイオン注入を用いたNiSi界面固相反応制御

    中塚理、大久保和哉、酒井朗、小川正毅、安田幸夫、財満鎭明

    第4回・日本表面科学会中部支部学術講演会 

     More details

    Event date: 2005.4

    Language:Japanese   Presentation type:Oral presentation (general)  

    Country:Japan  

  417. Improvement on NiSi/Si contact properties with C-implantation International conference

    S. Zaima, O. Nakatsuka, K. Okubo, A. Sakai, M. Ogawa, and Y. Yasuda

    Materials for Advanced Metallization Conference 2005 

     More details

    Event date: 2005.3

    Language:English   Presentation type:Poster presentation  

  418. Transmission electron microscopy analysis of dislocation structures in the strain-relaxed SiGe films on Si and silicon-on-insulator substrates International conference

    N. Taoka, A. Sakai, S. Mochizuki, O. Nakatsuka, M. Ogawa, S. Zaima, and Y. Yasuda

    International Symposium on Characterization of Real Materials and Real Processing by Transmission Electron Microscopy 

     More details

    Event date: 2005.1

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  419. Control of initial growth of epitaxial NiSi2 on Si(001) with C incorporation International conference

    O. Nakatsuka, E. Okada, D. Ito, A. Sakai, S.Zaima, M. Ogawa, and Y. Yasuda,

    Third International Workshop on New Group IV (Si-Ge-C) Semiconductors: Control of Properties and Application to Ultrahigh Speed and Opto-Electronic Devices, 

     More details

    Event date: 2004.10

    Language:English   Presentation type:Poster presentation  

    Country:Japan  

  420. Thermal stability and electrical properties of Ni-silicide on C-incorporation International conference

    ", O. Nakatsuka, K. Okubo, A. Sakai, M. Ogawa, S. Zaima, J. Murota, and Y. Yasuda,

    Advanced Metallization Conference 2004: Asian Session 

     More details

    Event date: 2004.9

    Language:English   Presentation type:Oral presentation (general)  

    Country:Japan  

  421. Growth mechanism of epitaxial NiSi2 layer in the Ni/Ti/Si(001) contact for atomically flat interfaces International conference

    O. Nakatsuka, K. Okubo, A. Sakai, S. Zaima, and Y. Yasuda

    The 4th International Workshop on Junction Technology 

     More details

    Event date: 2004.3

    Language:English   Presentation type:Oral presentation (general)  

▼display all

Research Project for Joint Research, Competitive Funding, etc. 3

  1. Crystal Growth and Interface Control Technology of Group IV Semiconductor Thin Films for Multi-Layered Solar Cell

    2011.11 - 2016.3

      More details

    Grant type:Competitive

  2. 極限CMOSの研究開発

    2009 - 2011

    NEDO/省エネルギー革新技術開発事業/挑戦研究 

      More details

    Grant type:Competitive

  3. Geエレクトロニクスに向けた低抵抗・超平坦金属/Geコンタクト形成技術

    2006.4 - 2009.3

KAKENHI (Grants-in-Aid for Scientific Research) 9

  1. Creation of exotic low-dimensional material originated from phyllosilicate and control of its electronic property

    Grant number:21K18893  2021.7 - 2024.3

    Grants-in-Aid for Scientific Research  Grant-in-Aid for Challenging Research (Exploratory)

      More details

    Authorship:Principal investigator 

    Grant amount:\6500000 ( Direct Cost: \5000000 、 Indirect Cost:\1500000 )

  2. Energy band design based on controlling ordered/disordered structure of non-thermal equilibrium group-IV alloy thin films

    Grant number:21H01809  2021.4 - 2024.3

    Grants-in-Aid for Scientific Research  Grant-in-Aid for Scientific Research (B)

      More details

    Authorship:Principal investigator 

    Grant amount:\17550000 ( Direct Cost: \13500000 、 Indirect Cost:\4050000 )

  3. Development of calculation technique for defect control in semiconductors for power device application

    Grant number:19K05294  2019.4 - 2022.3

    Grants-in-Aid for Scientific Research  Grant-in-Aid for Scientific Research (C)

    Sueoka Koji

      More details

    Authorship:Coinvestigator(s) 

    The main findings of this simulation study are (1) the void defect formation is suppressed by the interaction of nitrogen (N) and vacancy (V), and the dislocation formation is suppressed by the interaction of hydrogen (H) and self-interstitial (I)in IGBT MCZ-Si crystal, (2) the mechanism of formation of interstitial phosphorus (Pi) and stacking faults (SFs)in power MOS-FET Si crystal is clarified, and (3) V(N)-I(N) pair is more stable than V(Ga)-I(Ga) pair in GaN crystal.

  4. Development of calculation method for physical properties based on the possible atomic configurations and its application to the IV group semiconductor materials

    Grant number:16K04950  2016.10 - 2019.3

    Sueoka Koji

      More details

    Authorship:Coinvestigator(s) 

    The purposes of this study are to develop the new calculation method of possible atomic configuration and physical properties and to apply the method for IV group semiconductors which are the main materials of electronics devices.
    The main results are (1) the propose of an approach based on statistical thermodynamics and ab initio calculations to predict properties of materials composed of different types of atoms, and (2) that the method was applied to the three topics of Si crystal growth, lifetime control defects of Si IGBT, and IV group solar cell.

  5. フェルミレベルピニング軽減による金属/ゲルマニウム系材料低抵抗コンタクト

    2015.4 - 2018.3

    科学研究費補助金  基盤研究(B)

      More details

    Authorship:Principal investigator 

  6. Low Resistance Metal/Germanium Contacts by Alleviation of Fermi Level Pinning Phenomenon

    Grant number:15H03565  2015.4 - 2018.3

    NAKATSUKA Osamu

      More details

    Authorship:Principal investigator 

    Grant amount:\17030000 ( Direct Cost: \13100000 、 Indirect Cost:\3930000 )

    We have developed the technology for lowering the contact resistivity at metal/Ge interface for the application of high-mobility semiconductor material, germanium (Ge). The purpose of this research project was the clarification of the interface properties and the establishment of controlling technology of the electrical conduction properties of metal/Ge(Sn) contact for the application of novel Ge-related alloy materials; germanium-tin (GeSn) and silicon-germanium-tin (SiGeSn). As results, we have successfully demonstrated Schottky barrier height engineering by the introduction of the GeSn and SiGeSn interlayer and the formation of metal germanide epitaxial layer/Ge contacts. Also, we have achieved the formation of heavily Sb-doped Ge(Sn) epitaxial layer and demonstrate the formation of a metal/n-Ge(Sn) contact with an ultralow contact resistivity lower than 1E-8 /Ωcm^2.

  7. Synthesis of New Group IV Two Dimensional Materials

    Grant number:15H03564  2015.4 - 2018.3

    Shiraishi Kenji

      More details

    Authorship:Collaborating Investigator(s) (not designated on Grant-in-Aid) 

    We performed first principles calculations of of silicene and germanene and clarified the atomic and electronic structures. First, we performed electronic structure of silicene and germanene on Al2O3 insulators. As a result, band structures of silicene and germanene keep dirac cone characteristic at K point even after it is adsorbed on Al2O3. However, band structures are slightly modified dependeng of the adsorbed structures of silicene and gemanene.

  8. Establishment of Fundamental Engineering of Sn-related Group-IV Semiconductor Materials for Multi-Functional and Low-Power Electronics

    Grant number:26220605  2014.5 - 2019.3

    ZAIMA SHIGEAKI

      More details

    Authorship:Other 

    We have investigated the thin-film growth and process technologies of Sn-related group-IV semiconductor such as germanium-tin and germanium-silicon-tin alloys for applications of tunnel field-effect transistor and multifunctional photoelectric device those will contribute to next generation electronics. We developed engineering technologies of thin films, interface properties, energy band structure, and electronic device process, and also established fundamental engineering and science of Sn-related group-IV alloy semiconductors contributing to the progress of low-power consumption transistors and multifunctional electronic and optoelectronic devices.

  9. Geエレクトロニクスに向けた低抵抗・超平坦金属/Geコンタクト形成技術

    2006.4 - 2009.3

    科学研究費補助金  若手研究(A)

    中塚理

      More details

    Authorship:Principal investigator 

▼display all

Industrial property rights 15

  1. 多層膜構造体およびその形成方法

    中塚理、酒井朗、小川正毅、財満鎭明、近藤博基、湯川勝規、水谷卓也

     More details

    Applicant:国立大学法人名古屋大学

    Application no:特願2008-122891  Date applied:2008.5

    Announcement no:特開2009-272504. 

    Country of applicant:Domestic  

  2. ジャーマナイド薄膜、ジャーマナイド薄膜の作成方法、ジャーマナイド薄膜を備えたゲルマニウム構造体

    中塚理、酒井朗、鈴木敦之、小川正毅、財満鎭明

     More details

    Applicant:国立大学法人名古屋大学

    Application no:特願2007-247138  Date applied:2007.9

    Announcement no:特開2009-081159 

    Country of applicant:Domestic  

  3. 伸張歪ゲルマニウム薄膜の作製方法、伸張歪ゲルマニウム薄膜、及び多層膜構造体

    竹内正太郎、酒井朗、中塚理、小川正毅、財満鎭明

     More details

    Applicant:国立大学法人名古屋大学

    Application no:特願2007-132189  Date applied:2007.5

    Announcement no:特開2008-288395 

    Country of applicant:Domestic  

  4. 歪み緩和ゲルマニウム膜及びその製造方法並びに多層膜構造体

    酒井朗、湯川勝則、中塚理、小川正毅、財満鎭明

     More details

    Applicant:国立大学法人名古屋大学

    Application no:特願2005-355102  Date applied:2005.12

    Country of applicant:Domestic  

  5. カーボンナノチューブ形成用基材及びその製造方法並びにカーボンナノチューブ

    酒井朗、種田智、中塚理、小川正毅、財満鎭明

     More details

    Applicant:国立大学法人名古屋大学

    Application no:特願2005-199338  Date applied:2005.7

    Announcement no:特開2007-15890 

    Country of applicant:Domestic  

  6. エピタキシャル成長用基材及びその製造方法並びに多層膜構造体

     More details

    Application no:特願2004-207782  Date applied:2004.7

    Announcement no:特開2006-32575 

    Country of applicant:Domestic  

  7. METHOD FOR FABRICATING A SILICIDE FILM, MULTILAYERED INTERMEDIATE STRUCTURE AND MULTILAYERED STURUCTURE

     More details

    Application no:特願2004-051790  Date applied:2004.2

    Announcement no:特開2005-243923 

    Patent/Registration no:特許第3879003号  Date registered:2006.11 

    Country of applicant:Domestic  

  8. コバルトシリサイド膜の作製方法、コバルトシリサイド膜、及び多層膜中間構造体

    財満鎭明,安田幸夫,酒井朗,中塚理

     More details

    Applicant:国立大学法人名古屋大学

    Application no:特願2003-042521  Date applied:2003.2

    Announcement no:特開2004-253621 

    Patent/Registration no:特許第3700004号  Date registered:2005.7 

    Country of applicant:Domestic  

  9. ニッケルシリサイド膜の作製方法、及び多層構造体

    財満鎭明,酒井朗,中塚理,安田幸夫

     More details

    Applicant:国立大学法人名古屋大学

    Application no:特願2003-042270  Date applied:2003.2

    Announcement no:特開2004-253606 

    Patent/Registration no:特許第4009719号  Date registered:2006.9 

    Country of applicant:Domestic  

  10. 細線構造の作製方法、多層膜構造体、及び多層膜中間構造体

    酒井朗,財満鎭明,安田幸夫,中塚理

     More details

    Applicant:国立大学法人名古屋大学

    Application no:特願2003-042275  Date applied:2003.2

    Announcement no:特開2004-253607 

    Patent/Registration no:特許第3878997号  Date registered:2006.9 

    Country of applicant:Domestic  

  11. シリコンゲルマニウム膜の作製方法、エピタキシャル成長用基板、及び多層膜構造体

    酒井朗,中塚理,財満鎭明,安田幸夫

     More details

    Application no:特願2002-335165  Date applied:2002.11

    Announcement no:特開2004-172276 

    Patent/Registration no:特許第3851950号  Date registered:2006.9 

    Country of applicant:Domestic  

  12. ニッケルシリコン系薄膜、ニッケルシリコン系多層膜構造及びニッケルシリコン系薄膜の作製方法

    財満鎭明,安田幸夫,酒井朗,中塚理,土屋義規

     More details

    Applicant:名古屋大学長 松尾稔

    Application no:特願2002-198369  Date applied:2002.7

    Announcement no:特開2004-40013 

    Patent/Registration no:特許第3733424号  Date registered:2006.1 

    Country of applicant:Domestic  

    ・本特許が評価され、『第3回 P&I パテントコンテスト:パテント・オブ・ザ・イヤープロセス・テクノロジー部門』の受賞に結びついた。(学内共同研究者:財満鎭明)

  13. 素子電極用のニッケルシリコン系薄膜の作製方法、及び素子電極用の多層膜構造

    安田幸夫,財満鎭明,酒井朗,中塚理,土屋義規

     More details

    Application no:特願2002-130561  Date applied:2002.5

    Announcement no:特開2003-324078 

    Patent/Registration no:特許第3876307号  Date registered:2006.11 

    Country of applicant:Domestic  

  14. p型SiC用電極

    中塚理、小西亮平、安河内隆一、小出康夫、村上 正紀、柴田直樹

     More details

    Applicant:豊田合成株式会社

    Application no:特願2001-270771  Date applied:2001.9

    Announcement no:特開2003-77860 

    Patent/Registration no:特許第4026339号  Date registered:2007.10 

    Country of applicant:Domestic  

  15. 半導体混晶膜の形成法

    安田幸夫,財満鎭明,酒井朗,山中章,中塚理

     More details

    Applicant:名古屋大総長

    Application no:特願2000-172876  Date applied:2000.6

    Announcement no:特開2001-351862 

    Patent/Registration no:特許第3378912号  Date registered:2002.12 

    Country of applicant:Domestic  

▼display all

 

Teaching Experience (On-campus) 16

  1. 応用物理学実験第3

    2011

  2. 応用物理学実験第2

    2011

  3. 結晶デバイスセミナー

    2011

  4. 結晶材料学基礎

    2011

  5. 応用物理学実験第1

    2011

  6. 光・半導体物性

    2009

  7. 応用物理学実験第3

    2009

  8. 応用物理学実験第1

    2009

  9. 応用物理学実験第2

    2009

  10. 結晶デバイスセミナー

    2009

  11. 光・半導体物性

    2008

  12. 結晶デバイスセミナー

    2008

  13. 応用物理学実験第一

    2008

  14. 半導体物性工学特論

    2008

  15. 結晶デバイスセミナー

    2007

  16. 光・半導体物性

    2007

▼display all

 

Media Coverage 1

  1. 次世代機能性薄膜の動向(1) Newspaper, magazine

    株式会社矢野経済研究所  Yano E plus  2020年10月15日発行号、pp. 34-48  2020.10

     More details

    Author:Other 

Academic Activities 4

  1. 常任幹事

    Role(s):Planning, management, etc.

    (公社) 応用物理学会 薄膜・表面物理分科会  2019.4 - 2021.3

     More details

    Type:Academic society, research group, etc. 

  2. 幹事(多層配線システム研究会)

    Role(s):Planning, management, etc.

    (公社) 応用物理学会 シリコンテクノロジー分科会  2018.4

     More details

    Type:Academic society, research group, etc. 

  3. Editorial board member of Japanese Journal of Applied Physics International contribution

    Role(s):Planning, management, etc.

    Japanese Journal of Applied Physics  2012.4

     More details

    Type:Academic society, research group, etc. 

  4. 実行プログラム委員

    Role(s):Planning, management, etc.

    電子デバイス界面テクノロジー研究会  2012.4

     More details

    Type:Academic society, research group, etc.