2024/03/26 更新

写真a

イシカワ ケンジ
石川 健治
ISHIKAWA, Kenji
所属
低温プラズマ科学研究センター 教授
大学院担当
大学院工学研究科
職名
教授
外部リンク

学位 1

  1. 博士(工学) ( 2006年3月   東北大学 ) 

研究キーワード 1

  1. プラズマ科学

研究分野 1

  1. その他 / その他  / プラズマナノ科学・ナノ工学

現在の研究課題とSDGs 3

  1. プラズマ物質科学

  2. プラズマ生物学

  3. プラズマプロセス

経歴 5

  1. 名古屋大学   大学院工学研究科   教授

    2023年4月 - 現在

  2. 名古屋大学   低温プラズマ科学研究センター   教授

    2023年4月 - 現在

  3. 名古屋大学   低温プラズマ科学研究センター プラズマ科学部門   教授

    2021年4月 - 現在

      詳細を見る

    国名:日本国

  4. 九州大学   プラズマナノ界面工学センター   客員教授

    2021年4月

      詳細を見る

    国名:日本国

  5. 名古屋大学   低温プラズマ科学研究センター   特任教授

    2020年2月 - 2021年3月

      詳細を見る

    国名:日本国

所属学協会 4

  1. 応用物理学会

  2. 日本酸化ストレス学会

  3. プラズマ核融合学会

  4. 米国真空学会   プラズマ科学技術部門

委員歴 3

  1. 日本MRS-J   シンポジウムオーガナイザー  

    2013年 - 現在   

      詳細を見る

    団体区分:学協会

  2. プラズマナノ技術科学国際会議   プログラム委員  

    2010年 - 現在   

      詳細を見る

    団体区分:その他

  3. ドライプロセス国際シンポジウム   組織委員,出版委員  

    2010年 - 現在   

      詳細を見る

    団体区分:学協会

受賞 7

  1. ISPlasma 2016/IC-PLANTS 2016 Excellent Presentation Award

    2016年3月   ISPlasma 2016/IC-PLANTS 2016  

     詳細を見る

    受賞国:日本国

  2. 第37回(2015年度)応用物理学会論文賞

    2015年9月   応用物理学会  

     詳細を見る

    受賞国:日本国

  3. 第11回プラズマエレクトロニクス賞

    2013年3月   応用物理学会  

     詳細を見る

    受賞国:日本国

  4. 第11回アジア太平洋プラズマ科学会議(APCPST&SPSM) Plasma Science Award

    2012年10月   APCPST&SPSM  

     詳細を見る

    受賞国:日本国

  5. 第72回半導体・集積回路技術シンポジウムアワード

    2009年   電気化学会  

     詳細を見る

    受賞国:日本国

  6. ASET 優秀研究員“SiO2およびポリマーのプラズマエッチング表面反応の研究”

    2003年   技術研究組合 超先端電子技術開発機構(ASET)  

     詳細を見る

    受賞国:日本国

  7. 2003 spring MRS best poster award: E3.28 VAPOR TREATMENT OF COPPER SURFACE USING ORGANIC ACIDS

    2003年   Material Research Society  

▼全件表示

 

論文 355

  1. Inhibition of glutamine metabolism increases sensitivity to plasma-activated medium-induced cytotoxicity. 査読有り

    Tanaka S, Hayashi S, Otsuka T, Kamiya T, Ishikawa K, Hara H

    Free radical research     頁: 1 - 10   2024年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1080/10715762.2024.2332343

    PubMed

  2. Elaborate cooperation of poly(rC)-binding proteins 1/2 and glutathione in ferroptosis induced by plasma-activated Ringer's lactate 査読有り

    Jiang L., Zheng H., Ishida M., Lyu Q., Akatsuka S., Motooka Y., Sato K., Sekido Y., Nakamura K., Tanaka H., Ishikawa K., Kajiyama H., Mizuno M., Hori M., Toyokuni S.

    Free Radical Biology and Medicine   214 巻   頁: 28 - 41   2024年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Free Radical Biology and Medicine  

    Reactive species are involved in various aspects of neoplastic diseases, including carcinogenesis, cancer-specific metabolism and therapeutics. Non-thermal plasma (NTP) can directly provide reactive species, by integrating atmospheric and interjacent molecules as substrates, to represent a handy strategy to load oxidative stress in situ. NTP causes apoptosis and/or ferroptosis specifically in cancer cells of various types. Plasma-activated Ringer's lactate (PAL) is another modality at the preclinical stage as cancer therapeutics, based on more stable reactive species. PAL specifically kills malignant mesothelioma (MM) cells, employing lysosomal ·NO as a switch from autophagy to ferroptosis. However, the entire molecular mechanisms have not been elucidated yet. Here we studied cytosolic iron regulations in MM and other cancer cells in response to PAL exposure. We discovered that cells with higher catalytic Fe(II) are more susceptible to PAL-induced ferroptosis. PAL caused a cytosolic catalytic Fe(II)-associated pathology through iron chaperones, poly (rC)-binding proteins (PCBP)1/2, inducing a disturbance in glutathione-regulated iron homeostasis. PCBP1/NCOA4-mediated ferritinophagy started at a later phase, further increasing cytosolic catalytic Fe(II), ending in ferroptosis. In contrast, PCBP2 after PAL exposure contributed to iron loading to mitochondria, leading to mitochondrial dysfunction. Therapeutic effect of PAL was successfully applied to an orthotopic MM xenograft model in mice. In conclusion, PAL can selectively sensitize MM cells to ferroptosis by remodeling cytoplasmic iron homeostasis, where glutathione and PCBPs play distinct roles, resulting in lethal ferritinophagy and mitochondrial dysfunction. Our findings indicate the clinical application of PAL as a ferroptosis-inducer and the potential of PCBPs as novel targets in cancer therapeutics.

    DOI: 10.1016/j.freeradbiomed.2024.02.001

    Scopus

    PubMed

  3. 液中プラズマによるナノグラフェンの高速合成と機能化 査読有り

    近藤 博基, 堤 隆義, 石川 健治, 堀 勝, 平松 美根男

    表面と真空   67 巻 ( 2 ) 頁: 77 - 82   2024年2月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 日本表面真空学会  

    DOI: 10.1380/vss.67.77

    CiNii Research

  4. Surface sulfurization of amorphous carbon films in the chemistry of oxygen plasma added with SO2 or OCS for high-aspect-ratio etching 査読有り

    Ishikawa, K; Nguyen, TTN; Aoki, Y; Sato, H; Kawakami, J; Tsuno, S; Hsiao, SN; Hori, M

    APPLIED SURFACE SCIENCE   645 巻   2024年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Surface Science  

    Etching of oxygen-based plasmas with sulfur dioxide (SO2) or carbonyl sulfide (OCS) can form high-aspect-ratio (HAR) features of amorphous carbon films as carbon hard masks (CHM). The etched profiles showing shapes such as bowing or tapering are essentially dependent on the partial pressures of SO2 or OCS in the O2 plasma. The surface treated after the OCS-added plasma exhibited strong sulfurization by S2 and CS species in S 2p of the X-ray photoelectron spectroscopy (XPS). The gas-phase interactions in the sulfur-oxygen-carbon system generated atoms and molecules, such as O, O+, and O2+, which etched at the bottom and, conversely, SO, CO, CS, CS2, and S2, which inhibited isotropic etching at the sidewalls of the HAR features. The chemical reactions of the CS sulfurizing precursors in the gas phase were monitored by comparing their optical emission intensities at a wavelength of 257 nm with those of SO2 at approximately 320 nm. The optimization of the HAR profiles of the CHM can be controlled by sidewall sulfurization of the CHM to obtain desirable profile shapes for the HAR features.

    DOI: 10.1016/j.apsusc.2023.158876

    Web of Science

    Scopus

  5. Oxygen radical irradiation transforms an organic fertilizer L-tryptophan into an environment and human-friendly bactericide 査読有り

    Iwata, N; Ishikawa, K; Nishikawa, Y; Kato, H; Shimizu, M; Kato, M; Tanaka, H; Ito, M; Hori, M

    ENVIRONMENTAL TECHNOLOGY & INNOVATION   33 巻   2024年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Environmental Technology and Innovation  

    Organic fertilizers are useful in hydroponic agriculture to bring about a sustainable society with minimal pollution. Irradiation of L-tryptophan (L-Trp) solutions with electrically neutral atomic oxygen produces immediate bactericidal activity. After oxygen radical irradiation of an L-Trp-containing Escherichia coli suspension at pH 6.3 for 5 min, a colony-forming unit assay showed a 6-log reduction in the number of surviving E. coli. Kynurenine and N’-formylkynurenine were identified as irradiation products in the solution using liquid chromatography–mass spectroscopy and nuclear magnetic resonance spectroscopy. Tryptophan radicals (Trp•) are precursors of kynurenine and N’-formylkynurenine and are detected using spin-trap electron spin resonance. The bactericidal effect is mediated by central carbon metabolism via enzyme deactivation in E. coli. Enzymatic deactivation via the oxygen radical irradiation of a solution containing the amino acid L-Trp eventually resulted in bacterial death.

    DOI: 10.1016/j.eti.2023.103496

    Web of Science

    Scopus

  6. High linear energy transfer (LET) nature of alanine radical yield by soft X-ray irradiations studied by electron spin resonance (ESR) applications 査読有り

    Nakagawa, S; Yokoya, A; Ohara, M; Usami, N; Asada, M; Fujiwara, M; Nakamura, T; Ishikawa, K

    RADIATION PHYSICS AND CHEMISTRY   214 巻   2024年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Radiation Physics and Chemistry  

    Radicals produced in alanine by irradiation of 2–7 keV X-rays were investigated by ESR applications; i.e., CW-ESR, pulse-ESR and ESR imaging. The relative radical yield decreased with the decreasing photon energy and the increasing dose. The 2D imaging showed that the radical concentration at the surface was extremely low even though the absorption of X-rays exponentially decreased with the penetration depth. The depth of the radical concentration peak was almost the same as the penetration depth for the X-ray irradiation with an energy lower than 5-keV. The product formed by radical recombination was observed by an LC/MS (liquid chromatograph-mass spectrum) analysis. For the soft X-ray irradiation, many radicals will be lost by the efficient radical-radical recombination due to the higher density of the radicals, similar to the high-LET irradiation by heavy ions. The spin-spin relaxation rate (1/T2), which correlates with the radical concentration, increased by the decreasing photon energy. The values of 1/T2 for the soft X-rays were compatible to that for the heavy ions with the high-LET. Based on these observations, it is concluded that the effects of irradiation by soft X-rays are similar to high-LET irradiation.

    DOI: 10.1016/j.radphyschem.2023.111304

    Web of Science

    Scopus

  7. Bias-supply timing tailored to the aspect ratio dependence of silicon trench etching in Ar plasma with alternately injected C4F8 and SF6 査読有り

    Yoshie, T; Ishikawa, K; Nguyen, TTN; Hsiao, SN; Tsutsumi, T; Sekine, M; Hori, M

    APPLIED SURFACE SCIENCE   638 巻   2023年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Surface Science  

    In semiconductor device fabrication, the feature profiles of a high-aspect-ratio (HAR) Si trench is needed to be controlled considering aspect-ratio-dependent etching (ARDE). This is achieved by a cyclic process in which Ar plasma is sustained while C4F8 and SF6 are alternately injected and a short-period supply of a substrate bias is provided. Presently, the transient behaviors of gaseous and surface reactions are dynamically revealed by measuring the plasma parameters using a surface wave probe and optical emission spectroscopy. When the etched surface is fluorinated during the cycle, an ARDE-free Si-trench feature profile can be fabricated by controlling the bias-supply timing.

    DOI: 10.1016/j.apsusc.2023.157981

    Web of Science

    Scopus

  8. An approach to reduce surface charging with cryogenic plasma etching using hydrogen-fluoride contained gases 査読有り

    Hsiao S.N., Sekine M., Ishikawa K., Iijima Y., Ohya Y., Hori M.

    Applied Physics Letters   123 巻 ( 21 )   2023年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Letters  

    The surface conductivity influences the etched pattern profiles in the plasma process. In the dielectric film etching, it is vital to reduce the charging build-up, which bends the trajectory of incoming ions for highly anisotropic etching. A significant increase in surface electric conductivity of SiO2 films was observed when exposed to down-flow plasmas containing hydrogen fluoride (HF) at cryogenic temperature (−60 °C). This phenomenon can be attributed to two factors: (i) the absorption of HF and/or its compounds and (ii) the presence of H2O, which is likely originating from the etching by-product of SiO2 and/or within the reactor. Comparing the surface electric resistance of the samples treated with HF plasmas to that of CF4/H2 and C4F8/H2 plasmas, we found that HF plasma treatment enables to be approximately three and six orders of magnitude lower. By using in situ x-ray photoemission spectroscopy, it was revealed that the presence of HF and/or its compounds and H2O were absorbed on the sample surface at −60 °C. These results strongly suggest that the cryogenic plasma etching with HF-contained gases can be used to alleviate the charge build-up issues.

    DOI: 10.1063/5.0173553

    Scopus

  9. Gas-phase study of the behavior of trimethyl gallium and triethyl gallium by optical emission spectroscopy and quadrupole mass spectroscopy for the growth of GaN by REMOCVD (radical-enhanced metalorganic chemical vapor deposition) 査読有り

    Dhasiyan, AK; Jayaprasad, S; Amalraj, FW; Shimizu, N; Oda, O; Ishikawa, K; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   62 巻 ( SN )   2023年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Metal-organic CVD (MOCVD) is a well-established means of epitaxial growth of III-nitrides in terms of production. To overcome the drawbacks of MOCVD, we have developed a radical-enhanced MOVCVD (REMOCVD) technique which is promising to grow group-III nitride materials at lower temperatures without ammonia gas. The gas phase behavior of trimethyl gallium (TMG) and triethyl gallium (TEG) in the chamber is studied with optical emission spectroscopy (OES) and quadrupole mass spectroscopy. From OES results, it is found that the parasitic reactions due to activated Ga and CN could be avoided by introducing hydrogen as a source gas together with nitrogen gas. The TEG is completely decomposed in REMOCVD at 550 °C which is a much lower temperature compared to TMG in a hydrogen atmosphere. Also, it is found that due to the low decomposition temperature of TEG, TEG contaminated the gas line which needs to be cleaned often.

    DOI: 10.35848/1347-4065/acfd34

    Web of Science

    Scopus

  10. Deposition of carbon-based materials directly on copper foil and nickel foam as 2D-and 3D-networked metal substrates by in-liquid plasma 査読有り

    Dela Vega, MSDC; Nguyen, TTN; Kondo, H; Tsutsumi, T; Ishikawa, K; Hori, M

    PLASMA PROCESSES AND POLYMERS   20 巻 ( 11 )   2023年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Plasma Processes and Polymers  

    In-liquid plasma (ILP) process has been an attractive route for nanomaterial synthesis due to its high-yield production in low-temperature atmospheric pressure conditions. In comparison with conventional ILP synthesis that produces free-standing nanomaterials, this work brings a new perspective on the application of the ILP process through carbon formation directly on ethanol-immersed two-dimensional (foil) and three-dimensional (3D) (foam) metal substrates. Three types of carbon, including graphene, graphitic carbon, and amorphous carbon, were simultaneously produced during plasma discharge. Graphitic and amorphous carbon formed radially and coexisted on the metal substrate surface, while free-standing graphene was produced in ethanol. A conformal coating was achieved on the exterior surface of the 3D-networked substrate. The proposed mechanism for carbon formation on metal substrates is presented here.

    DOI: 10.1002/ppap.202300036

    Web of Science

    Scopus

  11. 低温プラズマおよびハイパーサーミア併用効果を用いたがん治療の可能性

    近藤 隆, 橋爪 博司, 田中 宏昌, 石川 健治, 齋藤 淳一, 堀 勝

      39 巻 ( 3 ) 頁: 21 - 30   2023年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:日本ハイパーサーミア学会  

    <p> プラズマは固体・液体・気体に続く物質の第4の状態である.狭義のプラズマは,気体を構成する分子が電離し,陽イオンと電子に分かれて運動している状態であり,電離気体に相当する.いわゆる熱プラズマはすでに消化管や鼻腔表面の組織凝固壊死を誘導する熱凝固装置治療に使われている.近年,低温プラズマ技術の発展が目覚ましく,止血,がん治療,遺伝子・薬物導入,殺菌・滅菌,創傷治療等,多くの医療分野への利用が注目されている.低温大気圧プラズマは水溶液中には多量の活性種を生成する.但し,直接照射の場合の課題はその深達性にある.そこで,我々はハイパーサーミアの併用により大気圧プラズマ誘発活性種がより多く細胞内に導入されることを期待してアポトーシスを指標にその増強効果を検討した.</p><p> 実験にはヒトリンパ腫細胞株であるU937細胞を用いた.プラズマにはHeプラズマを用いた.その結果,単独では細胞致死効果を示さない42 ℃の温熱処理を付加することでプラズマ併用により相乗的なアポトーシスの増強効果が得られた.同時に細胞内活性酸素種も増加した.単独では致死効果が十分でない場合でもハイパーサーミアを付加することで細胞内への活性酸素種の導入が増え,致死効果の増強に寄与したものと思われる.</p><p> 最近,プラズマ照射された溶液の生物作用が注目されている.プラズマ活性培養液やプラズマ活性乳酸リンゲル液のがん細胞致死効果が注目されている.これらプラズマ活性化溶液と温熱処理は細胞内に酸化ストレス誘導をすることが知られており,ハイパーサーミアとの併用による相乗効果が期待される.本稿ではプラズマを用いたがん治療の現状について解説するともにハイパーサーミア併用の有用性について言及する.</p>

    DOI: 10.3191/thermalmed.39.21

    CiNii Research

  12. Development of an experimental system for cell viability assays of yeasts using gas-temperature controllable plasma jets 査読有り

    Yoshimura, S; Otsubo, Y; Yamashita, A; Johzuka, K; Tsutsumi, T; Ishikawa, K; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   62 巻 ( SL )   2023年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    The characteristics of a gas-temperature-controllable atmospheric-pressure helium plasma jet and the development of an experimental system for cell viability assays of yeasts (fission yeast Schizosaccharomyces pombe and budding yeast Saccharomyces cerevisiae) are reported. The physicochemical properties of the plasma plume, which can maintain the temperature of the irradiated object at a temperature suitable for yeast, were not significantly different from those of a typical helium plasma jet. Furthermore, good reproducibility of cell viability was observed when gas temperature, gas flow rate, applied high voltage, and irradiation distance remained fixed, and only irradiation time was used as a parameter. This experimental system allows us to carry out various experiments, such as the search for plasma-resistant mutants that will contribute to the identification of genes involved in resistance to direct plasma irradiation.

    DOI: 10.35848/1347-4065/acd4ca

    Web of Science

    Scopus

  13. Organic decomposition and synthesis reactions in lactated solution exposed to nonequilibrium atmospheric pressure plasma 査読有り

    Liu, Y; Ishikawa, K; Tanaka, H; Miron, C; Kondo, T; Nakamura, K; Mizuno, M; Kajiyama, H; Toyokuni, S; Hori, M

    PLASMA PROCESSES AND POLYMERS   20 巻 ( 5 )   2023年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Plasma Processes and Polymers  

    Lactate is used in the food and pharmaceutical industries and is a crucial intermediate for synthesis. Plasma-activated lactate (PAL) in Ringer's solution was recently shown to have effective antitumor action. Small molecule aldehydes, ketones, and organic acids were produced from lactate during plasma exposure, and five-membered conjugated lactone isomers of furanone (C5H6O2) were detected formed by interactions of lactate or its fragments with •OH, organic radicals, and H2O2. 2,3-Dimethyl-tartaric acid may be the effective component in PAL for the selective killing of cancer but not normal cells and possible pathways for its synthesis are provided. Aqueous reaction mechanisms are explained, including dehydration, esterification, hydrolysis, and dimerization. This study will help develop novel cancer therapies and further plasma organic chemistry.

    DOI: 10.1002/ppap.202200193

    Web of Science

    Scopus

  14. Leukocyte Depletion and Size-Based Enrichment of Circulating Tumor Cells Using a Pressure-Sensing Microfiltration Device 査読有り

    Onoshima, D; Hase, T; Kihara, N; Kuboyama, D; Tanaka, H; Ozawa, N; Yukawa, H; Sato, M; Ishikawa, K; Hasegawa, Y; Ishii, M; Hori, M; Baba, Y

    ACS MEASUREMENT SCIENCE AU   3 巻 ( 2 ) 頁: 113 - 119   2023年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:ACS Measurement Science Au  

    Considering the challenges in isolating circulating tumor cells (CTCs) pertaining to cellular stress and purity, we report the application of a blood microfiltration device as an optimal approach for noninvasive liquid biopsy to target CTCs. We experimentally analyzed the filtration behavior of the microfilter using pressure sensing to separate tumor cells from leukocytes in whole blood. This approach achieved an average recovery of >96% of the spiked tumor cells and depletion of >99% of total leukocytes. Furthermore, we carried out genomic profiling of the CTCs using the blood microfiltration device. The method was also applied in a clinical setting; DNA amplification was performed using a small number of microfiltered CTCs and epidermal growth factor receptor mutations were successfully detected to characterize the efficacy of molecularly targeted drugs against lung cancer. Overall, the proposed method can provide a tool for evaluating efficient filtration pressure to concentrate CTCs from whole blood.

    DOI: 10.1021/acsmeasuresciau.2c00057

    Web of Science

    Scopus

    PubMed

  15. Manipulation of etch selectivity of silicon nitride over silicon dioxide to a-carbon by controlling substate temperature with a CF4/H2 plasma 査読有り

    Hsiao, SN; Britun, N; Nguyen, TTN; Tsutsumi, T; Ishikawa, K; Sekine, M; Hori, M

    VACUUM   210 巻   2023年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Vacuum  

    The effects of substrate temperature (Ts) on the etch rate (ER) of the PECVD-prepared SiN, SiO2 and amorphous carbon (a-C) films, and their selectivity were investigated with a CF4/H2 plasma. The ERs for the SiN at all Ts were higher than that for the SiO2 films. As Ts was decreased from 50 to −20 °C, the ER for the SiN decreased. Contrarily, the ER of the SiO2 films increased. The etching selectivity of SiN over SiO2 reached to near unity when the Ts was −20 °C. At the same time, the ER of for the a-C films was found to be around 0.1 nm/s and irrespective of Ts. The fluorocarbon (FC) thickness was greater for the SiO2 films than that of the SiN. The lower ER for the SiO2 was therefore attributed to the thicker FC layer and resultant etching mechanism. As the Ts was decreased, the FC thickness on the SiO2 films decreased, which led to the ER decrease. The decrease of ER for the SiN etching at the low temperature was likely due to the higher stability of the surface N–H modification layer, compared with that processed at 20 °C, which was confirmed by the in situ FTIR.

    DOI: 10.1016/j.vacuum.2023.111863

    Web of Science

    Scopus

  16. High-performance glass filters for capturing and culturing circulating tumor cells and cancer-associated fibroblasts 査読有り

    Tanaka, H; Iwata, D; Shibata, Y; Hase, T; Onoshima, D; Yogo, N; Shibata, H; Sato, M; Ishikawa, K; Nagasawa, I; Hasegawa, Y; Ishii, M; Baba, Y; Hori, M

    SCIENTIFIC REPORTS   13 巻 ( 1 ) 頁: 4130   2023年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Scientific Reports  

    Various liquid biopsy methods have been developed for the non-invasive and early detection of diseases. In particular, the detection of circulating tumor cells (CTCs) and cancer-associated fibroblasts (CAFs) in blood has been receiving a great deal of attention. We have been developing systems and materials to facilitate such liquid biopsies. In this study, we further developed glass filters (with various patterns of holes, pitches, and non-adhesive coating) that can capture CTCs, but not white blood cells. We optimized the glass filters to capture CTCs, and demonstrated that they could be used to detect CTCs from lung cancer patients. We also used the optimized glass filters for detecting CAFs. Additionally, we further developed a system for visualizing the captured cells on the glass filters. Finally, we demonstrated that we could directly culture the captured cells on the glass filters. Based on these results, our high-performance glass filters appear to be useful for capturing and culturing CTCs and CAFs for further examinations.

    DOI: 10.1038/s41598-023-31265-9

    Web of Science

    Scopus

    PubMed

  17. Generation and measurement of low-temperature plasma for cancer therapy: a historical review 査読有り

    Ishikawa, K; Takeda, K; Yoshimura, S; Kondo, T; Tanaka, H; Toyokuni, S; Nakamura, K; Kajiyama, H; Mizuno, M; Hori, M

    FREE RADICAL RESEARCH   57 巻 ( 3 ) 頁: 239 - 270   2023年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Free Radical Research  

    This review provides a description of the historical background of the development of biological applications of low-temperature plasmas. The generation of plasma, methods and devices, plasma sources, and measurements of plasma properties, such as electron dynamics and chemical species generation in both gaseous and aqueous phases, were assessed. Currently, direct irradiation methods for plasma discharges contacting biological surfaces, such as the skin and teeth, are related to plasma biological interactions. Indirect methods using plasma-treated liquids are based on plasma–liquid interactions. The use of these two methods is rapidly increasing in preclinical studies and cancer therapy. The authors address the prospects for further developments in cancer therapeutic applications by understanding the interactions between the plasma and living organisms.

    DOI: 10.1080/10715762.2023.2230351

    Web of Science

    Scopus

    PubMed

  18. Efficacy of periodic cold plasma treatment in a paddy to produce white-core grains in brewer's rice cultivar Yamadanishiki 査読有り

    Hashizume, H; Kitano, H; Mizuno, H; Abe, A; Yuasa, G; Tohno, S; Tanaka, H; Ishikawa, K; Matsumoto, S; Sakakibara, H; Hirosue, Y; Maeshima, M; Mizuno, M; Hori, M

    FREE RADICAL RESEARCH   57 巻 ( 3 ) 頁: 161 - 173   2023年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Free Radical Research  

    We investigated the effect of cold plasma application on the yield and grain quality of rice (Oryza sativa L.), focusing on the brewer’s rice cultivar, Yamadanishiki. Two treatment methods were examined in a paddy; direct plasma irradiation of seedlings and indirect treatment with plasma-activated Ringer’s lactate solution (PAL) during the vegetative growth phase. Periodic direct irradiation for 30 s increased whole plant weight and grain yield. Treatment with PAL promoted some growth of panicles relatively and partially suppressed the growth of culms and leaves. Both treatments affected the grain quality; an increase of the ratio of white-core grains to total number of grains, which is suited for producing Japanese sake rice, as well as a decrease of the ratio of immature grains. The results showed that the effective production of rice grains for sake production can be improved by the application of cold plasma treatment of rice seedlings in a paddy.Highlight Rice plants of brewer’s rice cultivar in a paddy were treated with cold plasma, by the direct irradiation of plants and the immersed of plants in plasma-activated Ringer’s lactate (PAL). Direct plasma irradiation promoted plant weight, grain ripening, and increased yield. PAL treatment affected the growth of main stem and promoted the growth of panicles relatively. Both treatments improved the producing white-core grains, in addition to promotion of grain ripening. Cold plasma treatment can be applied to produce stable and high-quality food in various agriculture and food industries, which can achieve the sustainable developmental goals (SDGs).

    DOI: 10.1080/10715762.2023.2215914

    Web of Science

    Scopus

    PubMed

  19. Cancer-specific cytotoxicity of Ringer's acetate solution irradiated by cold atmospheric pressure plasma 査読有り

    Miron, C; Ishikawa, K; Kashiwagura, S; Suda, Y; Tanaka, H; Nakamura, K; Kajiyama, H; Toyokuni, S; Mizuno, M; Hori, M

    FREE RADICAL RESEARCH   57 巻 ( 2 ) 頁: 91 - 104   2023年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Free Radical Research  

    Cold atmospheric pressure plasmas are promising medical tools that can assist in cancer treatment. While the medical pathology mechanism is substantially understood, knowledge of the contribution of reactive species formed in plasma and the mode of activation of biochemical pathways is insufficient. Herein, we present a concept involving antitumoral plasma-activated organics, which is envisaged to increase cytotoxicity levels against cancer cells. Ringer′s acetate solution was irradiated by low-temperature plasma at atmospheric pressure and possible reaction pathways of the compound generation are presented. The chemical compounds formed by plasma treatment and their effects on non-tumorigenic breast epithelial cells (MCF-10A) and breast cancer cells (MCF-7) were investigated. The cell viability results have shown that plasma-derived compounds have both, stimulatory and inhibitory effects on cell viability, depending on the concentration of the generated compounds in the irradiated liquids. Previous studies have shown that oxidative stresses involving reactive oxygen and nitrogen species (RONS) can be used to kill cancer cells. Hence, while RONS offers promising first-step killing effects, cell viability results have shown that plasma-derived compounds, such as acetic anhydride and ethyl acetate, have the potential to play important roles in plasma-based cancer therapy.

    DOI: 10.1080/10715762.2023.2201390

    Web of Science

    Scopus

    PubMed

  20. Plasma-Assisted Priming: Improved Germination and Seedling Performance of Papaya 査読有り

    Xi, DK; Yap, SL; Kumar, NN; Toh, CC; Ishikawa, K; Hori, M

    SAINS MALAYSIANA   52 巻 ( 2 ) 頁: 599 - 611   2023年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Sains Malaysiana  

    Papaya is a one of the important tropical fruit crops with a global export of 353 ktonnne reported in 2020. The germination of papaya seed is erratic and often non-uniform. Plasma assisted priming of seed was performed at atmospheric pressure in a parallel plate dielectric barrier discharge system. The germination rate of papaya seeds and the growth performance of the seedling were monitored for several treatment plans combining the atmospheric pressure plasma treatment with soaking of seed in deionized water. An enhanced germination rate of 92% was obtained by the plasma assisted priming, compared to the untreated of 60%. The plasma assisted priming performed by plasma treatment and soaking in an opposite sequence showed different requirement and possibly involved different mechanisms. The treatment time was reduced to only 4 minutes for pre-soaked seeds, otherwise the germination rate increased with the plasma treatment time from 3 to 30 min treatment. The plasma assisted priming approaches were also found enhancing seedling growth performance. The treated seedling grows about two times bigger and the dried mass measured after 30 days was more than 100% compared to that of the untreated and hot water treated seeds.

    DOI: 10.17576/jsm-2023-5202-21

    Web of Science

    Scopus

  21. Cooperation of Poly(rC)-binding Proteins 1/2 and Glutathione in Ferroptosis Induced by Plasma-activated Ringer's Lactate 査読有り

    Zheng, H; Jiang, L; Lyu, QY; Akatsuka, S; Motooka, Y; Sekido, Y; Nakamura, K; Tanaka, H; Ishikawa, K; Kajiyama, H; Mizuno, M; Hori, M; Toyokuni, S

    CANCER SCIENCE   114 巻   頁: 749 - 749   2023年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  22. Cooperation of Poly(rC)-binding Proteins 1/2 and Glutathione in Ferroptosis Induced by Plasmaactivated Ringer's Lactate 査読有り

    Zheng, H; Jiang, L; Lyu, QY; Akatsuka, S; Motooka, Y; Sekido, Y; Nakamura, K; Tanaka, H; Ishikawa, K; Kajiyama, H; Mizuno, M; Hori, M; Toyokuni, S

    CANCER SCIENCE   114 巻   頁: 1340 - 1340   2023年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  23. GaN damage-free cyclic etching by sequential exposure to Cl<sub>2</sub> plasma and Ar plasma with low Ar<SUP>+</SUP>-ion energy at substrate temperature of 400 °C 査読有り

    Nakamura, S; Tanide, A; Kimura, T; Nadahara, S; Ishikawa, K; Oda, O; Hori, M

    JOURNAL OF APPLIED PHYSICS   133 巻 ( 4 )   2023年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Applied Physics  

    Damage-free atomic layer etching (ALE) of GaN was demonstrated using a cyclic process in which the chlorinated layer formed by Cl2 plasma exposure was removed by exposure to Ar plasma with energy-controlled ions when the substrate temperature was maintained at 400 °C. The layer chlorinated at 400 °C by Cl2 plasma exposure was found to be thinner than that chlorinated at 25 °C. Therefore, in the case of an Ar+-ion energy of 70 eV, the "ALE synergy"parameter, which quantifies the degree to which a process approaches the ideal ALE regime, decreased from 86% at a substrate temperature of 25 °C to 24% at a substrate temperature of 400 °C. A substrate temperature of 400 °C promoted etching even at the lower ion energy of 40 eV, thereby resulting in a higher ALE synergy of 62%. The vertical etching profile with no degradation of photoluminescence near the band edge on the etched surface was then observed. The proposed high-temperature ALE method is promising for realizing a vertical pattern profile via damage-free etching of GaN.

    DOI: 10.1063/5.0131685

    Web of Science

    Scopus

  24. Mechanical properties of maze-like carbon nanowalls synthesized by the radial injection plasma enhanced chemical vapor deposition method 査読有り

    Ghodke, S; Murashima, M; Christy, D; Van Nong, N; Ishikawa, K; Oda, O; Umehara, N; Hori, M

    MATERIALS SCIENCE AND ENGINEERING A-STRUCTURAL MATERIALS PROPERTIES MICROSTRUCTURE AND PROCESSING   862 巻   2023年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Materials Science and Engineering: A  

    The unique structural properties of vertically aligned graphene sheets or Carbon Nanowalls (CNWs) have attracted great interests for their potential for various applications in microelectronic devices, energy storage, and catalyst support materials. During the handling or operation of the devices, tension and/or pressure are often needed. Under such conditions, CNWs must undergo compression and stress. Therefore, the deformation mechanism and evolution behavior of the CNW structures under load play a critical role in the performance and reliability of the devices. In this study, the mechanical properties of a typical maze-like CNW structure synthesized by a Radial Injection Plasma Enhanced Chemical Vapor Deposition (RI-PECVD) technique were analyzed by employing the nanoindentation method. The measured compressive strength of the CNW structure was 50 MPa with an average modulus E value of ∼28 GPa, which is significantly higher than that of pyrolytic graphite and other graphene-based materials such as 3D graphene-derived carbon, commercial graphene, and reduced graphene oxide films. An elastoplastic behavior of a soft material was observed in high-resolution microscopy and a mechanism of deformation for CNWs is elucidated.

    DOI: 10.1016/j.msea.2022.144428

    Web of Science

    Scopus

  25. Effects of plasma-activated Ringer's lactate solution on cancer cells: evaluation of genotoxicity 査読有り

    Liu, Y; Nakatsu, Y; Tanaka, H; Koga, K; Ishikawa, K; Shiratani, M; Hori, M

    GENES AND ENVIRONMENT   45 巻 ( 1 ) 頁: 3   2023年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Genes and Environment  

    Background: Non-thermal atmospheric pressure plasma technologies form the core of many scientific advances, including in the electronic, industrial, and biotechnological fields. The use of plasma as a cancer therapy has recently attracted significant attention due to its cancer cell killing activity. Plasma-activated Ringer’s lactate solution (PAL) exhibits such activity. In addition to ROS, PAL contains active compounds or species that cause cancer cell death, but the potential mutagenic risks of PAL have not been studied. Results: PAL has a low pH value and a high concentration of H2O2. H2O2 was removed from PAL using catalase and catalase-treated PAL with a pH of 5.9 retained a killing effect on HeLa cells whereas this effect was not observed if the PAL was adjusted to pH 7.2. Catalase-treated PAL at pH 5.9 had no significant effect on mutation frequency, the expression of γH2AX, or G2 arrest in HeLa cells. Conclusion: PAL contains one or more active compounds or species in addition to H2O2 that have a killing effect on HeLa cells. The compound(s) is active at lower pH conditions and apparently exhibits no genotoxicity. This study suggested that identification of the active compound(s) in PAL could lead to the development of novel anticancer drugs for future cancer therapy.

    DOI: 10.1186/s41021-023-00260-x

    Web of Science

    Scopus

    PubMed

  26. Editorial: Prospects of plasma generated species interaction with organic and inorganic materials 査読有り

    Attri, P; Koga, K; Kurita, H; Ishikawa, K; Shiratani, M

    FRONTIERS IN PHYSICS   10 巻   2023年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Frontiers in Physics  

    DOI: 10.3389/fphy.2022.1118018

    Web of Science

    Scopus

  27. Plasma activated Ringer's lactate solution 査読有り

    Tanaka, H; Mizuno, M; Ishikawa, K; Miron, C; Okazaki, Y; Toyokuni, S; Nakamura, K; Kajiyama, H; Hori, M

    FREE RADICAL RESEARCH   57 巻 ( 1 ) 頁: 14 - 20   2023年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Free Radical Research  

    Low-temperature plasma (LTP) has been widely used in life science. Plasma-activated solutions were defined as solutions irradiated with LTP, and water, medium, and Ringer’s solutions have been irradiated with LTP to produce plasma-activated solutions. They contain chemical compounds produced by reactions among LTP, air, and solutions. Reactive oxygen and nitrogen species (RONS) are major components in plasma-activated solutions and recent studies revealed that plasma-activated organic compounds are produced in plasma-activated Ringer’s lactate solution (PAL). Many in vitro and in vivo studies demonstrated that PAL exhibits anti-tumor effects on cancers, and biochemical analyses revealed intracellular molecular mechanisms of cancer cell death by PAL.

    DOI: 10.1080/10715762.2023.2182663

    Web of Science

    Scopus

    PubMed

  28. Biocompatibility of conformal silicon carbide on carbon nanowall scaffolds 査読有り

    Ono, K; Koide, T; Ishikawa, K; Tanaka, H; Kondo, H; Sugawara-Narutaki, A; Jin, Y; Yasuhara, S; Hori, M; Takeuchi, W

    JAPANESE JOURNAL OF APPLIED PHYSICS   62 巻 ( SA )   2023年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Silicon carbide (SiC) was coated onto carbon nanowall (CNW) scaffolds using chemical vapor deposition with a vinylsilane precursor at 700 °C to investigate the influence of the wall edge width, wall-to-wall distance, and surface morphology. The wall edge width ranged from 10 nm to those filling the wall-to-wall space without disrupting the CNW morphology. When SiC-coated CNWs (SiC/CNWs) were used as scaffolds for cell culture, cell viability increased until the edge area ratio reached 40%. In over 40% of edge area ratio, cell viability was saturate and comparable to flat surfaces such as SiC films on the Si substrate (SiC/Si) and control samples prepared using polystyrene. Calcification was suppressed in the CNWs, SiC/CNWs, and SiC/Si scaffolds compared to polystyrene. Our results suggest that SiC-coated CNW scaffolds could suppress calcification and promote cell proliferation.

    DOI: 10.35848/1347-4065/ac9319

    Web of Science

    Scopus

  29. Science-based, data-driven developments in plasma processing for material synthesis and device-integration technologies 査読有り

    Kambara, M; Kawaguchi, S; Lee, HJ; Ikuse, K; Hamaguchi, S; Ohmori, T; Ishikawa, K

    JAPANESE JOURNAL OF APPLIED PHYSICS   62 巻 ( SA )   2023年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Low-temperature plasma-processing technologies are essential for material synthesis and device fabrication. Not only the utilization but also the development of plasma-related products and services requires an understanding of the multiscale hierarchies of complex behaviors of plasma-related phenomena, including plasma generation in physics and chemistry, transport of energy and mass through the sheath region, and morphology- and geometry-dependent surface reactions. Low-temperature plasma science and technology play a pivotal role in the exploration of new applications and in the development and control of plasma-processing methods. Presently, science-based and data-driven approaches to control systems are progressing with the state-of-the-art deep learning, machine learning, and artificial intelligence. In this review, researchers in material science and plasma processing, review and discuss the requirements and challenges of research and development in these fields. In particular, the prediction of plasma parameters and the discovery of processing recipes are asserted by outlining the emerging science-based, data-driven approaches, which are called plasma informatics.

    DOI: 10.35848/1347-4065/ac9189

    Web of Science

    Scopus

  30. Effects of High-Quality Carbon Nanowalls Ionization-Assisting Substrates on Surface-Assisted Laser Desorption/Ionization Mass Spectrometry Performance 査読有り

    Sakai, R; Kondo, H; Ishikawa, K; Ohta, T; Hiramatsu, M; Tanaka, H; Hori, M

    NANOMATERIALS   13 巻 ( 1 )   2023年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Nanomaterials  

    Surface-assisted laser desorption/ionization mass spectrometry (SALDI-MS) is performed using carbon nanowalls (CNWs) for ionization-assisting substrates. The CNWs (referred to as high-quality CNWs) in the present study were grown using a radical-injection plasma-enhanced chemical vapor deposition (RI-PECVD) system with the addition of oxygen in a mixture of CH4 and H2 gases. High-quality CNWs were different with respect to crystallinity and C–OH groups, while showing similar wall-to-wall distances and a wettability comparable to CNWs (referred to as normal CNWs) grown without O2. The efficiency of SALDI was tested with both parameters of ion intensity and fragmental efficiency (survival yield (SY)) using N-benzylpyridinuim chloride (N-BP-CI). At a laser fluence of 4 mJ/cm2, normal CNWs had an SY of 0.97 and an ion intensity of 0.13, while 5-sccm-O2– high-quality CNWs had an SY of 0.89 and an ion intensity of 2.55. As a result, the sensitivity for the detection of low-molecular-weight analytes was improved with the high-quality CNWs compared to the normal CNWs, while an SY of 0.89 was maintained at a low laser fluence of 4 mJ/cm2. SALDI-MS measurements available with the high-quality CNWs ionization-assisting substrate provided high ionization and SY values.

    DOI: 10.3390/nano13010063

    Web of Science

    Scopus

    PubMed

  31. Selective isotropic atomic-layer etching of thin films by using dry chemical removal tool 査読有り

    Shinoda K., Miyoshi N., Kobayashi H., Izawa M., Ishikawa K., Hori M.

    Proceedings of SPIE - The International Society for Optical Engineering   12499 巻   2023年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Proceedings of SPIE - The International Society for Optical Engineering  

    There has been considerable interest in the development of isotropic atomic layer etching (ALE) for the conformal removal of thin films. Material selectivity is crucial for the development of isotropic ALE because the next generation of semiconductor devices will be constructed with miniaturized 3D structures using a variety of very thin films. We developed plasma-assisted thermal-cyclic ALE, which is a repetition of surface modification by plasma exposure and removal of the modified surface by infrared heating. We developed a 300-mm tool, namely, dry chemical removal (DCR), which is equipped with an inductively coupled plasma (ICP) source and infrared lamps, to facilitate rapid thermal desorption of the modified surface. An important feature of the plasma-assisted thermal-cyclic ALE is that it has more tuning knobs than that of conventional ALE because it uses two temperatures: a low temperature for surface modification and an elevated temperature for the removal of the modified surface. This paper presents the selective ALE of various materials, i.e., Si3N4, TiN, W, and SiGe using the developed tool. The mechanisms of the selectivity are divided into two categories: formation of an ammonium salt-based modified layer and selectivity control by adjusting the infrared heating time. This paper reviews the selective ALE mechanisms, focusing on the results of in situ analysis of surface reactions, and presents some of the latest findings.

    DOI: 10.1117/12.2664547

    Scopus

  32. 低温大気圧プラズマによるフリーラジカル生成とその生物学的意義-放射線との比較-

    近藤 隆, 田中 宏昌, 石川 健治, 堀 勝

    化学工業   74(2) 巻   頁: 120 - 126   2023年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    CiNii Research

  33. Free radical formation induced by cold atmospheric plasma and its biological implications-Comparison with ionizing radiation- (低温大気圧プラズマによるフリーラジカル生成とその生物学的意義) 査読有り

    田中 宏昌, 石川 健治

    化学工業   74 巻   頁: 120 - 126   2023年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    CiNii Research

  34. Impact of microsecond-pulsed plasma-activated water on papaya seed germination and seedling growth 査読有り

    Xi, DK; Zhang, XH; Yang, SZ; Yap, SS; Ishikawa, K; Hori, M; Yap, SL

    CHINESE PHYSICS B   31 巻 ( 12 )   2022年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Chinese Physics B  

    The seed of Carica papaya consists of a hard shell-like testa with inhibitors in vivo causing slow, erratic and asynchronous germination. In this work, plasma-activated water prepared by microsecond-pulsed plasma jets (μPAW) was applied to treat papaya seeds. The μPAW after plasma activation of 30 min was about 40 °C. The reactive species such as NO2, NO3, and H2O2 in the μPAW activated from deionized water were measured and correlated to the seed germination rate and the seedling growth performance. The μPAW-treated papaya seed achieved a higher germination rate of 90%, which is 26% higher than the control group using deionized water. Comparing the results with a hot water (40 °C) reference group showed that the reactive species in μPAW played primary roles in germination improvement, with little effect caused by the heat shock. The μPAW also sterilized the treated seeds, reducing the germination stress. The morphological change in the seeds was observed by SEM, showing an effect of physical etching after treatment promoting seed imbibition. The biochemical mechanism of the seed germination was deduced with reference to the evolution of surface chemistry, functional groups, and ABA content. The accelerated seed metabolism observed was corresponded to the chemical modification pathway. Besides, early seedlings developed from treated seeds were observed to be healthy, grow more leaves, and have better root structures. The content of MDA in the treated papaya seedlings decreased along with increased SOD and higher ion concentration. The μPAW that can be prepared at atmospheric pressure for bulk production offers a low-risk and cost-effective seed priming technology that may significantly increase the production of agricultural crops.

    DOI: 10.1088/1674-1056/ac904e

    Web of Science

    Scopus

  35. Indoor Floor Heel Mark Removal Using Spark Discharges and Pressurized Airflow 査読有り

    Sakamoto, Y; Tsutsumi, T; Tanaka, H; Ishikawa, K; Hashizume, H; Hori, M

    COATINGS   12 巻 ( 12 )   2022年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Coatings  

    Heel marks (HMs), which are the black stains made by shoe soles on indoor floors, can be difficult to remove. However, this study shows how spark discharges combined with pressurized airflow in 60 s discharge treatments can remove such HMs. We further show that maximizing the HM removal rates depended on the electrode gap distance because of changes in the spark discharge parameters. In our experiments, the electrical voltage waveforms are shown with voltage spikes, called spark discharges, and the spike numbers were counted in 0.6-ms time units. It was found that the number of spark discharges increases when the electrode gap distance was widened from 5 mm to 10 mm and the pressurized airflow was added, and the HM removal rates increased 11.5%, the HM removal rates could be maximized. Taken together, the results show that spark discharges combined with pressurized air can remove HMs from indoor floors without no visual damage. This paper is a preliminary report showing that HMs can be removed by plasma.

    DOI: 10.3390/coatings12121938

    Web of Science

    Scopus

  36. Wide range applications of process plasma diagnostics using vacuum ultraviolet absorption spectroscopy 査読有り

    Takeda K., Ishikawa K., Hori M.

    Reviews of Modern Plasma Physics   6 巻 ( 1 )   2022年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Reviews of Modern Plasma Physics  

    Applications of plasma diagnostics using vacuum ultraviolet absorption spectroscopy (VUVAS) in our studies are summarized in this article. The plasma diagnostics with absorption spectroscopy is a powerful tool for investigating behaviors of reactive species in plasma processes, because it enables us to measure the absolute density. In our group, we have focused on the clarification of reaction mechanisms of atomic species in the plasma processes, and the behaviors of atomic species generated in various process plasmas have been investigated using the VUVAS with a plasma light source. Using the VUVAS, we can investigate not only the absolute density but also surface reactions of atomic species in the plasma processes. On the other hand, in our group, the real-time monitoring of atomic species based on VUVAS has been realized in the plasma processes, and the effects of the initial state of reactor wall surface on the behaviors of atomic species in a process plasma have been quantitatively investigated. Moreover, the VUVAS has been applied to the measurements of reactive species generated by atmospheric pressure plasmas which attracts much attention because of its applications to the bio and medical research fields. These results and experimental technique used in our studies are extremely useful for the further developments of plasma processes such as etching, deposition, surface modification, bio-medical applications and so on in the future.

    DOI: 10.1007/s41614-022-00075-3

    Scopus

  37. Dry etching of ternary metal carbide TiAlC via surface modification using floating wire-assisted vapor plasma 査読有り

    Nguyen, TTN; Shinoda, K; Hamamura, H; Maeda, K; Yokogawa, K; Izawa, M; Ishikawa, K; Hori, M

    SCIENTIFIC REPORTS   12 巻 ( 1 ) 頁: 20394   2022年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Scientific Reports  

    Dry etching of ternary metal carbides TiAlC has been first developed by transferring from wet etching to dry etching using a floating wire (FW)-assisted Ar/ammonium hydroxide vapor plasma. FW-assisted non-halogen vapor plasma generated at medium pressure can produce high-density reactive radicals (NH, H, and OH) for TiAlC surface modifications such as hydrogenation and methylamination. A proposed mechanism for dry etching of TiAlC is considered with the formation of the volatile products from the modified layer.

    DOI: 10.1038/s41598-022-24949-1

    Web of Science

    Scopus

    PubMed

  38. Carbon Layer Formation on Hexagonal Boron Nitride by Plasma Processing in Hydroquinone Aqueous Solution 査読有り

    Inoue, K; Sakakibara, N; Goto, T; Ito, T; Shimizu, Y; Hakuta, Y; Ishikawa, K; Hori, M; Terashima, K

    ACS APPLIED MATERIALS & INTERFACES   14 巻 ( 47 ) 頁: 53413 - 53420   2022年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:ACS Applied Materials and Interfaces  

    Although hexagonal boron nitride (hBN) is a thermally conductive and electrically insulating filler in composite materials, surface modification remains difficult, which limits its dispersibility and functionalization. In this study, carbon layer formation on hBN particles by plasma processing in hydroquinone aqueous solution was investigated as a surface modification technique. Carbon components with features of polymeric hydrogenated amorphous carbon were found to be uniformly distributed on the hydroquinone-aided plasma-modified hBN (HQpBN) particles. Electron spin resonance measurements revealed abundant unpaired electrons in HQpBN, indicating that defects were formed on hBN by plasma processing and that the carbon layer contained dangling bonds. The defects on hBN could help in the attachment of the carbon layer, whereas the dangling bonds could act as reactive sites for further functionalization. The carbon layer on HQpBN was successfully functionalized with isocyanate groups, thus confirming the ability of this carbon layer to facilitate surface modification. These results demonstrate that the carbon layer formed on hBN can provide a designable interface in organic/inorganic composite materials.

    DOI: 10.1021/acsami.2c15951

    Web of Science

    Scopus

    PubMed

  39. Effects of deposition precursors of hydrogenated amorphous carbon films on the plasma etching resistance based on mass spectrometer measurements and machine learning analysis 査読有り

    Kurokawa, J; Kondo, H; Tsutsumi, T; Ishikawa, K; Sekine, M; Hori, M

    VACUUM   205 巻   2022年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Vacuum  

    In the growth of hydrogenated amorphous carbon (a-C:H) films by plasma enhanced chemical vapor deposition (PECVD) with H2, CH4 and C3H6 plasma, the gas phase reaction of active species and their contribution to properties of deposited a-C:H film were quantitatively investigated using appearance quadrupole mass spectrometry (QMS) and machine learning. The QMS measurement indicated that two-types of neutral radicals were generated by the dissociation and polymerization of the raw material gasses. A random forest regression model was employed as a prediction model to analyze the correlation between the neutral species and etching rates by molecular oxygen (O2) plasma without any stage bias, which were measured by in-situ ellipsometry. The coefficient of determination (R2), an indicator of the degree of prediction accuracy, was 0.906 and 0.584 for the training and test data, respectively. SHapley Additive exPlanations (SHAP), interpreting the random forest model, were used to quantitatively indicate the contribution of radicals to the etching rate, including their synergistic and secondary effects. They indicated that carbon-rich radicals, such as C3H3 and C5H5, contributed to a decrease in the etching rate, whereas hydrogen-rich radicals, such as CHx (x=1,2,3,4), C2H5, C4H9, and C5H9,11 induced an increase in the etching rate by the O2 plasma. (200 words)

    DOI: 10.1016/j.vacuum.2022.111351

    Web of Science

    Scopus

  40. Dissociation channels of c-C<sub>4</sub>F<sub>8</sub> to C<sub>2</sub>F<sub>4</sub> in reactive plasma 査読有り

    Hayashi, T; Ishikawa, K; Sekine, M; Hori, M; Lwayama, H

    JAPANESE JOURNAL OF APPLIED PHYSICS   61 巻 ( 10 )   2022年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Progress in computational methods and personal computing has made possible more accurate estimations for primary dissociation channels and energies. The main dissociation route is revealed to be via the 7E excited state with an energy of 12.23 eV, which is composed of transitions from the highest occupied molecular orbital with b1 symmetry to some degenerate unoccupied e molecular orbitals. The main contributing e orbitals consisted of antibonding combination of C2F4 π-bonding orbitals. This degenerate 7E state is lowered by non-adiabatic transitions through the conical interactions on the dissociating route to 2C2F4, so the energy is finally relaxed at the dissociative second lowest 1E excited state leading to 2C2F4 production. In the electron attachment process, the calculated results show that the F- ion is produced from the excited states of the D4h c-C4F8- ion through conical interactions at the energies of 4.3 eV, 5.6 eV, and 5.0 eV, along the C-F dissociation route.

    DOI: 10.35848/1347-4065/ac895e

    Web of Science

    Scopus

  41. In-liquid plasma synthesis of iron-nitrogen-doped carbon nanoflakes with high catalytic activity 査読有り

    Kondo, H; Hamaji, R; Amano, T; Ishikawa, K; Sekine, M; Hiramatsu, M; Hori, M

    PLASMA PROCESSES AND POLYMERS   19 巻 ( 8 )   2022年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Plasma Processes and Polymers  

    Flake-shaped carbon nanomaterials with nitrogen (N) and iron (Fe) addition, so-called carbon nanoflakes (CNFLs), were synthesized by the in-liquid plasma using two different kinds of additive agents, such as hemin and iron (II) phthalocyanine (FePc). According to scanning electron microscopy images and Raman spectra, CNFLs with a size of at least 100 nm order were formed regardless of types of additive agents, and crystallinity of six-membered ring structures was improved as additive agents increased. Photoelectron spectra showed that pyridinic N contents increased from 1.05% to 2.02% with increasing FePc, while those decreased from 0.34% to 0.14% with hemin. In the oxygen reduction reaction, onset potential values also increased from 0.71 to 0.79 eV with increasing FePc, while those decreased from 0.60 to 0.47 eV with hemin. These results suggested that the catalytic activity of CNFLs was effectively improved by the increase of pyridinic N by the in-liquid plasma synthesis with FePc. In contrast, the electron transfer numbers reached 3.81 when hemin increased, although those were less than 2.88 in the cases using FePc. These results mean that the in-liquid plasma synthesis method of CNFLs using FePc has the potential to further improve its catalytic activity.

    DOI: 10.1002/ppap.202100203

    Web of Science

    Scopus

  42. Dry Process 査読有り

    Akatsuka H., Kurihara K., Toyoda H., Karahashi K., Ishikawa K., Ichiki T., Eriguchi K., Kuboi N., Matsui M., Nunomura S.

    Japanese Journal of Applied Physics   61 巻 ( SI )   2022年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    DOI: 10.35848/1347-4065/ac6726

    Scopus

  43. Study of optical emission spectroscopy using modified Boltzmann plot in dual-frequency synchronized pulsed capacitively coupled discharges with DC bias at low-pressure in Ar/O<sub>2</sub>/C<sub>4</sub>F<sub>8</sub> plasma etching process 査読有り

    Sahu, BB; Nakane, K; Ishikawa, K; Sekine, M; Tsutsumi, T; Gohira, T; Ohya, Y; Ohno, N; Hori, M

    PHYSICAL CHEMISTRY CHEMICAL PHYSICS   24 巻 ( 22 ) 頁: 13883 - 13896   2022年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Physical Chemistry Chemical Physics  

    We consider the corona model and local thermal equilibrium approximations of a real plasma to measure the electron temperature (Te) and density (ne), respectively, using the optical emission spectroscopy (OES) method in dual-frequency pulsed capacitively coupled plasmas (CCPs) in a reactive mixture of Ar/O2/C4F8 at a low operating pressure. The operation conditions such as DC continuous and synchronized were used for the study and plasma characterization for the intended plasma application such as high aspect ratio etching (HARE). We show that the present plasma conditions are dominated by a corona balance rather than the supremacy of multi-step excitation. This fact has enabled us to utilize the modified Boltzmann plot technique to evaluate the Te values. In the second method, we simultaneously used the Boltzmann and Saha equations to determine the ne value using the line intensity ratio and the value of Te. Time-resolved measurements of Te and ne were performed for completeness, and the insight of the pulsed discharge was investigated. Time evolution of ne and Te using the OES method revealed a similar trend in the change of plasma parameters, indicating electron impact ionization during the pulse on phase. It was seen that ne in the afterglow speedily decreased within a short time of ∼5 μs. Analysis suggests the formation of afterglow plasmas, which are composed of positive and negative ions with very low electron density. The results revealed that the DC-synchronized operation could be useful for plasma application such as HARE due to different plasma characteristics. It also suggests the production of ion-ion plasmas by the effective utilization of negative ions in the afterglow phase. The corona balance condition was validated in our experiments, and the results were compared with the existing literature.

    DOI: 10.1039/d2cp00289b

    Web of Science

    Scopus

    PubMed

  44. Low-temperature reduction of SnO<sub>2</sub> by floating wire-assisted medium-pressure H<sub>2</sub>/Ar plasma 査読有り

    Nguyen, TTN; Sasaki, M; Hsiao, SN; Tsutsumi, T; Ishikawa, K; Hori, M

    PLASMA PROCESSES AND POLYMERS   19 巻 ( 6 )   2022年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Plasma Processes and Polymers  

    Reduction of SnO2 to form spherical Sn particles and Sn etching are obtained by floating wire (FW)-assisted medium-pressure H2/Ar plasma. High-density H2/Ar plasma (1014 cm−3) with a larger treatment area at medium pressure (10 kPa) produces a two-times higher removal rate of SnO2 (0.111 mg/min) than that at atmospheric pressure with the same treatment area of 300 mm2. SnO2 film is removed from the glass surface by a two-step process involving (1) reduction of SnO2 by FW-H2/Ar plasma to form spherical Sn particles and (2) removal of low-contact Sn particles by water-based cleaning. High surface smoothness (roughness of 0.488 nm) and high optical transmittance (>92%) of treated samples indicate no damage compared to that of pristine quartz glass.

    DOI: 10.1002/ppap.202100209

    Web of Science

    Scopus

  45. Cytotoxicity of plasma-irradiated lactate solution produced under atmospheric airtight conditions and generation of the methyl amino group 査読有り

    Ito, D; Iwata, N; Ishikawa, K; Nakamura, K; Hashizume, H; Miron, C; Tanaka, H; Kajiyama, H; Toyokuni, S; Mizuno, M; Hori, M

    APPLIED PHYSICS EXPRESS   15 巻 ( 5 )   2022年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    Ringer's lactate solution was irradiated with non-equilibrium plasma under airtight conditions. The plasma-activated lactate (PAL) was produced with argon, oxygen, and nitrogen gases following purging of Ar. Cytotoxicity could be controlled by diluting PAL, and a killing effect was selectively obtained on cancer cells compared to normal cells for Ar+O2+N2 PALs. Nonetheless, cytotoxicity was partly reproduced by similar concentrations of H2O2 and NO2- in the PALs. The organics produced by plasma irradiation to lactate were investigated using nuclear magnetic resonance, and the generation of methyl amino species was confirmed.

    DOI: 10.35848/1882-0786/ac6360

    Web of Science

    Scopus

  46. Plasma-assisted thermal-cyclic atomic-layer etching of tungsten and control of its selectivity to titanium nitride 査読有り

    Shinoda, K; Miyoshi, N; Kobayashi, H; Hanaoka, Y; Izawa, M; Ishikawa, K; Hori, M

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B   40 巻 ( 2 )   2022年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Vacuum Science and Technology B  

    Plasma-assisted thermal-cyclic atomic-layer etching (ALE) of tungsten (W), the selectivity of which with respect to TiN can be tuned between highly selective and nonselective by changing infrared-heating time, has been demonstrated. It is a two-step process consisting of exposure to radicals generated in a CHF3/O2 or CH2F2/O2/Ar plasma followed by infrared heating. Analysis by in situ x-ray photoelectron spectroscopy confirmed that a WFx-based modified surface formed on the surface of a W film exposed to radicals at -22 °C. The modified surface on the W film is volatile at a heating temperature lower than that required for removing the modified surface on the TiN film. Cyclic etching of both W and TiN was performed by using the CH2F2/O2/Ar plasma and infrared heating in a 300-mm ALE apparatus. When the infrared-heating time was not long enough to remove the modified surface on TiN, the cyclic repetition etched only the W film. On the other hand, when the infrared-heating time was long enough to remove modified surfaces on both W and TiN, the cyclic repetition etched both W and TiN films. Therefore, both highly selective and nonselective ALEs for W and TiN were obtained by choosing an optimum infrared-heating time. This shows that material selectivity in plasma-assisted thermal-cyclic ALE can be controlled by changing the infrared-heating time.

    DOI: 10.1116/6.0001660

    Web of Science

    Scopus

  47. Scaffolds with isolated carbon nanowalls promote osteogenic differentiation through Runt-related transcription factor 2 and osteocalcin gene expression of osteoblast-like cells 査読有り

    Ichikawa, T; Ishikawa, K; Tanaka, H; Shimizu, N; Hori, M

    AIP ADVANCES   12 巻 ( 2 )   2022年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:AIP Advances  

    Carbon nanowalls (CNWs) with average wall-to-wall distances ranging from 100 to 3300 nm were synthesized using a radical injection plasma-enhanced chemical vapor deposition system. Application of a negative high voltage to the growth substrate using an inductor energy storage (IES) circuit provided CNWs with wall-to-wall distances depending on the nano-second pulse voltage of the IES circuit. Sparse isolated CNWs with average wall-to-wall distances of 700 nm were used for culturing Saos-2 cells. These cells showed better adhesion than the control after 2 days’ incubation and enhanced gene expression of the osteogenic differentiation genes Runt-related transcription factor 2 (Runx2) and osteocalcin after 10 days’ incubation. Sparse isolated CNW scaffolds hold promise for regulating the differentiation of osteoblast-like cells.

    DOI: 10.1063/5.0075530

    Web of Science

    Scopus

  48. Towards prevention and prediction of infectious diseases with virus sterilization using ultraviolet light and low-temperature plasma and bio-sensing devices for health and hygiene care 査読有り 国際共著

    Kumagai, S; Nishigori, C; Takeuchi, T; Bruggeman, P; Takashima, K; Takahashi, H; Kaneko, T; Choi, EH; Nakazato, K; Kambara, M; Ishikawa, K

    JAPANESE JOURNAL OF APPLIED PHYSICS   61 巻 ( SA ) 頁: SA0808   2022年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Inspired by the ideas of many authors, we provide insight on state-of-the-art potential technologies for the prevention and prediction of infectious diseases before they spread. This review also surveys virus sterilization with ultraviolet light and low temperature plasma technologies. Researchers in the various fields of medicine, materials, electronics, and plasma sciences have addressed increasingly challenging demands and the discussion encompasses the major challenges in societies that are faced with the threat of infectious diseases. In addition, technologies that use nanomaterials are evaluated for infection prevention and hygiene purposes. Advances in biomedical diagnostics for health care in terms of complementary metal-oxide-semiconductor transistors-based devices and telemetry for health monitoring are also reviewed.

    DOI: 10.35848/1347-4065/ac1c3d

    Web of Science

    Scopus

  49. Perspectives on functional nitrogen science and plasma-based <i>in situ</i> functionalization 査読有り

    Ishikawa, K

    JAPANESE JOURNAL OF APPLIED PHYSICS   61 巻 ( SA ) 頁: SA0802   2022年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Reactive nitrogen species (RNS) generated by controlled electron impacts in conjunction with the use of dinitrogen can react with oxygen and/or hydrogen to generate highly reactive compounds. The related field of functional nitrogen science provides new opportunities for nitrogen utilization based on non-equilibrium plasma processing. This revolutionary technique permits plasma-based nitrogen fixation at the locations at which materials such as dopants and fertilizers are required. This system, based on the in situ generation of RNS at the point of use, is expected to replace conventional processes involving nitrogen cycles employing ammonia and nitric acid. Optimization of this new approach will require nitrogen doping to be tuned by atomic-scale localization of nitrogen. This review discusses the applications of functional nitrogen and the processes by which functionalization in both inorganic materials and living organisms can occur when using RNS.

    DOI: 10.35848/1347-4065/ac3558

    Web of Science

    Scopus

  50. Functional nitrogen science based on plasma processing: quantum devices, photocatalysts and activation of plant defense and immune systems 査読有り 国際共著

    Kaneko, T; Kato, H; Yamada, H; Yamamoto, M; Yoshida, T; Attri, P; Koga, K; Murakami, T; Kuchitsu, K; Ando, S; Nishikawa, Y; Tomita, K; Ono, R; Ito, T; Ito, AM; Eriguchi, K; Nozaki, T; Tsutsumi, T; Ishikawa, K

    JAPANESE JOURNAL OF APPLIED PHYSICS   61 巻 ( SA ) 頁: SA0805   2022年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Nitrogen is a very common element, comprising approximately 78% of Earth's atmosphere, and is an important component of various electronic devices while also being essential for life. However, it is challenging to directly utilize dinitrogen because of the highly stable triple bond in this molecule. The present review examines the use of non-equilibrium plasmas to generate controlled electron impacts as a means of generating reactive nitrogen species (RNS) with high internal energy values and extremely short lifetimes. These species include ground state nitrogen atoms, excited nitrogen atoms, etc. RNS can subsequently react with oxygen and/or hydrogen to generate new highly reactive compounds and can also be used to control various cell functions and create new functional materials. Herein, plasma-processing methods intended to provide RNS serving as short-lived precursors for a range of applications are examined in detail.

    DOI: 10.35848/1347-4065/ac25dc

    Web of Science

    Scopus

  51. Enhancement of ethanol production and cell growth in budding yeast by direct irradiation of low-temperature plasma 査読有り

    Tanaka, H; Matsumura, S; Ishikawa, K; Hashizume, H; Ito, M; Nakamura, K; Kajiyama, H; Kikkawa, F; Ito, M; Ohno, K; Okazaki, Y; Toyokuni, S; Mizuno, M; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   61 巻 ( SA )   2022年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Ethanol production by budding yeast was compared between direct and indirect plasma irradiation. We observed enhancement of ethanol production and cell growth not by indirect plasma irradiation but by direct plasma irradiation. Glucose consumption was increased in budding yeast by direct plasma irradiation. Extracellular flux analysis revealed that glycolytic activity in the budding yeast was elevated by direct plasma irradiation. These results suggest that direct plasma irradiation enhances ethanol production in budding yeast by elevating the glycolytic activity.

    DOI: 10.35848/1347-4065/ac2037

    Web of Science

    Scopus

  52. Plasma Diagnostics and Characteristics of Hydrofluorocarbon Films in Capacitively Coupled CF<inf>4</inf>/H<inf>2</inf>Plasmas 査読有り

    Hsiao S.N., Imai Y., Britrun N., Tsutsumi T., Ishikawa K., Sekine M., Hori M.

    IEEE International Symposium on Semiconductor Manufacturing Conference Proceedings   2022-December 巻   2022年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE International Symposium on Semiconductor Manufacturing Conference Proceedings  

    Plasma diagnostics including electron density, temperature, neutral atomic densities of the CH4H2 plasmas were performed in a capacitively-coupled reactor using surface-wave probe, Langmuir probe and vacuum ultraviolet absorption spectroscopy. The plasma density increased monotonically with varying H2 content from 30 to 90 %. The electron temperature first decreased with H2 up to 50 % and then increased at higher H2 concentration. The HF concentration reached a maximum value at a H2 of approximately 50 %, which is probably due to balance between H and F radicals from the plasma. Increasing the H2 content resulted in a higher H concentration and a less cross-linked structure of the amorphous hydrofluorocarbon films, analyzed by using in situ Fourier transformation infrared spectroscopy.

    DOI: 10.1109/ISSM55802.2022.10027112

    Scopus

  53. On the Etching Mechanism of Highly Hydrogenated SiN Films by CF<sub>4</sub>/D<sub>2</sub> Plasma: Comparison with CF<sub>4</sub>/H<sub>2</sub> 査読有り

    Hsiao, SN; Nguyen, TTN; Tsutsumi, T; Ishikawa, K; Sekine, M; Hori, M

    COATINGS   11 巻 ( 12 ) 頁: 1535   2021年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Coatings  

    With the increasing interest in dry etching of silicon nitride, utilization of hydrogencontained fluorocarbon plasma has become one of the most important processes in manufacturing advanced semiconductor devices. The correlation between hydrogen-contained molecules from the plasmas and hydrogen atoms inside the SiN plays a crucial role in etching behavior. In this work, the influences of plasmas (CF4/D2 and CF4/H2 ) and substrate temperature (Ts, from −20 to 50◦ C) on etch rates (ERs) of the PECVD SiN films were investigated. The etch rate performed by CF4/D2 plasma was higher than one obtained by CF4/H2 plasma at substrate temperature of 20◦ C and higher. The optical emission spectra showed that the intensities of the fluorocarbon (FC), F, and Balmer emissions were stronger in the CF4/D2 plasma in comparison with CF4/H2 . From X-ray photoelectron spectra, a thinner FC layer with a lower F/C ratio was found in the surface of the sample etched by the CF4/H2 plasma. The plasma density, gas phase concentration and FC thickness were not responsible for the higher etch rate in the CF4/D2 plasma. The abstraction of H inside the SiN films by deuterium and, in turn, hydrogen dissociation from Si or N molecules, supported by the results of in situ monitoring of surface structure using attenuated total reflectance-Fourier transform infrared spectroscopy, resulted in the enhanced ER in the CF4/D2 plasma case. The findings imply that the hydrogen dissociation plays an important role in the etching of PECVD-prepared SiN films when the hydrogen concentration of SiN is higher. For the films etched with the CF4/H2 at −20◦ C, the increase in ER was attributed to a thinner FC layer and surface reactions. On the contrary, in the CF4/D2 case the dependence of ER on substrate temperature was the consequence of the factors which include the FC layer thickness (diffusion length) and the atomic mobility of the etchants (thermal activation reaction).

    DOI: 10.3390/coatings11121535

    Web of Science

    Scopus

  54. プラズマがん治療 招待有り 査読有り

    石川健治,堀勝

    静電気学会誌   45 巻 ( 6 ) 頁: 206 - 212   2021年11月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  55. Effects of hydrogen content in films on the etching of LPCVD and PECVD SiN films using CF<sub>4</sub>/H<sub>2</sub> plasma at different substrate temperatures 査読有り

    Hsiao, SN; Britun, N; Nguyen, TTN; Tsutsumi, T; Ishikawa, K; Sekine, M; Hori, M

    PLASMA PROCESSES AND POLYMERS   18 巻 ( 11 ) 頁: 2100078   2021年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Plasma Processes and Polymers  

    The dependences of etching characteristics on substrate temperature (Ts, from –20 to 50°C) of the plasma-enhanced chemical vapor deposition (PECVD) SiN films (PE-SiN) and low-pressure chemical vapor deposition (LPCVD) SiN films (LP-SiN) with CF4/H2 plasma were investigated. The Fourier-transform infrared spectroscopy shows that both film types were N–H bond-rich films, but in different hydrogen contents (PE-SiN 22.7 at% and LP-SiN 3.8 at%) from the Rutherford backscattering spectroscopy analyses. A higher hydrogen content led to a thinner fluorocarbon thickness because of the reaction between hydrogen outflux and C and N to form an HCN byproduct. The etch rates (ER) for the PE-SiN were higher than that of the LP-SiN at all Ts, due to the different FC thickness and etching mechanisms proposed. The formation of the N−Hx layer on PE-SiN at low temperature caused the decrease in ER. For the LP-SiN, the weak dependences of Ts on surface structure and ER were observed.

    DOI: 10.1002/ppap.202100078

    Web of Science

    Scopus

  56. Plasma-activated Ringer's lactate solution inhibits the cellular respiratory system in HeLa cells 査読有り

    Tanaka, H; Maeda, S; Nakamura, K; Hashizume, H; Ishikawa, K; Ito, M; Ohno, K; Mizuno, M; Motooka, Y; Okazaki, Y; Toyokuni, S; Kajiyama, H; Kikkawa, F; Hori, M

    PLASMA PROCESSES AND POLYMERS   18 巻 ( 10 ) 頁: 2100056   2021年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Plasma Processes and Polymers  

    Nonequilibrium atmospheric pressure plasma has enabled a variety of new applications in medicine, agriculture, and other industries. It is particularly noteworthy that plasma itself and/or plasma-activated culture medium have been shown to preferentially kill various cancer cells. We have previously developed a plasma-activated Ringer's lactate solution (PAL) for use as a new cancer treatment. In this study, behaviors of extracellular and intracellular reactive oxygen and nitrogen species in the cellular respiratory system of PAL-treated HeLa cells were investigated using an extracellular flux analyzer and a probe to measure mitochondrial membrane potential. In PAL-treated HeLa cells, extracellular hydrogen peroxide in PAL was found to be responsible for the induction of intracellular hydrogen peroxide and apoptosis, while other components in PAL are responsible for the induction of non-H2O2 intracellular ROS and non-apoptotic cell death, which should be clarified by further experiments. We believe that these are long-lived species derived from plasma-activated lactates. Furthermore, we found that the plasma-activated lactates inhibited glycolysis and the tricarboxylic acid (TCA) cycle, but not the electron transport chain in HeLa cells. These results suggest that PAL induces multiple modes of cell death, including apoptosis through hydrogen peroxide, and non-apoptotic cell death associated with the impairment of mitochondrial functions (glycolysis and TCA cycle). These findings shed light on the novel mechanism underlying plasma-activated lactate-induced cell death.

    DOI: 10.1002/ppap.202100056

    Web of Science

    Scopus

  57. Low temperature plasma irradiation products of sodium lactate solution that induce cell death on U251SP glioblastoma cells were identified 査読有り

    Tanaka, H; Hosoi, Y; Ishikawa, K; Yoshitake, J; Shibata, T; Uchida, K; Hashizume, H; Mizuno, M; Okazaki, Y; Toyokuni, S; Nakamura, K; Kajiyama, H; Kikkawa, F; Hori, M

    SCIENTIFIC REPORTS   11 巻 ( 1 ) 頁: 18488   2021年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Scientific Reports  

    Low-temperature plasma is being widely used in the various fields of life science, such as medicine and agriculture. Plasma-activated solutions have been proposed as potential cancer therapeutic reagents. We previously reported that plasma-activated Ringer’s lactate solution exhibited selective cancer-killing effects, and that the plasma-treated L-sodium lactate in the solution was an anti-tumor factor; however, the components that are generated through the interactions between plasma and L-sodium lactate and the components responsible for the selective killing of cancer cells remain unidentified. In this study, we quantified several major chemical products, such as pyruvate, formate, and acetate, in plasma-activated L-sodium lactate solution by nuclear magnetic resonance analysis. We further identified novel chemical products, such as glyoxylate and 2,3-dimethyltartrate, in the solution by direct infusion-electrospray ionization with tandem mass spectrometry analysis. We found that 2,3-dimethyltartrate exhibited cytotoxic effects in glioblastoma cells, but not in normal astrocytes. These findings shed light on the identities of the components that are responsible for the selective cytotoxic effect of plasma-activated solutions on cancer cells, and provide useful data for the potential development of cancer treatments using plasma-activated L-sodium lactate solution.

    DOI: 10.1038/s41598-021-98020-w

    Web of Science

    Scopus

    PubMed

  58. 先端デバイス構造を実現する超絶ドライエッチング技術の最前線 おわりに 招待有り 査読有り

    石川健治,竹田圭吾

    プラズマ核融合学会誌   97 巻 ( 9 ) 頁: 534 - 536   2021年9月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  59. Effects of free radicals generated by atmospheric pressure plasma on physical actions and biochemical relaxations (PACR) 招待有り 査読有り

    Kenji Ishikawa, Hiroshi Hashizume, Camelia Miron, Hiromasa Tanaka, and Masaru Hori

    Radiation biology research communications   56 巻 ( 3 ) 頁: 280 - 294   2021年9月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  60. 窒化物半導体プラズマエッチングにおける原子層反応制御と低ダメージプロセス 招待有り 査読有り

    堤隆嘉,石川健治,近藤博基,関根誠,堀勝

    プラズマ核融合学会誌   97 巻 ( 9 ) 頁: 517 - 521   2021年9月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  61. 先端デバイス構造を実現する超絶ドライエッチング技術の最前線 はじめに 招待有り 査読有り

    石川健治,竹田圭吾

    プラズマ核融合学会誌   97 巻 ( 9 ) 頁: 508 - 510   2021年9月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  62. Novel Method of Rebound Tailing Pulse (RTP) for Water Dissociation 査読有り

    Shimizu, N; Borude, RR; Tanaka, R; Ishikawa, K; Oda, O; Hosoe, H; Ino, S; Inoue, Y; Hori, M

    IEEE TRANSACTIONS ON PLASMA SCIENCE   49 巻 ( 9 ) 頁: 2893 - 2900   2021年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE Transactions on Plasma Science  

    Simple low-temperature pulsed power dissociation method for high resistive liquid is proposed in this article. Active high voltage rebound tailing pulse (RTP) diodes are adopted to conventional high voltage pulse power sources. In order to explain this method, the study was performed using deionized water (DIW) (high resistive >17MΩcm) electrolysis with two immersed electrodes. The forward pulses, the full-width at half-maximum (FWHM) of 400 ns and forward voltage (7 kV) with rising-up ratio (dV/dt) of 1011 V/s, were applied to the electrodes. When a 5 kV RTP diode was simply adopted to this electrical circuit and DIW load in series, the high forward voltage pulse and continuous high reverse current were applied to this circuit. Then, H2 was generated at the anode electrode and OH radical (OH) in the water vessel. Those phenomena were apparently dependent on the anode electrode area. At the beginning of the forwarded high voltage application, the circuit behaved as capacitance load with generated ions and built up charges at the interface between DIW and the electrode. Continuous rebounded high reverse voltage and highly built-up reverse recovery charges induce avalanche breakdown of RTP diode. The rebounded electrical current was found to be collected in the circuit, accompanied by the generation of hydrogen and OH, as well as the tailing current flowing at the interface as a resistive load. In consequence, we point out that rebounded electrons injected from the surrounding water to the anode electrode interface induced the water electrolysis characteristically, according to RTP-diode inserted in the circuit.

    DOI: 10.1109/TPS.2021.3102639

    Web of Science

    Scopus

  63. Lysosomal nitric oxide determines transition from autophagy to ferroptosis after exposure to plasma-activated Ringer's lactate 査読有り

    Jiang, L; Zheng, H; Lyu, QY; Hayashi, S; Sato, K; Sekido, Y; Nakamura, K; Tanaka, H; Ishikawa, K; Kajiyama, H; Mizuno, M; Hori, M; Toyokuni, S

    REDOX BIOLOGY   43 巻   頁: 101989   2021年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Redox Biology  

    Non-thermal plasma (NTP), an engineered technology to generate reactive species, induces ferroptosis and/or apoptosis specifically in various-type cancer cells. NTP-activated Ringer's lactate (PAL) is another modality for cancer therapy at preclinical stage. Here we found that PAL induces selective ferroptosis of malignant mesothelioma (MM) cells, where non-targeted metabolome screening identified upregulated citrulline-nitric oxide (.NO) cycle as a PAL target .NO probe detected biphasic peaks transiently at PAL exposure with time-dependent increase, which was responsible for inducible .NO synthase (iNOS) overexpression through NF-κB activation. .NO and lipid peroxidation occupied lysosomes as a major compartment with increased TFEB expression. Not only ferrostatin-1 but inhibitors for .NO and/or iNOS could suppress this ferroptosis. PAL-induced ferroptosis accompanied autophagic process in the early phase, as demonstrated by an increase in essential amino acids, LC3B-II, p62 and LAMP1, transforming into the later phase with boosted lipid peroxidation. Therefore, .NO-mediated lysosomal impairment is central in PAL-induced ferroptosis.

    DOI: 10.1016/j.redox.2021.101989

    Web of Science

    Scopus

    PubMed

  64. Brain cell proliferation in adult rats after irradiation with nonequilibrium atmospheric pressure plasma 査読有り

    Yamato, M; Tamura, Y; Tanaka, H; Ishikawa, K; Ikehara, Y; Hori, M; Kataoka, Y

    APPLIED PHYSICS EXPRESS   14 巻 ( 6 ) 頁: 067002   2021年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    Nonequilibrium atmospheric pressure plasma (NEAPP) has the potential for a wide range of medical applications, including wound healing, blood coagulation, and malignant cell apoptosis. However, its effect on the mammalian central nervous system remains unclear. We studied histological changes in the cerebral cortex of adult rats, following irradiation of the brain surface with NEAPP. NEAPP irradiation made three laminar tissue structures and induced high proliferation of brain-resident immature cells as well as bone marrow-derived cells. These observations indicate that NEAPP irradiation induced rearrangement of cellular and tissue organization, including cell proliferation in the central nervous system in vivo.

    DOI: 10.35848/1882-0786/ac03c1

    Web of Science

    Scopus

  65. Hydrogen peroxide in lactate solutions irradiated by non-equilibrium atmospheric pressure plasma 査読有り

    Liu, Y; Ishikawa, K; Miron, C; Hashizume, H; Tanaka, H; Hori, M

    PLASMA SOURCES SCIENCE & TECHNOLOGY   30 巻 ( 4 )   2021年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Plasma Sources Science and Technology  

    A mechanism of hydrogen peroxide (H2O2) generation in plasma-activated lactated Ringer's solution (PAL) has been analyzed. PAL was produced by irradiating a sodium lactate solution, a class of hydroxy acid, with non-equilibrium atmospheric pressure plasma (NEAPP). The concentration of H2O2 generation was dependent on the initial lactate concentrations, which ranged from 0-28 mM. However, the generation of H2O2 was inhibited by higher lactate concentration. The formation of H2O2 is proposed by catalyzed lactate and radicals in aqueous solution, such as OH, H and OO, during the plasma treatments.

    DOI: 10.1088/1361-6595/abbbd4

    Web of Science

    Scopus

  66. Influences of substrate temperatures on etch rates of PECVD-SiN thin films with a CF<sub>4</sub>/H<sub>2</sub> plasma 査読有り

    Hsiao, SN; Nakane, K; Tsutsumi, T; Ishikawa, K; Sekine, M; Hori, M

    APPLIED SURFACE SCIENCE   542 巻   頁: 148550   2021年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Surface Science  

    The dependence of substrate temperatures (50 to −20 °C) on etch rate in two kinds of PECVD SiN films were investigated by a CF4/H2 mixture plasma. The XRR and XPS results indicate that the chemical composition and film density were almost identical for the films. The FTIR shows that the ratio of N[sbnd]H and Si[sbnd]H groups were found to be significantly different in the SiN films. The N[sbnd]H rich films exhibited a lower etch rate at −20 °C than that observed at room temperature or higher, whereas the Si[sbnd]H rich films showed a higher etch rate at −20 °C. We found that the fluorocarbon thickness was thicker in the Si[sbnd]H rich samples than N[sbnd]H rich samples. The fact suggests that hydrogen originated from the broken Si[sbnd]H bonds enhanced the polymerization, which causes the decrease of etch rate. A thinner fluorocarbon thickness was found in the Si[sbnd]H rich samples at low temperature, which results in the higher etch rate. Angular-resolved XPS indicates that N[sbnd]H bonding formed easier on film surface at −20 °C. These results indicate that the bonding structure and substrate temperature affected the fluorocarbon thickness, fluorine reaction probability and hydrogen dissociation during the SiN etching.

    DOI: 10.1016/j.apsusc.2020.148550

    Web of Science

    Scopus

  67. Selective etching of SiN against SiO<sub>2</sub> and poly-Si films in hydrofluoroethane chemistry with a mixture of CH<sub>2</sub>FCHF<sub>2</sub>, O<sub>2</sub>, and Ar 査読有り

    Hsiao, SN; Ishikawa, K; Hayashi, T; Ni, JW; Tsutsumi, T; Sekine, M; Hori, M

    APPLIED SURFACE SCIENCE   541 巻   頁: 148439   2021年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Surface Science  

    Gas chemistry has a significant impact on etch selectivity in semiconductor device fabrication, which is important for realization of atomic-scale removal and formation of high-aspect ratio features. To widen the controllable changes in the etchant composition in etching processes, our previous calculation showed the possibility of the controllable generation of CH2F and CHF2 related ions and radicals from a 1,1,2-trifluoroethane (CH2FCHF2) parent gas. The etch selectivity among silicon nitride (SiN), silicon dioxide (SiO2) and poly-Si films using CH2FCHF2 plasma mixed with O2 and Ar was investigated. The effects of the CH2FCHF2 and O2 partial pressures on the composition of CHF2+ and CH2F+ ions, which were measured with a quadrupole mass spectrometer, and on the possible reactions with respect to the CH2FCHF2 and O2 mixed gas phase were investigated using quantum chemical calculations. The etch selectivity was investigated through surface etching reactions for SiN, SiO2, and poly-Si films.

    DOI: 10.1016/j.apsusc.2020.148439

    Web of Science

    Scopus

  68. 酸素ラジカル処理肥料溶液を用いた殺菌でのピロール化合物の重要性 査読有り

    岩田 直幸, 石川 健治, 橋爪 博司, 田中 宏昌, 伊藤 昌文, 堀 勝

    応用物理学会学術講演会講演予稿集   2021.1 巻 ( 0 ) 頁: 1554 - 1554   2021年2月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2021.1.0_1554

    CiNii Research

  69. かいわれ大根種子の発芽と発芽の成長に対する大気圧プラズマ照射の影響-種子の色と貯蔵の効果 査読有り

    奥村 賢直, アトリ パンカジ, 石川 健治, 古閑 一憲, 白谷 正治, ヴィダ ミルダズィネ

    応用物理学会学術講演会講演予稿集   2021.1 巻 ( 0 ) 頁: 1514 - 1514   2021年2月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2021.1.0_1514

    CiNii Research

  70. FOREWORD Mechanistic understanding of cold atmospheric plasma applications 査読有り

    Kurihara, K; Ishikawa, K; Takaki, K; Shiratani, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   60 巻 ( 2 )   2021年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    DOI: 10.35848/1347-4065/abd871

    Web of Science

    Scopus

  71. Growth inhibition effect on <i>Trypanosoma brucei</i> <i>gambiense</i> by the oxidative stress supplied from low-temperature plasma at atmospheric pressure 査読有り

    Yokoyama, N; Sivakumar, T; Ikehara, S; Akimoto, Y; Yamaguchi, T; Wakai, K; Ishikawa, K; Hori, M; Shimizu, T; Sakakita, H; Ikehara, Y

    JAPANESE JOURNAL OF APPLIED PHYSICS   60 巻 ( 2 ) 頁: 020601   2021年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Trypanosoma brucei (Tb) is a pathogenic protozoan causing sleeping sickness in humans. Despite little knowledge of how the produced reactive oxygen species (ROS) kills this protozoan, the research on the killing mechanism using chemical compounds and the phagosome in the macrophages has suggested that the protozoan is highly susceptible to the increased oxidative stress. Because the prescribed drug can react with various kinds of molecules and the second produced intermediate compounds, in this study, we clarified the immediate killing effect on Tb in the condition of increased oxidative stress using a low-temperature plasma at atmospheric pressure (LTP) equipment. Results Show the significant growth inhibition of Tb in the LTP-treated medium, the loss of morphological homeostasis with twisted to puffed appearance, and demonstrated the swelled changes on mitochondria and endoplasmic reticulum. In conclusion, this study revealed how the increased oxidative stress kills Tb using LTP technology.

    DOI: 10.35848/1347-4065/abd464

    Web of Science

    Scopus

  72. Effects of Carbon Nanowalls (CNWs) Substrates on Soft Ionization of Low-Molecular-Weight Organic Compounds in Surface-Assisted Laser Desorption/Ionization Mass Spectrometry (SALDI-MS) 査読有り

    Sakai, R; Ichikawa, T; Kondo, H; Ishikawa, K; Shimizu, N; Ohta, T; Hiramatsu, M; Hori, M

    NANOMATERIALS   11 巻 ( 2 ) 頁: 1 - 11   2021年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Nanomaterials  

    Carbon nanowalls (CNWs), which are vertically oriented multi-layer graphene sheets, were employed in surface-assisted laser desorption/ionization mass spectrometry (SALDI-MS) measurements to detect low-molecular-weight organic compounds. CNWs substrates with widely different wall-to-wall distances from 142 to 467 nm were synthesized using a radical-injection plasma-enhanced chemical vapor deposition (RI-PECVD) system with nanosecond pulse biasing to a sample stage. When survival yield (SY) values of N-benzylpyridinium chloride (N-BP-Cl) were examined, which is commonly used to evaluate desorption/ionization efficiency, a narrower wall-to-wall distance presented a higher SY value. The highest SY value of 0.97 was realized at 4 mJ/cm2 for the highest-density CNWs with a wall-to-wall distance of 142 nm. The laser desorption/ionization effect of arginine, an amino acid, was also investigated. When CNWs with a narrower wall-to-wall distance were used, the signal-to-noise (SN) ratios of the arginine signals were increased, while the intensity ratios of fragment ions to arginine signals were suppressed. Therefore, the CNWs nanostructures are a powerful tool when used as a SALDI substrate for the highly efficient desorption/ionization of low-molecular-weight biomolecules.

    DOI: 10.3390/nano11020262

    Web of Science

    Scopus

    PubMed

  73. Impact of seed color and storage time on the radish seed germination and sprout growth in plasma agriculture 査読有り 国際共著

    Attri, P; Ishikawa, K; Okumura, T; Koga, K; Shiratani, M; Mildaziene, V

    SCIENTIFIC REPORTS   11 巻 ( 1 ) 頁: 2539   2021年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Scientific Reports  

    The use of low-temperature plasma for the pre-sowing seed treatment is still in the early stage of research; thus, numerous factors affecting germination percentage, seedling growth, and yield remains unknown. This study aimed to estimate how two critical factors, such as harvest year and seed coat color, affect the percentage of germination and seedling growth after plasma treatment. Radish seeds stored for 2 and 1 year after harvesting (harvested in 2017 and 2018) were sorted into two colors (brown and grey) to investigate the plasma effect on harvest year and seed coat color. We analyzed the amounts of seed phytohormones and antioxidant (γ-tocopherol) were analyzed using mass spectrometry, and physical changes were studied using SEM, EDX, and EPR to understand the mechanism of plasma-induced changes in radish seeds. The obtained results revealed that plasma treatment on seeds affects the germination kinetics, and the maximal germination percentage depends on seed color and the time of seed storage after harvest. Through this study, for the first time, we demonstrated that physical and chemical changes in radish seeds after plasma treatment depends upon the seed color and harvest year. Positive effects of plasma treatment on growth are stronger for sprouts from seeds harvested in 2017 than in 2018. The plasma treatment effect on the sprouts germinated from grey seeds effect was stronger than sprouts from brown radish seeds. The amounts of gibberellin A3 and abscisic acid in control seeds strongly depended on the seed color, and plasma induced changes were better in grey seeds harvested in 2017. Therefore, this study reveals that Air scalar-DBD plasma's reactive oxygen and nitrogen species (RONS) can efficiently accelerate germination and growth in older seeds.

    DOI: 10.1038/s41598-021-81175-x

    Web of Science

    Scopus

    PubMed

  74. Inactivation mechanism of fungal spores through oxygen radicals in atmospheric-pressure plasma 査読有り

    Ito, M; Hashizume, H; Oh, JS; Ishikawa, K; Ohta, T; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   60 巻 ( 1 ) 頁: 010503   2021年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    A brief history of the development of our plasma technology for the disinfection of agricultural harvests is presented in this review. We focused on the influence of reactive oxygen species (ROS), e.g. ground-state oxygen atoms [O(3P j )], excited-state oxygen molecules [O2(1Δg)], and ozone (O3), generated from atmospheric-pressure plasmas on the inactivation of fungal spores under dry conditions. For elucidating the inactivation mechanisms, it is essential to reveal the key ROS. Using some powerful tools, we revealed that the dose of O(3P j ) strongly correlates with the inactivation of fungal spores when compared to those of another ROS, such as O2(1Δg) and O3. The balance of antioxidants in the spores is possibly modulated by O(3P j ), leading to oxidation of organelles in spores. Herein, we introduce and discuss how O(3P j ) contributes to the inactivation of fungal spores associated with in situ diagnostics of plasma generated ROS and in situ intracellular observations of a few fungal spore species.

    DOI: 10.35848/1347-4065/abcbd1

    Web of Science

    Scopus

  75. Insights into normothermic treatment with direct irradiation of atmospheric pressure plasma for biological applications 査読有り

    Yoshimura, S; Otsubo, Y; Yamashita, A; Ishikawa, K

    JAPANESE JOURNAL OF APPLIED PHYSICS   60 巻 ( 1 ) 頁: 010502   2021年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Characterizing the interactions between plasma and living organisms has become a multidisciplinary topic in the field of low-temperature plasma science and technology. Living organisms are hierarchically comprised of cells, tissues, organs, and organ systems. Adaptive responses are systematically controlled by the state of the surrounding environment, such as when living organisms are irradiated with discharge plasma. This review is written from a biological perspective and focuses on the importance of gas temperature control in nonequilibrium atmospheric pressure plasmas. We discuss the critical parameters associated with direct plasma treatments of living organisms. This review provides an assessment of the status of this research field and addresses the prospects for further developments in understanding the interactions between plasma and living organisms. We address the importance and necessity of normothermic plasma treatment, where an experimental system involving living organisms is controlled at an optimal temperature, which plays a significant role in plasma biology.

    DOI: 10.35848/1347-4065/abcbd2

    Web of Science

    Scopus

  76. Reduction in photon-induced interface defects by optimal pulse repetition rate in the pulse-modulated inductively coupled plasma 査読有り

    Miyoshi, Y; Ishikawa, K; Sekine, M; Hori, M; Tatsumi, T

    JAPANESE JOURNAL OF APPLIED PHYSICS   60 巻 ( 1 ) 頁: 010906   2021年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    The ultraviolet photon-induced interface defects that degrade the performance of a semiconductor device are reduced by the optimal pulse repetition rate of a pulse-modulated inductively coupled plasma. The defect density depends on the pulse repetition rates; it takes minimum value at a few hundred pulses s−1 and peaks at a few k pulses s−1. The repetition rate-dependent behavior is suggested to be caused by the transient behavior between the plasma's ON and OFF phases. Note that controlling the transient behavior for reducing the photon-induced damage is essential.

    DOI: 10.35848/1347-4065/abd113

    Web of Science

    Scopus

  77. Improvement of yield and grain quality by periodic cold plasma treatment with rice plants in a paddy field 査読有り

    Hashizume, H; Kitano, H; Mizuno, H; Abe, A; Yuasa, G; Tohno, S; Tanaka, H; Ishikawa, K; Matsumoto, S; Sakakibara, H; Nikawa, S; Maeshima, M; Mizuno, M; Hori, M

    PLASMA PROCESSES AND POLYMERS   18 巻 ( 1 ) 頁: 2000181   2021年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Plasma Processes and Polymers  

    The application of cold plasma has attracted considerable attention in the field of agriculture. We examined the effects of plasma irradiation and treatment with plasma-activated Ringer's lactate solution (PAL) on rice seedlings in a paddy field. Irradiation during the vegetative growth period increased seedling growth, panicle number, and grain yield. In contrast, treatment during the reproductive growth period had a negative or no effect. Moreover, treatment with PAL solution promoted the growth of the main stem, such as grain number and panicle weight, and grain quality, but the grain yield from the whole plant was decreased. The results suggest that cold plasma treatment of rice seedlings is effective for improving plant growth, grain yield, and grain quality.

    DOI: 10.1002/ppap.202000181

    Web of Science

    Scopus

  78. Cancer Treatments Using Low-Temperature Plasma 査読有り

    Tanaka, H; Mizuno, M; Ishikawa, K; Toyokuni, S; Kajiyama, H; Kikkawa, F; Hori, M

    CURRENT MEDICINAL CHEMISTRY   28 巻 ( 41 ) 頁: 8549 - 8558   2021年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Current Medicinal Chemistry  

    Low-temperature plasma (LTP) is a partially ionized gas that contains elec-trons, ions, radicals, light, etc. Recently, the bio-medical application of LTP has become a hot topic in plasma science and biological science. Cancer treatment with plasma is the most challenging topic in plasma bio-medical applications. Many in vitro and in vivo ex-periments have been conducted to investigate the anti-tumor effects of LTP. Extracellular reactive oxygen and nitrogen species (RONS) in plasma-activated solutions are key factors for the anti-tumor effects, and amino acid modifications by LTP may affect cellular responses. Intracellular RONS are also key factors for the anti-tumor effects. Various signaling pathways, such as p53 signaling pathways, survival and proliferation signaling pathways, and oxidative stress-dependent signaling pathways are activated by LTP.

    DOI: 10.2174/0929867328666210629121731

    Web of Science

    Scopus

    PubMed

  79. 窒化物半導体プラズマエッチングにおける原子層反応制御と低ダメージプロセス 査読有り

    堤 隆嘉, 石川 健治, 関根 誠

    プラズマ核融合学会誌   97 巻   頁: 517 - 521   2021年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    CiNii Research

  80. Effects of free radicals generated by atmospheric pressure plasma on physical actions and biochemical relaxations (PACR) 査読有り

    石川 健治, 田中 宏昌

    放射線生物研究   56 巻   頁: 280 - 294   2021年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    CiNii Research

  81. Numerical analysis of high-electron-density atmospheric pressure argon streamer under a pin-to-plane electrode geometry: Effects of applying voltage polarity 査読有り

    Sato Y., Ishikawa K., Tsutsumi T., Ui A., Akita M., Oka S., Hori M.

    47th EPS Conference on Plasma Physics, EPS 2021   2021-June 巻   頁: 45 - 48   2021年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:47th EPS Conference on Plasma Physics, EPS 2021  

    Scopus

  82. プラズマがん治療 査読有り

    石川 健治

    静電気学会   45 巻   頁: 206 - 212   2021年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    CiNii Research

  83. 先端デバイス構造を実現する超絶ドライエッチング技術の最前線 おわりに 査読有り

    石川 健治

    プラズマ核融合学会誌   97 巻   頁: 534 - 536   2021年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    CiNii Research

  84. 先端デバイス構造を実現する超絶ドライエッチング技術の最前線 はじめに 査読有り

    石川 健治

    プラズマ核融合学会誌   97 巻   頁: 508 - 510   2021年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    CiNii Research

  85. 同軸型誘電体バリア放電におけるプラズマの形成過程の数値解析

    佐藤 陽介, 宇井 明生, 岡 将太郎, 石川 健治, 堤 隆嘉, 森山 達行, 堀 勝

    年次大会   2021 巻 ( 0 ) 頁: S053-01   2021年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人 日本機械学会  

    DOI: 10.1299/jsmemecj.2021.s053-01

    CiNii Research

  86. Reaction science of layer-by-layer thinning of graphene with oxygen neutrals at room temperature 査読有り

    Sugiura, H; Kondo, H; Higuchi, K; Arai, S; Hamaji, R; Tsutsumi, T; Ishikawa, K; Hori, M

    CARBON   170 巻   頁: 93 - 99   2020年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Carbon  

    Controlling the layers and in-plane defects in multi-layer graphene is the key to exploiting the extraordinary properties of graphene. Layer-by-layer thinning under high-density oxygen neutrals was observed in situ during room-temperature reaction science using a remote oxygen plasma inside a high-voltage transmission electron microscopy. Even though the etching rate of graphene was higher at the edge than at the basal plane, etching started from both locations. Each etched layer was represented by the plasmon loss intensity in the electron energy loss spectrum. After exposure to the remote oxygen plasma, the electron diffraction pattern for the multi-layer graphene keep clear six-fold diffraction pattern. Raman spectroscopy revealed the formation of defects in multi-layer graphene. The nonthermal reaction of oxygen neutrals required for graphene etching was demonstrated by in situ transmission electron microscopy.

    DOI: 10.1016/j.carbon.2020.07.052

    Web of Science

    Scopus

  87. Influence of chamber pressure on the crystal quality of homo-epitaxial GaN grown by radical-enhanced MOCVD (REMOCVD) 査読有り

    Amalraj, FW; Shimizu, N; Oda, O; Ishikawa, K; Hori, M

    JOURNAL OF CRYSTAL GROWTH   549 巻   頁: 125863   2020年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Crystal Growth  

    III-nitride was grown by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) with a very high-frequency electric source 100 MHz, using nitrogen and hydrogen as a source gas free from ammonia gas. Applying radio frequency (RF) power at the top electrode generates activated nitrogen, hydrogen, and other nitrogen species. Homoepitaxial gallium nitride (GaN) growth was studied as a function of chamber pressure by REMOCVD. The grown GaN was characterized by scanning electron microscope (SEM), atomic force microscope (AFM), and double crystal X-ray diffraction (XRD). Ga radicals and N radicals were detected by optical emission spectroscopy (OES) as a function of chamber pressure. The V/III ratio changes with the N2*/Ga* ratio, and the step flow growth of GaN was achieved under the chamber pressure of 300 Pa.

    DOI: 10.1016/j.jcrysgro.2020.125863

    Web of Science

    Scopus

  88. Steering of surface discharges on through-glass-vias combined with high-density nonequilibrium atmospheric pressure plasma generation 査読有り

    Sato, Y; Katsuno, K; Odaka, H; Imajyo, N; Ishikawa, K; Hori, M

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   53 巻 ( 43 ) 頁: 534302   2020年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Physics D: Applied Physics  

    The concept of using a glass substrate with fine through-holes filled with metal, called through-glass-vias (TGVs), for an inner wall of an enclosure of a nonequilibrium atmospheric pressure plasma source was validated to extend its processing area with high plasma densities maintained. When applying the TGV substrate into an inner wall of the plasma source, the generated discharge was less likely to drift along with the gas flow, which resembled the behavior of locally high electric fields of the plasma source, called the creeping mode. The decrease in breakdown voltage was also observed. These phenomena are caused by the TGV areas acting as a steering control material in the enclosure of the plasma source. The location of the TGV areas affected the behavior of the generated plasma. The shape of the plasma was accomplished to arbitrarily and locally control by the placement of TGVs.

    DOI: 10.1088/1361-6463/aba1ad

    Web of Science

    Scopus

  89. Formation of spherical Sn particles by reducing SnO<sub>2</sub> film in floating wire-assisted H<sub>2</sub>/Ar plasma at atmospheric pressure 査読有り

    Nguyen, TTN; Sasaki, M; Tsutsumi, T; Ishikawa, K; Hori, M

    SCIENTIFIC REPORTS   10 巻 ( 1 ) 頁: 17770   2020年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Scientific Reports  

    A green method to synthesize spherical Sn particles by reducing SnO2 film in atmospheric-pressure H2/Ar plasma at low temperatures for various applications is presented. The floating wire-assisted remotely-generated plasma with a mixture of 0.05% H2/Ar gas formed spherical metallic Sn particles by reducing a SnO2 layer on glass substrate. During the reduction process, H radical density was measured by using vacuum ultraviolet absorption spectroscopy, and plasma properties including electron density and gas temperature were diagnosed by optical emission spectroscopy. The inductively coupled generated plasma with a high electron density of 1014 cm−3, a hydrogen atom density of 1014 cm−3, and a gas temperature of 940 K was obtained at a remote region distance of 150 mm where the SnO2/glass substrate was placed for plasma treatment. The process has been modeled on the spherical Sn formation based on the reduction of SnO2 films using H radicals. Depending on the treatment condition, the total reduction area, where spherical Sn particles formed, was enlarged and could reach 300 mm2 after 2 min. The substrate temperature affected the expansion rate of the total reduction area and the growth of the Sn spheres.

    DOI: 10.1038/s41598-020-74663-z

    Web of Science

    Scopus

    PubMed

  90. Roles of Atomic Nitrogen/Hydrogen in GaN Film Growth by Chemically Assisted Sputtering with Dual Plasma Sources 査読有り

    Tanide, A; Nakamura, S; Horikoshi, A; Takatsuji, S; Kimura, T; Kinose, K; Nadahara, S; Nishikawa, M; Ebe, A; Ishikawa, K; Oda, O; Hori, M

    ACS OMEGA   5 巻 ( 41 ) 頁: 26776 - 26785   2020年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:ACS Omega  

    The growth of sputtered GaN at low temperature is strongly desired to realize the dissemination of low-cost GaN high electron mobility transistor devices for next-generation communication technology. In this work, the roles of atomic nitrogen (N)/hydrogen (H) in GaN film growth on AlN/sapphire substrates by chemically assisted dual source sputtering are studied at a low growth temperature of 600 °C under a pressure of 2 Pa using vacuum ultraviolet absorption spectroscopy. The lateral growth was strongly enhanced with an appropriate H/N flux ratio of 1.9 at a GaN growth rate of ∼1 μm h-1. X-ray photoelectron spectroscopy measurements indicated that N removal from the grown GaN surface by atomic hydrogen promoted the migration of Ga. A smooth GaN surface was achieved at a suitable N/Ga supply ratio of 53 and a H/N ratio of 1.9 with the addition of 0.5% chlorine to the Ar sputtering gas.

    DOI: 10.1021/acsomega.0c03865

    Web of Science

    Scopus

    PubMed

  91. Laser-induced-plasma-activated medium enables killing of HeLa cells 査読有り

    Kurokawa, Y; Takeda, K; Ishikawa, K; Tanaka, H; Hori, M

    APPLIED PHYSICS EXPRESS   13 巻 ( 10 ) 頁: 106001   2020年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  92. Laser-induced-plasma-activated medium enables killing of HeLa cells 査読有り

    Kurokawa Y., Takeda K., Ishikawa K., Tanaka H., Hori M.

    Applied Physics Express   13 巻 ( 10 )   2020年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    Plasma-activated medium (PAM) is defined as a medium irradiated with non-thermal plasma; multiple in vitro and animal experiments have demonstrated that PAM exhibits anti-tumor effects against various cancers. PAM contains various reactive species that contribute to these anti-tumor effects. To increase the abundance of such reactive species, we used laser-generated plasma (LGP). We used a LGP consisting of 200 ns pulsed plasma with an electron density of approximately 7.0 × 1018 cm−3, which is an extremely high electron density, exceeding those of other atmospheric pressure plasmas. We created a laser-generated-plasma-activated medium (LPAM), and treated HeLa cells with the LPAM in both the presence and absence of catalase. Both with and without catalase, the LPAM treatment yielded strong anti-cancer effects against HeLa cells. Surprisingly, we observed morphological differences between HeLa cells grown in LPAM prepared with and without catalase. These results suggested that LPAM induces the cell death through extracellular hydrogen peroxide-dependent and -independent processes.

    DOI: 10.35848/1882-0786/abb68c

    Scopus

  93. Adjusted multiple gases in the plasma flow induce differential antitumor potentials of plasma-activated solutions 査読有り

    Nakamura, K; Yoshikawa, N; Yoshihara, M; Ikeda, Y; Higashida, A; Niwa, A; Jindo, T; Tanaka, H; Ishikawa, K; Mizuno, M; Toyokuni, S; Hori, M; Kikkawa, F; Kajiyama, H

    PLASMA PROCESSES AND POLYMERS   17 巻 ( 10 ) 頁: 1900259   2020年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Plasma Processes and Polymers  

    We present a novel plasma system that can generate a plasma-activated medium by changing the proportion of reactive gases such as oxygen, nitrogen, and hydrogen in the plasma flow. The correlation between the biological activity of plasma-activated solutions prepared under multiple plasma gas-flow conditions was evaluated. Mixed nitrogen, in addition to oxygen, in the gas flow is most powerful for producing plasma-activated Ringer's lactate solution against antitumor effects on ovarian cancer cells as compared with oxygen or nitrogen alone. The antitumor effect of plasma-activated solutions is controllable by the modification of the proportion of reactive gases (especially nitrogen and oxygen gases) in the plasma flow. These results suggest that the plasma flow conditions may be one of the candidates for the specifications of the plasma-activated solutions to the therapeutic effect.

    DOI: 10.1002/ppap.201900259

    Web of Science

    Scopus

  94. Small size gold nanoparticles enhance apoptosis-induced by cold atmospheric plasma via depletion of intracellular GSH and modification of oxidative stress 査読有り

    Jawaid, P; Rehman, MU; Zhao, QL; Misawa, M; Ishikawa, K; Hori, M; Shimizu, T; Saitoh, J; Noguchi, K; Kondo, T

    CELL DEATH DISCOVERY   6 巻 ( 1 ) 頁: 83   2020年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Cell Death Discovery  

    Gold nanoparticles (Au-NPs) have attracted attention as a promising sensitizer owing to their high atomic number (Z), and because they are considered fully multifunctional, they are preferred over other metal nanoparticles. Cold atmospheric plasma (CAP) has also recently gained attention, especially for cancer treatment, by inducing apoptosis through the formation of reactive oxygen species (ROS). In this study, the activity of different sized Au-NPs with helium-based CAP (He-CAP) was analyzed, and the underlying mechanism was investigated. Treating cells with only small Au-NPs (2 nm) significantly enhanced He-CAP-induced apoptosis. In comparison, 40 nm and 100 nm Au-NPs failed to enhance cell death. Mechanistically, the synergistic enhancement was due to 2 nm Au-NPs-induced decrease in intracellular glutathione, which led to the generation of intracellular ROS. He-CAP markedly induced ROS generation in an aqueous medium; however, treatment with He-CAP alone did not induce intracellular ROS formation. In contrast, the combined treatment significantly enhanced the intracellular formation of superoxide (O2• −) and hydroxyl radical (•OH). These findings indicate the potential therapeutic use of Au-NPs in combination with CAP and further clarify the role of Au-NPs in He-CAP-aided therapies.

    DOI: 10.1038/s41420-020-00314-x

    Web of Science

    Scopus

    PubMed

  95. 酒米品種イネ栽培における低温プラズマ処理の品質への効果 査読有り

    橋爪 博司, 北野 英己, 水野 寛子, 阿部 明子, 湯浅 元気, 東野 里江, 田中 宏昌, 石川 健治, 松本 省吾, 榊原 均, 仁川 進, 前島 正義, 水野 正明, 堀 勝

    応用物理学会学術講演会講演予稿集   2020.2 巻 ( 0 ) 頁: 1167 - 1167   2020年8月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2020.2.0_1167

    CiNii Research

  96. Influence of temperature on etch rate of PECVD-SiN films with CF<sub>4</sub>/H<sub>2</sub> plasma 査読有り

    Hsiao Shihnan, Nakane Kazuya, Tsutsumi Takayoshi, Ishikawa Kenji, Sekine Makoto, Hori Masaru

    JSAP Annual Meetings Extended Abstracts   2020.2 巻 ( 0 ) 頁: 1195 - 1195   2020年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:The Japan Society of Applied Physics  

    DOI: 10.11470/jsapmeeting.2020.2.0_1195

    CiNii Research

  97. Numerical analysis of coaxial dielectric barrier helium discharges: three-stage mode transitions and internal bullet propagation 査読有り

    Sato, Y; Ishikawa, K; Tsutsumi, T; Hori, M

    APPLIED PHYSICS EXPRESS   13 巻 ( 8 ) 頁: 086001   2020年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    He discharge in a coaxial dielectric barrier discharge (DBD) device develops in three stages: first, a Townsend-glow-type plasma spreads in the region between the electrodes; second, a plasma bullet (streamer type discharge) propagates axially and; third, the bullet transitions into a surface discharge at the dielectric surface. These mode transitions are quite different from planar type DBD, in which one discharge mode basically corresponds to one discharge pulse. From fluid-based numerical analysis, the bullet propagation is obeyed by trapping with strong electric fields induced by grounded electrode underneath the dielectric barrier and by surface charge accumulated on the dielectric surface.

    DOI: 10.35848/1882-0786/aba3f2

    Web of Science

    Scopus

  98. Plasma Agriculture from Laboratory to Farm: A Review 査読有り

    Attri, P; Ishikawa, K; Okumura, T; Koga, K; Shiratani, M

    PROCESSES   8 巻 ( 8 ) 頁: 1002   2020年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Processes  

    In recent years, non-thermal plasma (NTP) application in agriculture is rapidly increasing. Many published articles and reviews in the literature are focus on the post-harvest use of plasma in agriculture. However, the pre-harvest application of plasma still in its early stage. Therefore, in this review, we covered the effect of NTP and plasma-treated water (PTW) on seed germination and growth enhancement. Further, we will discuss the change in biochemical analysis, e.g., the variation in phytohormones, phytochemicals, and antioxidant levels of seeds after treatment with NTP and PTW. Lastly, we will address the possibility of using plasma in the actual agriculture field and prospects of this technology.

    DOI: 10.3390/pr8081002

    Web of Science

    Scopus

  99. Non-thermal plasma-activated lactate solution kills U251SP glioblastoma cells in an innate reductive manner with altered metabolism 査読有り

    Ishikawa, K; Hosoi, Y; Tanaka, H; Jiang, L; Toyokuni, S; Nakamura, K; Kajiyama, H; Kikkawa, F; Mizuno, M; Hori, M

    ARCHIVES OF BIOCHEMISTRY AND BIOPHYSICS   688 巻   頁: 108414   2020年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Archives of Biochemistry and Biophysics  

    Ringer's lactate solution irradiated by non-thermal plasma, comprised of radicals, electrons, and ions, is defined as plasma-activated lactate (PAL). PAL exhibited antitumor effects in glioblastoma U251SP cells, which we termed PAL-specific regulated cell death. In contrast to the oxidative stress condition typical of cells incubated in plasma-activated medium (PAM), U251SP cells treated with Ringer's lactate solution or PAL exhibited changes in intracellular metabolites that were reductive in the redox state, as measured by the ratio of oxidative/reductive glutathione concentrations. In the metabolomic profiles of PAL-treated cells, the generation of acetyl-CoA increased for lipid metabolism from alanine and asparagine. PAL thus induces regulated death of U251SP glioblastoma cells in more innate microenvironments than PAM.

    DOI: 10.1016/j.abb.2020.108414

    Web of Science

    Scopus

    PubMed

  100. <i>In situ</i> surface analysis of an ion-energy-dependent chlorination layer on GaN during cyclic etching using Ar<SUP>+</SUP> ions and Cl radicals 査読有り

    Hasegawa, M; Tsutsumi, T; Tanide, A; Nakamura, S; Kondo, H; Ishikawa, K; Sekine, M; Hori, M

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A   38 巻 ( 4 ) 頁: 042602   2020年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Vacuum Science and Technology A: Vacuum, Surfaces and Films  

    Gallium nitride (GaN) semiconductor devices must be fabricated using plasma etching with precise control of the etching depths and minimal plasma-induced damage on the atomic scale. A cyclic process comprising etchant adsorption and product removal may be suitable for this purpose but an understanding of the associated etching surface reactions is required. The present work examined the formation of a chlorinated layer based on Cl radical adsorption on a GaN surface in conjunction with Ar ion irradiation. This research employed beam experiments and in situ x-ray photoelectron spectroscopy. The results show that N atoms are preferentially desorbed during exposure to Ar ions to produce Ga-rich layers at depths of 0.8 and 1.1 nm at an Ar ion dosage on the order of 1016 cm-2 and ion energies of 116.0 and 212.6 eV, respectively. Subsequent exposure of the irradiated Ga-rich layer to Cl radicals removes some Ga atoms and produces a chlorinated layer over the GaN surface. This chlorinated layer has a thickness on the order of 1 nm following Cl radical dosages on the order of 1019 cm-2. This study of plasma-treated surfaces is expected to assist in developing means of controlling the etching depth during the atomic layer etching of GaN via Ar ion bombardment.

    DOI: 10.1116/6.0000124

    Web of Science

    Scopus

  101. Numerical simulations of stable, high-electron-density atmospheric pressure argon plasma under pin-to-plane electrode geometry: effects of applied voltage polarity 査読有り

    Sato, Y; Ishikawa, K; Tsutsumi, T; Ui, A; Akita, M; Oka, S; Hori, M

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   53 巻 ( 26 ) 頁: 265204   2020年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Physics D: Applied Physics  

    When applying high-voltage direct current to a pin-to-plane electrode geometry with a distance of 2 mm under atmospheric pressure in argon gas, electrical breakdown forms primary then secondary streamers. The polarity of the applied voltage affects this streamer-propagating phenomenon. Properties such as propagation speed, streamer head size, and plasma generation are parameterized at nanosecond scales by computational simulations of a self-consistent, multi-species, multierature plasma fluid modeling approach. For positive polarity on the pin electrode, streamer-head propagation speeds up and streamer head size increases with increasing applied voltages. However, local electron density at the head decreases. For negative polarity, corona-like discharges form around the pin electrode under low applied voltages, and diffusive steamers form under high applied voltages. Secondary streamers re-propagate from the pin after primary streamer propagation, forming a plasma with a high electron density of 1021 m-3 for the positive polarity. We show that low-voltage operations with positive polarity are useful for stable high-electron-density discharges under atmospheric pressure argon.

    DOI: 10.1088/1361-6463/ab7df0

    Web of Science

    Scopus

  102. In-plane modification of hexagonal boron nitride particles via plasma in solution 査読有り

    Ito, T; Goto, T; Inoue, K; Ishikawa, K; Kondo, H; Hori, M; Shimizu, Y; Hakuta, Y; Terashima, K

    APPLIED PHYSICS EXPRESS   13 巻 ( 6 ) 頁: 066001   2020年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    In-plane modification of hexagonal boron nitride (hBN) is demonstrated via plasma in solution without acid/base addition. Electron spin resonance spectroscopy clearly reveals an increase in nitrogen vacancies in the hBN plane. This could be a simple route to functionalizing the two-dimensional surface of hBN. Simultaneously, hydroxylation occurs, accompanied by an increase in the zeta potential.

    DOI: 10.35848/1882-0786/ab916c

    Web of Science

    Scopus

  103. Electronic properties and primary dissociation channels of fluoromethane compounds 査読有り

    Hayashi, T; Ishikawa, K; Sekine, M; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   59 巻 ( SJ ) 頁: SJJE02   2020年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    We investigated fluoromethane compounds (CF4, CF3, CHF3, CH2F2, and CH3F) to examine their electronic properties and primary dissociation channels by using computational chemistry. For the electron attachment process, it was very important to represent the observed negative mass spectrum as a function of electron energy that calculation by the MP2 method for the negative ion and subsequent calculation by the EOMCCSD method were used to more accurately estimate the ground and the first excited states of the negative ion. In the evaluation of dissociation channels through the excitation process, the structure change of the excited fragment (from pyramidal to planar) was taken into account. Evaluation of Jahn-Teller distortion for the highly symmetrical CHF3 and CH3F was also very important to estimate the fragmentation process through the excitation. The calculated results after these treatments gave satisfactory representation of the experimental values. Moreover some predictions of experimentally unknown values are proposed.

    DOI: 10.35848/1347-4065/ab7e3f

    Web of Science

    Scopus

  104. Electron spin resonance as a tool to monitor the influence of novel processing technologies on food properties 査読有り 国際共著

    Barba, FJ; Roohinejad, S; Ishikawa, K; Leong, SY; Bekhit, AEA; Saraiva, JA; Lebovka, N

    TRENDS IN FOOD SCIENCE & TECHNOLOGY   100 巻   頁: 77 - 87   2020年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Trends in Food Science and Technology  

    Background: Nowadays, electron spin resonance (ESR) is widely used as a powerful, non-destructive and very sensitive technique for the detection of free radicals in food systems. It can be applied for the direct identification of highly reactive oxygen species, organic and inorganic paramagnetic species and screening of food for potential toxicity. Its applications cover investigating food oxidative stability and properties of irradiated foods including fruits and vegetables, meats and fishes, spices, cereal grains, and oil seeds. Scope and approach: This review aims at providing specialists in food science and industry with the fundamentals of ESR spectroscopy, typical radicals present in foods and their sources, ESR modalities, and detailed account for the use of the technology for evaluation of the physicochemical and nutritional properties of foods. Examples illustrating ESR applications for the evaluation of the effects of innovative and emerging technologies (ionizing radiation, high pressures, pulsed electric fields, cold plasma and ultrasonication) are discussed. Key findings and conclusions: ESR can be used for the identification/quantification of free radicals in foods, for spin-label oximetry, estimation of free radical scavenging, food stability, and chelating activity, with particular interest for food processed using innovative technologies, with the main advantages of its high sensitivity, specificity, and low amounts of sample needed and nowadays many types of ESR instruments are commercially available. However, due to the different nature of foods, the development of novel ESR techniques and methods of analysis specially designed to study foods is of great interest in the future.

    DOI: 10.1016/j.tifs.2020.03.032

    Web of Science

    Scopus

  105. Dry Process FOREWORD 査読有り

    Shirafuji, T; Kinoshita, K; Akatsuka, H; Eriguchi, K; Ichikawa, T; Ichiki, T; Ishijima, T; Ishikawa, K; Karahashi, K; Kurihara, K; Sekine, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   59 巻 ( SJ )   2020年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    DOI: 10.35848/1347-4065/ab8acf

    Web of Science

    Scopus

  106. Characterization of a microsecond pulsed non-equilibrium atmospheric pressure Ar plasma using laser scattering and optical emission spectroscopy 査読有り

    Jia, FD; Wu, Y; Min, Q; Su, MG; Takeda, K; Ishikawa, K; Kondo, H; Sekine, M; Hori, M; Zhong, ZP

    PLASMA SCIENCE & TECHNOLOGY   22 巻 ( 6 ) 頁: 065404   2020年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Plasma Science and Technology  

    A non-equilibrium atmospheric pressure argon (Ar) plasma excited by microsecond pulse is studied experimentally by laser scattering and optical emission spectroscopy (OES), and theoretically by collisional-radiative (CR) model. More specifically, the electron temperature and electron density of plasma are obtained directly by the laser Thomson scattering, the gas temperature is measured by laser Raman scattering, the optical emissions of excited Ar states of plasma are measured by OES. The laser scattering results show that the electron temperature is about 1 eV which is similar to that excited by 60 Hz AC power, but the gas temperature is as low as 300 K compared to about 700 K excited by 60 Hz AC power. It is shown that the microsecond pulsed power supply, rather than nanosecond ones, is short enough to reduce the gas temperature of atmospheric pressure plasma to near room temperature. The electron temperature and electron density are also obtained by CR model based on OES, and find that the intensities of the optical emission intensity lines of 727.41, 811.73, 841.08, 842.83, 852.44 and 912.86 nm of Ar can be used to characterize the behavior of electron density and electron temperature, it is very useful to quickly estimate the activity of the atmospheric pressure Ar plasma in many applications.

    DOI: 10.1088/2058-6272/ab84e2

    Web of Science

    Scopus

  107. Synthesis of isolated carbon nanowalls via high-voltage nanosecond pulses in conjunction with CH<sub>4</sub>/H<sub>2</sub> plasma enhanced chemical vapor deposition 査読有り

    Ichikawa, T; Shimizu, N; Ishikawa, K; Hiramatsu, M; Hori, M

    CARBON   161 巻   頁: 403 - 412   2020年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Carbon  

    Carbon nanowalls (CNWs) are vertically standing, interconnecting flake- or wall-like collections of graphene sheets. In the present work, this material was synthesized by applying precisely controlled high-voltage nanosecond pulses to a substrate using an inductor energy storage circuit in a radical-injection plasma-enhanced chemical vapor deposition system, employing a CH4/H2 plasma. The resulting interconnected networks had a low density of CNWs with large average wall-to-wall distances. During the application of short-period pulses, the entire substrate surface was uniformly activated, thus enhancing the adsorption of carbon precursors and preventing CNW nucleation. As a result, an amorphous carbon film covered the surface of the substrate and a low CNW density was obtained with average wall-to-wall distances greater than 700 nm. On the basis of these results, the growth mechanism of CNWs was modeled.

    DOI: 10.1016/j.carbon.2020.01.064

    Web of Science

    Scopus

  108. Gas-phase and film analysis of hydrogenated amorphous carbon films: Effect of ion bombardment energy flux on sp<SUP>2</SUP> carbon structures 査読有り

    Sugiura, H; Ohashi, Y; Ishikawa, K; Kondo, H; Kato, T; Kaneko, T; Takeda, K; Tsutsumi, T; Hayashi, T; Sekine, M; Hori, M

    DIAMOND AND RELATED MATERIALS   104 巻   2020年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Diamond and Related Materials  

    Hydrogenated amorphous carbon (a-C:H) films comprise nanoclustering graphites (nc-G), fused aromatic rings (nR), and olefinic chain clusters (nC) of sp2-bonded carbons in an sp3 matrix. In this study, the sp2 composition of the nc-G, nR and nC in a-C:H films is found to be determined by the ion bombardment energy flux (ΓEi), which can be estimated as the product of ion bombardment energy and ion flux onto the deposited surface, in plasma-enhanced chemical vapor deposition using a plasma mixture of H2 and CH4 gases with the H radical injection method. The sp2 composition is analyzed using Raman spectroscopy and near-edge X-ray absorption structure spectroscopy. a-C:H becomes increasingly graphitized with increasing ΓEi. The precise control of the sp2 C structure composition can be achieved by controlling the very-high-frequency input power and radio frequency input bias power via the ion flux and ion bombardment energy.

    DOI: 10.1016/j.diamond.2019.107651

    Web of Science

    Scopus

  109. In-Liquid Plasma Synthesis of Nanographene with a Mixture of Methanol and 1-Butanol 査読有り

    Ando, A; Ishikawa, K; Takeda, K; Ohta, T; Ito, M; Hiramatsu, M; Kondo, H; Sekine, M; Hori, M

    CHEMNANOMAT   6 巻 ( 4 ) 頁: 604 - 609   2020年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:ChemNanoMat  

    Nanometer-size graphene sheets (nanographene) were synthesized by the in-liquid plasma method employing a mixture of alcohols. Pure methanol in-liquid plasma was found to not synthesize any nanographene. Pure ethanol synthesized nanographene with high crystallinity. Highly crystalline nanographene with a narrow full width-half maximum of the Raman scattering G-band (FWHMG) was obtained by mixing 1-butanol with methanol. This is due to the formation of carbon ring structures being inhibited by the addition of methanol. The ratio of added methanol determined the nanographene crystallinity and yield under a trade-off relationship, allowing the crystallinity and nanographene yield to be controlled by controlling the ratio. Gas chromatography-mass spectrometric analysis of by-products in the liquids’ supernatant showed that the crystallinity of the synthesized nanographene correlated with the ratio of carbon over oxygen of the alcohol precursors (C/O), i. e., the amount of hydroxyl groups in the liquids, and hence controlling the C/O ratio can be used to control the graphene crystallinity in the in-liquid plasma synthesis.

    DOI: 10.1002/cnma.201900676

    Web of Science

    Scopus

  110. 計算科学による新規エッチングガスの探索 査読有り

    林 俊雄, 関根 誠, 石川 健治, 堀 勝

    応用物理学会学術講演会講演予稿集   2020.1 巻 ( 0 ) 頁: 1585 - 1585   2020年2月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2020.1.0_1585

    CiNii Research

  111. イネ圃場における定期的な低温プラズマ処理による生育や収穫に対する検討 (III) 査読有り

    橋爪 博司, 北野 英己, 水野 寛子, 阿部 明子, 湯浅 元気, 東野 里江, 田中 宏昌, 石川 健治, 松本 省吾, 榊原 均, 仁川 進, 前島 正義, 水野 正明, 堀 勝

    応用物理学会学術講演会講演予稿集   2020.1 巻 ( 0 ) 頁: 1622 - 1622   2020年2月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2020.1.0_1622

    CiNii Research

  112. プラズマ照射したカイワレ種子の吸水の時間推移評価 査読有り

    石川 健治, Pankaj Attri, 奥村 賢直, 古閑 一憲, 有田 涼, 佐藤 僚哉, 田中 颯, 廣松 真弥, 松尾 かよ, 山下 大輔, 鎌瀧 晋礼, 板垣 奈穂, 堀 勝, 白谷 正治

    応用物理学会学術講演会講演予稿集   2020.1 巻 ( 0 ) 頁: 1620 - 1620   2020年2月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2020.1.0_1620

    CiNii Research

  113. Interaction of oxygen with polystyrene and polyethylene polymer films: A mechanistic study 査読有り

    Fukunaga, Y; Longo, RC; Ventzek, PLG; Lane, B; Ranjan, A; Hwang, GS; Hartmann, G; Tsutsumi, T; Ishikawa, K; Kondo, H; Sekine, M; Hori, M

    JOURNAL OF APPLIED PHYSICS   127 巻 ( 2 )   2020年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Applied Physics  

    In this work, first principles calculations combined with advanced surface diagnostics are used to unravel the mechanisms of plasma oxygen interaction with organic films of interest for advanced patterning in semiconductor device manufacturing. Results from a combination of x-ray photoelectron spectroscopy (XPS) diagnosed oxygen plasma exposed polystyrene films and first principles modeling of organic films (polystyrene, polyethylene, and derivatives) provide insights into how organic films are oxidized by oxygen atoms. XPS measurements show the rapid formation of C-O structures and their saturation after oxygen exposure on both pristine and argon bombarded polystyrene samples. Quantum mechanics calculations confirm that C-OH formation can be immediate without recourse to previously formed dangling bonds. Multiple oxygen impacts are required for scission of pristine ethylene carbon strands. Therefore, ethylene films can be converted to polyols that are stable, whereas more likely strands are broken before polyol formation through the formation of water and C=O. On the contrary, intermediate compounds with adjacent C=O bonds are not likely to form stable structures. The combination of XPS measurements and modeling implies that the oxidation of polystyrene and polyethylene is self-limiting on both hydrogen saturated and dehydrogenated (after argon ion plasma bombardment) surfaces.

    DOI: 10.1063/1.5127863

    Web of Science

    Scopus

  114. Etching characteristics of PECVD-prepared SiN films with CF<sub>4</sub>/D<sub>2</sub> and CF<sub>4</sub>/H<sub>2</sub> plasmas at different temperatures 査読有り

    Hsiao, SN; Nguyen, TTN; Tsutsumi, T; Ishikawa, K; Sekine, M; Hori, M

    2020 INTERNATIONAL SYMPOSIUM ON SEMICONDUCTOR MANUFACTURING (ISSM)   2020-December 巻   2020年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE International Symposium on Semiconductor Manufacturing Conference Proceedings  

    The dependences of plasmas (CF4/D2 and CF4/H2) on etch rates of the PECVD SiN films at different substrate temperatures were investigated. The CF4/D2 plasma exhibited higher etch rates than that for the CF4/D2 plasma at room temperature and higher. The optical emission spectra showed that the CF polymerization, F and Balmer emissions were stronger in the CF4/D2 plasma, by comparing with the CF4/H2 plasma. A thinner fluorocarbon thickness with a lower F/C ratio was found in the sample proceeded by the CF4/H2 plasma. The fluorocarbon thickness and gas phase concentration were not responsible for the increase of etch rate in the CF4/D2 plasma. The abstraction of H inside the SiN films by deuterium and hydrogen dissociation were considered to be important for the etching of the Si-H bond rich SiN films.

    DOI: 10.1109/ISSM51728.2020.9377537

    Web of Science

    Scopus

  115. 無潤滑下及びベース油中におけるta-CNxコーティングのトライボロジー特性に及ぼすダングリングボンドと表面エネルギーの影響

    宮地 孝明, 中島 悠也, 石川 健治, Wooyoung Lee, 梅原 徳次, 野老山 貴行, 村島 基之

    年次大会   2020 巻 ( 0 ) 頁: S11314   2020年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人 日本機械学会  

    DOI: 10.1299/jsmemecj.2020.s11314

    CiNii Research

  116. Cell deposition and isolation with micropipette control over liquid interface motion in microfluidic channel 査読有り

    Onoshima D., Yukawa H., Hattori Y., Ishikawa K., Hori M., Baba Y.

    21st International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2017     頁: 679 - 680   2020年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:21st International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2017  

    We developed a microfluidic chip for depositing single cells in microwells using simple micropipette operation. Cells were delivered to microwells by the meniscus motion of liquid interface. The residue deposits of cells were redistributed with air injection, and the isolated single cells were stored in microwells. Different microwell sizes and depths were studied to evaluate the trapping possibility of cells. Medium replacement and cell viability staining with the isolated single cells were achieved in microwells.

    Scopus

  117. Leukocyte depletion and size-based enrichment of circulating tumor cells with pressure-sensing microfiltration system 査読有り

    Kuboyama D., Onoshima D., Kihara N., Tanaka H., Hase T., Yukawa H., Ishikawa K., Odaka H., Hasegawa Y., Hori M., Baba Y.

    21st International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2017     頁: 882 - 883   2020年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:21st International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2017  

    We present a study of blood microfiltration system towards optimal approach of non-invasive liquid biopsy for cancer detection by circulating tumor cells (CTCs). Filtration behavior of a microfilter was experimentally analyzed for tumor cell isolation from leukocytes in whole blood. This approach achieved an average of >96% recovery of spiked tumor cells and >99% total leukocytes depletion.

    Scopus

  118. Rapid thermal-cyclic atomic-layer etching of titanium nitride in CHF<sub>3</sub>/O<sub>2</sub> downstream plasma 査読有り

    Shinoda, K; Miyoshi, N; Kobayashi, H; Izawa, M; Ishikawa, K; Hori, M

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   52 巻 ( 47 )   2019年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Physics D: Applied Physics  

    Isotropic atomic-layer etching (ALE) of TiN-by using a plasma-assisted thermal-cyclic process with a 300-mm tool-was demonstrated. The process consists of exposure to a downstream CHF3/O2 plasma (for surface modification) followed by infrared irradiation for thermal desorption of the modified surface. Etched amount of TiN per cycle saturated at around 0.6 nm/cycle with respect to both radical-exposure time and infrared-irradiation time. To examine the reaction mechanism of the cyclic etching, the surfaces of TiN samples after CHF3/O2 plasma exposure were analyzed by in situ X-ray photoelectron spectroscopy (XPS). Self-limiting formation of the surface-modified layer, which was tentatively identified as an ammonium salt such as (NH4)xTiFy, was observed after radical exposure. It was confirmed by in situ XPS that the surface-modified layer was removed after thermal annealing at 110 °C. Isotropic ALE of TiN was thus demonstrated by using formation and desorption of ammonium salt in CHF3/O2 downstream plasma, which was also used for isotropic ALE of Si3N4.

    DOI: 10.1088/1361-6463/ab3cf3

    Web of Science

    Scopus

  119. Simulation-aided design of very-high-frequency excited nitrogen plasma confinement using a shield plate 査読有り

    Isobe, Y; Sakai, T; Suguro, K; Miyashita, N; Kondo, H; Ishikawa, K; Wilson, AF; Shimizu, N; Oda, O; Sekine, M; Hori, M

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B   37 巻 ( 6 )   2019年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Vacuum Science and Technology B: Nanotechnology and Microelectronics  

    Nitrogen atoms are versatile for nitridation applications and do not lead to plasma-induced damage. Large-sized wafer processing demands a uniform supply of nitrogen atoms produced in a high-density very-high-frequency excited plasma of N2 without ammonia. The confinement of plasma through the use of a plasma shield plate (PSP) allows the samples to be separated in a downstream chamber. Generation and transport of N atoms were computationally simulated, and the PSP designs were implemented by PSP parameterization. The supply of high-density N radicals to the sample stage was optimally designed with sufficiently small holes and thin PSP to satisfy an aspect ratio of thickness-to-hole-diameter of less than 2.5.

    DOI: 10.1116/1.5114831

    Web of Science

    Scopus

  120. Oxidative stress-dependent and -independent death of glioblastoma cells induced by non-thermal plasma-exposed solutions 査読有り

    Tanaka, H; Mizuno, M; Katsumata, Y; Ishikawa, K; Kondo, H; Hashizume, H; Okazaki, Y; Toyokuni, S; Nakamura, K; Yoshikawa, N; Kajiyama, H; Kikkawa, F; Hori, M

    SCIENTIFIC REPORTS   9 巻 ( 1 ) 頁: 13657   2019年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Scientific Reports  

    Non-thermal atmospheric pressure plasma has been widely used for preclinical studies in areas such as wound healing, blood coagulation, and cancer therapy. We previously developed plasma-activated medium (PAM) and plasma-activated Ringer’s lactate solutions (PAL) for cancer treatments. Many in vitro and in vivo experiments demonstrated that both PAM and PAL exhibit anti-tumor effects in several types of cancer cells such as ovarian, gastric, and pancreatic cancer cells as well as glioblastoma cells. However, interestingly, PAM induces more intracellular reactive oxygen species in glioblastoma cells than PAL. To investigate the differences in intracellular molecular mechanisms of the effects of PAM and PAL in glioblastoma cells, we measured gene expression levels of antioxidant genes such as CAT, SOD2, and GPX1. Microarray and quantitative real-time PCR analyses revealed that PAM elevated stress-inducible genes that induce apoptosis such as GADD45α signaling molecules. PAL suppressed genes downstream of the survival and proliferation signaling network such as YAP/TEAD signaling molecules. These data reveal that PAM and PAL induce apoptosis in glioblastoma cells by different intracellular molecular mechanisms.

    DOI: 10.1038/s41598-019-50136-w

    Web of Science

    Scopus

    PubMed

  121. プラズマ活性化乳酸リンゲル点滴 (PAL) によるイネ幼苗の成長促進効果 査読有り

    橋爪 博司, 北野 英己, 水野 寛子, 湯浅 元気, 東野 里江, 田中 宏昌, 石川 健治, 松本 省吾, 榊原 均, 仁川 進, 前島 正義, 水野 正明, 堀 勝

    応用物理学会学術講演会講演予稿集   2019.2 巻 ( 0 ) 頁: 1895 - 1895   2019年9月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2019.2.0_1895

    CiNii Research

  122. CH<sub>3</sub>Fの電子物性と解離 査読有り

    林 俊雄, 石川 健治, 関根 誠, 堀 勝

    応用物理学会学術講演会講演予稿集   2019.2 巻 ( 0 ) 頁: 1837 - 1837   2019年9月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2019.2.0_1837

    CiNii Research

  123. イネ圃場における定期的な低温プラズマ処理による生育や収穫に対する検討 (II) 査読有り

    橋爪 博司, 北野 英己, 水野 寛子, 湯浅 元気, 東野 里江, 田中 宏昌, 石川 健治, 松本 省吾, 榊原 均, 仁川 進, 前島 正義, 水野 正明, 堀 勝

    応用物理学会学術講演会講演予稿集   2019.2 巻 ( 0 ) 頁: 1896 - 1896   2019年9月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2019.2.0_1896

    CiNii Research

  124. プラズマ処理によるイチゴ果実中の抗酸化物質量増加 査読有り

    橋爪 博司, 坪田 憲紀, 松本 省吾, 伊藤 昌文, 湯浅 元気, 東野 里江, 田中 宏昌, 石川 健治, 北野 英己, 榊原 均, 仁川 進, 前島 正義, 水野 正明, 大熊 隆之, 堀 勝

    応用物理学会学術講演会講演予稿集   2019.2 巻 ( 0 ) 頁: 1897 - 1897   2019年9月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2019.2.0_1897

    CiNii Research

  125. Atmospheric Pressure Plasma-Treated Carbon Nanowalls' Surface-Assisted Laser Desorption/Ionization Time-of-Flight Mass Spectrometry (CNW-SALDI-MS) 査読有り

    Ohta, T; Ito, H; Ishikawa, K; Kondo, H; Hiramatsu, M; Hori, M

    C-JOURNAL OF CARBON RESEARCH   5 巻 ( 3 )   2019年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/c5030040

    Web of Science

  126. Review of methods for the mitigation of plasma-induced damage to low-dielectric-constant interlayer dielectrics used for semiconductor logic device interconnects 査読有り

    Miyajima, H; Ishikawa, K; Sekine, M; Hori, M

    PLASMA PROCESSES AND POLYMERS   16 巻 ( 9 )   2019年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Plasma Processes and Polymers  

    The developments in advanced interconnect technology for semiconductor logic devices for the mitigation of plasma-induced damage to low-dielectric-constant (low-k) materials, including fluorosilicate glass and carbon-doped silicon oxide is reviewed. The chemical bond structures of low-k materials are summarized to help mitigate the k value degradation caused by moisture uptake after plasma processes. Damage suppression is accomplished by integrating deposition chemistries, pattern etch transfer, and post-etch cleaning technologies. On the basis of analyses results, a discussion on the bond engineering of low-k materials and their degradation during plasma processing is given. Challenges facing low-k interconnect technology are also addressed.

    DOI: 10.1002/ppap.201900039

    Web of Science

    Scopus

  127. Self-limiting reactions of ammonium salt in CHF<sub>3</sub>/O<sub>2</sub> downstream plasma for thermal-cyclic atomic layer etching of silicon nitride 査読有り

    Shinoda, K; Miyoshi, N; Kobayashi, H; Izawa, M; Saeki, T; Ishikawa, K; Hori, M

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A   37 巻 ( 5 )   2019年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Vacuum Science and Technology A: Vacuum, Surfaces and Films  

    Self-limiting reactions of ammonium salt in CHF3/O2 downstream plasma were demonstrated for thermal-cyclic atomic layer etching (ALE) of Si3N4. In situ x-ray photoelectron spectroscopy analysis shows that an (NH4)2SiF6 by-product of the same thickness forms on Si3N4 in a wide gas composition range. The (NH4)2SiF6 layer prevents etching of Si3N4 during continuous plasma exposure in that wide range. The (NH4)2SiF6 layer was sublimated by heating, which was consistent with the result of the thermodynamic calculation. The reactions of the (NH4)2SiF6 layer in CHF3/O2 downstream plasma are used for thermal-cyclic ALE of Si3N4 with a newly developed 300-mm tool equipped with an in situ ellipsometer. It was confirmed that the amount etched per cycle saturates with respect to both plasma exposure time and infrared irradiation time.

    DOI: 10.1116/1.5111663

    Web of Science

    Scopus

  128. Simultaneous achievement of antimicrobial property and plant growth promotion using plasma-activated benzoic compound solution 査読有り

    Iwata, N; Gamaleev, V; Hashizume, H; Oh, JS; Ohta, T; Ishikawa, K; Hori, M; Ito, M

    PLASMA PROCESSES AND POLYMERS   16 巻 ( 8 )   2019年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Plasma Processes and Polymers  

    This study assesses a potential use of the recently developed nonthermal atmospheric pressure plasma technology in hydroponic plant cultivation. Two types of plasma-activated liquid solutions were compared, namely, l-Phenylalanine (l-Phe), which had a benzene ring structure, and l-Alanine (l-Ala), which did not have a benzene ring structure. Antimicrobial property and plant growth enhancement were simultaneously obtained when plasma-activated l-Phe was used. We found that the benzene ring structure in solution contributed to antimicrobial property. Further, colony forming unit assay indicated that 99% of Escherichia coli (E. coli) were eliminated after 24-h incubation and radish sprout growth increased by about 40% after 2 days of cultivation.

    DOI: 10.1002/ppap.201900023

    Web of Science

    Scopus

  129. Gene Expression of Osteoblast-like Cells on Carbon-Nanowall as Scaffolds during Incubation with Electrical Stimulation 査読有り

    Ichikawa, T; Kondo, H; Ishikawa, K; Tsutsumi, T; Tanaka, H; Sekine, M; Hori, M

    ACS APPLIED BIO MATERIALS   2 巻 ( 7 ) 頁: 2698 - 2702   2019年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:ACS Applied Bio Materials  

    Nanostructured cell-culture scaffolds of carbon nanowalls (CNWs) were prepared by changing average wall-to-wall distances either 132 or 220 nm. Osteoblast-like cells (Saos-2) proliferated during 4 day incubation on the wider (220 nm) CNW scaffolds in the presence of electrical stimulation (ES). Differentiation gene expression levels of Runt-related transcription factor 2 (Runx2) and osteocalcin (OC) were suppressed after 10 day incubation, which indicated that the average wall-to-wall distances of the CNW scaffolds affect suppression of Runx2 and OC gene expression. This technique holds promise for controlling the differentiation of osteoblast-like cells.

    DOI: 10.1021/acsabm.9b00178

    Web of Science

    Scopus

    PubMed

  130. Rethinking surface reactions in nanoscale dry processes toward atomic precision and beyond: a physics and chemistry perspective 査読有り

    Ishikawa, K; Ishijima, T; Shirafuji, T; Armini, S; Despiau-Pujo, E; Gottscho, RA; Kanarik, KJ; Leusink, GJ; Marchack, N; Murayama, T; Morikawa, Y; Oehrlein, GS; Park, S; Hayashi, H; Kinoshita, K

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻 ( SE )   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    In this review, we discuss the progress of emerging dry processes with atomic precision. Researchers in the field of plasma processing and surface science have addressed the increasingly challenging demands of material selectivity by utilization of synergistic enhancement of etching or deposition. The discussion encompasses major challenges in the plasma science and technology community. The focus of the review is advances in atomic layer etching and area-selective deposition with activation or deactivation, especially in terms of materials scaling and variety. Control of high-aspect-ratio feature fabrication in semiconductor manufacturing and etched shapes of interior features at the nanoscale are needed. Issues related to profile distortion have received much attention. State-of-the-art techniques used in semiconductor manufacturing are reviewed and future challenges are outlined.

    DOI: 10.7567/1347-4065/ab163e

    Web of Science

    Scopus

  131. Plasma-activated solution alters the morphological dynamics of supported lipid bilayers observed by high-speed atomic force microscopy 査読有り

    Yamaoka, S; Kondo, H; Hashizume, H; Ishikawa, K; Tanaka, H; Hori, M

    APPLIED PHYSICS EXPRESS   12 巻 ( 6 )   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    The effects of plasma-activated Ringer's lactate solution (PAL) on supported lipid bilayers (SLBs) as a cell membrane model were investigated. PAL has selective killing effects on cancer cells. High-speed atomic force microscopy revealed alterations in the morphological dynamics of SLBs in PAL. SLB islands decreased approximately 20-fold faster in the presence of PAL compared to Ringer's lactate solution without plasma treatment. The effect of plasma treatment on the Ringer's lactate solution produced PAL components that account for the observed effects on cell membranes, such as removal of lipid molecules from the edges of SLBs and the following SLBs shrinkage.

    DOI: 10.7567/1882-0786/ab1a58

    Web of Science

    Scopus

  132. Progress and perspectives in dry processes for emerging multidisciplinary applications: how can we improve our use of dry processes? 査読有り

    Iwase, T; Kamaji, Y; Kang, SY; Koga, K; Kuboi, N; Nakamura, M; Negishi, N; Nozaki, T; Nunomura, S; Ogawa, D; Omura, M; Shimizu, T; Shinoda, K; Sonoda, Y; Suzuki, H; Takahashi, K; Tsutsumi, T; Yoshikawa, K; Ishijima, T; Ishikawa, K

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻 ( SE )   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    The non-thermal nature of chemical reactions in plasma chemistry is considered to be suitable for next-generation energy production from plasma-material syntheses and renewable sources. As emerging multidisciplinary applications, plasma medicine and plasma agriculture are currently topical applications that make use of atmospheric pressure plasmas. Here, we conduct a systematic review of the literature over the last 40 years to evaluate the history and progress of dry processes with regard to atmospheric pressure plasma technologies as well as material synthesis and thin-film deposition. In this review, selected breakthroughs are reviewed. Finally, near-future major challenges are addressed to encourage new multidisciplinary research across the plasma science and technology community.

    DOI: 10.7567/1347-4065/ab163a

    Web of Science

    Scopus

  133. Progress and perspectives in dry processes for leading-edge manufacturing of devices: toward intelligent processes and virtual product development 査読有り

    Iwase, T; Kamaji, Y; Kang, SY; Koga, K; Kuboi, N; Nakamura, M; Negishi, N; Nozaki, T; Nunomura, S; Ogawa, D; Omura, M; Shimizu, T; Shinoda, K; Sonoda, Y; Suzuki, H; Takahashi, K; Tsutsumi, T; Yoshikawa, K; Ishijima, T; Ishikawa, K

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻 ( SE )   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Semiconductor device production has grown year on year, with high-volume manufacturing supported by advances in plasma processes. In plasma-based processing, ions and reactive species synergistically enhance chemical reactions, whose kinetics is in a nonequilibrium state in the region of the surface subjected to ion bombardment. To control such processing, methods for process monitoring, equipment control, modeling and simulation, and controlling plasma-induced damage, are required. Here, we conduct a systematic review of the literature over the last 40 years to evaluate the history and progress of dry processes in regard to intelligent process-control. We also address the challenges of implementing "virtual product development" utilizing information technology.

    DOI: 10.7567/1347-4065/ab163b

    Web of Science

    Scopus

  134. Progress and perspectives in dry processes for nanoscale feature fabrication: fine pattern transfer and high-aspect-ratio feature formation 査読有り

    Iwase, T; Kamaji, Y; Kang, SY; Koga, K; Kuboi, N; Nakamura, M; Negishi, N; Nozaki, T; Nunomura, S; Ogawa, D; Omura, M; Shimizu, T; Shinoda, K; Sonoda, Y; Suzuki, H; Takahashi, K; Tsutsumi, T; Yoshikawa, K; Ishijima, T; Ishikawa, K

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻 ( SE )   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Dramatic advances are being made in dry processing technologies. Atomic scale precision below 10 nm is now possible with fine patterning technologies for high-volume manufacturing of semiconductor devices. The isotropic and anisotropic nature of both film deposition and etching is versatile for nanoscale fabrication of three-dimensional features, such as high-aspect-ratio (HAR) features. Here we conduct a systematic review of the literature over the last 40 years to evaluate the history and progress of dry processes with regard to fine pattern transfer, HAR feature formation, and multiple patterning as lithographic techniques. Finally, we address the major challenges shared across the plasma science and technology community.

    DOI: 10.7567/1347-4065/ab1638

    Web of Science

    Scopus

  135. Electronic properties and primarily dissociation channels of fluoroethane compounds 査読有り

    Hayashi, T; Ishikawa, K; Sekine, M; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻 ( SE )   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Electronic properties of fluoroethane compounds except C2H5F, C2H4F2, CH3CF3, C2H2F4, and C2F6 have not been reported. On the other hands, the physicochemical properties were reported for the usage as the alternative refrigerants. Dissociation channels in the process plasma for fluoroethane compounds were not fully discussed except 1,1,1,2-C2H2F4. Therefore we have investigated the electronic properties and primarily dissociation channels of fluoroethane compounds in the process plasma in semiconductor manufacturing, using computational chemistry. Positive and negative ionized states were investigated by density functional method and the excited states were investigated by EOMCCSD/aug-cc-pVDZ and TD-SCF CAM-B3LYP/aug-cc-pVDZ. It was generally shown through these investigations that C-C bond scission occurred by excitation and ionization and C-F bond scission occurred by excitation and electron attachment.

    DOI: 10.7567/1347-4065/ab09ca

    Web of Science

    Scopus

  136. Polyethylene terephthalate (PET) surface modification by VUV and neutral active species in remote oxygen or hydrogen plasmas 査読有り

    Zhang, Y; Ishikawa, K; Mozetic, M; Tsutsumi, T; Kondo, H; Sekine, M; Hori, M

    PLASMA PROCESSES AND POLYMERS   16 巻 ( 6 )   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Plasma Processes and Polymers  

    Polyethylene terephthalate (PET) are exposed either by VUV photons emitted from oxygen or hydrogen plasmas or by VUV photons and neutral active species from both plasmas. The water contact angle (WCA) of PET surface increased after treatment with H2 VUV + H atoms, due to the cleavage of the oxygen-containing groups by VUV photons. The WCA decreased for the case of treatment with O2 VUV + O-related neutral active species, leading to be released the polar groups like −COH or −COOH from the PET surface with a depth of less than about 10 nm. Oxygen-related neutrals etched the PET film and generated a rough surface which also contributed to the decrease in WCA however no bulk chemical structure obviously changed.

    DOI: 10.1002/ppap.201800175

    Web of Science

    Scopus

  137. Laser-drilling formation of through-glass-via (TGV) on polymer-laminated glass 査読有り

    Sato, Y; Imajyo, N; Ishikawa, K; Tummala, R; Hori, M

    JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS   30 巻 ( 11 ) 頁: 10183 - 10190   2019年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Materials Science: Materials in Electronics  

    A three-dimensional (3D) glass integrated passive device (IPD) is an evolutionally advanced configuration to dramatically reduce the electronics form factor and manufacturing cost of current IPDs by introducing ultra-thin glass with through-glass-vias (TGVs). A defect-free TGV formation technology in polymer-laminated glass substrates is required to realize a highly reliable 3D glass IPD. This paper discusses mechanisms of each defect formation in the use of several types of lasers to explore suitable technology for defect-free drilling in polymer-laminated glass.

    DOI: 10.1007/s10854-019-01354-5

    Web of Science

    Scopus

  138. Effects of plasma shield plate design on epitaxial GaN films grown for large-sized wafers in radical-enhanced metalorganic chemical vapor deposition 査読有り

    Isobe, Y; Sakai, T; Sugiyama, N; Mizushima, I; Suguro, K; Miyashita, N; Lu, Y; Wilson, AF; Kumar, DA; Ikarashi, N; Kondo, H; Ishikawa, K; Shimizu, N; Oda, O; Sekine, M; Hori, M

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B   37 巻 ( 3 )   2019年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Vacuum Science and Technology B: Nanotechnology and Microelectronics  

    Epitaxial growth of GaN films at a low temperature of 800 °C was studied in radical-enhanced metal-organic chemical vapor deposition, focusing on the discharge region of the plasma of a mixture of N2 and H2 gases. The effect of plasma confinement on the growth is crucial for realizing high crystal quality of grown GaN films, owing to the suppression of plasma-induced damage and decomposition of gallium precursors in the gaseous phase. By confined plasma in the discharge region using the plasma shield plates made of metal with multiple small holes effectively, GaN with a relatively flat surface was grown under conditions of higher V/III ratios. Epitaxial growth of GaN films was achieved by modifying the plate design and controlling the high V/III ratio using both the plasma-excitation power and the Ga precursor flow rate.

    DOI: 10.1116/1.5083970

    Web of Science

    Scopus

  139. Chemical bonding structure in porous SiOC films (k &lt; 2.4) with high plasma-induced damage resistance (vol 3, pg 1, 2019) 査読有り

    Miyajima, H; Masuda, H; Watanabe, K; Ishikawa, K; Sekine, M; Hori, M

    MICRO AND NANO ENGINEERING   3 巻   頁: 92 - 92   2019年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Micro and Nano Engineering  

    The publisher regrets that we missed to publish the conflict of interest statement in the original article. The authors declare that they have no known competing financial interests or personal relationships that could have appeared to influence the work reported in this paper. The publisher would like to apologise for any inconvenience caused.

    DOI: 10.1016/j.mne.2019.05.004

    Web of Science

    Scopus

  140. Chemical bonding structure in porous SiOC films (k &lt; 2.4) with high plasma-induced damage resistance 査読有り

    Miyajima, H; Masuda, H; Watanabe, K; Ishikawa, K; Sekine, M; Hori, M

    MICRO AND NANO ENGINEERING   3 巻   頁: 1 - 6   2019年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Micro and Nano Engineering  

    The chemical bonding structure of porous low-k carbon-doped silicon oxide (SiOC) films (k < 2.4) was engineered using plasma-enhanced chemical vapor deposition and electron-beam curing. The high carbon concentration in the SiOC films with di-methyl bonds ([dbnd]Si(–]CH3)2) is crucial for resistance to plasma-induced damage (PID) and prevention of moisture uptake after the plasma treatment. The mix of di-methyl bonds is believed to be the key to protecting the films from PID because the films retain their hydrophobic characteristics even after plasma treatment. Thus, control of the ratio of di-methyl bonds to mono-methyl bonds ([tbnd]Si–]CH3) in the as-deposited SiOC film is necessary. Selection of trimethyl silane as a precursor for film matrix formation resulted in excellent control of this ratio to obtain highly reliable low-k/Cu interconnects for high-performance logic devices.

    DOI: 10.1016/j.mne.2019.02.005

    Web of Science

    Scopus

  141. Facile synthesis of SnO<sub>2</sub>-graphene composites employing nonthermal plasma and SnO<sub>2</sub> nanoparticles-dispersed ethanol 査読有り

    Borude, RR; Sugiura, H; Ishikawa, K; Tsutsumi, T; Kondo, H; Hori, M

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   52 巻 ( 17 )   2019年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Physics D: Applied Physics  

    The tin oxide (SnO 2 )-graphene composite was synthesized by the in-liquid plasma method using SnO 2 nanoparticles (average diameter ∼30 nm) dispersed ethanol as a precursor without providing external heat. As observed from scanning electron microscopy (SEM) and transmission electron microscopy (TEM), the SnO 2 nanoparticles were distributed uniformly on flaky graphene sheets. The formation of SnO 2 and high crystalline graphene was supported by the Raman analysis and x-ray diffraction (XRD) studies. A facile, low-cost method operating at atmospheric pressure based on the in-liquid plasma technology can be utilized to fabricate SnO 2 -graphene composite using minimum precursors for future applications such as gas sensing devices and fuel cells.

    DOI: 10.1088/1361-6463/ab03c4

    Web of Science

    Scopus

  142. Systematic diagnostics of the electrical, optical, and physicochemical characteristics of low-temperature atmospheric-pressure helium plasma sources 査読有り

    Takeda, K; Yamada, H; Ishikawa, K; Sakakita, H; Kim, J; Ueda, M; Ikeda, J; Akimoto, Y; Kataoka, Y; Yokoyama, N; Ikehara, Y; Hori, M

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   52 巻 ( 16 )   2019年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Physics D: Applied Physics  

    The performance characteristics of two plasma sources, based on different types of discharge, were assessed. Three primary aspects of these sources were examined. These were electrical parameters (voltage and current flowing through a target), plasma parameters (gas temperature and electron density, determined using optical emission spectroscopy), and levels of gas-phase oxygen atoms (measured by vacuum ultraviolet absorption spectroscopy) and liquid-phase ▪OH radicals (generated by plasma treatment of water and detected using an electron spin resonance spin-trapping technique). As a result, there were few significant differences such as electron density, oxygen atom density, and gas temperature between the two plasma sources. However, the time-averaged electrical currents flowing to the target and the amount of liquid-phase ▪OH radicals showed a large difference, especially when the plume made contact with the target. Thus, many points of similarity but some differences in the two devices were found by the benchmarking study. These shall contribute to our understanding of the mechanisms for each consequence in medical applications.

    DOI: 10.1088/1361-6463/aaff44

    Web of Science

    Scopus

  143. A 65-nm CMOS Fully Integrated Analysis Platform Using an On-Chip Vector Network Analyzer and a Transmission-Line-Based Detection Window for Analyzing Circulating Tumor Cell and Exosome 査読有り

    Niitsu, K; Nakanishi, T; Murakami, S; Matsunaga, M; Kobayashi, A; Karim, NM; Ito, J; Ozawa, N; Hase, T; Tanaka, H; Sato, M; Kondo, H; Ishikawa, K; Odaka, H; Hasegawa, Y; Hori, M; Nakazato, K

    IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS   13 巻 ( 2 ) 頁: 470 - 479   2019年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE Transactions on Biomedical Circuits and Systems  

    A fully integrated CMOS circuit based on a vector network analyzer and a transmission-line-based detection window for circulating tumor cell (CTC) and exosome analysis is presented for the first time. We have introduced a fully integrated architecture, which eliminates the undesired parasitic components and enables high-sensitivity, to analyze extremely low-concentration CTC in blood. The detection window was designed on the high-sensitive coplanar waveguide line. To validate the operation of the proposed system, a test chip was fabricated using 65-nm CMOS technology. Measurements were performed after adding a tiny lump of silicone or a droplet of water on its detection window. The measured results show S-21 degradation of-1.96 dB and-6.04 dB for the silicone and the droplet, respectively, at 1.4 GHz. In addition, in another measurement using magnetic beads, it is confirmed that the proposed circuit can analyze even low concentrations of 20 beads/μL. As well as microbeads, measurement with CTCs was successfully demonstrated.

    DOI: 10.1109/TBCAS.2018.2882472

    Web of Science

    Scopus

    PubMed

  144. Effects of 3D structure on electrochemical oxygen reduction characteristics of Pt- nanoparticle-supported carbon nanowalls 査読有り

    Imai, S; Naito, K; Kondo, H; Cho, HJ; Ishikawa, K; Tsutsumi, T; Sekine, M; Hiramatsu, M; Hori, M

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   52 巻 ( 10 )   2019年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Physics D: Applied Physics  

    For polymer electrolyte fuel cell applications, effects of Pt-nanoparticle-supported 3D carbon nanostructures, i.e. carbon nanowalls (Pt/CNWs), on electrochemical characteristics were determined by alternating current impedance analysis of resistive elements, which contribute to the oxygen reduction reaction. CNWs were fabricated by radical-injection plasma-enhanced chemical vapor deposition (RI-PECVD), and Pt catalysts were formed on the template of CNWs by supercritical fluid metalorganic chemical fluid deposition. CNWs of different wall densities were synthesized during RI-PECVD by varying the deposition pressure. The resistive elements can be consisted of three regions with different corresponding frequencies, and the resistive elements of mass diffusion, which showed up in the lowest frequency region of less than 100 Hz, increased as the wall density of CNWs increased. It was found that the wall density of CNWs was one of the essential parameters of Pt/CNWs for the electrochemical reaction involving the fluid flow and the mass transfer of active materials.

    DOI: 10.1088/1361-6463/aaf8e0

    Web of Science

    Scopus

  145. Control of sp<SUP>2</SUP>-C cluster incorporation of amorphous carbon films grown by H-radical-injection CH<sub>4</sub>/H<sub>2</sub> plasma-enhanced chemical vapor deposition 査読有り

    Sugiura, H; Jia, LY; Ohashi, Y; Kondo, H; Ishikawa, K; Tsutsumi, T; Hayashi, T; Takeda, K; Sekine, M; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻 ( 3 )   2019年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Amorphous carbon (a-C) thin films were deposited under the control of C2 radical density using radical-injection plasma-enhanced chemical vapor deposition (RI-PECVD) with CH4/H2 plasma. By actinometric monitoring of C2 emission intensities, the plasma parameters were precisely controlled by changing in CH4-containing plasma excitation power independent of H2 plasma excitation. The control of the incorporation of sp2-C clusters in the a-C films during the a-C film depositions is demonstrated by tailoring Raman positions vs. full widths at half maxima for the G band around 1580 cm-1 to the RI-PECVD parameters.

    DOI: 10.7567/1347-4065/aafd49

    Web of Science

    Scopus

  146. Effects of BCl<sub>3</sub> addition to Cl<sub>2</sub> gas on etching characteristics of GaN at high temperature 査読有り

    Tanide, A; Nakamura, S; Horikoshi, A; Takatsuji, S; Kohno, M; Kinose, K; Nadahara, S; Ishikawa, K; Sekine, M; Hori, M

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B   37 巻 ( 2 )   2019年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Vacuum Science and Technology B: Nanotechnology and Microelectronics  

    Gallium nitride films were etched at 400 °C and 20 Pa with a radio-frequency-generated Cl2-BCl3 mixed plasma. While dog-legged profiles were obtained by plasma etching using pure Cl2, straight sidewall shapes were achieved through BCl3 gas addition into the Cl2 plasma by suppressing the plasma-induced damage on the etched surface. Etching by-products containing boron on the etched surface affected the etch rate. Smooth etched profiles were obtained by controlling the redeposition of by-products of boron and chlorine compounds, particularly for substrate temperatures above 230 °C.

    DOI: 10.1116/1.5082345

    Web of Science

    Scopus

  147. Effects of Ion Bombardment Energy Flux on Chemical Compositions and Structures of Hydrogenated Amorphous Carbon Films Grown by a Radical-Injection Plasma-Enhanced Chemical Vapor Deposition 査読有り

    Sugiura, H; Kondo, H; Tsutsumi, T; Ishikawa, K; Hori, M

    C-JOURNAL OF CARBON RESEARCH   5 巻 ( 1 )   2019年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/c5010008

    Web of Science

  148. Electrochemical Reaction in Hydrogen Peroxide and Structural Change of Platinum Nanoparticle-Supported Carbon Nanowalls Grown Using Plasma-Enhanced Chemical Vapor Deposition 査読有り

    Tomatsu, M; Hiramatsu, M; Kondo, H; Ishikawa, K; Tsutsumi, T; Sekine, M; Hori, M

    C-JOURNAL OF CARBON RESEARCH   5 巻 ( 1 )   2019年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3390/c5010007

    Web of Science

  149. 青果物栽培における低温プラズマ処理の品質への効果 査読有り

    堀 勝, 橋爪 博司, 松本 省吾, 坪田 憲紀, 伊藤 昌文, 湯浅 元気, 東野 里江, 田中 宏昌, 石川 健治, 北野 英己, 榊原 均, 仁川 進, 前島 正義, 水野 正明, 大熊 隆之

    応用物理学会学術講演会講演予稿集   2019.1 巻 ( 0 ) 頁: 1678 - 1678   2019年2月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2019.1.0_1678

    CiNii Research

  150. Etching reactions of Si, SiO<sub>2</sub>, and SiN films using with hydrofluorocarbon compounds 査読有り

    Ni Jiawei, Hayashi Toshio, Ishikawa Kenji, Tsutsumi Takayoshi, Kondo Hiroki, Sekine Makoto, Hori Masaru

    JSAP Annual Meetings Extended Abstracts   2019.1 巻 ( 0 ) 頁: 1630 - 1630   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:The Japan Society of Applied Physics  

    DOI: 10.11470/jsapmeeting.2019.1.0_1630

    CiNii Research

  151. イネ圃場における定期的な低温プラズマ処理による生育や収穫に対する検討 査読有り

    橋爪 博司, 北野 英己, 湯浅 元気, 東野 里江, 水野 寛子, 田中 宏昌, 石川 健治, 松本 省吾, 榊原 均, 仁川 進, 前島 正義, 水野 正明, 堀 勝

    応用物理学会学術講演会講演予稿集   2019.1 巻 ( 0 ) 頁: 1675 - 1675   2019年2月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2019.1.0_1675

    CiNii Research

  152. イネ種子への低温プラズマ照射による成長促進効果 査読有り

    橋爪 博司, 北野 英己, 水野 寛子, 木下 悟, 湯浅 元気, 東野 里江, 田中 宏昌, 石川 健治, 松本 省吾, 榊原 均, 仁川 進, 前島 正義, 水野 正明, 堀 勝

    応用物理学会学術講演会講演予稿集   2019.1 巻 ( 0 ) 頁: 1676 - 1676   2019年2月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2019.1.0_1676

    CiNii Research

  153. 種子へ低温プラズマ照射したイネ苗の環境制御下における栽培 査読有り

    橋爪 博司, 北野 英己, 湯浅 元気, 東野 里江, 水野 寛子, 木下 悟, 田中 宏昌, 石川 健治, 松本 省吾, 榊原 均, 仁川 進, 前島 正義, 水野 正明, 堀 勝

    応用物理学会学術講演会講演予稿集   2019.1 巻 ( 0 ) 頁: 1677 - 1677   2019年2月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2019.1.0_1677

    CiNii Research

  154. 酸素ラジカル処理芳香族化合物による中性pH領域における細菌不活性化と植物の生長促進 査読有り

    岩田 直幸, ガマリェエフ ウラディスラフ, 呉 準席, 橋爪 博司, 太田 貴之, 石川 健治, 堀 勝, 伊藤 昌文

    応用物理学会学術講演会講演予稿集   2019.1 巻 ( 0 ) 頁: 1670 - 1670   2019年2月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2019.1.0_1670

    CiNii Research

  155. Non-thermal plasma-activated medium modified metabolomic profiles in the glycolysis of U251SP glioblastoma 査読有り

    Kurake, N; Ishikawa, K; Tanaka, H; Hashizume, H; Nakamura, K; Kajiyama, H; Toyokuni, S; Kikkawa, F; Mizuno, M; Hori, M

    ARCHIVES OF BIOCHEMISTRY AND BIOPHYSICS   662 巻   頁: 83 - 92   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Archives of Biochemistry and Biophysics  

    Non-equilibrium atmospheric pressure plasma (NEAPP) is a mixture of radicals, electrons, anions, cations and light at near body temperature. Plasma-activated medium (PAM) is realized using NEAPP provided by engineered devices and irradiated to a cell culture medium for a period of 600 s. Glioblastoma cells U251SP cultivated in PAM previously indicated that antitumor effects induced PAM-specific apoptotic cell-death. Metabolomic profiles of a hundred intracellular metabolites were analyzed using capillary electrophoresis mass spectrometry. The metabolomic profiles of the PAM-treated U251SP cells were changed significantly with inhibition of the glycolysis pathway and with enhancement of the pentose phosphate pathway.

    DOI: 10.1016/j.abb.2018.12.001

    Web of Science

    Scopus

    PubMed

  156. Remotely floating wire-assisted generation of high-density atmospheric pressure plasma and SF<sub>6</sub>-added plasma etching of quartz glass 査読有り

    Nguyen, TTN; Sasaki, M; Odaka, H; Tsutsumi, T; Ishikawa, K; Hori, M

    JOURNAL OF APPLIED PHYSICS   125 巻 ( 6 )   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Applied Physics  

    High-density and large-volume atmospheric pressure plasma can be extended remotely by placing a long floating wire inside an Ar-gas flowing quartz tube connected with an inductively coupled coil. The discharge quartz tubes were categorized into three I-shaped tubes and one L-shaped tube. The influence of the geometrical design on plasma properties was investigated. Using the floating wire-assisted L tube, an electron density of 10 14 cm -3 and a gas temperature less than 850 K were obtained at the downstream remote region. That is where the Ar plasma plume blew out from the slit at the bottom of the floating wire-assisted L tube at a distance of 140 mm from the coil center, when 100 W of a very high-frequency power (100 MHz) was applied to the inductively coupled coil. The applicability of this new L-type plasma source for large-area glass etching with a high etch rate was explored. At the remote region where the Ar plasma plume blew out of the slit of the L tube, SF 6 gas was added for etching a quartz glass plate. Glass etching could be obtained over a large area of 15 mm × 20 mm with a maximum etch rate of 2 μm/min and a volume etch rate of 0.3 mm 3 /min.

    DOI: 10.1063/1.5081875

    Web of Science

    Scopus

  157. Liquid dynamics in response to an impinging low-temperature plasma jet 査読有り

    Brubaker, TR; Ishikawa, K; Kondo, H; Tsutsumi, T; Hashizume, H; Tanaka, H; Knecht, SD; Bilén, SG; Hori, M

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   52 巻 ( 7 )   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Physics D: Applied Physics  

    The liquid-phase behavior induced by an atmospheric pressure plasma jet impinging onto water-based solutions was experimentally investigated. An increase in cavity size and circulation size resulting from an increase in impact force of the plasma jet with respect to a helium gas jet were observed through particle image velocimetry and cavity measurements. The increase in circulation size due to plasma discharge was larger than the increase solely due to an increase in jet impact force, suggesting additional shear along the liquid free surface evidenced by schlieren images of plasma-induced gas flow adherence after impingement. Liquid evaporation rate increased and mean liquid temperature decreased due to plasma effects on jet behavior. Plasma-induced decreases in liquid pH and temperature were contained within the circulation flow, leading to strong pH and temperature gradients within the liquid volume. Areas treated by the jet became acidic and remained more acidic than other portions of the liquid due to circulation vortices, highlighting the consequences of jet and liquid vessel parameters for plasma jet-liquid applications.

    DOI: 10.1088/1361-6463/aaf460

    Web of Science

    Scopus

  158. Effect of electrical stimulation on proliferation and bone-formation by osteoblast-like cells cultured on carbon nanowalls scaffolds 査読有り

    Ichikawa, T; Tanaka, S; Kondo, H; Ishikawa, K; Tsutsumi, T; Sekine, M; Hori, M

    APPLIED PHYSICS EXPRESS   12 巻 ( 2 )   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    Carbon nanowalls (CNWs) were synthesized by radical injection plasma-enhanced chemical vapor deposition and used as scaffolds for cell culture. The proliferation of osteoblast-like cells (Saos-2) was enhanced on the CNW scaffold upon electrical stimulation (ES) with 10 Hz square pulses at a current of 226 nA. However, after incubation with ES for 10 d, differentiation of the cells toward bone formation was suppressed.

    DOI: 10.7567/1882-0786/aaf469

    Web of Science

    Scopus

  159. Adhesion enhancement and amine reduction using film redeposited at the interface of a stack of plasma-enhanced CVD dielectrics for Cu/low-<i>k</i> interconnects 査読有り

    Miyajima, H; Watanabe, K; Ishikawa, K; Sekine, M; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻 ( 2 )   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    The dielectric interface for a stack of SiOC and SiCN films in Cu/low-k interconnects is engineered using Ar plasma pretreatment of the top surface of SiCN, which prevents oxidization during SiOC film deposition. Oxidized SiCN causes delamination of the SiOC film and resist poisoning through amines generated during resist baking, which can lead to undeveloped photoresist. The Ar plasma pretreatment in the plasma-enhanced chemical vapor deposition chamber modifies the interface by redepositing a thin pre-coated SiOC film from the upper electrode surface. This redeposited SiOC film acts as a buffer for oxygen plasma during SiOC film deposition.

    DOI: 10.7567/1347-4065/aafb5b

    Web of Science

    Scopus

  160. Narrow free-standing features fabricated by top-down self-limited trimming of organic materials using precisely temperature-controlled plasma etching system 査読有り

    Fukunaga, Y; Tsutsumi, T; Kondo, H; Ishikawa, K; Sekine, M; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻 ( 2 )   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Anisotropic etching was conducted in H2/N2 plasma. Subsequently, etched organic features were trimmed for a period longer than 300 s, while the substrate temperature was precisely controlled within ±1.2 °C of a set temperature. This temperature control was achieved by automatically-operated on-off sequences of the plasma excitation and bias powers. The free-standing feature, with a width narrower than 12 nm, was fabricated in a self-limiting manner. This manner was achieved by a balance moving between the etching and adsorption of the H and N atoms in the H2/N2 plasma, transited under a constant substrate temperature of 100 °C.

    DOI: 10.7567/1347-4065/aaf92a

    Web of Science

    Scopus

  161. Modifications of surface and bulk properties of magnetron-sputtered carbon films employing a post-treatment of atmospheric pressure plasma 査読有り

    Borude, RR; Sugiura, H; Ishikawa, K; Tsutsumi, T; Kondo, H; Han, JG; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻 ( SA )   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Control of the bonding structure in carbon materials is achieved by a post-treatment of atmospheric pressure plasma (APP) for magnetron-sputtered carbon films. The APP post-treatment changes the films morphologically owing to the removal and modification of sp2 bonds on the basis of the near edge X-ray absorption fine structure analysis of sp2 contents. By APP post-treatment, the resulting changes in surface and bulk properties modify the optical and electrical properties of the carbon films. The control of the film properties can be utilized for various applications, such as gas sensors and solar cells.

    DOI: 10.7567/1347-4065/aaec87

    Web of Science

    Scopus

  162. Hetero-epitaxial growth of a GaN film by the combination of magnetron sputtering with Ar/Cl<sub>2</sub> gas mixtures and a separate supply of nitrogen precursors from a high density radical source 査読有り

    Tanide, A; Nakamura, S; Horikoshi, A; Takatsuji, S; Kohno, M; Kinose, K; Nadahara, S; Nishikawa, M; Ebe, A; Ishikawa, K; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   58 巻 ( SA )   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Hetero-epitaxial growth of a gallium nitride (GaN) film on an AlN(0 0 0 1) buffer layer on a sapphire(0 0 0 1) substrate was demonstrated by supplying gallium precursors and nitrogen radicals separately from two individually operated plasma sources to control the V/III supplying ratio precisely. The sources were a reactive Ar-Cl2-mixture plasma sputtering of a gallium target and a remote low inductance antenna (LIA) for N2-H2 inductively coupled-plasma. Lateral growth of the GaN film was observed in 0.5%-Cl2-added Ar sputtering at a low growth temperature of 670 ?C, whilst the growth mode coalesced at temperatures lower than 600 ?C. With more than 2.0% of Cl2, no film was deposited due to etching by the reactive chlorine whenever the temperature was at 500 ?C. At the growth temperature of 670 ?C, crystallinity with narrow a X-ray rocking curve GaN (0 0 0 2) was obtained at the condition of 0.5% Cl2 and 27.4% N2, even though the background pressure was 10-4 Pa.

    DOI: 10.7567/1347-4065/aaeb39

    Web of Science

    Scopus

  163. Single-Step, Low-Temperature Simultaneous Formations and in Situ Binding of Tin Oxide Nanoparticles to Graphene Nanosheets by In-Liquid Plasma for Potential Applications in Gas Sensing and Lithium-Ion Batteries 査読有り

    Borude, RR; Sugiura, H; Ishikawa, K; Tsutsumi, T; Kondo, H; Ikarashi, N; Hori, M

    ACS APPLIED NANO MATERIALS   2 巻 ( 2 ) 頁: 649 - 654   2019年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:ACS Applied Nano Materials  

    The in situ binding of tin oxide (SnO2) nanoparticles (SNp) and graphene nanosheets (GNs) that synthesized simultaneously in single-step atmospheric-pressure processing was achieved at a low temperature by employing in-liquid plasma in a solution of tin chloride (SnCl2·2H2O) in ethanol as the only precursor. Transmission electron microscopy, Raman analysis, and X-ray diffraction revealed the composite (SNp/GNs) synthesis with SNp of sizes 2-3 nm, which were distributed uniformly and attached to both sides of the GNs. The SNp/GNs composite synthesis was provided by the simple, low-cost, single-processing method of the in-liquid plasma for future gas-sensing and lithium-ion battery applications.

    DOI: 10.1021/acsanm.8b02201

    Web of Science

    Scopus

  164. Pt nanoparticle-supported carbon nanowalls electrode with improved durability for fuel cell applications using C<sub>2</sub>F<sub>6</sub>/H<sub>2</sub> plasma-enhanced chemical vapor deposition 査読有り

    Imai, S; Kondo, H; Hyungjun, C; Ishikawa, K; Tsutsumi, T; Sekine, M; Hiramatsu, M; Hori, M

    APPLIED PHYSICS EXPRESS   12 巻 ( 1 )   2019年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    The electrochemical durability of Pt nanoparticles-supported carbon nanowalls (Pt/CNWs) determined from potential cycle tests was 88% performance after 20 000 cycles and 50% performance around 140 000 cycles when the CNWs were fabricated by the C 2 F 6 /H 2 plasma-enhanced chemical vapor deposition system (C 2 F 6 -CNWs). Even after the extended start/stop-simulation tests of fuel cell Pt/C 2 F 6 -CNWs, Pt was aggregated; however, the morphological structure of the CNWs was maintained and no corrosion was evident from scanning electron microscopy observations and Raman analysis. For graphene-based catalyst supports, i.e., the Pt/C 2 F 6 -CNWs, graphene crystallinity is essential to extend electrochemical durability by inhibiting corrosion during fuel cell operation.

    DOI: 10.7567/1882-0786/aaf0ab

    Web of Science

    Scopus

  165. Batch Fabrication of Nano-Gap Electrode Array Using Photo-Patterning and Resist UV-Curing

    Nguyen Hai Minh, Kumeuchi Mako, Kumagai Shinya, Ishikawa Kenji, Hori Masaru, Sasaki Minoru

    IEEJ Transactions on Sensors and Micromachines   139 巻 ( 1 ) 頁: 27 - 28   2019年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:The Institute of Electrical Engineers of Japan  

    <p>A nano-gap electrode array is batch-fabricated based on photolithography. A high resolution is obtained by using an over-hanging resist cover on the under-etched metal film. The process includes two-time mask-patterning. The first photoresist is UV-cured, allowing second patterning without degrading the first pattern. A nano-gap width of 237±63 nm is obtained from a 704-electrode array with an yield of 97%.</p>

    DOI: 10.1541/ieejsmas.139.27

    CiNii Research

  166. Molecular mechanisms of non-thermal plasmainduced effects in cancer cells 査読有り

    Tanaka, H; Mizuno, M; Ishikawa, K; Toyokuni, S; Kajiyama, H; Kikkawa, F; Hori, M

    BIOLOGICAL CHEMISTRY   400 巻 ( 1 ) 頁: 87 - 91   2019年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Biological Chemistry  

    Plasma is the fourth state of matter with higher energy than gas; non-thermal plasma (NTP) is currently available. As NTP is useful in sterilization, promoting wound healing and cancer treatments, the molecular mechanisms of plasma-induced effects in living cells and microorganisms are of significant interest in plasma medicine with medical-engineering collaboration. Molecular mechanisms of plasma-induced effects in cancer cells will be described in this minireview. Both direct and indirect methods to treat cancer cells with NTP have been developed. NTP interacts directly with not only cancer cells but also the liquids surrounding cancer cells and the immune cells that target them. Reactive oxygen and nitrogen species play key roles in NTP-induced effects; however, other mechanisms have been suggested. The complex interactions between NTP, cells and liquids have been extensively studied. In the future, details regarding NTP-induced effects on gene regulatory networks, signaling networks, and metabolic networks will be elucidated.

    DOI: 10.1515/hsz-2018-0199

    Web of Science

    Scopus

    PubMed

  167. Single-cell microscopic raman spectroscopy for rapid microbial detection 査読有り

    Onoshima D., Uchida K., Yukawa H., Ishikawa K., Hori M., Baba Y.

    23rd International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2019     頁: 1374 - 1375   2019年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:23rd International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2019  

    We present a study of microbial Raman spectroscopy towards optimal approach of rapid microbiological methods (RMMs). Raman spectra of single microorganism cells were measured and analyzed by data clustering to identify the microbial species without culturing for colony formation.

    Scopus

  168. Effect of N<sub>2</sub>/H<sub>2</sub> plasma on GaN substrate cleaning for homoepitaxial GaN growth by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) 査読有り

    Amalraj, FW; Dhasiyan, AK; Lu, Y; Shimizu, N; Oda, O; Ishikawa, K; Kondo, H; Sekine, M; Ikarashi, N; Hori, M

    AIP ADVANCES   8 巻 ( 11 )   2018年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:AIP Advances  

    We developed a new method of GaN growth using Radical-Enhanced Metalorganic Chemical Vapor Deposition (REMOCVD) technology by which Gallium Nitride (GaN) grows at low temperatures without ammonia gas. In this method, we investigated the effect of N2/H2 plasma on the GaN substrate surface cleaning prior to the growth of homoepitaxial GaN. In-situ reflection high-energy electron diffraction (RHEED) and atomic force microscope (AFM) were used to investigate the surface morphology of the cleaned GaN substrates. The interface between GaN substrate and homoepitaxially grown GaN by REMOCVD was evaluated by transmission electron microscope and the crystal quality was evaluated by X-ray diffraction. The in-situ N2/H2 plasma cleaning at 600 °C shows a smooth surface morphology with streak diffraction lines observed by RHEED. Since the homoepitaxial growth of GaN was performed at 800 °C, the cleaned GaN substrate temperature was ramped up from 600 °C to 800 °C with and without plasma exposure to compare the effect of plasma. Homoepitaxially grown GaN on GaN substrates whose temperature was ramped up with plasma exposure showed good crystal quality with no threading dislocations at the interface. It was found that N2/H2 plasma plays a significant role in the GaN surface cleaning for good quality crystal growth.

    DOI: 10.1063/1.5050819

    Web of Science

    Scopus

  169. Reaction mechanisms between chlorine plasma and a spin-on-type polymer mask for high-temperature plasma etching 査読有り

    Zhang, Y; Imamura, M; Ishikawa, K; Tsutsumi, T; Kondo, H; Sekine, M; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 10 )   2018年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    To satisfy the requirement for mask materials in high-temperature plasma etching, a novolac-based polymer mask was evaluated during high-temperature Cl2 plasma etching. Although the etch rate of 8 nm/min was rather high at a low temperature of 230 °C, it decreased with the increase in temperature. The aromatic ring structures were significantly modified by vacuum ultraviolet (VUV) and Cl radicals during the processes above 300 °C and transformed to a highly cross-linked amorphous carbon (a-C) layer at the surface confirmed from infrared and Raman spectra. The formation of this a-C layer improved the etching resistance of the polymer mask. On the other hand, surface roughness can also be improved after processes above 300 °C corresponding to the generation of the a-C layer. Therefore, this polymer mask is a promising candidate for high-temperature plasma etching with high etch resistance, and a smooth surface can be obtained during processes above 300 °C.

    DOI: 10.7567/JJAP.57.106502

    Web of Science

    Scopus

  170. Elevated-temperature etching of gallium nitride (GaN) in dual-frequency capacitively coupled plasma of CH<sub>4</sub>/H<sub>2</sub> at 300-500 °C 査読有り

    Kako, T; Liu, ZC; Ishikawa, K; Kondo, H; Oda, O; Sekine, M; Hori, M

    VACUUM   156 巻   頁: 219 - 223   2018年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Vacuum  

    Elevated-temperature etching with CH4/H2 plasma chemistry at 300 °C improves damage-less optical properties of GaN, solving issues of degradation on near-band-edge photoluminescence intensity on plasma etching at lower temperatures than 300 °C. Damage-less etching properties in a smooth surface, high photoluminescence intensity, and substantial stoichiometric ratio of gallium and nitrogen were obtained when the CH4/H2 chemistry with substrate temperatures at 300–500 °C.

    DOI: 10.1016/j.vacuum.2018.07.040

    Web of Science

    Scopus

  171. Cytotoxic effects of plasma-irradiated fullerenol 査読有り

    Kanno, D; Tanaka, H; Ishikawa, K; Hashizume, H; Hori, M

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   51 巻 ( 37 )   2018年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Physics D: Applied Physics  

    Fullerenol dissolved in water was irradiated with a non-equilibrium atmospheric pressure plasma. Plasma irradiation altered the fullerenol solution by introducing carbonyl groups, ether bonds, and intercalated nitrate anions. The resulting plasma-irradiated fullerenol (PF) was added in cell culture medium. PF-supplemented medium exhibited a cytotoxic effect on HeLa cells. Notably, HeLa cells cultured in the PF-added cell culture medium generated intracellular reactive oxygen and nitrogen species, such that the endocytosis of PF induced the apoptotic cell death. The cytotoxic effect inducing apoptosis was found in the PF-supplemented medium.

    DOI: 10.1088/1361-6463/aad510

    Web of Science

    Scopus

  172. Liquid phase plasma assisted synthesis of Tin oxide – Graphene composite 査読有り

    Borude Ranjit, Ishikawa Kenji, Tsutsumi Takayoshi, Kondo Hiroki, Hori Masaru

    JSAP Annual Meetings Extended Abstracts   2018.2 巻 ( 0 ) 頁: 1777 - 1777   2018年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:The Japan Society of Applied Physics  

    DOI: 10.11470/jsapmeeting.2018.2.0_1777

    CiNii Research

  173. Real-time control of a wafer temperature for uniform plasma process 査読有り

    Tsutsumi T., Fuknaga Y., Ishikawa K., Kondo H., Sekine M., Hori M.

    IEEE International Symposium on Semiconductor Manufacturing Conference Proceedings   2018-December 巻   2018年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE International Symposium on Semiconductor Manufacturing Conference Proceedings  

    Our developed non-contact method for measurement of temperature of silicon (Si) wafer by using autocorrelation-type fourier domain low coherence interferometer has advantageous in accuracy and rapid response. We demonstrate measurements in temperature for Si wafer at real-time during plasma process and in estimation of heat flux to the wafer from plasma, involving heats balanced plasma source and conductive loss in Si. The analysis indicated that other heat sources like the chamber parts with relatively high temperature impact on the duty ratio during the process with feedback control of the wafer teperture.

    DOI: 10.1109/ISSM.2018.8651183

    Scopus

  174. Dissociative properties of 1,1,1,2-tetrafluoroethane obtained by computational chemistry 査読有り

    Hayashi, T; Ishikawa, K; Sekine, M; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 6 )   2018年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    The electronic properties and dissociative channels of the alternative to the CCl2F2 (CFC-12) refrigerant, 1,1,1,2-tetrafluoroethane (HFC-134a) with a low global warming potential (GWP, 1430), were revealed by computational chemistry. The results show that CF3+ and CHF2+ ions are mainly produced by ionization. The CF3CH2+ ion is produced by ion pair formation and by direct ionization in the energy region higher than approximately 15 eV, but also in small amounts by the ionization of the dissociated CF3CH2 radical. This information is useful for etching process engineers in leading-edge semiconductor manufacturing.

    DOI: 10.7567/JJAP.57.06JC02

    Web of Science

    Scopus

  175. Temperature dependence on plasma-induced damage and chemical reactions in GaN etching processes using chlorine plasma 査読有り

    Liu, ZC; Ishikawa, K; Imamura, M; Tsutsumi, T; Kondo, H; Oda, O; Sekine, M; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 6 )   2018年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Plasma-induced damage (PID) on GaN was optimally reduced by high-temperature chlorine plasma etching. Energetic ion bombardments primarily induced PID involving stoichiometry, surface roughness, and photoluminescence (PL) degradation. Chemical reactions under ultraviolet (UV) irradiation and chlorine radical exposure at temperatures higher than 400 °C can be controlled by taking into account the synergism of simultaneous photon and radical irradiations to effectively reduce PID.

    DOI: 10.7567/JJAP.57.06JD01

    Web of Science

    Scopus

  176. Progress in nanoscale dry processes for fabrication of high-aspect-ratio features: How can we control critical dimension uniformity at the bottom? 査読有り

    Ishikawa, K; Karahashi, K; Ishijima, T; Cho, SI; Elliott, S; Hausmann, D; Mocuta, D; Wilson, A; Kinoshita, K

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 6 )   2018年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    In this review, we discuss the progress of emerging dry processes for nanoscale fabrication of high-aspect-ratio features, including emerging design technology for manufacturability. Experts in the fields of plasma processing have contributed to addressing the increasingly challenging demands of nanoscale deposition and etching technologies for high-aspect-ratio features. The discussion of our atomic-scale understanding of physicochemical reactions involving ion bombardment and neutral transport presents the major challenges shared across the plasma science and technology community. Focus is placed on advances in fabrication technology that control surface reactions on three-dimensional features, as well as state-of-the-art techniques used in semiconductor manufacturing with a brief summary of future challenges.

    DOI: 10.7567/JJAP.57.06JA01

    Web of Science

    Scopus

  177. Low-autofluorescence fluoropolymer membrane filters for cell filtration 査読有り

    Kihara, N; Kuboyama, D; Onoshima, D; Ishikawa, K; Tanaka, H; Ozawa, N; Hase, T; Koguchi, R; Yukawa, H; Odaka, H; Hasegawa, Y; Baba, Y; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 6 )   2018年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    A fluoropolymer membrane filter with through-holes was fabricated by photolithographic patterning and the dry etching method. 380,000 highly packed through-holes, each with a diameter of 7 µm were able to cover a whole area with a diameter of 13 mm. Ethylene tetrafluoroethylene (ETFE) was used as the membrane, which was suitable for the fluorescence detection of rare cells such as circulating tumor cells (CTCs) in human blood. The device fabrication for the size based capture of rare cells in blood such as CTCs is realized in this study.

    DOI: 10.7567/JJAP.57.06JF03

    Web of Science

    Scopus

  178. Impact of helium pressure in arc plasma synthesis on crystallinity of single-walled carbon nanotubes 査読有り

    Ando, A; Takeda, K; Ohta, T; Ito, M; Hiramatsu, M; Ishikawa, K; Kondo, H; Sekine, M; Suzuki, T; Inoue, S; Ando, Y; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 6 )   2018年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Single-walled carbon nanotubes (SWNTs) were synthesized with a high growth rate by an arc plasma method employing the electrodes made from a Ni–Y mixture catalyst. In a previous study, it was reported that the monitoring of high-crystallinity SWNT growth enabled the evaluation of the results of the optical emission spectroscopy (OES) of C2, Ni, and Y. Here, the impact of helium pressure of arc plasma on the high crystallinity of SWNTs was determined by considering the high intensity ratios of catalytic metals over C2 emissions at low helium pressures in the arc plasma.

    DOI: 10.7567/JJAP.57.06JF01

    Web of Science

    Scopus

  179. Effects of gas residence time of CH<sub>4</sub>/H<sub>2</sub> on sp<SUP>2</SUP> fraction of amorphous carbon films and dissociated methyl density during radical-injection plasma-enhanced chemical vapor deposition 査読有り

    Sugiura, H; Jia, LY; Kondo, H; Ishikawa, K; Tsutsumi, T; Hayashi, T; Takeda, K; Sekine, M; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 6 )   2018年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Quadruple mass spectrometric measurements of CH3 density during radical-injection plasma-enhanced chemical vapor deposition to consider the sp2 fraction of amorphous carbon (a-C) films were performed. The sp2 fraction of the a-C films reached a minimum of 46%, where the CH3 density was maximum for a residence time of 6 ms. The sp2 fraction of the a-C films was tailored with the gaseous phase CH3 density during the deposition. This knowledge is useful for understanding the formation mechanism of bonding structures in the a-C films, which enables the precise control of their electronic properties.

    DOI: 10.7567/JJAP.57.06JE03

    Web of Science

    Scopus

  180. Dry Process FOREWORD 査読有り

    Karahashi, K; Kinoshita, K; Higashi, S; Ishikawa, K; Ishijima, T; Kuboi, N

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 6 )   2018年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    DOI: 10.7567/JJAP.57.06J001

    Web of Science

    Scopus

  181. Oxygen reduction reaction properties of nitrogen-incorporated nanographenes synthesized using in-liquid plasma from mixture of ethanol and iron phthalocyanine 査読有り

    Amano, T; Kondo, H; Takeda, K; Ishikawa, K; Hiramatsu, M; Sekine, M; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 4 )   2018年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Nanographenes were synthesized using in-liquid plasma from a mixture of iron phthalocyanine and ethanol. In a previous study, micrometer-scale flakes with nitrogen incorporation were obtained. A nonprecious metal catalytic activity was observed with 3.13 electrons in an oxygen reduction reaction under an acidic solute condition. Large-surface-area, high-graphene-crystallinity, and iron-carbon-bonding sites were found owing to a high catalytic activity in Fe-N/nanographene.

    DOI: 10.7567/JJAP.57.040303

    Web of Science

    Scopus

  182. Nanographene synthesized in triple-phase plasmas as a highly durable support of catalysts for polymer electrolyte fuel cells 査読有り

    Amano, T; Kondo, H; Takeda, K; Ishikawa, K; Hiramatsu, M; Sekine, M; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 4 )   2018年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Nanographene was synthesized in triple-phase plasmas comprising a gaseous phase, a gas-liquid boundary layer, and an in-liquid phase using a setup in which one electrode was placed in the gaseous phase while the other was immersed in the liquid phase. The triple-phase plasmas were generated using a pure alcohol, such as ethanol, 1-propanol, or 1-butanol, by applying a high voltage to a pair of electrodes made of copper or graphite. The nanographene synthesized using ethanol had high durability and thus could serve as a catalyst support in polymer electrolyte fuel cells (PEFCs). The PEFCs exhibited low degradation rates in the high-potential cycle test of a half-cell, as a result of which, a loss of only 10% was observed in the effective electrochemical surface area of Pt, even after 10,000 cycles.

    DOI: 10.7567/JJAP.57.045101

    Web of Science

    Scopus

  183. Cytotoxicity of cancer HeLa cells sensitivity to normal MCF10A cells in cultivations with cell culture medium treated by microwave-excited atmospheric pressure plasmas 査読有り

    Takahashi, Y; Taki, Y; Takeda, K; Hashizume, H; Tanaka, H; Ishikawa, K; Hori, M

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   51 巻 ( 11 )   2018年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Physics D: Applied Physics  

    Cytotoxic effects of human epithelial carcinoma HeLa cells sensitivity to human mammary epithelial MCF10A cells appeared in incubation with the plasma-activated medium (PAM), where the cell culture media were irradiated with the hollow-shaped contact of a continuously discharged plasma that was sustained by application of a microwave power under Ar gas flow at atmospheric pressure. The discharged plasma had an electron density of 7

    DOI: 10.1088/1361-6463/aaab09

    Web of Science

    Scopus

  184. Free radical generation by non-equilibrium atmospheric pressure plasma in alcohol-water mixtures: an EPR-spin trapping study 査読有り

    Uchiyama, H; Ishikawa, K; Zhao, QL; Andocs, G; Nojima, N; Takeda, K; Krishna, MC; Ishijima, T; Matsuya, Y; Hori, M; Noguchi, K; Kondo, T

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   51 巻 ( 9 )   2018年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Physics D: Applied Physics  

    Free radical species in aqueous solution - various alcohol-water reaction mixtures - by exposure to non-equilibrium cold atmospheric pressure Ar plasma (CAP), were monitored using electron paramagnetic resonance spin-trapping techniques with 3, 5-dibromo-4-nitrosobenzene sulfonate as a water soluble nitroso spin trap. The major radical species were formed by H-abstraction from alcohol molecules due to •OH radicals. In the ethanol-water mixture •CH2CH2OH produced by H abstraction from CH3 group of the ethanol and •CH3 radicals were detected. The latter was due to the decomposition of unstable CH3•CHOH to form the •CH3 radicals and the stable formaldehyde by C-C bond fission. These intermediates are similar to those observed by reaction with •OH radicals generation in the H2O2-UV photolysis of the reaction mixtures. The evidence of •CH3 radical formation in the pyrolytic decomposition of the reaction mixtures by exposure to ultrasound or in methane irradiated with microwave plasma have been reported previously. However, the pyrolytic •CH3 radicals were not found in both plasma and H2O2-UV photolysis condition. These results suggests that free radicals produced by Ar-CAP are most likely due to the reaction between abundant •OH radicals and alcohol molecules.

    DOI: 10.1088/1361-6463/aaa885

    Web of Science

    Scopus

  185. Facile fabrication of a poly(ethylene terephthalate) membrane filter with precise arrangement of through-holes 査読有り

    Kihara, N; Odaka, H; Kuboyama, D; Onoshima, D; Ishikawa, K; Baba, Y; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 3 )   2018年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Although membrane filters are indispensable in biochemical analysis fields, most methods for through-hole fabrication are complex and inefficient. We developed a simple method of fabricating poly(ethylene terephthalate) (PET) membrane filters with a precise arrangement of through-holes for the isolation of circulating tumor cells (CTCs) based on their size. By photolithography and dry etching, highly packed 380,000 through-holes with a diameter of 7 μm were able to cover a whole area with a diameter of 13 mm. Device fabrication for the size-based capture of rare cells in blood such as CTCs is realized in this study.

    DOI: 10.7567/JJAP.57.037001

    Web of Science

    Scopus

  186. Reduced HeLa cell viability in methionine-containing cell culture medium irradiated with microwave-excited atmospheric-pressure plasma 査読有り

    Takahashi, Y; Taki, Y; Takeda, K; Hashizume, H; Tanaka, H; Ishikawa, K; Hori, M

    PLASMA PROCESSES AND POLYMERS   15 巻 ( 3 )   2018年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Plasma Processes and Polymers  

    The mechanism underlying the death of cancer cells incubated in plasma-activated medium (PAM) prepared by irradiation of culture medium with atmospheric-pressure plasma is revealed by analyzing changes in the culture medium composition using liquid chromatography-tandem mass spectrometry and nuclear magnetic resonance spectroscopy. The concentration of the essential amino acid methionine declined in microwave-excited plasma-irradiated medium, whereas, that of methionine sulfoxide increased due to oxidation of methionine. The decrease in methionine concentration in the cell culture medium rather than the increase in methionine sulfoxide leads to the decline in the survival rate of HeLa cells incubated in PAM. These results provide useful insights into the mechanism of selective cancer cell death in PAM.

    DOI: 10.1002/ppap.201700200

    Web of Science

    Scopus

  187. Nanographene synthesis employing in-liquid plasmas with alcohols or hydrocarbons 査読有り

    Ando, A; Ishikawa, K; Kondo, H; Tsutsumi, T; Takeda, K; Ohta, T; Ito, M; Hiramatsu, M; Sekine, M; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 2 )   2018年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Graphenes of nanometer-scale grain size (nanographenes) were synthesized using in-liquid plasmas with alcohols or hydrocarbons. This method of nanographene synthesis showed a trade-off relationship between crystallinity and synthesis rate. The high crystallinity of nanographenes synthesized with alcohols was evaluated from the small full width at half maxima (FWHM) of the G band in Raman scattering spectra. On the other hand, in the case of using hydrocarbons such as n-hexane and benzene, a significantly high synthesis rate was obtained but the crystallinity of nanographenes was low. It was found that hydroxyl groups and oxygen atoms of liquid sources play important roles in determining the crystallinity of synthesized nanographenes.

    DOI: 10.7567/JJAP.57.026201

    Web of Science

    Scopus

  188. Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials FOREWORD 査読有り

    Naritsuka, S; Miyazaki, S; Fujiwara, Y; Hiramatsu, M; Inoue, Y; Ishikawa, K; Ito, M; Itoh, T; Kasu, M; Miyake, H; Sasaki, M; Shirafuji, T; Suda, Y

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 1 )   2018年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.57.01A001

    Web of Science

  189. Selective production of reactive oxygen and nitrogen species in the plasma-treated water by using a nonthermal high-frequency plasma jet 査読有り

    Uchida, G; Takenaka, K; Takeda, K; Ishikawa, K; Hori, M; Setsuhara, Y

    JAPANESE JOURNAL OF APPLIED PHYSICS   57 巻 ( 1 )   2018年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    We present the control of H2O2 and NO2- productions in deionized water by using a high-frequency plasma jet driven by a 60 MHz voltage. In the gas phase, the high-frequency plasma jet has a high O (3P) atom density of 8 × 1014 cm-3, which is two orders of magnitude higher than that of the low-frequency plasma jet driven by a 5 kHz voltage. Concerning the production of reactive oxygen and nitrogen species in the liquid phase, with the direct contact of the plasma jet to the liquid surface, the H2O2 concentration is higher than the NO2- concentration. On the other hand, without the observable contact of the high-frequency plasm jet with high plasma density to the liquid surface, the NO2- concentration increases with the flow rate of N2(20%)O2(80%) gas added to the Ar discharge gas and becomes more dominant compared with H2O2 in the plasma-treated water. H2O2 and NO2- could be selectively produced in the plasma-treated water by using a nonthermal high-frequency plasma jet, which is a promising tool for biomedical applications.

    DOI: 10.7567/JJAP.57.0102B4

    Web of Science

    Scopus

  190. Rapid growth of micron-sized graphene flakes using in-liquid plasma employing iron phthalocyanine-added ethanol 査読有り

    Amano, T; Kondo, H; Ishikawa, K; Tsutsumi, T; Takeda, K; Hiramatsu, M; Sekine, M; Hori, M

    APPLIED PHYSICS EXPRESS   11 巻 ( 1 )   2018年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    Giant graphene flakes on the micron scale were synthesized and grown in plasmas in liquid-phase pure ethanol with added iron phthalocyanine (FePc) in a solvent. At atmospheric pressure, plasmas were generated in the gas phase filled with Ar and in the liquid phases comprising bubbles and liquid solutions. In the mixture of FePc in ethanol, nanographene sheets aggregated to form giant graphene flakes, as confirmed by the D, G, and 2D bands in the corresponding Raman spectra. Therefore, a bottom-up approach of graphite synthesis from pure ethanol with additives and a catalyst was realized by in-liquid plasma processing.

    DOI: 10.7567/APEX.11.015102

    Web of Science

    Scopus

  191. Plasma-activated medium (PAM) kills human cancer-initiating cells 査読有り

    Ikeda, J; Tanaka, H; Ishikawa, K; Sakakita, H; Ikehara, Y; Hori, M

    PATHOLOGY INTERNATIONAL   68 巻 ( 1 ) 頁: 23 - 30   2018年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Pathology International  

    Medical non-thermal plasma (NTP) treatments for various types of cancers have been reported. Cells with tumorigenic potential (cancer-initiating cells; CICs) are few in number in many types of tumors. CICs efficiently eliminate anti-cancer chemicals and exhibit high-level aldehyde dehydrogenase (ALDH) activity. We previously examined the effects of direct irradiation via NTP on cancer cells; even though we targeted CICs expressing high levels of ALDH, such treatment affected both non-CICs and CICs. Recent studies have shown that plasma-activated medium (PAM) (culture medium irradiated by NTP) selectively induces apoptotic death of cancer but not normal cells. Therefore, we explored the anti-cancer effects of PAM on CICs among endometrioid carcinoma and gastric cancer cells. PAM reduced the viability of cells expressing both low and high levels of ALDH. Combined PAM/cisplatin appeared to kill cancer cells more efficiently than did PAM or cisplatin alone. In a mouse tumor xenograft model, PAM exerted an anti-cancer effect on CICs. Thus, our results suggest that PAM effectively kills both non-CICs and CICs, as does NTP. Therefore, PAM may be a useful new anti-cancer therapy, targeting various cancer cells including CICs.

    DOI: 10.1111/pin.12617

    Web of Science

    Scopus

    PubMed

  192. Electron impact ionization of perfluoro-methyl-vinyl-ether C<sub>3</sub>F<sub>6</sub>O 査読有り

    Kondo, Y; Ishikawa, K; Hayashi, T; Sekine, M; Hori, M

    PLASMA SOURCES SCIENCE & TECHNOLOGY   27 巻 ( 1 )   2018年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Plasma Sources Science and Technology  

    A mechanism for the electron impact ionization of perfluoro-methyl-vinyl-ether (CF3OCF=CF2) molecules was studied theoretically using quantum chemical calculations. Positive and negative C2F3O- ions were abundantly generated, as supported by quadrupole mass spectrometry observations. The vinyl ethers easily dissociated at the ether bond due to polarization of the charge on the bridging oxygen atom. Consequently, perfluoro-vinyl-ethers form abundant ions via ether-specific pathways.

    DOI: 10.1088/1361-6595/aaa22e

    Web of Science

    Scopus

  193. Cell Deposition Microchip with Micropipette Control over Liquid Interface Motion. 査読有り

    Onoshima D, Hattori Y, Yukawa H, Ishikawa K, Hori M, Baba Y

    Cell medicine   10 巻   頁: 2155179017733152   2018年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1177/2155179017733152

    Web of Science

    PubMed

  194. Isotropic atomic level etching of tungsten using formation and desorption of tungsten fluoride 査読有り

    Shinoda, K; Miyoshi, N; Kobayashi, H; Hanaoka, Y; Kawamura, K; Izawa, M; Ishikawa, K; Hori, M

    ADVANCED ETCH TECHNOLOGY FOR NANOPATTERNING VII   10589 巻   2018年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Proceedings of SPIE - The International Society for Optical Engineering  

    A selective, rapid thermal-cyclic atomic-level etching (ALE) of tungsten is developed. The first step of this process is exposing the surface of tungsten with hydrofluorocarbon plasma at -22°C to form a tungsten fluoride-based surface modified layer on the tungsten surface. The second step is rapid thermal annealing with infrared (IR) irradiation to remove the surface modified layer. Tungsten 4f peaks and a fluorine 1s peak, which were assigned to tungsten fluoride, were observed by in-situ x-ray photoelectron spectroscopy immediately after plasma exposure. The peaks that originated from tungsten fluoride disappeared after the samples were annealed. Cyclic etching tests were carried out by repeating plasma exposure and IR irradiation with a 300-mm ALE tool. Films of tungsten, TiN, and SiO2 were used as sample materials. The amount of etched tungsten increased as the number of cycle repetitions increased. The etched amount per cycle for tungsten was 0.8 nm. In comparison, etching of TiN and SiO2 was not detected. Conformal etching profiles of patterned samples after 60 cycles were obtained. Furthermore, the etched amount per cycle showed saturation behavior with regard to plasma exposure time. Selective, rapid thermal cyclic ALE of tungsten was thus successfully demonstrated.

    DOI: 10.1117/12.2297241

    Web of Science

    Scopus

  195. Glioblastoma Cell Lines Display Different Sensitivities to Plasma-Activated Medium 査読有り

    Tanaka H., Mizuno M., Ishikawa K., Takeda K., Hashizume H., Nakamura K., Utsumi F., Kajiyama H., Okazaki Y., Toyokuni S., Akiyama S., Maruyama S., Kikkawa F., Hori M.

    IEEE Transactions on Radiation and Plasma Medical Sciences   2 巻 ( 2 ) 頁: 99 - 102   2018年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE Transactions on Radiation and Plasma Medical Sciences  

    Plasma-activated medium (PAM) is a novel chemotherapy that induces reactive oxygen species (ROS) and cell death in a wide range of cancer cell types, suggesting that PAM may be a promising therapeutic option for cancer treatment. However, dose response experiments suggest that PAM sensitivity is cell line specific. We examined the sensitivities of three glioblastoma cell lines to PAM, and found a wide variation in cell killing that was linked to differences in PAM induced ROS and apoptosis. These results indicate that the PAM sensitivity of glioblastoma cells, and potentially cancer cells more generally, is heterogeneous and likely to be dependent on the regulation of apoptosis and antioxidant pathways in target cells.

    DOI: 10.1109/trpms.2017.2721973

    Web of Science

    Scopus

  196. Real-time control of a wafer temperature for uniform plasma process 査読有り

    Tsutsumi, T; Fuknaga, Y; Ishikawa, K; Kondo, H; Sekine, M; Hori, M

    2018 INTERNATIONAL SYMPOSIUM ON SEMICONDUCTOR MANUFACTURING (ISSM)     2018年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  197. Oncogene mutation analysis of circulating tumor cells using single-cell membrane separation and DNA amplification 査読有り

    Onoshima D., Kuboyama D., Kihara N., Tanaka H., Hase T., Yukawa H., Ishikawa K., Odaka H., Hasegawa Y., Hori M., Baba Y.

    22nd International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2018   3 巻   頁: 1291 - 1293   2018年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:22nd International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2018  

    We present a study of genomic profiling for circulating tumor cells (CTCs) using a blood microfiltration system. DNA amplification of CTCs was performed with a microfilter for single cancer cells in a clinical setting. This strategy was successfully used to detect the driver mutations in epidermal growth factor (EGF) receptor oncogenes by quantitative PCR and characterize the dosing effect of molecularly targeted drug for lung cancer.

    Scopus

  198. Exosome isolation toward cancer diagnosis using glass filter with nanoporous structure 査読有り

    Aoki K., Yukawa H., Onoshima D., Yamazaki S., Kihara N., Koguchi R., Takahashi K., Odaka H., Ishikawa K., Hori M., Baba Y.

    22nd International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2018   3 巻   頁: 1409 - 1410   2018年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:22nd International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2018  

    We present a study of centrifugal filtration device toward easy-to-use approach of non-invasive liquid biopsy for cancer diagnosis by cell-derived nanoscale vesicles (exosomes). A phase-dispersed glass filter having nanoporous structure was embedded into a spin column to trap exosomes with small centrifuge. This device enabled over 90% exosome isolation from biological samples within 10 minutes.

    Scopus

  199. Dynamic analysis of reactive oxygen nitrogen species in plasma-activated culture medium by UV absorption spectroscopy 査読有り

    Brubaker, TR; Ishikawa, K; Takeda, K; Oh, JS; Kondo, H; Hashizume, H; Tanaka, H; Knecht, SD; Bilén, SG; Hori, M

    JOURNAL OF APPLIED PHYSICS   122 巻 ( 21 )   2017年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Applied Physics  

    The liquid-phase chemical kinetics of a cell culture basal medium during treatment by an argon-fed, non-equilibrium atmospheric-pressure plasma source were investigated using real-time ultraviolet absorption spectroscopy and colorimetric assays. Depth- and time-resolved NO2- and NO3- concentrations were strongly inhomogeneous and primarily driven by convection during and after plasma-liquid interactions. H2O2 concentrations determined from deconvolved optical depth spectra were found to compensate for the optical depth spectra of excluded reactive species and changes in dissolved gas content. Plasma-activated media remained weakly basic due to NaHCO3 buffering, preventing the H+-catalyzed decomposition of NO2- seen in acidic plasma-activated water. An initial increase in pH may indicate CO2 sparging. Furthermore, the pH-dependency of UV optical depth spectra illustrated the need for pH compensation in the fitting of optical depth data.

    DOI: 10.1063/1.4999256

    Web of Science

    Scopus

  200. Dependence of absolute photon flux on infrared absorbance alteration and surface roughness on photoresist polymers irradiated with vacuum ultraviolet photons emitted from HBr plasma 査読有り

    Zhang, Y; Takeuchi, T; Ishikawa, K; Hayashi, T; Takeda, K; Sekine, M; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   56 巻 ( 12 )   2017年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    The absolute fluxes of vacuum ultraviolet (VUV) photons emitted from HBr plasma were analyzed and the effects of VUV photons on a photoresist polymer in ArF-excimer-laser (193 nm) lithography were quantitatively investigated on the basis of the infrared spectra attributed to the C=O region. The spectral peak intensity assigned to the methacrylic acid (MAA) in the photoresist drastically decreased owing to the loss of this monomer caused by the irradiation of VUV photons at dosages below 16 - 1016 photons/cm2. X-ray photoelectron spectroscopy observation showed that the removed monomer moved to the surface and generated volatile products that induced a decrease in film thickness. As a consequence, the surface became rough during the early-stage irradiation at dosages lower than 16 - 1016 photons/cm2 owing to the monomer loss of MAA with volatile product formation and subsequent cross-linking reactions.

    DOI: 10.7567/JJAP.56.126503

    Web of Science

    Scopus

  201. State of the art in medical applications using non-thermal atmospheric pressure plasma 査読有り

    Tanaka H., Ishikawa K., Mizuno M., Toyokuni S., Kajiyama H., Kikkawa F., Metelmann H.R., Hori M.

    Reviews of Modern Plasma Physics   1 巻 ( 1 )   2017年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Reviews of Modern Plasma Physics  

    Plasma medical science is a novel interdisciplinary field that combines studies on plasma science and medical science, with the anticipation that understanding the scientific principles governing plasma medical science will lead to innovations in the field. Non-thermal atmospheric pressure plasma has been used for medical treatments, such as for cancer, blood coagulation, and wound healing. The interactions that occur between plasma and cells/tissues have been analyzed extensively. Direct and indirect treatment of cells with plasma has broadened the applications of non-thermal atmospheric pressure plasma in medicine. Examples of indirect treatment include plasma-assisted immune-therapy and plasma-activated medium. Controlling intracellular redox balance may be key in plasma cancer treatment. Animal studies are required to test the effectiveness and safety of these treatments for future clinical applications.

    DOI: 10.1007/s41614-017-0004-3

    Scopus

  202. Intracellular responses to reactive oxygen and nitrogen species, and lipid peroxidation in apoptotic cells cultivated in plasma-activated medium 査読有り

    Furuta, R; Kurake, N; Ishikawa, K; Takeda, K; Hashizume, H; Tanaka, H; Kondo, H; Sekine, M; Hori, M

    PLASMA PROCESSES AND POLYMERS   14 巻 ( 11 )   2017年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Plasma Processes and Polymers  

    A mechanism of the cytotoxicity of plasma-activated medium (PAM) is revealed by examining the intracellular effects of reactive oxygen nitrogen species (RONS) and lipid oxidation. PAM is cell culture medium activated by irradiation using non-equilibrium atmospheric-pressure plasma using pure Ar gas in ambient air. Extracellular RONS in PAM induced the apoptotic death of HeLa cells. Temporal changes in intracellular RONS, such as ONOO−, NO, and (Formula presented.), were analyzed. Intracellular RONS generation in HeLa cells following incubation in PAM triggered activation of the caspase cascade pathway and lipid peroxidation of intracellular membranes to induce apoptosis.

    DOI: 10.1002/ppap.201700123

    Web of Science

    Scopus

  203. Surface roughening of photoresist after change of the photon/radical and ion treatment sequence 査読有り

    Zhang, Y; Takeuchi, T; Ishikawa, K; Takeda, K; Kondo, H; Sekine, M; Hori, M

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A   35 巻 ( 6 )   2017年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Vacuum Science and Technology A: Vacuum, Surfaces and Films  

    The formation of surface roughness during ion irradiation was suppressed by photon/radical pretreatment of a photoresist with HBr or H2 plasmas. The opposite sequence of treatment after ion irradiation enhanced the surface roughness. The enhancement of roughness after post-treatment was not correlated with a decrease in the film thickness, reactions of Br radicals at the surface, or with the presence of the amorphous carbon-like layer generated by ion irradiation because similar modification was observed for the pretreatment. Fourier transform infrared spectroscopy analysis revealed that the intensities of peaks originated from the C=O absorption of methacrylic acid and methacrylate groups were decreased by irradiation with vacuum ultraviolet light at wavelengths in the range between 115 and 170 nm emitted from HBr or H2 plasmas. The removal of the C=O groups possibly generated dangling bonds and induced crosslinking reactions of the photoresist polymers. The nonuniformity of crosslinking reactions on the roughened photoresist intensively increased the surface roughness.

    DOI: 10.1116/1.4994218

    Web of Science

    Scopus

  204. Crystallization of calcium oxalate dihydrate in a buffered calcium-containing glucose solution by irradiation with non-equilibrium atmospheric pressure plasma 査読有り

    Kurake, N; Tanaka, H; Ishikawa, K; Nakamura, K; Kajiyama, H; Kikkawa, F; Mizuno, M; Ikehara, Y; Hori, M

    JOURNAL OF APPLIED PHYSICS   122 巻 ( 14 )   2017年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Applied Physics  

    Oxalate was synthesized in the glucose solution by irradiation with non-equilibrium atmospheric pressure plasma (NEAPP), in which the NEAPP plume contacted the solution surface, via the generation of several intermediate organic products such as gluconic acid. A thermodynamically unstable phase of calcium oxalate dihydrate crystallized rapidly during incubation of a NEAPP-irradiated glucose solution that contained calcium ions and was buffered at neutral pH. Longer irradiation times increased the growth rate and the number of seed crystals.

    DOI: 10.1063/1.5006598

    Web of Science

    Scopus

  205. High-durability catalytic electrode composed of Pt nanoparticle-supported carbon nanowalls synthesized by radical-injection plasma-enhanced chemical vapor deposition 査読有り

    Imai, S; Kondo, H; Cho, H; Kano, H; Ishikawa, K; Sekine, M; Hiramatsu, M; Ito, M; Hori, M

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   50 巻 ( 40 )   2017年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Physics D: Applied Physics  

    For polymer electrolyte fuel cell applications, carbon nanowalls (CNWs) were synthesized by radical-injection plasma-enhanced chemical vapor deposition, and a high density of Pt nanoparticles (>1012 cm-2) was supported on the CNWs using a supercritical fluid deposition system. The high potential cycle tests were applied and the electrochemical surface area of the Pt nanoparticle-supported CNWs did not change significantly, even after 20 000 high potential cycles. According to transmission electron microscopy observations, the mean diameter of Pt changed slightly after the cycle tests, while the crystallinity of the CNWs evaluated using Raman spectroscopy showed almost no change.

    DOI: 10.1088/1361-6463/aa8131

    Web of Science

    Scopus

  206. Cold atmospheric helium plasma causes synergistic enhancement in cell death with hyperthermia and an additive enhancement with radiation 査読有り

    Moniruzzaman, R; Rehman, MU; Zhao, QL; Jawaid, P; Takeda, K; Ishikawa, K; Hori, M; Tomihara, K; Noguchi, K; Kondo, T; Noguchi, M

    SCIENTIFIC REPORTS   7 巻 ( 1 ) 頁: 11659   2017年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Scientific Reports  

    Cold atmospheric plasmas (CAPs) have been proposed as a novel therapeutic method for its anti-cancer potential. However, its biological effects in combination with other physical modalities remain elusive. Therefore, this study examined the effects of cold atmospheric helium plasma (He-CAP) in combination with hyperthermia (HT) 42 °C or radiation 5 Gy. Synergistic enhancement in the cell death with HT and an additive enhancement with radiation were observed following He-CAP treatment. The synergistic effects were accompanied by increased intracellular reactive oxygen species (ROS) production. Hydrogen peroxide (H2O2) and superoxide (O2•-) generation was increased immediately after He-CAP treatment, but fails to initiate cell death process. Interestingly, at late hour's He-CAP-induced O2•- generation subsides, however the combined treatment showed sustained increased intracellular O2•- level, and enhanced cell death than either treatment alone. He-CAP caused marked induction of ROS in the aqueous medium, but He-CAP-induced ROS seems insufficient or not completely incorporated intra-cellularly to activate cell death machinery. The observed synergistic effects were due to the HT effects on membrane fluidity which facilitate the incorporation of He-CAP-induced ROS into the cells, thus results in the enhanced cancer cell death following combined treatment. These findings would be helpful when establishing a therapeutic strategy for CAP in combination with HT or radiation.

    DOI: 10.1038/s41598-017-11877-8

    Web of Science

    Scopus

    PubMed

  207. Lipid droplets exhaustion with caspases activation in HeLa cells cultured in plasma-activated medium observed by multiplex coherent anti-Stokes Raman scattering microscopy 査読有り

    Furuta, R; Kurake, N; Takeda, K; Ishikawa, K; Ohta, T; Ito, M; Hashizume, H; Tanaka, H; Kondo, H; Sekine, M; Hori, M

    BIOINTERPHASES   12 巻 ( 3 ) 頁: 031006   2017年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Biointerphases  

    The multiplex coherent anti-Stokes Raman scattering microscopy allowed label-free visualization of cytoplasmic lipid droplets (LDs). The LDs, which act to conserve energy storage, are usually accumulated during the normal apoptosis of HeLa cells with activation of caspase-3/7 leading to downregulation of the fatty acid catabolism pathways. During cultivating in nonthermal plasmaactivated medium (PAM), while the activation of caspase-3/7 was induced, the authors found that a dynamic exhaustion of the intracellular LDs, underlying the metabolic mechanism of the PAMinduced apoptotic cell death of HeLa cells.

    DOI: 10.1116/1.4997170

    Web of Science

    Scopus

    PubMed

  208. 高温での窒化ガリウム低損傷塩素エッチング 査読有り

    石川 健治, 劉 沢成, 今村 真人, 堤 隆嘉, 近藤 博基, 小田 修, 関根 誠, 堀 勝

    応用物理学会学術講演会講演予稿集   2017.2 巻 ( 0 ) 頁: 1758 - 1758   2017年8月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2017.2.0_1758

    CiNii Research

  209. Laser diagnostics of properties of atmospheric pressure plasmas 査読有り

    Lin YuChun, Ando Atsushi, Ishikawa Kenji, Hori Masaru, Wang Meng-Jiy

    JSAP Annual Meetings Extended Abstracts   2017.2 巻 ( 0 ) 頁: 1701 - 1701   2017年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:The Japan Society of Applied Physics  

    DOI: 10.11470/jsapmeeting.2017.2.0_1701

    CiNii Research

  210. 水素ラジカル照射を用いた還元処理による馬肉の色調変化 査読有り

    北田 悠人, 呉 準席, 林 利哉, 石川 健治, 堀 勝, 伊藤 昌文

    応用物理学会学術講演会講演予稿集   2017.2 巻 ( 0 ) 頁: 1787 - 1787   2017年8月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2017.2.0_1787

    CiNii Research

  211. Reduction of chlorine radical chemical etching of GaN under simultaneous plasma-emitted photon irradiation 査読有り

    Liu, ZC; Imamura, M; Asano, A; Ishikawa, K; Takeda, K; Kondo, H; Oda, O; Sekine, M; Hori, M

    APPLIED PHYSICS EXPRESS   10 巻 ( 8 )   2017年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    Surface chemical reactions on the GaN surface with Cl radicals are thermally enhanced in the high-temperature Cl2 plasma etching of GaN, resulting in the formation of etch pits and thereby, a roughened surface. Simultaneous irradiation of ultraviolet (UV) photons in Cl2 plasma emissions with wavelengths of 258 and 306nm reduces the surface chemical reactions because of the photodissociation of both Ga and N chlorides, which leads to a suppression of the increase in surface roughness. Compared with Si-related materials, we point out that photon-induced reactions should be taken into account during the plasma processing of wide-bandgap semiconductors.

    DOI: 10.7567/APEX.10.086502

    Web of Science

    Scopus

  212. Temperature dependence of protection layer formation on organic trench sidewall in H<sub>2</sub>/N<sub>2</sub> plasma etching with control of substrate temperature 査読有り

    Fukunaga, Y; Tsutsumi, T; Takeda, K; Kondo, H; Ishikawa, K; Sekine, M; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   56 巻 ( 7 )   2017年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    For the etching of organic films in H2/N2 plasma, etched profiles are significantly determined by substrate temperature. Here, we control the substrate temperature variation within 3 °C during processing by modulating the plasma-discharge time. The evolution of the cross-sectional profile of line-and-space patterns was observed every 10s. At 60 and 100 °C, sidewall etching was observed during overetching, but not at 20 °C. During the main etching, the sidewalls were protected by the adsorption of by-products at various temperatures. Moreover, we investigated the temperature dependence of protection layer formation by analyzing the surface components of the organic film. The CN layer formed by N radicals has a protective effect that depends on the components of the CN layer. It was found that the ratio of C-N sp3 to C-N sp2 in the sidewall was highest at 100°C. By evaluating the radical contribution to CN layer formation, C-N sp3 bonds were observed to be formed by ions and radiation-assisted reaction.

    DOI: 10.7567/JJAP.56.076202

    Web of Science

    Scopus

  213. Intracellular-molecular changes in plasma-irradiated budding yeast cells studied using multiplex coherent anti-Stokes Raman scattering microscopy 査読有り

    Furuta, R; Kurake, N; Ishikawa, K; Takeda, K; Hashizume, H; Kondo, H; Ohta, T; Ito, M; Sekine, M; Hori, M

    PHYSICAL CHEMISTRY CHEMICAL PHYSICS   19 巻 ( 21 ) 頁: 13438 - 13442   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Physical Chemistry Chemical Physics  

    Interactions between non-equilibrium atmospheric-pressure plasma (NEAPP) and living cells were examined using multiplex coherent anti-Stokes Raman scattering (CARS) microscopy. Our multiplex CARS analyses revealed that NEAPP irradiation generates short-lived radicals that induce a decrease in the mitochondrial activity of budding yeast cells.

    DOI: 10.1039/c7cp00489c

    Web of Science

    Scopus

    PubMed

  214. Dry Process FOREWORD 査読有り

    Ishikawa, K; Kinoshita, K; Higashi, S; Ichiki, T; Karahashi, K; Kuboi, N

    JAPANESE JOURNAL OF APPLIED PHYSICS   56 巻 ( 6 )   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    DOI: 10.7567/JJAP.56.06H001

    Web of Science

    Scopus

  215. Progress and prospects in nanoscale dry processes: How can we control atomic layer reactions? 査読有り

    Ishikawa, K; Karahashi, K; Ichiki, T; Chang, JP; George, SM; Kessels, WMM; Lee, HJ; Tinck, S; Um, JH; Kinoshita, K

    JAPANESE JOURNAL OF APPLIED PHYSICS   56 巻 ( 6 )   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    In this review, we discuss the progress of emerging dry processes for nanoscale fabrication. Experts in the fields of plasma processing have contributed to addressing the increasingly challenging demands in achieving atomic-level control of material selectivity and physicochemical reactions involving ion bombardment. The discussion encompasses major challenges shared across the plasma science and technology community. Focus is placed on advances in the development of fabrication technologies for emerging materials, especially metallic and intermetallic compounds and multiferroic, and two-dimensional (2D) materials, as well as state-of-the-art techniques used in nanoscale semiconductor manufacturing with a brief summary of future challenges.

    DOI: 10.7567/JJAP.56.06HA02

    Web of Science

    Scopus

  216. Hydrogen peroxide sensor based on carbon nanowalls grown by plasma-enhanced chemical vapor deposition 査読有り

    Tomatsu, M; Hiramatsu, M; Foord, JS; Kondo, H; Ishikawa, K; Sekine, M; Takeda, K; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   56 巻 ( 6 )   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Fabrication of an electrochemical sensor for hydrogen peroxide (H2O4) detection was demonstrated. H4O2 is a major messenger molecule in various redox-dependent cellular signaling transductions. Therefore, sensitive detection of H2O2 is greatly important in health inspection and environmental protection. Carbon nanowalls (CNWs) are composed of few-layer graphenes standing almost vertically on a substrate forming a three-dimensional structure. In this work, CNWs were used as a platform for H2O2 sensing, which is based on the large surface area of conducting carbon and surface decoration with platinum (Pt) nanoparticles (NPs). CNWs were grown on carbon fiber paper (CFP) by inductively coupled plasma-enhanced chemical vapor deposition to increase the surface area. Then, the CNW surface was decorated with Pt-NPs by the reduction of H2PtCl6. Cyclic voltammetry results indicate that the Pt-decorated CNW/CFP electrode possesses excellent electrocatalytic activity for the reduction of H2O2. Amperometric responses indicate the high-sensitivity detection capability of the Pt-decorated CNW/CFP electrode for H2O2.

    DOI: 10.7567/JJAP.56.06HF03

    Web of Science

    Scopus

  217. Growth of InN films by radical-enhanced metal organic chemical vapor deposition at a low temperature of 200 °C 査読有り

    Takai, S; Lu, Y; Oda, O; Takeda, K; Kondo, H; Ishikawa, K; Sekine, M; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   56 巻 ( 6 )   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    The InN films were deposited on GaN surfaces at a low temperature of 200 °C by radical-enhanced metal organic chemical vapor deposition (REMOCVD). The REMOCVD system can provide N radicals from the plasma of a N2-H2 mixture gas without using ammonia. Two types of GaN substrate, bulk GaN and GaN on Si(111), were used. The growth mode was modeled as a step flow on the basis of surface morphology observation by atomic force microscopy.

    DOI: 10.7567/JJAP.56.06HE08

    Web of Science

    Scopus

  218. Electron behaviors in afterglow of synchronized dc-imposed pulsed fluorocarbon-based plasmas 査読有り

    Ueyama, T; Fukunaga, Y; Tsutsumi, T; Takeda, K; Kondo, H; Ishikawa, K; Sekine, M; Iwata, M; Ohya, Y; Sugai, H; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   56 巻 ( 6 )   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Electron behaviors in a pulsed dual radio frequency (RF) capacitively coupled plasma of a mixture of C4F8, O2, and Ar gases, where the DC bias of %300V in the RF-on period was imposed and synchronously increased to %1000V in the RF-off period, were investigated. The synchronous DC bias prolongs the electron density (ne) decay and provides emission of Ar at a wavelength of 750.38nm in early afterglow at 3 μs during the RF-off period of 10 kHz pulse modulation. The rapid ne decay occurred with the electron attachments to the electronegative fluorocarbons, and thus the plasma consisting of positive and negative ions was generated. The DC bias voltage seems to be applied between the electrodes and the positive ions accelerated to the top electrode, and enhanced the secondary electron generation at the top electrode surface in the RF-off period with the ion bombardments, concomitantly with the synchronous emissions.

    DOI: 10.7567/JJAP.56.06HC03

    Web of Science

    Scopus

  219. Selective atomic-level etching using two heating procedures, infrared irradiation and ion bombardment, for next-generation semiconductor device manufacturing 査読有り

    Shinoda, K; Miyoshi, N; Kobayashi, H; Miura, M; Kurihara, M; Maeda, K; Negishi, N; Sonoda, Y; Tanaka, M; Yasui, N; Izawa, M; Ishii, Y; Okuma, K; Saldana, T; Manos, J; Ishikawa, K; Hori, M

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   50 巻 ( 19 )   2017年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Physics D: Applied Physics  

    The demand for precisely controlled etching is increasing as semiconductor device geometries continue to shrink. To fulfill this demand, cyclic atomic level/layer etching will become one of the key technologies in semiconductor device manufacturing at nanometer dimensions. This review describes recent trends in semiconductor devices and some of the latest results on cyclic atomic-level etching. In particular, it focuses on two types of cyclic etching that use different heating procedures: infrared irradiation for isotropic etching and Ar+ ion bombardment for anisotropic etching. It describes how an inductively-coupled-plasma down-flow etching apparatus with infrared lamps can be used for isotropic cyclic etching. The isotropic cyclic etching of SiN involves the formation and thermal desorption of ammonium hexafluorosilicate-based surface modified layers. This method features high selectivity with respect to SiO2, atomic-level control of the amount of SiN etching, and isotropic etched features. On the other hand, the anisotropic cyclic etching with Ar+ ion bombardment uses a microwave electron-cyclotron-resonance plasma etching apparatus. The anisotropic process for poly Si is composed of cyclic repetitions of chlorine adsorption and Ar+ ion bombardment. The anisotropic process for SiN is composed of cyclic repetitions involving an adsorption step using hydrofluorocarbon chemistry and a desorption step using Ar+ ion bombardment. Potential applications of these isotropic/anisotropic cyclic etching processes are described.

    DOI: 10.1088/1361-6463/aa6874

    Web of Science

    Scopus

  220. Spatial distributions of O, N, NO, OH and vacuum ultraviolet light along gas flow direction in an AC-excited atmospheric pressure Ar plasma jet generated in open air 査読有り

    Takeda, K; Ishikawa, K; Tanaka, H; Sekine, M; Hori, M

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   50 巻 ( 19 )   2017年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Physics D: Applied Physics  

    Ground-state O (3Pj) and N (4S°) atoms, nitric oxide (NO) and hydroxyl (OH) radicals, and vacuum ultraviolet (VUV) radiation emitted from an AC-excited Ar atmospheric pressure plasma jet (APPJ) operated in open air were measured using VUV absorption spectroscopy, laser induced fluorescence spectroscopy and optical emission spectroscopy, respectively. The O (3Pj) density decreased with increasing distance from the plasma head, and the decrease rate in the edge region of the plasma jet around 8 mm distance was especially high. On the other hand, the N (4S°) atom density was almost constant up to approximately 10 mm and increased with the distance over 12 mm. The relative NO density increased with the distance up to 10 mm and then became saturated, although the OH density was significantly reduced from the plasma jet exit of the head, and could be observed in the plasma jet only up to the distance of 5 mm. Emissions due to N, H and O atoms were observed in the VUV region and the emission intensity for N atoms (wavelength: 174.3 nm) decreased exponentially with increasing the distance. The results obtained with the Ar APPJ operated in open air conditions indicate the generation of many different reactive oxygen and nitrogen species by gas-phase reactions, and the composition of the reactive species is strongly dependent on the distance from the plasma head. These results are very useful for clarifying the kinetics of reactive species in APPJs and their application in various technological fields.

    DOI: 10.1088/1361-6463/aa6555

    Web of Science

    Scopus

  221. Bactericidal pathway of <i>Escherichia coli</i> in buffered saline treated with oxygen radicals 査読有り

    Kobayashi, T; Iwata, N; Oh, JS; Hahizume, H; Ohta, T; Takeda, K; Ishikawa, K; Hori, M; Ito, M

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   50 巻 ( 15 )   2017年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Physics D: Applied Physics  

    Bactericidal effects of phosphate buffered saline treated with electrically neutral oxygen radicals on Escherichia coli (E. coli) are studied using an atmospheric pressure radical source and colony counting method. To clarify the bactericidal mechanism, the chemistry in phosphate buffers treated with oxygen radicals with and without saline has been quantitatively investigated using the well-established chemical reporters N,N-diethyl-p-phenylenediamine reagent and Amplex Red for residual chlorine (HClO and ClO-) and hydrogen peroxide (H2O2), respectively. From the results, we have found that the presence of chlorine in the solutions treated with oxygen radicals is the most important factor in the further chemical reactions to generate hypochlorous acid in E. coli death, and H2O2 is also linked to the bactericidal effect via an indirect chemical pathway.

    DOI: 10.1088/1361-6463/aa61d7

    Web of Science

    Scopus

  222. Effects of •OH and •NO radicals in the aqueous phase on H<sub>2</sub>O<sub>2</sub> and NO<sub>2</sub><SUP>-</SUP> generated in plasma-activated medium 査読有り

    Kurake, N; Tanaka, H; Ishikawa, K; Takeda, K; Hashizume, H; Nakamura, K; Kajiyama, H; Kondo, T; Kikkawa, F; Mizuno, M; Hori, M

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   50 巻 ( 15 )   2017年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Physics D: Applied Physics  

    A plasma-activated medium (PAM), which means a cell-culture medium irradiated with cold atmospheric plasmas or non-equilibrium atmospheric pressure plasma (NEAPP), has shown strong antitumor effects on various kinds of cells such as gastric cancer cells, human lung adenocarcinoma cells, human breast cancer cells and so on. In order to clarify the mechanism, it is extremely important to investigate the behaviors of stable and unstable reactive oxygen nitrogen species in culture medium irradiated by NEAPP. The roles of hydroxyl radicals (•OH) and nitric oxide (•NO) were studied to understand the dominant synthetic pathways of H2O2 and in culture medium irradiated with NEAPP. In the PAM, •OH in the aqueous phase was generated predominantly by photo-dissociation. However, most of the H2O2 nor generated in the PAM did not originate from aqueous •OH and •NO. Pathways for the generation of H2O2 and are suggested based on the high concentrations of intermediates generated at the gas/aqueous-phase interface following NEAPP irradiation. On the basis of these results, the reaction model of chemical species in the culture medium is proposed.

    DOI: 10.1088/1361-6463/aa5f1d

    Web of Science

    Scopus

  223. Spatial profiles of interelectrode electron density in direct current superposed dual-frequency capacitively coupled plasmas 査読有り

    Ohya, Y; Ishikawa, K; Komuro, T; Yamaguchi, T; Takeda, K; Kondo, H; Sekine, M; Hori, M

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   50 巻 ( 15 )   2017年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Journal of Physics D: Applied Physics  

    We present experimentally determined spatial profiles of the interelectrode electron density (n e) in dual-frequency capacitively coupled plasmas in which the negative direct current (dc) bias voltage (V dc) is superposed; in the experiment, 13 MHz (P low) was applied to the lower electrode and 60 MHz (P high) to the upper electrode. The bulk n e increased substantially with increases in the external power, P high, P low, and with increases in V dc. When P low was insufficient, the bulk n e decreased as the V dc bias increased. The bulk n e increased due to its dependence on V dc, especially for |V dc| > 500 V. This may correspond to the sheath voltages (V s) of the lower electrode. The n e values in front of the upper electrode were coupled with the V dc: the V dc dependence first decreased and then increased. The dc currents (I dc) of the upper electrode were collected when a large P low was applied. The value of I dc at the threshold value of V dc ≈ V s (e.g. -500 V) increased with an increase in n e. When |V dc| exceeded the threshold, the spatial n e profile and the I dc dependence were changed relative to the electrical characteristics of the dc superposition; this led to a change in the location of the maximum n e, the width of the area of n e depletion in front of the electrodes, and a transition in the electron heating modes.

    DOI: 10.1088/1361-6463/aa60f7

    Web of Science

    Scopus

  224. 酸素ラジカル照射された馬肉水抽出液のESR測定 査読有り

    北田 悠人, 林 利哉, 石川 健治, 堀 勝, 伊藤 昌文

    応用物理学会学術講演会講演予稿集   2017.1 巻 ( 0 ) 頁: 1802 - 1802   2017年3月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2017.1.0_1802

    CiNii Research

  225. マルチプレックスCARSによるプラズマと細胞の相互作用の観察 査読有り

    古田 凌, 石川 健治, 橋爪 博司, 田中 宏昌, 竹田 圭吾, 太田 貴之, 近藤 博基, 伊藤 昌文, 関根 誠, 堀 勝

    応用物理学会学術講演会講演予稿集   2017.1 巻 ( 0 ) 頁: 1801 - 1801   2017年3月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2017.1.0_1801

    CiNii Research

  226. Behavior of absolute densities of atomic oxygen in the gas phase near an object surface in an AC-excited atmospheric pressure He plasma jet 査読有り

    Takeda, K; Kumakura, T; Ishikawa, K; Tanaka, H; Sekine, M; Hori, M

    APPLIED PHYSICS EXPRESS   10 巻 ( 3 )   2017年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Express  

    The quantitative behavior of atomic oxygen in the gas phase near a Cu wire surface in an AC-excited atmospheric pressure He plasma jet in open air was measured using time-resolved vacuum ultraviolet absorption spectroscopy. The atomic oxygen density had a peak at a distance between the plasma source and the Cu wire, and the peak position moved away from the source with an increase in the gas flow rate. The behavior is considered to be dependent on the plasma density and the influence of ambient air. The results are important for supplying atomic oxygen to samples for plasma jet treatment in the atmosphere.

    DOI: 10.7567/APEX.10.036201

    Web of Science

    Scopus

  227. Fabrication of superconductor-ferromagnet-insulator-superconductor Josephson junctions with critical current uniformity applicable to integrated circuits

    Ito Hiroshi, Taniguchi Soya, Ishikawa Kouta, Akaike Hiroyuki, Fujimaki Akira

    APPLIED PHYSICS EXPRESS   10 巻 ( 3 )   2017年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/APEX.10.033101

    Web of Science

  228. Characteristics of optical emissions of arc plasma processing for high-rate synthesis of highly crystalline single-walled carbon nanotubes 査読有り

    Ando, A; Takeda, K; Ohta, T; Ito, M; Hiramatsu, M; Ishikawa, K; Kondo, H; Sekine, M; Suzuki, T; Inoue, S; Ando, Y; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   56 巻 ( 3 )   2017年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Single-walled carbon nanotubes (SWNTs) were synthesized with a high growth rate using an arc plasma employing carbon electrodes with a Ni-Y mixture catalyst. However, the mechanism of growth of highly crystalline SWNTs has not been clarified. Reactions between carbon and catalyst are considered to be one of the crucial factors in SWNT growth. Optical emission spectroscopy (OES) provides the information about the species in the plasma. C2, Ni, and Y emissions in the arc plasma at different currents were measured to investigate the relationship between active species in the arc plasma and the SWNTsynthesis. On the basis of OES results, it was found that the balance between catalytic metal atoms and C2 radical emissions indicated the crystallinity ratio of SWNTs in thin graphitic or amorphous carbon layers. These results are useful for controlling the growth of SWNTs employing arc plasmas.

    DOI: 10.7567/JJAP.56.035101

    Web of Science

    Scopus

  229. Investigation of effects of ion energies on both plasma-induced damage and surface morphologies and optimization of high-temperature Cl<sub>2</sub> plasma etching of GaN 査読有り

    Liu, ZC; Pan, JL; Asano, A; Ishikawa, K; Takeda, K; Kondo, H; Oda, O; Sekine, M; Hori, M

    JAPANESE JOURNAL OF APPLIED PHYSICS   56 巻 ( 2 )   2017年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    The minimization of plasma-induced damage (PID) in plasma etching is important for the precise and smooth removal of a depth of approximately 7 nm of GaN films to fabricate gate-recess GaN-based normally-off power electronic devices. We have systematically studied the photoluminescence (PL) properties and surface morphologies of GaN films exposed to Cl2 plasma at 400°C, focusing on their dependences on etch time and ion energy. It is noticeable that PL degradation saturated at etch times of more than 2 min, while surface roughness increased continuously with etch time. Variations of surface roughness with bias voltage were negligible. PID was successfully suppressed by reducing bias voltage, leading to the decrease in incident ion energy on the surface, and thus the near-band-edge emission (NBE) intensity as a PL property was increased to 98.8% of the initial value.

    DOI: 10.7567/JJAP.56.026502

    Web of Science

    Scopus

  230. Absolute density of precursor SiH<sub>3</sub> radicals and H atoms in H<sub>2</sub>-diluted SiH<sub>4</sub> gas plasma for deposition of microcrystalline silicon films 査読有り

    Abe, Y; Ishikawa, K; Takeda, K; Tsutsumi, T; Fukushima, A; Kondo, H; Sekine, M; Hori, M

    APPLIED PHYSICS LETTERS   110 巻 ( 4 )   2017年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Applied Physics Letters  

    Microcrystalline hydrogenated silicon films were produced at a high deposition rate of about 2 nm/s by using a capacitively coupled plasma under a practical pressure of around 1 kPa. The SiH4 source gas was almost fully dissociated when highly diluted with H2 gas, and the dominant species in the gas phase were found to be SiH3 radicals, which are film-growth precursors, and H atoms. The absolute density of these species was measured as the partial pressure of SiH4 gas was varied. With the increasing SiH4 gas flow rate, the SiH3 radical density, which was on the order of 1012 cm-3, increased linearly, while the H-atom density remained constant at about 1012 cm-3. The film growth mechanism was described in terms of precursors, based on the measured flux of SiH3 radicals and H atoms, and the relative fraction of higher-order radicals.

    DOI: 10.1063/1.4974821

    Web of Science

    Scopus

  231. Thermal Cyclic Atomic-Level Etching of Nitride Films: A Novel Way for Atomic-Scale Nanofabrication 査読有り

    Shinoda, K; Miyoshi, N; Kobayashi, H; Kurihara, M; Izawa, M; Ishikawa, K; Hori, M

    ATOMIC LAYER DEPOSITION APPLICATIONS 13   80 巻 ( 3 ) 頁: 3 - 14   2017年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:ECS Transactions  

    A highly selective, rapid thermal-cyclic atomic-level etching (ALE) process for SiNx films has been developed. The first step of this process is exposing SiNx to hydrofluorocarbon plasma to form an (NH4)2SiF6 layer on the SiNx surface. The second step is rapid thermal annealing with infrared (IR) irradiation to decompose and sublimate the (NH4)2SiF6 layer. Etching of SiNx was observed after the (NH4)2SiF6 layer was removed by thermal annealing. Cyclic etching tests were carried out by repeated plasma exposure and IR irradiation. It was found that the cyclic process is self-limiting because etching depth depends only on the cycle number and not on the plasma exposure time. A high selectivity over SiC2 and poly Si was confirmed. This paper reviews the novel isotropic ALE for nitride films focusing on the surface reaction mechanism investigated by x-ray photoelectron spectroscopy (XPS) and thermal desorption spectroscopy (TDS). Isotropic ALE of TiN was also demonstrated using the same approach.

    DOI: 10.1149/08003.0003ecst

    Web of Science

    Scopus

  232. TIME EVOLUTION OF REACTIVE OXYGEN NITROGEN SPECIES IN PLASMA-ACTIVATED ESSENTIAL MEDIA AND WATER 査読有り

    Brubaker, T; Ishikawa, K; Takeda, K; Hashizume, H; Tanaka, H; Kondo, H; Sekine, M; Hori, M

    2017 IEEE INTERNATIONAL CONFERENCE ON PLASMA SCIENCE (ICOPS)     頁: .   2017年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Web of Science

  233. Thermally enhanced formation of photon-induced damage on GaN films in Cl<inf>2</inf> plasma 査読有り

    Liu Z., Asano A., Imamura M., Ishikawa K., Takeda K., Kondo H., Oda O., Sekine M., Hori M.

    Japanese Journal of Applied Physics   56 巻 ( 9 )   2017年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:Japanese Journal of Applied Physics  

    Deep ultraviolet (UV) photons emitted from Cl2 plasmas become a critical cause of degradation in both photoluminescence (PL) properties and surface stoichiometry as a result of plasma-induced damage on GaN films in Cl2 plasma etching at high temperatures. The damages were formed thermally by photon-irradiations of plasma UV emissions with wavelengths of >258-306 nm from Cl2 plasma at temperatures greater than 500 °C. The damage were observed with a depth of approximately 3.2 nm. The PL property degraded by the UV emission-induced damage at an early period of plasma etching and reached a constant value.

    DOI: 10.7567/JJAP.56.096501

    Scopus

  234. Nationwide epidemiological survey of idiopathic sudden sensorineural hearing loss in Japan. 査読有り

    Kitoh R, Nishio SY, Ogawa K, Kanzaki S, Hato N, Sone M, Fukuda S, Hara A, Ikezono T, Ishikawa K, Iwasaki S, Kaga K, Kakehata S, Matsubara A, Matsunaga T, Murata T, Naito Y, Nakagawa T, Nishizaki K, Noguchi Y, Sano H, Sato H, Suzuki M, Shojaku H, Takahashi H, Takeda H, Tono T, Yamashita H, Yamasoba T, Usami SI

    Acta oto-laryngologica   137 巻 ( sup565 ) 頁: S8 - S16   2017年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1080/00016489.2017.1297537

    PubMed

  235. Formation of a SiOF reaction intermixing layer on SiO2 etching using C4F6/O2/Ar plasmas 査読有り

    Yoshinobu Ohya, Maju Tomura, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    Journal of Vacuum Science and Technology A Letters   34 巻 ( 4 ) 頁: 040602   2016年5月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1116/1.4949570

  236. Effects of nitrogen on apoptosis and changes in gene expression in human lymphoma U937 cells exposed to argon-cold atmospheric pressure plasma 査読有り

    Yoshiaki Tabuchi, Hidefumi Uchiyama, Qing-li Zhao, Tatsuya Yunoki, Qabor Andocs, Nobuyuki Nojima, Keigo Takeda, Kenji Ishikawa, Masaru Hori and Takashi Kondo

    International Journal of Molecular Medicine   37 巻   頁: 1706-1714   2016年5月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.3892/ijmm.2016.2574

  237. Red Blood Cell Coagulation Induced by Low-temperature Plasma Treatment 査読有り

    Kenji Miyamoto, Sanae Ikehara, Hikaru Takei, Yoshihiro Akimoto, Hajime Sakakita, Kenji Ishikawa, Masashi Ueda, Jun-ichiro Ikeda, Masahiro Yamagishi, Jaeho Kim, Takashi Yamaguchi, Hayao Nakanishi, Nobuyuki Shimizu, Masaru Hori, and Yuzuru Ikehara

    Archives of Biochemistry and Biophysics     2016年4月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.abb.2016.03.023

  238. Hierarchical regrowth of flowerlike nanographene sheets on oxygen-plasma-treated carbon nanowalls

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori

    Appl. Phys. Express   7 巻   頁: 046201   2016年3月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/APEX.7.046201

  239. Effects of Radical Species on Structural and Electronic Properties of Amorphous Carbon Films Deposited by Radical-injection Plasma-enhanced Chemical Vapor Deposition 査読有り

    Lingyun Jia, Hirotsugu Sugiura, Hiroki Kondo, Keigo Takeda, Kenji Ishikawa, Osamu Oda, Makoto Sekine, Mineo Hiramatsu, Masaru Hori

    Plasma Process Polym.     2016年2月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/ppap.201500229

  240. Cell survival of glioblastoma grown in medium containing hydrogen peroxide and/or nitrite, or in plasma-activated medium 査読有り

    Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Takashi Kondo, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Masaru Hori

    Archives of Biochemistry and Biophysics     2016年1月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.abb.2016.01.011

  241. Cover Picture: Plasma Process. Polym. 12∕2015 (page 1329) 査読有り

    Masashi Ueda, Daiki Yamagami, Keiko Watanabe, Asami Mori, Hiroyuki Kimura, Kohei Sano, Hideo Saji, Kenji Ishikawa, Masaru Hori, Hajime Sakakita, Yuzuru Ikehara and Shuichi Enomoto

    Plasma Processes and Polymers   12 巻 ( 12 ) 頁: 1329   2015年12月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/ppap.201570043

  242. Plasma Blood Coagulation Without Involving the Activation of Platelets and Coagulation Factors 査読有り

    Sanae Ikehara, Hajime Sakakita, Kenji Ishikawa, Yoshihiro Akimoto, Takashi Yamaguchi, Masahiro Yamagishi, Jaeho Kim, Masashi Ueda, Jun-ichiro Ikeda, Hayao Nakanishi, Nobuyuki Shimizu, Masaru Hori, and Yuzuru Ikehara

    Plasma Processes and Polymers   12 巻 ( 12 ) 頁: 1348–1353   2015年12月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/ppap.201500132

  243. Real-time temperature-monitoring of Si substrate during plasma processing and its heat-flux analysis 査読有り

    Takayoshi Tsutsumi, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, and Masaru Hori

    Japan. J. Appl. Phys.   55 巻   頁: 01AB04   2015年11月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.55.01AB04

  244. Superhydrophilic glass membrane device with open-microhole array for filtering and counting rare tumor cells 査読有り

    Akihiro Yonese, Daisuke Onoshima, Hiroshi Yukawa, Kenji Ishikawa, Masaru Hori, and Yoshinobu Baba

    Micro Total Analysis Systems 2015     頁: 493-495   2015年10月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  245. Histological and nuclear medical comparison of inflammation after haemostasis with non-thermal plasma and thermal coagulation 査読有り

    Masashi Ueda, Daiki Yamagami, Keiko Watanabe, Asami Mori, Hiroyuki Kimura, Kohei Sano, Hideo Saji, Kenji Ishikawa, Masaru Hori, Hajime Sakakita, Yuzuru Ikehara, and Shuichi Enomoto

    Plasma Processes and Polymers   12 巻 ( 12 ) 頁: 1338–1342   2015年9月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1002/ppap.201500099

  246. Plasma with high electron density and plasma-activated medium for cancer treatment 査読有り

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Hiroki Kondo, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Shin’ichi Akiyama, Shoichi Maruyama, Suguru Yamada, Yasuhiro Kodera, Hiroki Kaneko, Hiroko Terasaki, Hirokazu Hara, Tetsuo Adachi, Machiko Iida, Ichiro Yajima, Masashi Kato, Fumitaka Kikkawa, and Masaru Hori

    Clinical Plasma Medicine   3 巻   頁: 72-76   2015年9月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.cpme.2015.09.001

  247. EPR-Spin Trapping and Flow cytometric Studies of Free Radicals Generated using Cold Atmospheric Argon Plasma and X-ray irradiation in Aqueous Solutions and Intracellular Milieu 査読有り

    Hidefumi Uchiyama, Qing-Li Zhao, Mariame Ali Hassan, Gabor Andocs, Nobuyuki Nojima, Keigo Takeda, Kenji Ishikawa, Masaru Hori, and Takashi Kondo

    PLoS One   10 巻 ( 8 ) 頁: e0136956   2015年8月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1371/journal.pone.0136956

  248. Feedback Control System of Wafer Temperature for Advanced Plasma Processing and its Application to Organic Film Etching 査読有り

    Takayoshi Tsutsumi, Yusuke Fukunaga, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, and Masaru Hori

    IEEE Trans Semiconductor manufacturing   28 巻 ( 4 ) 頁: 515-520   2015年8月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/TSM.2015.2470554

  249. Decreased expression levels of cell cycle regulators and matrix metalloproteinases in melanoma from RET-transgenic mice by single irradiation of non-equilibrium atmospheric pressure plasmas 査読有り

    Machiko Iida, Ichiro Yajima, Nobutaka Ohgami, Li Xiang, Cunchao Zou, Kenji Ishikawa, Masaru Hori, and Masashi Kato

    International Journal of Clinical and Experimental Pathology   8 巻 ( 8 ) 頁: 9326-9331   2015年8月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  250. Suppression of plasma-induced damage on GaN etched by a Cl2 plasma at high temperatures 査読有り

    Zecheng Liu, Jialin Pan, Takashi Kako Kenji Ishikawa, Osamu Oda, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Japan. J. Appl. Phys.   54 巻   頁: 06GB04   2015年6月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.54.06GB04

  251. Electronic properties of HBr, O2 and Cl2 used in Si etching 査読有り

    Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    Japan. J. Appl. Phys.   54 巻   頁: 06GA03   2015年5月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.54.06GA03

  252. Effect of gas residence time on near-edge X-ray absorption fine structures of hydrogenated amorphous carbon films grown by plasma-enhanced chemical vapor deposition 査読有り

    Lingyun Jia, Hirotsugu Sugiura, Hiroki Kondo, Keigo Takeda, Kenji Ishikawa, Osamu Oda, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    Japan. J. Appl. Phys.   55 巻 ( 4 ) 頁: 040305   2015年3月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.55.040305

  253. Silicon nitride (SiN) etch performance of CH2F2 plasmas diluted with argon or krypton 査読有り

    Yusuke Kondo, Kenji Ishikawa, Toshio Hayashi, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Japan. J. Appl. Phys.   54 巻 ( 4 ) 頁: 040303   2015年3月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.54.040303

  254. Plasma diagnostic approach for high rate nanocrystalline Si synthesis in RF/UHF hybrid plasmas using a PECVD process 査読有り

    Bibhuti Bhusan Sahu, Jeon G. Han, Kyung-Sik Shin, Kenji Ishikawa, Masaru Hori, and Yudai Miyawaki

    Plasma Sources Sci. Technol.   24 巻 ( 2 ) 頁: 025019   2015年3月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/0963-0252/24/2/025019

  255. CF3+ fragmentation by electron impact ionization of perfluoro-propyl-vinyl-ethers, C5F10O, in gas phase 査読有り

    Yusuke Kondo, Kenji Ishikawa, Toshio Hayashi, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Japan. J. Appl. Phys.   54 巻 ( 4 ) 頁: 040301   2015年3月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.54.040301

  256. Study of the decomposition mechanism of PMMA-type polymers by hydrogen radicals 査読有り

    Yu Arai, Yusuke Noto, Yousuke Goto, Seiji Takahashi, Masashi Yamamoto, Akihiko Kono, Tatsuo Ishijima, Kenji Ishikawa, Masaru Hori, and Hideo Horibe

    Thin Solid Film   575 巻   頁: 12-16   2015年2月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.tsf.2014.10.021

  257. Estimation of activation energies for decomposition reaction of polymer by hydrogen radicals generated using hot-wire catalyzer 査読有り

    Akihiko Kono, Yu Arai, Yousuke Goto, Masashi Yamamoto, Seiji Takahashi, Tadaaki Yamagishi, Kenji Ishikawa, Masaru Hori, and Hideo Horibe

    Thin Solid Film   575 巻   頁: 17-20   2015年2月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.tsf.2014.10.020

  258. Experimental evidence of warm electron populations in magnetron sputtering plasmas 査読有り

    B. B. Sahu, Jeon G. Han, Hye R. Kim, Kenji Ishikawa, and Masaru Hori

    J. Appl. Phys.   117 巻   頁: 033301   2015年1月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4905901

  259. Hydrofluorocarbon ion density of argon- or krypton-diluted CH2F2 plasmas: Generation of CH2F+ and CHF2+ by dissociative-ionization in charge exchange collisions 査読有り

    Yusuke Kondo, Yudai Miyawaki, Kenji Ishikawa, Toshio Hayashi, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    J. Phys. D: Appl. Phys.   48 巻 ( 4 ) 頁: 045202   2015年1月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/0022-3727/48/4/045202

  260. Plasma Medical Science for Cancer Therapy: Toward Cancer Therapy Using Nonthermal Atmospheric Pressure Plasma 招待有り 査読有り

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinnya Toyokuni, Shoichi Maruyama, Fumitaka Kikkawa, and Masaru Hori

    IEEE Trans. on Plasma Sci.   42 巻 ( 12 ) 頁: 3760-3763   2014年12月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/TPS.2014.2353659

  261. Quantitative Clarification of Inactivation Mechanism of Penicillium digitatum Spores Treated with Neutral Oxygen Radicals 査読有り

    Hiroshi Hashizume, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, and Masafumi Ito

    Jpn. J. Appl. Phys.   54 巻   頁: 01AG05   2014年11月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.54.01AG05

  262. Robust characteristics of semiconductor-substrate temperature-measurement method using auto-correlation type frequency-domain low-coherence interferometry 査読有り

    Takayoshi Tsutsumi, Takayuki Ohta, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori, and Masafumi Ito

    Jpn. J. Appl. Phys.   54 巻   頁: 01AB03   2014年11月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.54.01AB03

  263. Effectiveness of plasma diagnostic in ultra high frequency and radio frequency hybrid plasmas for synthesis of silicon nitride film at low temperature 査読有り

    Bibhuti Bhusan Sahu, Kyung-Sik Shin, Su-Bong Jin, Jeon G. Han, Kenji Ishikawa and Masaru Hori

    J. Appl. Phys.   116 巻   頁: 134903   2014年10月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4896833

  264. Recovery of Atom Density Drift Caused by Change in Reactor Wall Conditions by Real-time Autonomous Control 査読有り

    Toshiya Suzuki, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Journal of Physics D: Applied Physics   47 巻   頁: 422002   2014年9月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/0022-3727/47/42/422002

  265. Diagnostics of plasma-biological surface interactions in low pressure and atmospheric pressure plasmas 招待有り 査読有り

    Kenji Ishikawa, and Masaru Hori

    International Journal of Modern Physics: Conference Series   32 巻   頁: 1460318   2014年8月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1142/S2010194514603184

  266. Cell survival and proliferation signaling pathways are downregulated by plasma-activated medium in glioblastoma brain tumor cells 査読有り

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Shoichi Maruyama, Fumitaka Kikkawa, and Masaru Hori

    Plasma Medicine   4 巻   頁: 1   2014年7月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  267. Temporal Changes of Absolute Densities of Atoms in H2 and N2 Mixture Gas Plasmas by Surface Modifications of Reactor Wall 査読有り

    Toshiya Suzuki, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    Jpn. J. Appl. Phys.   53 巻   頁: 050301   2014年4月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.53.050301

  268. Epitaxial Growth of GaN by Radical-Enhanced Metalorganic Chemical Vapor Deposition (REMOCVD) in the Downflow of a Very High Frequency (VHF) N2/H2 Excited Plasma– Effect of TMG Flow Rate and VHF Power 査読有り

    Yi Lu, Hiroki Kondo, Kenji Ishikawa, Osamu Oda, Keigo Takeda, Makoto Sekine, Hiroshi Amano, and Masaru Hori

    J. Cryst. Growth   391 巻   頁: 97-103   2014年4月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.jcrysgro.2014.01.014

  269. Effects of nitrogen plasma post-treatment on electrical conduction of carbon nanowalls 査読有り

    Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

    Jpn. J. Appl. Phys.   53 巻   頁: 040307   2014年3月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.53.040307

  270. Nanostructure modification to carbon nanowall surface employing hydrogen peroxide solution 査読有り

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori

    Jpn. J. Appl. Phys.   53 巻   頁: 040305   2014年3月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.53.040305

  271. Spatial distribution of absolute atomic oxygen density of a non-equilibrium atmospheric pressure planar plasma jet 査読有り

    Fengdong Jia, Kenji Ishikawa, Keigo Takeda, Hiroyuki Kano, Jagath Kularatne, Hiroki Kondo, Makoto Sekine and Masaru Hori

    Plasma Source Sci Technol.   23 巻   頁: 025004   2014年3月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/0963-0252/23/2/025004

  272. Chemical Reactions during Plasma-enhanced Atomic Layer Deposition of SiO2 Films employing aminosilane and O2/Ar plasma at 50 C 査読有り

    Lu Yi, Akiko Kobayashi, H. Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   53 巻   2013年12月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.53.

  273. Density Control of Carbon Nanowalls Grown by CH4/H2 plasma and Their Electrical Properties 査読有り

    Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

    Carbon   66 巻   2013年12月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.carbon.2013.11.014

  274. Effect of Indirect Nonequilibrium Atmospheric Pressure Plasma on Anti-proliferative Activity against Chronic Chemo-resistant Ovarian Cancer Cells in vitro and in vivo 査読有り

    Fumi Utsumi, Hiroaki Kajiyama, Kae Nakamura, Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Hiroki Kondo, Hiroyuki Kano, Masaru Hori, and Fumitaka Kikkawa

    PLOS One   8 巻   頁: e81576   2013年12月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1371/journal.pone.0081576

  275. Oxidation mechanism of Penicillium digitatum spores through neutral oxygen radicals 査読有り

    Hiroshi Hashizume, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, Masafumi Ito

    JAPANESE JOURNAL OF APPLIED PHYSICS   53 巻   頁: 010209   2013年12月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.53.010209

  276. High H Radical Density Produced by 1-m-Long Atmospheric Pressure Microwave Plasma System 査読有り

    Hitoshi Itoh, Yusuke Kubota, Yusaku Kashiwagi, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Hirotaka Toyoda, and Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   52 巻   頁: 11NE01   2013年11月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.52.11NE01

  277. Field emission of nano-organic-rods armored with metal nanoparticles 査読有り

    Toshiya Suzuki, Kenji Ishikawa, Makoto Sekine, Keigo Takeda, Hiroki Kondo, and Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   52 巻   頁: 120203   2013年11月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.52.120203

  278. Effect of gas flow on atomic radical transportation in AC Excited Non-equilibrium Atmospheric Pressure Plasma jet 査読有り

    Keigo Takeda, Masanori Kato, Kenji Ishikawa, Hiroki Kondo, Hiroyuki Kano, Makoto Sekine, and Masaru Hori

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   46 巻   頁: 464006   2013年10月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/0022-3727/46/46/464006

  279. Highly Precise and Rapid Measurements on Substrate Temperature Using Frequency Domain Low Coherence Interferometer 査読有り

    Takayoshi Tsutsumi, Takayuki Ohta, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori, and Masafumi Ito

    APPLIED PHYSICS LETTERS   101 巻   頁: 182102   2013年10月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4827426

  280. Inactivation effects of neutral reactive-oxygen species on Penicillium digitatum spores using non-equilibrium atmospheric-pressure oxygen radical source 査読有り

    Hiroshi Hashizume, Takayuki Ohta, Jia Fengdong, Keigo Takeda,Kenji Ishikawa,Masaru Hori, and Masafumi Ito

    APPLIED PHYSICS LETTERS   101 巻   頁: 53708   2013年10月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4824892

  281. Formation of Nanoporous Features, Flat Surfaces, or Crystallographically Oriented Etched Profiles by the Si Chemical Dry Etching Using the Reaction of F2 + NO -> F + FNO at an Elevated Temperature 査読有り

    Satomi Tajima, Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    JOURNAL OF PHYSICAL CHEMISTRY C   117 巻 ( 40 ) 頁: 20810-20818   2013年9月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1021/jp4084794

  282. Atomic Oxygen Etching from the Top Edges of Carbon Nanowalls 査読有り

    Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori

    APPLIED PHYSICS EXPRESS   6 巻   頁: 095201   2013年8月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/APEX.6.095201

  283. A Development of Atmospheric Pressure Plasma Equipment and Its Applications for Treatment of Ag Films Formed from Nano-Particle Ink 査読有り

    Hitoshi Itoh, Y. Kubota, Y. Kashiwagi, K. Takeda, Kenji Ishikawa, H. Kondo, M. Sekine, H. Toyoda, and M. Hori

    J. Phys.: Conf. Ser.   441 巻   頁: 12019   2013年6月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/1742-6596/441/1/012019

  284. A novel fast and flexible technique of radical kinetic behavior investigation based on pallet for plasma evaluation structure and numerical analysis 査読有り

    Malinowski, Arkadiusz; Takeuchi, Takuya; Chen, Shang; Suzuki, Toshiya; Ishikawa, Kenji; Sekine, Makoto; Hori, Masaru; Lukasiak, Lidia; Jakubowski, Andrzej

    JOURNAL OF PHYSICS D-APPLIED PHYSICS   46 巻   頁: 265201   2013年6月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/0022-3727/46/26/265201

  285. Surface morphology on high-temperature plasma-etched gallium nitride 査読有り

    Ryosuke Kometani, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Trans. Mater. Res. Soc. Jpn.   38 巻   頁: 325   2013年6月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  286. Dissociations of C5F8 and C5HF7 in Etching Plasma 査読有り

    Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    JAPANESE JOURNAL OF APPLIED PHYSICS   5 巻   頁: 05EB02   2013年5月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.52.05EB02

  287. Wavelength dependence of photon-induced interface defects in hydrogenated silicon nitride/Si structure during plasma etching processes 査読有り

    Masanaga Fukasawa, Hiroyasu Matsugai, Takayoshi Honda, Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Kazunori Nagahata, Fumikatsu Uesawa, Masaru Hori, Tetsuya Tatsumi

    JAPANESE JOURNAL OF APPLIED PHYSICS   5 巻   頁: 05ED01   2013年5月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.52.05ED01

  288. Surface analysis of gallium nitride (GaN) at elevated substrate temperature 査読有り

    Ryosuke Kometani, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    APPLIED PHYSICS EXPRESS   6 巻   頁: 056201   2013年4月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/APEX.6.056201

  289. Supercritical Fluid Deposition of High-Density Nanoparticles of Photo-Catalytic TiO2 on Carbon Nanowalls 査読有り

    Takeyoshi Horibe, Hiroki Kondo, Kenji Ishikawa, Hiroyuki Kano, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

    Appl. Phys. Express   6 巻   頁: 045103   2013年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/APEX.6.045103

  290. A Room Temperature Si Etching in NO/F2 Gas Chemistry and Its Reaction Mechanism 査読有り

    Satomi Tajima, Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    J. Phys. Chem. C   117 巻 ( 10 ) 頁: 5118–5125   2013年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1021/jp3119132

  291. Plasma-activated medium selectively kills glioblastoma brain tumor cells by downregulating a survival signaling molecule, AKT kinase 査読有り

    Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Fumitaka Kikkawa, and Masaru Hori

    Plasma Medicine   3 巻   頁: 1   2013年2月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1615/PlasmaMed.2012006275

  292. Surface roughness development on ArF-photoresist studied by beam-irradiation of CF4 plasma 査読有り

    Takuya Takeuchi, Kenji Ishikawa, Yuichi Setsuhara, Hiroki Kondo, Keigo Takeda, Makoto Sekine, Masaru Hori

    J. Phys. D: Appl. Phys.   46 巻   頁: 102001   2013年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/0022-3727/46/10/102001

  293. Etching-Enhancement Followed by Nitridation on Low-k SiOCH Film in Ar/C5F10O Plasma 査読有り

    Yudai Miyawaki, Emi Shibata, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Hidekazu Okamoto, Makoto Sekine, and Masaru Hori

    Jpn. J. Appl. Phys.   52 巻 ( 2 ) 頁: 1   2013年1月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  294. Impact of hydrogen radical injection plasma on fabrication of microcrystalline silicon thin film for solar cells 査読有り

    Yusuke Abe, Sho Kawashima, Atsushi Fukushima, Ya Lu, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    J. Appl. Phys.   113 巻 ( 2 ) 頁: 033304   2013年1月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4778608

  295. Highly selective etching of SiO2 over Si3N4 and Si in capacitivlly coupled plasma employing C5HF7 gas 査読有り

    Yudai Miyawaki, Yusuke Kondo, Makoto Sekine, Kenji Ishikawa, Toshio Hayashi, Keigo Takeda, Hiroki Kondo, and Masaru Hori

    Jpn. J. Appl. Phys.   52 巻 ( 1 ) 頁: 016201   2013年1月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.52.016201

  296. Development of high-density nitrogen radical source for low mosaicity and high rate growth of InGaN films in molecular beam epitaxy 査読有り

    Shang Chen, Yohjiro Kawai, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Hiroyuki Kano, Makoto Sekine, Hiroshi Amano, and Masaru Hori

    Jpn. J. Appl. Phys.   52 巻 ( 1 ) 頁: 021001   2013年1月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.7567/JJAP.52.021001

  297. Development of the sputtering yields of ArF photoresist after the onset of argon ion bombardment 査読有り

    Takuya Takeuchi, Carles Corbella, Simon Grosse-Kreul, Achim von Keudell, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    J. Appl. Phys.   113 巻 ( 1 ) 頁: 014306   2013年1月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4772996

  298. Surface loss probability of H radicals on silicon thin films in SiH4/H2 plasma 査読有り

    Yusuke Abe, Atsushi Fukushima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    J. Appl. Phys.   113 巻 ( 1 ) 頁: 013303   2013年1月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4773104

  299. Individual roles for atoms and ions during hydrogen atom passivation of surface-defects on GaN created by plasma-etching 査読有り

    Shang Chen, Kenji Ishikawa, Yi Lu, Ryosuke Kometani, Hiroki Kondo, Yutaka Tokuda, Takashi Egawa, Hiroshi Amano, Makoto Sekine, and Masaru Hori

    Jpn. J. Appl. Phys.   51 巻 ( 11 ) 頁: 111002-1:6   2012年10月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.51.111002

  300. Critical flux ratio of hydrogen radical to fi lm precursor in microcrystalline silicon deposition for solar cells 査読有り

    Yusuke Abe, Atsushi Fukushima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    Appl. Phys. Lett.   101 巻 ( 17 ) 頁: 172109-1:4   2012年10月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4764065

  301. タングステン加熱触媒体により生成した水素ラジカルによるレジスト用ベースポリマーの分解除去 査読有り

    新井 祐, 渡邉 誠, 河野 昭彦, 山岸 忠明, 石川 健治, 堀 勝, 堀邊 英夫

    高分子論文集   69 巻 ( 6 ) 頁: 266-273   2012年9月

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  302. As-grown deep-level defects in n-GaN grown by metal-organic chemical vapor deposition on freestanding GaN 査読有り

    Shang Chen, Unhi Honda, Tatsunari Shibata, Toshiya Matumura, Yutaka Tokuda, Kenji Ishikawa, Masaru Hori, Hiroyuki Ueda, Tsutomu Uesugi, and Tetsu Kachi

    J. Appl. Phys.   112 巻 ( 5 ) 頁: 053513-1:4   2012年9月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4748170

  303. Real-time In Situ Electron Spin Resonance Measurements on Fungal Spores of Penicillium digitatum during Exposure of Oxygen Plasmas 査読有り

    Kenji Ishikawa, Hiroko Moriyama, Hiromasa Tanaka, Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Sachiko Iseki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    Appl. Phys. Lett.   101 巻 ( 1 ) 頁: 013704-1:4   2012年7月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4733387

  304. Photoluminescence recovery by in-situ exposure of plasma-damaged n-GaN to atomic hydrogen at room temperature 査読有り

    Shang Chen, Yi Lu, Ryosuke Kometani, Kenji Ishikawa, Hiroki Kondo, Yutaka Tokuda, Makoto Sekine, and Masaru Hori

    AIP advance   2 巻 ( 2 ) 頁: 022149-1:6   2012年6月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.4729448

  305. Ultrahigh-Speed Synthesis of Nanographene Using Alcohol In-Liquid Plasma 査読有り

    Tatsuya Hagino, Hiroki Kondo, Kenji Ishikawa, Hiroyuki Kano, Makoto Sekine, Masaru Hori

    Appl. Phys. Express   5 巻 ( 3 ) 頁: 035101-1:3   2012年3月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/APEX.5.035101

  306. Quantum Chemical Investigation of Si Chemical Dry Etching by Flowing NF3 into N2 Downflow Plasma 査読有り

    Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Akihiro Kono, and Koukou Suu

    Jpn. J. Appl. Phys.   51 巻 ( 2 ) 頁: 026505-1:5   2012年2月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.51.026505

  307. Direct current superposed dual-frequency capacitively-coupled-plasma in selective etch of SiOCH over SiC 査読有り

    Tsuyoshi Yamaguchi, Tetsuya Komuro, Chishio Koshimizu, Seigo Takashima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    J. Phys. D: Appl. Phys.   45 巻 ( 2 ) 頁: 025203-1:7   2012年1月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/0022-3727/45/2/025203

  308. Vacuum Ultraviolet and Ultraviolet Radiation-Induced Effect of Hydrogenated Silicon Nitride Etching: Surface Reaction Enhancement and Damage Generation 査読有り

    Masanaga Fukasawa, Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Hiroyasu Matsugai, Takayoshi Honda, Masaki Minami, Fumikatsu Uesawa, Masaru Hori, and Tetsuya Tatsumi

    Jpn. J. Appl. Phys.   51 巻 ( 2 ) 頁: 026201-1:7   2012年1月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.51.026201

  309. Feature Profiles on Plasma Etch of Organic Films by a Temporal Control of Radical Densities and Real-Time Monitoring of Substrate Temperature 査読有り

    Hiroshi Yamamoto, Hiroki Kuroda, Masafumi Ito, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori

      51 巻 ( 1 ) 頁: 016202-1:6   2012年1月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.51.016202

  310. Quantum Chemical Investigation for Chemical Dry Etching of SiO2 by Flowing NF3 into H2 Downflow Plasma 査読有り

    Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Akihiro Kono, and Koukou Suu

    Jpn. J. Appl. Phys.   51 巻 ( 1 ) 頁: 016201-1:6   2012年1月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.51.016201

  311. Electron Spin Resonance (ESR) Observation of Radicals on Biological Organism Interacted with Plasmas 査読有り

    Kenji Ishikawa, Hiroko Moriyama, Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Sachiko Iseki, Hiromasa Tanaka, Keigo Takeda, Hiroki Kondo, Makoto Sekine and Masaru Hori

    MRS Online Proceedings Library   1469 巻   2012年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1557/opl.2012.928

  312. Chemical Bond Modification in Porous SiOCH Films by H2 and H2/N2 Plasmas Investigated by in situ Infrared Reflection Absorption Spectroscopy (IR-RAS) 査読有り

    Hiroshi Yamamoto, Kohei Asano, Kenji Ishikawa, Makoto Sekine, Hisataka Hayashi, Itsuko Sakai, Tokuhisa Ohiwa, Keigo Takeda, Hiroki Kondo, and Masaru Hori

    J. Appl. Phys.   111 巻 ( 12 ) 頁: 1   2011年12月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  313. Inactivation of Penicillium digitatum Spores by a High-Density Ground-State Atomic Oxygen-Radical Source Employing an Atmospheric-Pressure Plasma 査読有り

    Sachiko Iseki, Hiroshi Hashizume, Fengdong Jia, Keigo Takeda, Kenji Ishikawa, Takayuki Ohta, Masafumi Ito, and Masaru Hori

    Appl. Phys. Express   4 巻   頁: 116201   2011年11月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/APEX.4.116201

  314. Impacts of CF+, CF2+, CF3+ and Ar Ion Beam Bombardment with energies from 100eV and 400eV on Surface Modification of Photoresist

    Takuya Takeuchi, Shinpei Amasaki, Hiroki Kondo, Kenji Ishikawa, Hirotaka Toyoda, Makoto Sekine, Song-Yun Kang, Ikuo Sawada, and Masaru Hori

    Jpn. J. Appl. Phys.   50 巻 ( 8 ) 頁: 08JE05-1:5   2011年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.50.08JE05

  315. Analysis of GaN Damage Induced by Cl2/SiCl4/Ar Plasma 査読有り

    Masaki Minami, Shigetaka Tomiya, Kenji Ishikawa, Ryosuke Matsumoto, Shang Chen, Masanaga Fukasawa, Fumikatsu Uesawa, Makoto Sekine, Masaru Hori, and Tetsuya Tatsumi

    Jpn. J. Appl. Phys.   50 巻 ( 8 ) 頁: 08JE03-1:4   2011年8月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.50.08JE03

  316. Spatial Distributions of Electron, CF, CF2 Radical Densities and Gas Temperature in dc-Superposed Dual- Frequency- Capacitively-Coupled Plasma Etch Reactor Employing c-C4F8/N2/Ar gas

    Tsuyoshi Yamaguchi, Tetsuya Kimura, Chishio Koshimizu, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine and Masaru Hori

    Jpn. J. Appl. Phys.   50 巻 ( 5 ) 頁: 0   2011年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1088/0022-3727/45/2/025203

  317. Synergistic Formation of Radicals with Irradiation with both Vacuum Ultraviolet and Atomic Hydrogen: a Real time in situ Electron-Spin-Resonance Study 査読有り

    Kenji Ishikawa, Naoya Sumi, Akihiko Kono, Hideo Horibe, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    J. Phys. Chem. Lett.   2 巻   頁: 1278-1281   2011年5月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1021/jz2002937

  318. H2/N2 Plasma Damage on Porous Dielectric SiOCH Film Evaluated by In-situ Film Characterization and Plasma Diagnostics 査読有り

    Hiroshi Yamamoto, Keigo Takeda, Kenji Ishikawa, Masafumi Ito, Makoto Sekine, Masaru Hori, Takeshi Kaminatsui, Hisataka Hayashi, Itsuko Sakai, and Tokuhisa Ohiwa

    J. Appl. Phys.   109 巻   頁: 084112:1-8   2011年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.3562161

  319. Dissociation Channels of c-C4F8 to CF2 Radical in Reactive Plasma 査読有り

    Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Akihiro Kono, and Koukou Suu

    Jpn. J. Appl. Phys.   50 巻   頁: 036203:1-4   2011年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.50.036203

  320. Laser Scattering Diagnosis of a 60-Hz Non-Equilibrium Atmospheric Pressure Plasma Jet 査読有り

    Fengdong Jia, Naoya Sumi, Kenji Ishikawa, Hiroyuki Kano, Hirotoshi Inui, Jagath Kularatne, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Akihiro Kono, and Masaru Hori

    Appl. Phys. Express   4 巻   頁: 026101:1-3   2011年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/APEX.4.026101

  321. Behaviors of Absolute Densities of N, H and NH3 at Remote Region of High Density Radical Source Employing N2-H2 Mixture Plasmas 査読有り

    Shang Chen, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Makoto Sekine, Hiroyuki Kano, Shoji Den and Masaru Hori

    Jpn. J. Appl. Phys.   50 巻   頁: 01AE03:1-4   2011年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.50.01AE03

  322. Hydrophobic Treatment of Organics against Glass Employing nonequilibrium Atmospheric Pressure Pulsed Plasmas with a Mixture of CF4 and N2 Gases 査読有り

    Hirotoshi Inui, Keigo Takeda, Kenji Ishikawa, Takuya Yara, Tsuyoshi Uehara, Makoto Sekine and Masaru Hori

    J. Appl. Phys.   109 巻   頁: 013310:1-6   2011年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.3525246

  323. Measurement of Hydrogen Radical Density and its Impact on Reduction of Copper Oxide in Atmospheric-Pressure Remote Plasma Using H2 and Ar Mixture Gases

    Hirotoshi Inui, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Sekine Makoto, Hiroyuki Kano, Naofumi Yoshida, and Masaru Hori

    Appl. Phys. Express   3 巻   頁: 126101:1-3   2010年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/APEX.3.126101

  324. Etching Damage in Diamond Studied Using an Energy-Controlled Oxygen Ion Beam 査読有り

    Yuuichi Yamazaki, Kenji Ishikawa, Norikazu Mizuochi, and Satoshi Yamasaki

    Jpn. J. Appl. Phys.   46 巻 ( 1 ) 頁: 60-64   2007年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.46.60

  325. Defect creation in diamond by hydrogen plasma treatment at room temperature 査読有り

    Yuuichi Yamazaki, Kenji Ishikawa, Seiji Samukawa, and Satoshi Yamasaki

    Physica B   376/377 巻   頁: 327-330   2006年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.physb.2005.12.084

  326. Structure of diamond surface defective layer damaged by hydrogen ion beam exposure 査読有り

    Yuuichi Yamazaki, Kenji Ishikawa, Norikazu Mizuochi, and Satoshi Yamasaki

    Diamond Related Mater.   15 巻 ( 4-8 ) 頁: 703-706   2006年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.diamond.2005.12.021

  327. Surface Reactions During Etching of Organic Low-k Films by Plasma of N2 and H2 査読有り

    Kenji Ishikawa, Yoshikazu Yamaoka, Moritaka Nakamura, Yuichi Yamazaki, Satoshi Yamasaki, Yasushi Ishikawa, and Seiji Samukawa

    J. Appl. Phys.   99 巻 ( 8 ) 頁: 083305:1-6   2006年5月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.2191567

  328. Effcient Reduction of Standby Leakage Current in LSIs for Use in Mobile Devices 査読有り

    Hiroshi Kudo, Kenji Ishikawa, Yasuyoshi Mishima, et al.

    Jpn. J. Appl. Phys.   45 巻 ( 4B ) 頁: 3150-3153   2006年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.45.3150

  329. 理想的な界面形成を追求 半導体のドライ洗浄技術 招待有り

    石川健治、安立なつ美

    工業材料   54 巻   頁: 1   2006年

     詳細を見る

    記述言語:日本語  

  330. Structural change in diamond by hydrogen plasma treatment at room temperature 査読有り

    Yuuichi Yamazaki, Kenji Ishikawa, Norikazu Mizuochi, and Satoshi Yamasaki

    Diamond Related Mater.   14 巻 ( 11-12 ) 頁: 1939-1942   2005年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.diamond.2005.09.011

  331. In vacuo measurements of dangling bonds created during Ar-diluted fluorocarbon plasma etching of silicon dioxide films 査読有り

    Kenji Ishikawa, Mitsuru Okigawa, Yasushi Ishikawa, Seiji Samukawa, and Satoshi Yamasaki

    Appl. Phys. Lett.   86 巻   頁: 264104:1-3   2005年6月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.1978982

  332. Mass-analyzed CFx+ (x=1,2,3) ion beam study on selectivity of SiO2-to-SiN etching and a-C:F film deposition 査読有り

    Ken-ichi Yanai, Kazuhiro Karahashi, Kenji Ishikawa, and Moritaka Nakamura

    J. Appl. Phys.   97 巻 ( 5 ) 頁: 053302:1-6   2005年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.1854726

  333. Etching yield of SiO2 irradiated by F+ CFx+ (x=1,2,3) ion with energies from 250 to 2000 eV 査読有り

    Kazuhiro Karahashi, Ken-ichi Yanai, Kenji Ishikawa, Hideo Tsuboi, Kazuaki Kurihara, and Moritaka Nakamura

    J. Vac. Sci. Technol. A   22 巻 ( 4 ) 頁: 1166   2004年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1116/1.1761119

  334. ドライ洗浄技術-半導体製造- 査読有り

    伊藤隆司、杉野林志、石川健治

    精密工学会誌   70 巻   頁: 894   2004年

     詳細を見る

    記述言語:日本語  

    DOI: 10.2493/jjspe.70.894

  335. Transitional change to amorphous fluorinated carbon film deposition under energetic irradiation of mass-analyzed carbon mono-fluoride ions on silicon dioxide surfaces 査読有り

    Kenji Ishikawa, Kazuhiro Karahashi, Hideo Tsuboi, Ken-ichi Yanai, and Moritaka Nakamura

    J. Vac. Sci. Technol. A   21 巻   頁: L1-L3   2003年6月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1116/1.1578653

  336. Surface and gas-phase observations of Ar diluted c-C4F8 plasma by using real-time infrared spectroscopy and planar laser-induced fluorescence 査読有り

    Kenji Ishikawa, Shigenori Hayashi, and Makoto Sekine

    J. Appl. Phys.   93 巻 ( 3 ) 頁: 1403-1408   2003年2月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.1536740

  337. Vapor Treatment of Copper Surface Using Organic Acids 査読有り

    Kenji Ishikawa, Teruo Yagishita and Moritaka Nakamura

    MRS Proceedings   766 巻   2003年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1557/PROC-766-E3.28

  338. In-vacuo electron spin resonance study on amorphous fluorinated carbon films for understanding of surface chemical reactions in plasma etching 査読有り

    Kenji Ishikawa, Shoji Kobayashi, Mitsuru Okigawa, Makoto Sekine, Satoshi Yamasaki, Tetsuji Yasuda, and Junichi Isoya

    Appl. Phys. Lett.   81 巻 ( 10 ) 頁: 1773-1775   2002年9月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.1505121

  339. Planar laser-induced fluorescence of fluorocarbon radicals in oxide etch process plasma 査読有り

    Shigenori Hayashi, Kenji Ishikawa, and Makoto Sekine

    Jpn. J. Appl. Phys.   41 巻 ( 4A ) 頁: 2207-2212   2002年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.41.2207

  340. Direct observation of surface dangling bonds during plasma process: chemical reactions during H2 and Ar plasma treatments 査読有り

    Satoshi Yamasaki, Ujjwal Das, and Kenji Ishikawa

    Thin Solid Films   407 巻 ( 1-2 ) 頁: 139-143   2002年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0040-6090(02)00028-7

  341. Early-stage modification of a silicon oxide surface in fluorocarbon plasma for selective etching over silicon 査読有り

    Kenji Ishikawa, and Makoto Sekine

    J. Appl. Phys.   91 巻 ( 3 ) 頁: 1661-1666   2002年2月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.1430882

  342. In-situ time-resolved infrared spectroscopic study of silicon-oxide surface during selective etching over silicon in fluorocarbon plasma 査読有り

    Kenji Ishikawa, and Makoto Sekine

    Jpn. J. Appl. Phys.   39 巻   頁: 6990-6995   2000年12月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.39.6990

  343. Asymmetric peak line shape on infrared dielectric function spectra of thermally grown silicon dioxide films 査読有り

    Kenji Ishikawa, Kunihiro Suzuki, and Shigeru Okamura

    J. Appl. Phys.   88 巻   頁: 7150-7156   2000年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.1325377

  344. Thickness-deconvolved structural properties of thermally grown silicon dioxide films 査読有り

    Kenji Ishikawa, Hiroki Ogawa, and Shuzo Fujimura

    J. Appl. Phys.   86 巻   頁: 3472-3474   1999年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.371232

  345. Contribution of interface roughness to infrared spectra of thermally grown silicon dioxide films 査読有り

    Kenji Ishikawa, Hiroki Ogawa, and Shuzo Fujimura

    J. Appl. Phys.   85 巻   頁: 4076-4082   1999年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.370313

  346. Analysis of native oxide growth process on an atomically flattened and hydrogen terminated Si(111) surface in pure water using fourier transformed infrared reflection absorption spectroscopy 査読有り

    Shuzo Fujimura, Kenji Ishikawa, and Hiroki Ogawa

    J. Vac. Sci. Technol. A   16 巻 ( 1 ) 頁: 375-381   1998年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1116/1.581008

  347. Dependence of TO and LO mode frequency of thermally grown silicon dioxide films on annealing temperature 査読有り

    Kenji Ishikawa, Yuji Uchiyama, Hiroki Ogawa, and Shuzo Fujimura

    Appl. Surf. Sci.   117/118 巻   頁: 212-215   1997年6月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/S0169-4332(97)80081-5

  348. Infrared spectroscopy study of the RCA standard clean chemical oxides and their sequencing 査読有り

    Carlos Inomata, Hiroki Ogawa, Kenji Ishikawa, and Shuzo Fujimura

    J. Electrochem. Soc.   143 巻 ( 9 ) 頁: 2995-3000   1996年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1149/1.1837138

  349. Initial stage of native oxide growth on hydrogen terminated silicon (111) surfaces 査読有り

    Hiroki Ogawa, Kenji Ishikawa, Carlos Inomata, and Shuzo Fujimura

    J. Appl. Phys.   79 巻 ( 1 ) 頁: 472-477   1996年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1063/1.360853

  350. Observation of Oxygen Exposed Hydrogen Terminated Silicon Surface 査読有り

    Hiroki Ogawa, Kenji Ishikawa, M. Aoki, Shuzo Fujimura, N. Ueno, Yasuhiro Horiike, Y. Harada

    The Physics and Chemistry of SiO2 and the Si-SiO2 Interface 3, edited by H.Z. Massoud, E.H. Poindexter, and C.R. Helms, (The Electrochemical Society, NJ)     頁: 428   1996年

     詳細を見る

    記述言語:英語  

  351. Effects of Dissolved Oxygen in HF Solution on Silicon Surface Morphology 査読有り

    Hiroki Ogawa, Kenji Ishikawa, Miki T. Suzuki, Yuka Hayami, and Shuzo Fujimura

    Jpn. J. Appl. Phys.   34 巻 ( 2B ) 頁: 732-736   1995年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1143/JJAP.34.732

  352. FT-IR-RAS analysis of the structure of the SiO2/Si interface 査読有り

    Kenji Ishikawa, Hiroki Ogawa, Carlos Inomata, Shuzo Fujimura, and Haruhisa Mori

    Control of Semiconductor Interfaces, edited by I. Ohdomari, M. Oshima and A. Hiraki, (Elsevier Science B.V.)     頁: 447   1994年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語  

  353. Native Oxide Characterization on Silicon Surfaces 査読有り

    Hiroki Ogawa, Carlos Inomata, Kenji Ishikawa, Shuzo Fujimura, and Haruhisa Mori

    Control of Semiconductor Interfaces, edited by I. Ohdomari, M. Oshima and A. Hiraki, (Elsevier Science B.V.)     頁: 383   1994年

     詳細を見る

    記述言語:英語  

  354. Observation of Thin SiO2 Films using IR-RAS 査読有り

    Shuzo Fujimura, Kenji Ishikawa, and Haruhisa Mori

    The Physics and Chemistry of SiO2 and the Si-SiO2 Interface 2, edited by C. R. Helms and B. E. Deal, (Plenum Press)     頁: 91   1993年

     詳細を見る

    記述言語:英語  

  355. New Analytical Method of SiO2 Structure by Infrared Reflection Absorption Spectroscopy (IR-RAS) 査読有り

    Kenji Ishikawa, Hiroki Ogawa, Carlos Inomata, Shuzo Fujimura and Haruhisa Mori

    MRS Proceedings   318 巻   頁: 425-431   1993年

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1557/PROC-318-425

▼全件表示

書籍等出版物 11

  1. 高アスペクト比エッチングにおけるプラズマの挙動と表面反応の制御

    石川健治( 担当: 共著 ,  範囲: 第5章 ドライエッチング技術の開発動向とプロセス制御 第3節)

    技術情報協会  2023年9月 

     詳細を見る

    記述言語:日本語 著書種別:学術書

  2. Chapter 2. Physical and chemical basis of non-thermal plasma. In: "Plasma Medical Science"

    Kenji Ishikawa( 担当: 分担執筆 ,  範囲: Chapter 2-1, 2-4, 2-5, 2-6, and 2-8. )

    Academic Press  2018年7月  ( ISBN:9780128150054

     詳細を見る

    総ページ数:485   記述言語:英語 著書種別:学術書

    Plasma Medical Science describes the progress that has been made in the field over the past five years, illustrating what readers must know to be successful. As non-thermal, atmospheric pressure plasma has been applied for a wide variety of medical fields, including wound healing, blood coagulation, and cancer therapy, this book is a timely resource on the topics discussed.

    その他リンク: https://www.amazon.co.jp/gp/product/0128150041

  3. Chapter 5. "Plasma Diagnostics" In: "Cold Plasma in Food and Agriculture, Fundamentals and Applications"

    Kenji Ishikawa( 担当: 分担執筆)

    Academic Press  2016年8月  ( ISBN: 9780128013656

     詳細を見る

    記述言語:日本語

    Cold Plasma in Food and Agriculture: Fundamentals and Applications is an essential reference offering a broad perspective on a new, exciting, and growing field for the food industry. Written for researchers, industry personnel, and students interested in nonthermal food technology, this reference will lay the groundwork of plasma physics, chemistry, and technology, and their biological applications.

    その他リンク: https://www.amazon.co.jp/gp/product/0128013656

  4. 7.2節 表面計測法 In: プラズマプロセス技術

    石川健治,堀勝( 担当: 分担執筆)

    森北出版  2016年5月  ( ISBN:978-4-627-77561-9

     詳細を見る

    記述言語:日本語

    その他リンク: https://www.amazon.co.jp/gp/product/462777561X

  5. 化学便覧 応用化学編 第7版 7.5.2「ドライエッチング」

    堀勝、石川健治( 担当: 単著)

    丸善  2014年1月  ( ISBN:978-4-621-08759-6

     詳細を見る

    記述言語:日本語

  6. ArFフォトレジストのプラズマエッチング技術

    石川健治,堀勝( 担当: 共著)

    技術情報協会  2013年7月 

     詳細を見る

    記述言語:日本語

  7. ドライエッチング In: 「ドライプロセスによる表面処理・薄膜形成(表面技術協会編)」

    石川健治,堀勝( 担当: 共著)

    丸善  2013年5月  ( ISBN:978-4-339-04631-1

     詳細を見る

    記述言語:日本語

    その他リンク: https://www.amazon.co.jp/gp/product/4339046310

  8. 層間絶縁膜の成膜とエッチング

    石川健治,堀勝( 担当: 共著)

    エヌティエス出版  2012年7月  ( ISBN:978-4-86469-039-3

     詳細を見る

    記述言語:日本語

  9. エッチング工程の手法およびレジスト・レジストパターンへの影響

    石川健治,堀勝( 担当: 共著)

    情報機構  2011年9月  ( ISBN:978-4-904080-90-0

     詳細を見る

    記述言語:日本語

  10. 半導体プロセス洗浄方法とその効果

    石川健治( 担当: 共著)

    有機汚染物質/アウトガスの発生メカニズムとトラブル対策事例集(技術情報協会)  2008年 

     詳細を見る

    記述言語:日本語

  11. シリコン基板の洗浄

    石川健治( 担当: 共著)

    エレクトロニクス洗浄技術(技術情報協会)  2007年 

     詳細を見る

    記述言語:日本語

▼全件表示

講演・口頭発表等 236

  1. 液中プラズマによるダングリングボンド形成を通じた六方晶窒化ホウ素への官能基修飾

    井上 健一, 高木 直人, 伊藤 剛仁, 清水 禎樹, 石川 健治, 堀 勝, 寺嶋 和夫

    第70回応用物理学会春季学術講演会 15p-PB03-8  2023年3月15日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:ポスター発表  

    開催地:上智大学四谷キャンパス+オンライン  

  2. プラズマ駆動型科学とは何か~プラズマプロセスの新展開に期待して~ パネルディスカッション

    石川 健治, 浜口 智志, 成田 絵美, 白谷 正治, 冨谷 茂隆, 室賀 駿, 佐藤 孝紀, 野崎 智洋, 吉田 朋子

    第70回応用物理学会春季学術講演会 16p-A402-10  2023年3月16日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学四谷キャンパス+オンライン  

  3. 非平衡大気圧プラズマがゼブラフィッシュに及ぼす影響とその機構解明

    紅林 佑弥, 石川 健治, 田中 宏昌, 秋山 真一, 橋爪 博司, 堀 勝

    第70回応用物理学会春季学術講演会 17p-A409-9  2023年3月17日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学四谷キャンパス+オンライン  

  4. プラズマ活性乳酸リンゲル液によるがん細胞死経路上のオートファジー観察

    山川 太嗣, 石川 健治, 橋爪 博司, 田中 宏昌, 堀 勝

    第70回応用物理学会春季学術講演会 17a-A409-6  2023年3月17日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学四谷キャンパス+オンライン  

  5. カーボンナノウォール足場上での電気刺激重畳培養におけるヒト間葉系幹細胞の形態変化

    小島 悠暉, 近藤 博基, 田中 宏昌, 石川 健治, 橋爪 博司, 堀 勝

    第70回応用物理学会春季学術講演会 17a-A409-2  2023年3月17日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学四谷キャンパス+オンライン  

  6. C2F4の電子物性とPTFEの生成機構

    林 俊雄, 石川 健治, 関根 誠, 堀 勝, 兒玉 直人, 豊田 浩孝

    第70回応用物理学会春季学術講演会 17p-A205-18  2023年3月17日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学四谷キャンパス+オンライン  

  7. F2添加 Ar プラズマを用いた基板昇温下での AlGaN の原子層エッチング

    中村 昭平, 谷出 敦, 灘原 壮一, 石川 健治, 小田 修, 堀 勝

    第70回応用物理学会春季学術講演会 17p-A205-11  2023年3月17日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学四谷キャンパス+オンライン  

  8. 成膜パラメータの寄与度解析に基づく、 水素化アモルファスカーボン薄膜のエッチ耐性の向上

    安藤 悠介, 近藤 博基, 石川 健治, 堤 隆嘉, 関根 誠, 堀 勝

    第70回応用物理学会春季学術講演会 17a-A205-7  2023年3月17日 

     詳細を見る

    開催年月日: 2023年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:上智大学四谷キャンパス+オンライン  

  9. ウエハプロセスのグリーン化イノベーションに向けた戦略的挑戦 招待有り

    堀 勝、関根 誠、石川 健治

    第83回 応用物理学会秋季学術講演会 21p-B104-3  2022年9月21日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:東北大学川内北キャンパス+オンライン  

  10. プラズマ活性乳酸リンゲル液によるマクロファージの形質発現誘導

    出野 雄大、柏倉 慧史、田中 宏昌、石川 健治、橋爪 博司、中村 香江、豊國 伸哉、水野 正明、梶山 広明、堀 勝

    第83回 応用物理学会秋季学術講演会 20a-A106-7  2022年9月20日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東北大学川内北キャンパス+オンライン  

  11. イオン照射角制御によるカーボンナノウォールの配向成長

    射場 信太朗、近藤 博基、石川 健治、堤 隆嘉、平松 美根男、関根 誠、堀 勝

    第83回 応用物理学会秋季学術講演会 23p-B102-5  2022年9月23日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東北大学川内北キャンパス+オンライン  

  12. プラズマ活性溶液による細胞運命の制御 招待有り

    田中 宏昌、水野 正明、石川 健治、梶山 広明、豊國 伸哉、吉川 史隆、堀 勝

    第83回 応用物理学会秋季学術講演会 21p-B200-5  2022年9月21日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:東北大学川内北キャンパス+オンライン  

  13. 非平衡大気圧プラズマを用いた陸上養殖実現に向けた基礎的研究

    紅林 佑弥、石川 健治、田中 宏昌、秋山 真一、橋爪 博司、堀 勝

    第83回 応用物理学会秋季学術講演会 20p-A106-13  2022年9月20日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東北大学川内北キャンパス+オンライン  

  14. 水素化アモルファスカーボン薄膜の合成機構における活性種の寄与度の機械学習を用いた解析

    近藤 博基、黒川 純平、堤 隆嘉、関根 誠、石川 健治、堀 勝

    第83回 応用物理学会秋季学術講演会 23a-B101-3  2022年9月23日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東北大学川内北キャンパス+オンライン  

  15. プラズマアシスト熱サイクル法を用いたSiGeのセルフリミティング性サイクルエッチング

    篠田 和典、三浦 勝哉、前田 賢治、伊澤 勝、NGUYEN Thi-Thuy-Nga、石川 健治、堀 勝

    第83回 応用物理学会秋季学術講演会 22p-A406-4  2022年9月22日 

     詳細を見る

    開催年月日: 2022年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東北大学川内北キャンパス+オンライン  

  16. 稲穂への低温プラズマ照射がもたらす酒造品種玄米の品質向上

    橋爪 博司、北野 英己、水野 寛子、阿部 明子、三田 薫、蕭 世男、湯浅 元気、東野 里江、田中 宏昌、石川 健治、松本 省吾、榊原 均、仁川 進、前島 正義、水野 正明、堀 勝

    第69回応用物理学会春季学術講演会 25p-E105-13  2022年3月24日 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:ハイブリッド,青山学院大学相模原キャンパス  

  17. 網羅的解析に基づくプラズマ活性溶液による細胞死の機構解明

    田中 宏昌、水野 正明、石川 健治、橋爪 博司、中村 香江、梶山 広明、吉川 史隆、岡崎 康昌、豊國 伸哉、堀 勝

    第69回応用物理学会春季学術講演会 25a-E105-8  2022年3月24日 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:ハイブリッド,青山学院大学相模原キャンパス  

  18. プラズマ照射乳酸リンゲル液の抗腫瘍成分の評価

    伊藤 大貴、岩田 直幸、石川 健治、橋爪 博司、中村 香江、ミロン カメリア、田中 宏昌、梶山 広明、豊國 伸哉、水野 正明、堀 勝

    第69回応用物理学会春季学術講演会 25a-E105-7  2022年3月24日 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:ハイブリッド,青山学院大学相模原キャンパス  

  19. 流液への照射によるプラズマ活性溶液の作製と短寿命活性種の測定

    柏倉 慧史、岩田 直幸、石川 健治、橋爪 博司、田中 宏昌、堀 勝

    第69回応用物理学会春季学術講演会 25a-E105-6  2022年3月24日 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:ハイブリッド,青山学院大学相模原キャンパス  

  20. 中性酸素ラジカル源を用いたポリエチレンテレフタレートの生分解速度の向上

    五藤 大智、岩田 直幸、石川 健治、橋爪 博司、田中 宏昌、伊藤 昌文、堀 勝

    第69回応用物理学会春季学術講演会 25a-E105-1  2022年3月24日 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:ハイブリッド,青山学院大学相模原キャンパス  

  21. C3H6/H2プラズマを用いた水素化アモルファスカーボン成膜における成膜前駆体と膜特性の相関関係

    黒川 純平、光成 正、近藤 博基、堤 隆嘉、関根 誠、石川 健治、堀 勝

    第69回応用物理学会春季学術講演会 25p-E104-13  2022年3月24日 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:ハイブリッド,青山学院大学相模原キャンパス  

  22. C4F8/SF6ガス変調サイクルにおいてバイアス印加位相がエッチング形状に及ぼす影響

    吉江 泰斗、堤 隆嘉、石川 健治、近藤 博基、関根 誠、堀 勝

    第69回応用物理学会春季学術講演会 25a-E104-7  2022年3月24日 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:ハイブリッド,青山学院大学相模原キャンパス  

  23. Ar/F2プラズマとBCl3の交互供給によるAlGaN原子層エッチングでの組成比制御

    中村 昭平、谷出 敦、木村 貴弘、灘原 壮一、石川 健治、小田 修、堀 勝

    第69回応用物理学会春季学術講演会 25a-E104-5  2022年3月24日 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:ハイブリッド,青山学院大学相模原キャンパス  

  24. 窒化ガリウムの基板昇温時サイクルエッチング特性

    南 吏玖、中村 昭平、谷出 敦、石川 健治、堤 隆嘉、近藤 博基、関根 誠、堀 勝

    第69回応用物理学会春季学術講演会 25a-E104-4  2022年3月24日 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:ハイブリッド,青山学院大学相模原キャンパス  

  25. プラズマ励起化学気相堆積法におけるカーボンナノウォールの配向成長に対するイオン照射角度の効果

    射場 信太朗、近藤 博基、石川 健治、堤 隆嘉、平松 美根男、関根 誠、堀 勝

    第69回応用物理学会春季学術講演会 24p-D114-8  2022年3月24日 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:ハイブリッド,青山学院大学相模原キャンパス  

  26. 高効率エクソソーム解析に向けたカーボンナノウォールテンプレートの表面電位制御

    橋本 拓海、近藤 博基、田中 宏昌、石川 健治、堤 隆嘉、関根 誠、安井 隆雄、馬場 嘉信、平松 美根男、堀 勝

    第69回応用物理学会春季学術講演会 24p-D114-7  2022年3月24日 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:ハイブリッド,青山学院大学相模原キャンパス  

  27. ラジカル注入型プラズマ励起化学気相堆積法で成長したカーボンナノウォールの3次元構造解析

    近藤 博基、尾崎 敦士、堤 隆嘉、関根 誠、石川 健治、堀 勝、平松 美根男

    第69回応用物理学会春季学術講演会 24p-D114-6  2022年3月24日 

     詳細を見る

    開催年月日: 2022年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:ハイブリッド,青山学院大学相模原キャンパス  

  28. 低温プラズマ処理による高品質イチゴ果実の安定性生産

    橋爪 博司、松本 省吾、坪田 憲紀、三田 薫、水野 寛子、阿部 明子、湯浅 元気、東野 里江、田中 宏昌、石川 健治、伊藤 昌文、北野 英己、榊原 均、仁川 進、大熊 隆之、前島 正義、水野 正明、堀 勝

    The 39th Symposium on plasma processing / 34th Symposium on Plasma Science for Materials (SPP39/SPSM34) L024-AM-A-03  2022年1月24日 

     詳細を見る

    開催年月日: 2022年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン  

  29. C2F6/H2混合ガスを用いてラジカル注入型プラズマ励起化学気相堆積法により成長させたカーボンナノウォールのモフォロジー制御

    橋本 拓海、近藤 博基、石川 健治、堤 隆嘉、関根 誠、平松 美根男、堀 勝

    The 39th Symposium on plasma processing / 34th Symposium on Plasma Science for Materials (SPP39/SPSM34) S025-B-08  2022年1月24日 

     詳細を見る

    開催年月日: 2022年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン  

  30. 液中プラズマプロセスを用いたエタノール内金属基板上へのナノグラフェンの成膜

    デラヴェガマイアシャンリン、近藤 博基、堤 隆嘉、グエンティ・トゥイーンガ、石川 健治、関根 誠、ヴァスケズマグダレノジュニア、堀 勝

    The 39th Symposium on plasma processing / 34th Symposium on Plasma Science for Materials (SPP39/SPSM34) S025-A-11  2022年1月24日 

     詳細を見る

    開催年月日: 2022年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン  

  31. カーボンナノウォールの形態と高さが光透過率に及ぼす影響

    射場 信太朗、近藤 博基、石川 健治、関根 誠、堤 隆嘉、平松 美根男、堀 勝

    The 39th Symposium on plasma processing / 34th Symposium on Plasma Science for Materials (SPP39/SPSM34) S025-A-10  2022年1月24日 

     詳細を見る

    開催年月日: 2022年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン  

  32. 原子状酸素ラジカル処理したポリエチレンテレフタレートの生分解

    五藤 大智、岩田 直幸、石川 健治、橋爪 博司、田中 宏昌、伊藤 昌文、上坂 裕之、堀 勝

    The 39th Symposium on plasma processing / 34th Symposium on Plasma Science for Materials (SPP39/SPSM34) S025-A-02  2022年1月24日 

     詳細を見る

    開催年月日: 2022年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン  

  33. プラズマ活性乳酸リンゲル液によるがん細胞死の分子機構

    田中 宏昌、水野 正明、石川 健治、橋爪 博司、中村 香江、岡崎 康昌、豊國 伸哉、梶山 広明、吉川 史隆、堀 勝

    The 39th Symposium on plasma processing / 34th Symposium on Plasma Science for Materials (SPP39/SPSM34) L024-AM-A-05  2022年1月24日 

     詳細を見る

    開催年月日: 2022年1月

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:オンライン  

  34. Functional nitrogen science for plasma-processing in life and matter 招待有り 国際会議

    Kenji Ishikawa, Toshiro Kaneko, and Masaru Hori

    2021年12月12日  Material Research Society of Japan

     詳細を見る

    開催年月日: 2021年12月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  35. Optical transmission of carbon nanowalls from ultra-violet region to infra-red region 国際会議

    Shintaro Iba, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori

    Material Research Meeting (MRM 2020)  2021年12月12日 

     詳細を見る

    開催年月日: 2021年12月

    会議種別:口頭発表(一般)  

    開催地:Yokohama   国名:日本国  

  36. Enhancement of biodegradation technology of polyethylene terephthalate with plasma-pretreatment 国際会議

    Daichi Goto, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Masafumi Ito, and Masaru Hori

    31st Material Research Society in Japan (MRS-J)  2021年12月12日 

     詳細を見る

    開催年月日: 2021年12月

    会議種別:口頭発表(一般)  

    開催地:Yokohama   国名:日本国  

  37. Plasma-biological reaction networks and aqueous radical chemistry 招待有り 国際会議

    Kenji Ishkawa, Camelia Miron, Takashi Kondo, Hiromasa Tanaka, and Masaru Hori

    31st Material Research Society in Japan (MRS-J)  2021年12月12日 

     詳細を見る

    開催年月日: 2021年12月

    会議種別:口頭発表(招待・特別)  

    開催地:Yokohama   国名:日本国  

  38. Production of plasma-activated Ringer's lactate solution with regulated surrounding atmosphere 国際会議

    Daiki Ito, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Kae Nakamura, Camelia Miron, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori

    31st Material Research Society in Japan (MRS-J)  2021年12月12日 

     詳細を見る

    開催年月日: 2021年12月

    会議種別:口頭発表(一般)  

    開催地:Yokohama   国名:日本国  

  39. Growth promotion of cultured feed Artemia irradiated with low-temperature plasma 国際会議

    Takumi Yamauchi, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Shin'ichi Akiyama, and Masaru Hori

    31st Material Research Society in Japan (MRS-J)  2021年12月12日 

     詳細を見る

    開催年月日: 2021年12月

    会議種別:口頭発表(一般)  

    開催地:Yokohama   国名:日本国  

  40. Rebound Tailing Pulse method for water reformation 国際会議

    Naohiro Shimizu, Ranjit Borude, Reiko Tanaka, Kenji Ishikawa, Osamu Oda, Hiroki Hosoe, Satoru Ino, Yosuke Inoue, and Masaru Hori

    31st Material Research Society in Japan (MRS-J)  2021年12月12日 

     詳細を見る

    開催年月日: 2021年12月

    会議種別:口頭発表(一般)  

    開催地:Yokohama   国名:日本国  

  41. Anti-tumor effect of plasma-activated solution produced by the flowing system 国際会議

    Satoshi Kashiwagura, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Camelia Miron, Kae Nakamura, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori

    31st Material Research Society in Japan (MRS-J)  2021年12月12日 

     詳細を見る

    開催年月日: 2021年12月

    会議種別:口頭発表(一般)  

    開催地:Yokohama   国名:日本国  

  42. Cellular Respiration System Affected by Low-temperature Plasma 国際会議

    Hiromasa Tanaka, Shogo Maeda, Shogo Matsumura, Masaaki Mizuno, Kenji Ishikawa, Masafumi Ito, Hiroshi Hashizume, Mikako Ito, Kinji Ohno, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Yasumasa Okazaki, Shinya Toyokuni, and Masaru Hori

    31st Material Research Society in Japan (MRS-J)  2021年12月12日 

     詳細を見る

    開催年月日: 2021年12月

    会議種別:口頭発表(一般)  

    開催地:Yokohama   国名:日本国  

  43. Effects of cold plasma treatment with rice seedlings in a paddy on yield and grain quality for different cultivars 国際会議

    Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Kaoru Sanda, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori

    31st Material Research Society in Japan (MRS-J)  2021年12月12日 

     詳細を見る

    開催年月日: 2021年12月

    会議種別:口頭発表(一般)  

    開催地:Yokohama   国名:日本国  

  44. Transient effects in cyclic processes on fabrications of high-aspect-ratio trenches 国際会議

    Taito Yoshie, Takayoshi Tsutsumi, Kenji Ishikawa, and Masaru Hori

    42nd International Symposium on Dry Process (DPS)  2021年11月18日 

     詳細を見る

    開催年月日: 2021年11月

    会議種別:口頭発表(一般)  

    開催地:online   国名:日本国  

  45. Atomic layer etching of GaN using F2-added Ar plasma removal of BCl3 modified layer at high temperature 国際会議

    Shohei Nakamura Atsushi Tanide, Takahiro Kimura, Soichi Nadahara, Kenji Ishikawa, and Masaru Hori

    42nd International Symposium on Dry Process (DPS)  2021年11月18日 

     詳細を見る

    開催年月日: 2021年11月

    会議種別:口頭発表(一般)  

    開催地:online   国名:日本国  

  46. Random forest model for property control of plasma deposited hydrogenated amorphous carbon films 国際会議

    Junpei Kurokawa, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    42nd International Symposium on Dry Process (DPS)  2021年11月18日 

     詳細を見る

    開催年月日: 2021年11月

    会議種別:口頭発表(一般)  

    開催地:online   国名:日本国  

  47. Toward plasma cancer therapy and intracellular metabolic modifications by treatments using low-temperature plasma-activated solutions 招待有り 国際会議

    Kenji Ishkawa, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori

    30th International Toki Conference on Plasma and Fusion Research  2021年11月15日 

     詳細を見る

    開催年月日: 2021年11月

    会議種別:口頭発表(招待・特別)  

    開催地:online   国名:日本国  

  48. Floating wire assisted plasma with vapor injection of liquid mixtures for etching titanium compounds 国際会議

    Thi-Thuy-Nga Nguyen, Kazunori Shinoda, Hirotaka Hamamura, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, Kenji Ishikawa, and Masaru Hori

    67th AVS International Symposium and Exhibition  2021年10月24日 

     詳細を見る

    開催年月日: 2021年10月

    会議種別:口頭発表(一般)  

    開催地:online   国名:アメリカ合衆国  

  49. In-situ analysis of surface reactions for plasma-assisted thermal-cyclic atomic layer etching of tantalum nitride 国際会議

    Kazunori Shinoda, M. Hasegawa, H. Hamamura, K. Maeda, K. Yokogawa, M. Izawa, Kenji Ishikawa, and Masaru Hori

    67th AVS International Symposium and Exhibition  2021年10月24日 

     詳細を見る

    開催年月日: 2021年10月

    会議種別:口頭発表(一般)  

    開催地:online   国名:アメリカ合衆国  

  50. 原子層エッチングの反応素過程とその設計、制御 招待有り

    石川 健治, Nguyen Thi-Thuy-Nga, 堤 隆嘉, 蕭 世男, 近藤 博基, 関根 誠, 堀 勝

    第82回応用物理学会秋季学術講演会 11a-S301-5  2021年9月10日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン  

  51. カーボンナノウォールの光透過率に対する壁密度および高さの効果 招待有り

    射場 信太朗, 近藤 博基, 石川 健治, 堤 隆嘉, 平松 美根男, 関根 誠, 堀 勝

    第82回応用物理学会秋季学術講演会 13a-N323-8  2021年9月10日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン  

  52. C3H6/H2プラズマを⽤いたアモルファスカーボン成膜において水素ガス流量比が膜特性に与える影響 招待有り

    ⿊川 純平, 光成 正, 堤 隆嘉, 近藤 博基, 関根 誠, 石川 健治, 堀 勝

    第82回応用物理学会秋季学術講演会 13p-N107-1  2021年9月10日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン  

  53. 低温プラズマ照射した養殖餌料アルテミアの成⻑促進 招待有り

    山内 拓海, 石川 健治, 田中 宏昌, 秋山 真⼀, 橋爪 博司, 堀 勝

    第82回応用物理学会秋季学術講演会 13p-N107-15  2021年9月10日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン  

  54. CNW細胞培養基板上のSiCコートが細胞増殖に与える影響 招待有り

    ⼩野 浩毅, ⼩出 崇史, 石川 健治, 田中 宏昌, 近藤 博基, 鳴瀧 彩絵, ⾦ 勇, 安原 重雄, 堀 勝, 竹内 和歌奈

    第82回応用物理学会秋季学術講演会 13p-N107-1  2021年9月10日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン  

  55. 酸素ラジカル照射したL-トリプトファン溶液の殺菌効果 招待有り

    岩田 直幸, 石川 健治, 橋爪 博司, 田中 宏昌, 伊藤 昌文, 堀 勝

    第82回応用物理学会秋季学術講演会 12p-N204-6  2021年9月10日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン  

  56. 流液への照射によるプラズマ活性溶液の大量作製と抗腫瘍効果の評価 招待有り

    柏倉 慧史, 岩田 直幸, 石川 健治, 橋爪 博司, カメリア ミロン, 中村 香江, 田中 宏昌, 梶山 広明, 豊國 伸哉, 水野 正明, 堀勝

    第82回応用物理学会秋季学術講演会 12p-N204-4  2021年9月10日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン  

  57. Arパージ下でプラズマ照射した乳酸リンゲル液の抗腫瘍効果の評価 招待有り

    伊藤 大貴, 岩田 直幸, 石川 健治, 橋爪 博司, 中村 香江, ミロン カメリア, 田中 宏昌, 梶山 広明, 豊國 伸哉, 水野正明, 堀勝

    第82回応用物理学会秋季学術講演会 12p-N204-3  2021年9月10日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン  

  58. プラズマ活性溶液及びプラズマ照射が細胞呼吸に与える影響 招待有り

    田中 宏昌, 前田 昌吾, 松村 翔伍, 水野 正明, 石川 健治, 伊藤 昌文, 橋爪 博司, 伊藤 美佳⼦, 大野 欽司, 中村 香江, 梶山広明, 吉川史隆, 岡崎泰昌, 豊國伸哉, 堀勝

    第82回応用物理学会秋季学術講演会 12p-N204-2  2021年9月10日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン  

  59. ポリエチレンテレフタラートの新しい生分解プラズマ技術の開発 招待有り

    五藤 大智, 岩田 直幸, 石川 健治, 橋爪 博司, 田中 宏昌, 伊藤 昌文, 堀 勝

    第82回応用物理学会秋季学術講演会 12p-N204-1  2021年9月10日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン  

  60. Ar/C4F8/SF6を⽤いたガス変調サイクルプロセスにおける活性種の挙動 招待有り

    吉江 泰斗, 堤 隆嘉, 石川 健治, 堀 勝

    第82回応用物理学会秋季学術講演会 12a-N102-3  2021年9月10日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン  

  61. 窒化ガリウムのプラズマエッチング中その場分光エリプソメトリー観測 招待有り

    南 吏玖, 石川 健治, 堤 隆嘉, 近藤 博基, 関根 誠, ⼩田 修, 堀 勝

    第82回応用物理学会秋季学術講演会 12a-N102-2  2021年9月10日 

     詳細を見る

    開催年月日: 2021年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    開催地:オンライン  

  62. Low-temperature plasma-activated solutions and metabolic modification 招待有り 国際会議

    Kenji Ishkawa, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori

    5th Asia Pacific Conference on Plasma Physics, Division of Plasma Physics, Association of Asia-Pacific Physical Societies (AAPPS-DPP2021)  2021年9月26日 

     詳細を見る

    開催年月日: 2021年9月 - 2021年10月

    会議種別:口頭発表(招待・特別)  

    開催地:online   国名:日本国  

  63. High ionization of analytes for oxygen doped carbon nanowall in surface-assisted laser desorption ionization mass spectrometry (SALDI-MS) 国際会議

    Kenji Ishikawa, Ryusei Sakai, Tomonori Ichikawa, Hiroki Kondo, Takayuki Ohta, Mineo Hiramatsu, and Masaru Hori

    20th Interfinish World Congress (INTERFINISH2020)  2021年9月6日 

     詳細を見る

    開催年月日: 2021年9月

    会議種別:口頭発表(一般)  

    開催地:online   国名:日本国  

  64. Improvement of Hydrophilic Treatment of Atmospheric Pressure Plasma and its Oxygen Radical Densities 国際会議

    Seigo Takashima, Takahiro Jindo, Kenji Ishikawa, and Masaru Hori

    20th Interfinish World Congress (INTERFINISH2020)  2021年9月6日 

     詳細を見る

    開催年月日: 2021年9月

    会議種別:口頭発表(一般)  

    開催地:online   国名:日本国  

  65. Epitaxial growth of InN film on GaN template by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) 国際会議

    Frank Wilson Amalraj, Arun Kumar Dhasiyan, Naohiro Shimizu, Osamu Oda, Kenji Ishikawa, and Masaru Hori

    20th Interfinish World Congress (INTERFINISH2020)  2021年9月6日 

     詳細を見る

    開催年月日: 2021年9月

    会議種別:口頭発表(一般)  

    開催地:online   国名:日本国  

  66. Deformation properties of carbon nanowalls analyzed by nanoindentation 国際会議

    Swapnil Ghodke, Motoyuki Murashima, Dennis Christy, Ngo Van Nong, Osamu Oda, Noritsugu Umehara, Kenji Ishikawa, and Masaru Hori

    20th Interfinish World Congress (INTERFINISH2020)  2021年9月6日 

     詳細を見る

    開催年月日: 2021年9月

    会議種別:口頭発表(一般)  

    開催地:online   国名:日本国  

  67. Nanosecond high-voltage pulse imposed chemical vapor deposition (ns HV CVD) of sparsely isolated carbon nanowalls 国際会議

    Tomonori Ichikawa, Kenji Ishikawa, Naohiro Shimizu, and Masaru Hori

    20th Interfinish World Congress (INTERFINISH2020)  2021年9月6日 

     詳細を見る

    開催年月日: 2021年9月

    会議種別:口頭発表(一般)  

    開催地:online   国名:日本国  

  68. Development of the nanocarbon coating process on metal surfaces via in-liquid plasma 国際会議

    Ma. Shanlene D.C. Dela Vega, Hiroki Kondo, Takayoshi Tsutsumi, Thi-Thuy-Nga Nguyen, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    20th Interfinish World Congress (INTERFINISH2020)  2021年9月6日 

     詳細を見る

    開催年月日: 2021年9月

    会議種別:口頭発表(一般)  

    開催地:online   国名:日本国  

  69. Development of new biodegradation technology by surface modification of polyethylene terephthalate using atmospheric pressure plasma 国際会議

    Daichi Goto, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Masafumi Ito, and Masaru Hori

    20th Interfinish World Congress (INTERFINISH2020)  2021年9月6日 

     詳細を見る

    開催年月日: 2021年9月

    会議種別:口頭発表(一般)  

    開催地:online   国名:日本国  

  70. Cell Death Mechanisms by Plasma Activated Medium and Plasma Activated Ringer’s Lactate Solution 国際会議

    Masaru Hori, Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Yasumasa Okazaki, Shinya Toyokuni, and Fumitaka Kikkawa

    8th International Conference on Plasma Medicine (ICPM8)  2021年8月2日 

     詳細を見る

    開催年月日: 2021年8月

    会議種別:口頭発表(招待・特別)  

    開催地:online  

  71. Efficacy for Rice Plant Growth with Plasma Irradiation to Seeds 国際会議

    Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori

    8th International Conference on Plasma Medicine (ICPM8)  2021年8月2日 

     詳細を見る

    開催年月日: 2021年8月

    会議種別:口頭発表(一般)  

    開催地:online  

  72. Dynamical Changes in Free-radical Signals of Seeds during Water Imbibition and Seed Germination: Analysis of Plasma-Irradiation Effects Using an Electron Spin Resonance (ESR) Technique 国際会議

    Kenji Ishikawa, Ryo Arita, Takamasa Okumura, Pankaj Attri, Kazunori Koga, Ryoya Sato, Hayate Tanaka, Masaya Hiromatsu, Kayo Matsuo, Daisuke Yamashita, Kunihiro Kamataki, Naho Itagaki, Masaru Hori, and Masaharu Shiratani

    8th International Conference on Plasma Medicine (ICPM8)  2021年8月2日 

     詳細を見る

    開催年月日: 2021年8月

    会議種別:口頭発表(一般)  

    開催地:online  

  73. Analysis of Cellular Respiration in Plasma-activated Solutions-treated Cancer Cells 国際会議

    Hiromasa Tanaka, Shogo Maeda, Masaaki Mizuno, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Yasumasa Okazaki, Shinaya Toyokuni, M. Ito, K. Ohno, Fumitaka Kikkawa, and Masaru Hori

    8th International Conference on Plasma Medicine (ICPM8)  2021年8月2日 

     詳細を見る

    開催年月日: 2021年8月

    会議種別:口頭発表(一般)  

    開催地:online  

  74. Numerical analysis of high-electron-density atmospheric pressure argon streamer under pin-to-plane electrode geometry: Effects of applying voltage polarity 国際会議

    Yosuke Sato, Kenji Ishikawa, Takayoshi Tsutsumi, A. Ui, M. Akita, S. Oka, and Masaru Hori

    47th Conference on Plasma Physics (EPS47)  2021年6月21日 

     詳細を見る

    開催年月日: 2021年6月

    会議種別:口頭発表(一般)  

    開催地:online  

  75. Dependency of bactericidal effect in oxygen-radical exposed E. coli suspension containing L-tryptophan on its concentration 国際会議

    Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Jun-Seok Oh, Masafumi Ito and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021年3月8日 

     詳細を見る

    開催年月日: 2021年3月

    会議種別:口頭発表(一般)  

    国名:日本国  

  76. Effects of non-equilibrium atmospheric pressure plasma on aquaculture feed 国際会議

    Takumi Yamauchi, Kenji Ishikawa, Hiromasa Tanaka, Shin'ichi Akiyama, Hiroshi Hashizume and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021年3月8日 

     詳細を見る

    開催年月日: 2021年3月

    会議種別:口頭発表(一般)  

    国名:日本国  

  77. Efficacy of plasma treatment in a paddy field for yield and grain quality of rice 国際会議

    Kaoru Sanda, Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021年3月8日 

     詳細を見る

    開催年月日: 2021年3月

    会議種別:口頭発表(一般)  

    国名:日本国  

  78. Dependence of nitrogen concentrations on cytotoxicity of air-free Ar-N2 mixed atmospheric pressure plasma-activated lactated solutions 国際会議

    Daiki Ito, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021年3月8日 

     詳細を見る

    開催年月日: 2021年3月

    会議種別:口頭発表(一般)  

    国名:日本国  

  79. Effects on substrate temperature on the etching behaviors of PECVD- and LPCVD-prepared SiN thin films with CF4/H2 plasmas 国際会議

    Shih-Nan Hsiao, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021年3月8日 

     詳細を見る

    開催年月日: 2021年3月

    会議種別:口頭発表(一般)  

    国名:日本国  

  80. Ion-attachment mass spectrometric analysis of odorous gas decomposition by atmospheric pressure plasma 国際会議

    Tatsuyuki Moriyama, Yosuke Sato, Akio Ui, Shotaro Oka, Kenji Ishikawa, Takayoshi Tsutsumi and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021年3月8日 

     詳細を見る

    開催年月日: 2021年3月

    会議種別:口頭発表(一般)  

    国名:日本国  

  81. Study of etching process using gas condensed layer at cryogenic temperature 2. Evaluation of cycle etching using gas condensed layer 国際会議

    Masahiro Hazumi, Suganthamalar Selvaraj, Shih-Nan Hsiao, Chihiro Abe, Toshiyuki Sasaki, Hisataka Hayashi, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021年3月8日 

     詳細を見る

    開催年月日: 2021年3月

    会議種別:口頭発表(一般)  

    国名:日本国  

  82. Study of etching process using gas condensed layer at cryogenic temperature 1. Evaluation of CHF3 condensed layer thickness on SiO2 surface 国際会議

    Suganthamalar Selvaraj, Masahiro Hazumi, Shih-Nan Hsiao, Chihiro Abe, Toshiyuki Sasaki, Hisataka Hayashi, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021年3月8日 

     詳細を見る

    開催年月日: 2021年3月

    会議種別:口頭発表(一般)  

    国名:日本国  

  83. Pressure and flow rate dependence of active species in gas modulation cycle process using Ar/C4F8/SF6 国際会議

    Taito Yoshie, Takayoshi Tsutsumi, Kenji Ishikawa, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021年3月8日 

     詳細を見る

    開催年月日: 2021年3月

    会議種別:口頭発表(一般)  

    国名:日本国  

  84. Quantitative analyses of graphene layer etching using oxygen radicals generated in remote plasma for realization of atomic layer etching 国際会議

    Liugang Hu, Takayoshi Tsutsumi, Thi-Thuy-Nga Nguyen, Shih-Nan Hsiao, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021年3月8日 

     詳細を見る

    開催年月日: 2021年3月

    会議種別:口頭発表(一般)  

    国名:日本国  

  85. Atomic Layer Etching of GaN Using Cl2/Ar Plasma at 400℃ 国際会議

    Shohei Nakamura, Atsushi Tanide, Takahiro Kimura, Soichi Nadahara, Kenji Ishikawa, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021年3月8日 

     詳細を見る

    開催年月日: 2021年3月

    会議種別:口頭発表(一般)  

    国名:日本国  

  86. Initial growth kinetics of hydrogenated amorphous carbon films observed by real-time ellipsometry 国際会議

    Jumpei Kurokawa, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi,Makoto Sekine and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021年3月8日 

     詳細を見る

    開催年月日: 2021年3月

    会議種別:口頭発表(一般)  

    国名:日本国  

  87. IR spectra of monosaccharide treated with atmospheric pressure plasma using sum frequency generation spectroscopy 国際会議

    Yuta Yoshida, Takayuki Ohta, Kenji Ishikawa, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021年3月8日 

     詳細を見る

    開催年月日: 2021年3月

    会議種別:口頭発表(一般)  

    国名:日本国  

  88. Measurements of negative ion density and plasma parameters in Ar/O2/C4F8 etching plasmas by Langmuir probe-assisted laser photodetachment 国際会議

    B. B. Sahu, S.Hattori, T. Tsutsumi, N. Britun, Makoto Sekine, Kenji Ishikawa, H. Tanaka,T. Gohira, Y. Ohya, Noriyasu Ohno, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021年3月8日 

     詳細を見る

    開催年月日: 2021年3月

    会議種別:口頭発表(一般)  

    国名:日本国  

  89. Selective killing effects of organics in plasma-activated Ringer's solutions 国際会議

    Yuki Suda, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021年3月8日 

     詳細を見る

    開催年月日: 2021年3月

    会議種別:口頭発表(一般)  

    国名:日本国  

  90. Reversible change in surface morphology of lipid bilayer induced by indirect plasma irradiation 国際会議

    Hiroki Kondo, Takuya Tonami, Sotaro Yamaoka, Hiromasa Tanaka, Kenji Ishikawa, Makoto Sekine, Masafumi Ito and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021年3月8日 

     詳細を見る

    開催年月日: 2021年3月

    会議種別:口頭発表(一般)  

    国名:日本国  

  91. Enhancement of alcohol production of budding yeast by direct irradiation of nonequilibrium atmospheric pressure plasma 国際会議

    Shogo Matsumura, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Masafumi Ito, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021年3月8日 

     詳細を見る

    開催年月日: 2021年3月

    会議種別:口頭発表(一般)  

    国名:日本国  

  92. Effect of wall-to-wall distance of carbon nanowalls on survival yield in surface assisted laser desorption/ionization mass spectrometry 国際会議

    Ryusei Sakai, Hiroki Kondo, Kenji Ishikawa, Takayuki Ohta, Mineo Hiramatsu, Naohiro Shimizu, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021年3月8日 

     詳細を見る

    開催年月日: 2021年3月

    会議種別:口頭発表(一般)  

    国名:日本国  

  93. Quality increase of fruits with plasma treatment on strawberry cultivation 国際会議

    Hiroshi Hashizume, Shogo Matsumoto, Kenki Tsubota, Kaoru Sanda, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Masafumi Ito, Hidemi Kitano, Hitoshi Sakakibara, Susumu Nikawa, Takayuki Okuma, Masayoshi Maeshima,Masaaki Mizuno, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021年3月8日 

     詳細を見る

    開催年月日: 2021年3月

    会議種別:口頭発表(一般)  

    国名:日本国  

  94. Design of removal process of SnO2 on glass by H2/Ar plasma at atmospheric pressure and medium pressure 国際会議

    Thi-Thuy-Nga Nguyen, Minoru Sasaki, Takayoshi Tsutsumi, Kenji Ishikawa, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021年3月8日 

     詳細を見る

    開催年月日: 2021年3月

    会議種別:口頭発表(一般)  

    国名:日本国  

  95. Crystalline structures and local electrical conductivity at crossing points of carbon nanowalls 国際会議

    Atsushi Ozaki, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021年3月8日 

     詳細を見る

    開催年月日: 2021年3月

    会議種別:口頭発表(一般)  

    国名:日本国  

  96. A comparative study on inn growth at very high frequencies (VHF) by radical enhanced metalorganic chemical vapor deposition (REMOCVD) 国際会議

    Frank Wilson Amalraj, Naohiro Shimizu, Osamu Oda, Kenji Ishikawa, and Masaru Hori

    13th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 14th International Conference on Plasma-Nano Technology & Science (ISPlasma2021/IC-PLANTS2021)  2021年3月8日 

     詳細を見る

    開催年月日: 2021年3月

    会議種別:口頭発表(一般)  

    国名:日本国  

  97. Plasma applications for agriculture from seeds to field 国際会議

    Hiroshi Hashizume, Hiroko Mizuno, Akiko Abe, Kenki Tsubota, Genki Yuasa, Satoe Tohno, Mikiko Kojima, Yumiko Takebayashi, Hiromasa Tanaka, Kenji Ishikawa, Masafumi Ito, Hidemi Kitano, Shogo Matsumoto, Hitoshi Sakakibara, Takayuki Okuma, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori

    3rd international workshop on plasma agriculture (IWOPA3) Greifswald, Germany. online, March 1-3, 2021  2021年3月1日 

     詳細を見る

    開催年月日: 2021年3月

    国名:ドイツ連邦共和国  

  98. Roles of seed pigments in responses of seeds to plasma treatment 国際会議

    Kazunori Koga, Pankaj Attri, Ryo Arita, Ryoya Sato, Hayate Tanaka, Masaya Hiromatsu, Kayo Matsuo, Daisuke Yamashita, Kenji Ishikawa, Naho Itagaki, Kunihiro Kamataki, Masaharu Shiratani, and Vida Mildaziene

    3rd international workshop on plasma agriculture (IWOPA3) Greifswald, Germany. online, March 1-3, 2021  2021年3月1日 

     詳細を見る

    開催年月日: 2021年3月

    会議種別:口頭発表(一般)  

    国名:ドイツ連邦共和国  

  99. Electron spin resonace study on germination dynamics of plasma-activated seeds of radish sprouts 国際会議

    Kenji Ishikawa, Ryo Arita, Ryoya Sato, Hayate Tanaka, Masaya Hiromatsu, Tomoaki Yoshida, Pankaj Attri, Kunihiro Kamataki, Naho Itagaki, Daisuke Yamashita, Kayo Matsuo, Kazunori Koga, and Masaharu Shiratani

    3rd international workshop on plasma agriculture (IWOPA3) Greifswald, Germany. online, March 1-3, 2021  2021年3月1日 

     詳細を見る

    開催年月日: 2021年3月

    会議種別:口頭発表(一般)  

    国名:ドイツ連邦共和国  

  100. (Plenary) Plasma-surface interactions in plasma etching of future device fabrication 国際会議

    Kenji Ishikawa, Y. Miyawaki, T. Takeuchi, K. Takeda, S. Tajima, H. Kondo, T. Hayashi, M. Sekine, and M. Hori

    The 16th International Workshop on Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2013年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  101. Plasma-Biological Surface Interaction for Food Hygiene: Real-time in situ electron spin resonance measurements 国際会議

    Kenji Ishikawa, H. Mizuno, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Sekine, and M. Hori

    The 34th International Symposium on Dry Process (DPS) 

     詳細を見る

    開催年月日: 2012年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  102. A High Temperature Plasma Etching of GaN and Its Reaction Mechanism 国際会議

    R. Kometani, S. Chen, M. Liu, Kenji Ishikawa, H. Kondo, K. Takeda, T. Egawa, H. Amano, M. Sekine, and M. Hori

    The 34th International Symposium on Dry Process (DPS) 

     詳細を見る

    開催年月日: 2012年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  103. Studies on Plasma Etching of Si3N4 in Capacitively Coupled Plasma employing C5HF7 国際会議

    Y. Miyawaki, Y. Kondo, M. Sekine, Kenji Ishikawa, T. Hayashi, K. Takeda, A. Ito, H. Matsumoto, and M. Hori

    The 34th International Symposium on Dry Process (DPS) 

     詳細を見る

    開催年月日: 2012年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  104. A reduction of degradation on ArF photoresist by C5HF7 plasma etching and its mechanism 国際会議

    K. Asano, Y. Miyawaki, Kenji Ishikawa, M. Sekine, K. Takeda, A. Ito, H. Matsumoto, H. Kondo, and M. Hori

    The 34th International Symposium on Dry Process (DPS) 

     詳細を見る

    開催年月日: 2012年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  105. Quantum chemical investigations for excitation dissociations of C5F8 and C5HF7 etching gases 国際会議

    T. Hayashi, Kenji Ishikawa, M. Sekine, M. Hori

    The 34th International Symposium on Dry Process (DPS) 

     詳細を見る

    開催年月日: 2012年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  106. Highly selective etching of gap-fill dielectrics over SiC and SiN by the dc-bias superposed dual-frequency CCP 国際会議

    T. Komuro, K. Takeda, Kenji Ishikawa, M. Sekine, Y. Ohya, H. Kondo, and M. Hori

    The 34th International Symposium on Dry Process (DPS) 

     詳細を見る

    開催年月日: 2012年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  107. Photon-stimulated surface reaction and generation of damage to hydrogenated silicon nitride in fluorocarbon plasma 国際会議

    M. Fukasawa, H. Matsugai, T. Honda, Y. Miyawaki, Y. Kondo, K. Takeda, H. Kondo, Kenji Ishikawa, M. Sekine, K. Nagahata, F. Uesawa, M. Hori, and T. Tatsumi

    The 34th International Symposium on Dry Process (DPS) 

     詳細を見る

    開催年月日: 2012年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  108. An in-situ sequential H and N radical exposure process for recovery of plasma-damaged GaN 国際会議

    Z. Liu, S. Chen, Y. Lu, R. Kometani, Kenji Ishikawa, H. Kano, K. Takeda, H. Kondo, M. Sekine, T. Egawa, H. Amano, and M. Hori

    The 34th International Symposium on Dry Process (DPS) 

     詳細を見る

    開催年月日: 2012年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  109. High performances of microcrystalline Si thin film formation for a solar cell by measurement and control of hydrogen radicals in the SiH4/H2 plasma 国際会議

    Y. Abe, A. Fukushima, Y. Lu, Y. Kim, K. Takeda, H. Kondo, Kenji Ishikawa, M. Sekine, and M. Hori

    The 34th International Symposium on Dry Process (DPS) 

     詳細を見る

    開催年月日: 2012年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  110. Evaluation of gas-surface reaction dynamics during the plasmaless Si etching using NO/F2 gas mixture 国際会議

    S. Tajima, T. Hayashi, Kenji Ishikawa, M. Sekine, and M. Hori

    The 34th International Symposium on Dry Process (DPS) 

     詳細を見る

    開催年月日: 2012年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  111. (INVITED) Real time In Situ Electron Spin Resonance (ESR) Study of Free Radicals on Materials Created by Plasmas 国際会議

    Kenji Ishikawa,

    American Vacuum Society (AVS) 

     詳細を見る

    開催年月日: 2012年10月 - 2012年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  112. Investigation of Plasma-Surface Interactions Between Hydrogen Radical and Chemically Amplified Photoresist 国際会議

    Arkadiusz Malinowski, Makoto Sekine, Kenji Ishikawa,

    American Vacuum Society (AVS) 

     詳細を見る

    開催年月日: 2012年10月 - 2012年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  113. Evaluation of Surface Chemical Bonding State and Surface Roughness of Chemical Dry Etched Si using NO and F2 Gas Mixture 国際会議

    Satomi Tajima, Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori

    American Vacuum Society (AVS) 

     詳細を見る

    開催年月日: 2012年10月 - 2012年11月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  114. Control of Surface Properties on Plasma-Etched Gallium Nitride (GaN) 国際会議

    Makoto Sekine, Ryosuke Kometani, Kenji Ishikawa,

    American Vacuum Society (AVS) 

     詳細を見る

    開催年月日: 2012年10月 - 2012年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  115. Subsequent Temporal Change of Gaseous H and N Radical Density in Plasma after Different Processes 国際会議

    Toshiya Suzuki, Kenji Ishikawa,

    American Vacuum Society (AVS) 

     詳細を見る

    開催年月日: 2012年10月 - 2012年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  116. (Coburn Winters Finalist) Mechanism of Generating Ions and Radicals in Fluorocarbon Plasma Investigated by Reaction Model Analysis 国際会議

    Yusuke Kondo, Kenji Ishikawa,

    American Vacuum Society (AVS) 

     詳細を見る

    開催年月日: 2012年10月 - 2012年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  117. Measurement of activated species generated by 60 Hz excited atmospheric pressure Ar plasma in atmospheric gas 国際会議

    Keigo Takeda, Jerome Jolibois, Kenji Ishikawa, Hiromasa Tanaka, Hiroyuki Kano, Makoto Sekine, and Masaru Hori

    65th Annual Gaseous Electronics Conference (GEC) 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  118. Reaction model for etching surface interacted with hydrofluorocarbon plasmas 国際会議

    Kenji Ishikawa, Yusuke Kondo, Yudai Miyawaki, Toshio Hayashi, Makoto Sekine, Keigo Takeda, Hiroki Kondo, and Masaru Hori

    65th Annual Gaseous Electronics Conference (GEC) 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  119. Study on synthesis processes and crystallinity changes of nanographene materials synthesized by alcohol liquid-plasma 国際会議

    Hiroki Kondo, Tatusya Hagino, Keigo Takeda, Kenji Ishikawa, Hiroyuki Kano, Makoto Sekine, and Masaru Hori

    65th Annual Gaseous Electronics Conference (GEC) 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  120. Precise plasma process control based on combinatorial plasma etching 国際会議

    Makoto Sekine, Toshiya Suzuki, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Yuichi Setsuhara, Masaharu Shiratani, and Masaru Hori

    65th Annual Gaseous Electronics Conference (GEC) 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  121. Temperature measurement of substrate with a thin film using low-coherence interference 国際会議

    Takayoshi Tsutsumi, Takehiro Hiraoka, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, and Masaru Hori

    65th Annual Gaseous Electronics Conference (GEC) 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  122. (Invited) Healing Process of Plasma Damaged Gallium Nitride (GaN) 国際会議

    Kenji Ishikawa, Shang Chen,

    International conference on emerging advanced nanomaterials (ICEAN) 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  123. Study of the decomposition mechanism of PMMA-type polymers by hydrogen radicals 国際会議

    Yu Arai, Yusuke Noto, Yousuke Goto, Seiji Takahashi, Akihiko Kono, Tatsuo Ishijima, Kenji Ishikawa, Masaru Hori, and Hideo Horibe

    7th International Conference on Hot-Wire Chemical Vapor Deposition (HWCVD-7) 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  124. Estimation of activation energies for decomposition reaction of polymer by hydrogen radicals generated using hot-wire catalyzer 国際会議

    Akihiko Kono, Yu Arai, Yousuke Goto, Seiji Takahashi, Kenji Ishikawa, Masaru Hori, and Hideo Horibe

    7th International Conference on Hot-Wire Chemical Vapor Deposition (HWCVD-7) 

     詳細を見る

    開催年月日: 2012年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  125. Real-time electron-spin-resonance measurement of plasma induced surface interactions 国際会議

    Naoya Sumi, Kenji Ishikawa, Akihiko Kono, Hideo Horibe, Keigo Takeda, Hiroki Kondo, Makoto Sekine and Masaru Hori

    3rd International Symposium on Advance Plasma Science and its Application (ISPlasma2010) 

     詳細を見る

    開催年月日: 2011年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  126. (INVITED) Surface analysis of chemical reactions during plasma etching

    Kenji Ishikawa, et al.

    133th Workshop on Silicon Technology 

     詳細を見る

    開催年月日: 2011年2月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  127. (INVITED) Real-time Electron-Spin-Resonance Study of Plasma-Surface interaction 国際会議

    Kenji Ishikawa, Naoya Sumi, Akihiko Kono, Hideo Horibe, Keigo Takeda, Hiroki Kondo, Makoto Sekine and Masaru Hori

    12th International Workshop of Advanced Plasma Processing and Diagnostics Joint Workshop 

     詳細を見る

    開催年月日: 2011年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  128. (INVITED) In line Electron Spin Resonance Study of Plasma-Surface Interaction for plasma etching

    Kenji Ishikawa, Makoto Sekine, Masaru Hori

    20th MRS-Japan Academic Symposium, Session A: Frontier of Nano-Materials Based on Advanced Plasma Technologies 

     詳細を見る

    開催年月日: 2010年12月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  129. Polymer Surface Modification: Vibrational Sum Frequency Generation Study for Plasma Etching 国際会議

    Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori

     詳細を見る

    開催年月日: 2010年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:アメリカ合衆国  

  130. (INVITED) In line electron spin resonance observation of surface reactions during plasma etching

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  131. エタノールを用いた気液プラズマによるカーボンナノ材料の作製

    萩野達也,乾裕俊,加納浩之,石川建治,竹田圭吾,近藤博基,関根誠,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 14a-ZK-6" 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  132. 単一カーボンナノウォールの電気特性

    神田貴幸,山川晃司,竹田圭吾,石川健冶,近藤博基,平松美根男,関根誠,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 16a-ZQ-6" 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  133. カーボンナノウォールの成長に対する基板形状の効果

    渡邊均,近藤博基,石川健治,竹田圭吾,関根誠,堀勝,平松美根男

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 16p-ZM-3" 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  134. 和周波振動分光(SFG)によるポリフッ化ビニリデンの分極配向特性評価

    石川健治,河野昭彦,堀邊英夫,竹田圭吾,近藤博基,関根誠,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 14a-K-2" 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  135. Spatial distribution measurement of the electron temperature and density of 60 Hz nonequilibrium atmospheric pressure plasma by laser Thomson scattering

    賈鳳東,鷲見直也,石川健治,加納浩之,乾裕俊,竹田圭吾,近藤博基,関根誠,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 15p-D-4" 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  136. プラズマによるGaNエッチング損傷と反応機構の解析

    陳尚,米谷亮祐,竹田圭吾,石川健治,近藤博基,加納浩之,徳田豊,関根誠,節原裕一,江川孝志,天野浩,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 17a-ZA-3" 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  137. 高精度Cl2プラズマビームを用いたGaNエッチング表面反応の解明

    米谷亮祐,陳尚,竹田圭吾,石川健治,近藤博基,関根誠,江川孝志,節原裕一,天野浩,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 17a-ZA-2" 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  138. リアルタイム電子スピン共鳴によるプラズマ誘起表面反応の解析

    鷲見直也,石川健冶,河野昭彦,堀邊英夫,竹田圭吾,近藤博基,関根誠,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 16p-ZA-13" 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  139. O2プラズマ曝露によるポーラスSiOCH 膜へのダメージ発生メカニズム

    浅野高平,山本洋,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 16p-ZA-12" 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  140. 二周波容量結合型エッチングプラズマにおける上部電極へのDCバイアス印加効果(III)

    山口剛,竹田圭吾,輿水地塩,近藤博基,石川健治,関根誠,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 16p-ZA-9" 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  141. サブナノメーター形状揺らぎ制御有機膜エッチングのための主要因子の解明

    鈴木俊哉,竹田圭吾,近藤博基,石川健治,関根 誠,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 16p-ZA-8" 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  142. C5HF7/O2/ArプラズマによるArFレジスト表面ラフネス発生抑制機構

    山本洋,宮脇雄大,竹田圭吾,石川健治,近藤博基,関根誠,堀勝,伊東安曇,松本裕一

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 16p-ZA-6" 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  143. ガスデザインに基づいたSiO2膜エッチングとその機構解明(III)

    宮脇雄大,近藤祐介,竹田圭吾,伊東安曇,松本裕一,近藤博基,石川健治,関根誠,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 16p-ZA-5" 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  144. フルオロカーボンプラズマビームによるフォトレジスト表面改質層の解析

    竹内拓,尼崎新平,竹田圭吾,石川健治,近藤博基,豊田浩孝,関根誠,堀勝,康松潤,沢田郁夫

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 16p-ZA-4" 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  145. ラジカルが発生し易いエッチングガスと分子構造

    林俊雄,石川健治,関根誠,堀勝,河野明廣,鄒弘綱

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 16p-ZA-2" 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  146. C3F6O代替ガスを用いた絶縁膜の高速エッチングとその機構解明

    近藤祐介,宮脇雄大,竹田圭吾,石川健治,近藤博基,林俊雄,関根誠,岡本秀一,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 16p-ZA-1" 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  147. Radical Transport Simulation under Roof on Substrate in Processing Plasma

    アルカディウス マリノフスキ,堀勝,関根誠,石川健治,近藤博基,山本洋,竹内拓也,鈴木俊哉,宮脇雄大,リディア ルカシャック,アンジェイ ヤクボフスキ,ダニエル トマシェフスキ

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 16a-ZA-7" 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  148. SF6/O2プラズマを用いたSiエッチング機構

    尼崎新平,竹内拓也,竹田圭吾,石川健治,近藤博基,関根誠,堀勝,櫻井典子,林久貴,酒井伊都子,大岩徳久

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学),16a-ZA-3" 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  149. H2/Ar大気圧プラズマによる酸化銅還元反応の検討

    乾裕俊,吉田直史,竹田圭吾,近藤博基,石川健治,関根誠,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学),16p-ZF-10" 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  150. アモルファスカーボン膜の結晶構造に対する成長温度の効果

    木野徳重,近藤博基,石川健治,関根誠,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 16p-ZF-3" 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  151. N原子注入によるアモルファスカーボン膜の結晶性制御

    九鬼淳,木野徳重,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 16p-ZF-2" 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  152. 水素ラジカル注入型プラズマ源を用いた微結晶シリコン薄膜の成膜

    川嶋翔,阿部祐介,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 16a-ZF-8" 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  153. 非平衡大気圧プラズマによるミドリカビ殺菌速度の酸素ラジカル密度依存性

    井関紗千子,太田貴之,伊藤昌文,加納浩之,東島康裕,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 15p-D-17" 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  154. 非平衡大気圧プラズマにおける原子状ラジカルの挙動に関する研究

    加藤正規,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 15p-D-16" 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  155. 太陽電池用シリコン薄膜プラズマプロセスにおける水素原子表面損失確率(II)

    阿部祐介,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    "2010年秋季 第71回 応用物理学会学術講演会(長崎大学), 15p-D-11" 

     詳細を見る

    開催年月日: 2010年9月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  156. Modification of Si-O-Si Structure in Porous SiOCH Films by O2 plasma 国際会議

    Hiroshi Yamamoto, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Masaru Hori

    The 11th International Workshop on Advanced Plasma Processing and Diagnostics, Ramada Jeju Hotel, Jeju, Korea 

     詳細を見る

    開催年月日: 2010年7月

    記述言語:英語   会議種別:口頭発表(一般)  

  157. Control in optical properties of amorphous carbon films synthesized by plasma enhanced chemical vapor deposition for solar cell applications

    International Symposium on Technology Evolution for Silicon Nano-Electronics, Tokyo Institute of Technology, Tokyo, Japan 

     詳細を見る

    開催年月日: 2010年6月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  158. イオン照射誘起深い準位欠陥の水素ラジカルによる不活性化

    陳尚,永江陽一,石川健治,中井雅文,加納浩之,竹田圭吾,近藤博基,徳田豊,関根誠,堀勝

    2010年春季 第57回 応用物理学関係連合講演会(東海大学), 17p-D-7 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  159. プラズマCVD法における微結晶シリコン薄膜形成のメカニズム解明

    川嶋翔,阿部祐介,竹田圭吾,石川健治,近藤博基,関根誠,堀  勝

    2010年春季 第57回 応用物理学関係連合講演会(東海大学), 19a-ZB-8 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  160. ポーラスSiOCH low-k膜へのH2/N2プラズマアッシングダメージ発生機構の解明(II)

    山本洋,竹田圭吾,石川健治,近藤博基,関根誠,堀勝,上夏井健,林久貴,酒井伊都子,大岩徳久

    2010年春季 第57回 応用物理学関係連合講演会(東海大学), 18a-ZD-8 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  161. 反応性プラズマ中におけるc-C4F8の解離過程

    林俊雄,石川健治,関根誠,堀勝,河野明廣,鄒弘綱

    2010年春季 第57回 応用物理学関係連合講演会(東海大学), 18a-ZD-10 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:日本語  

  162. 反応性プラズマ中におけるC2F4の解離過程

    林俊雄,石川健治,関根誠,堀勝,河野明廣,鄒弘綱

    2010年春季 第57回 応用物理学関係連合講演会(東海大学), 19a-ZB-11 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  163. O2プラズマおよびCO2プラズマによるレジストアッシング機構

    阿部祐介,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    2010年春季 第57回 応用物理学関係連合講演会(東海大学), 18p-ZD-2 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  164. SF6/O2プラズマによるフォトレジスト表面改質層の解析

    竹内拓也,尼崎新平,竹田圭吾,石川健治,近藤博基,関根誠,堀勝

    2010年春季 第57回 応用物理学関係連合講演会(東海大学), 18p-ZD-3 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  165. ガスデザインに基づいたSiO2膜エッチングとその機構解明(II)

    宮脇雄大,竹田圭吾,伊東安曇,中村昌洋,石川健治,関根誠,堀勝

    2010年春季 第57回 応用物理学関係連合講演会(東海大学), 18p-ZD-9 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  166. ポーラスSiOCH膜プラズマ処理後の大気曝露の影響(II)

    鈴木俊哉,山本洋,竹田圭吾,近藤博基,石川健治,関根誠,堀勝

    2010年春季 第57回 応用物理学関係連合講演会(東海大学), 18p-ZD-10 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  167. 誘導結合型H2/N2プラズマによる有機low-k薄膜のための小型コンビナトリアルプラズマエッチングプロセス

    堀勝,チャンソン ムン,竹田圭吾,関根誠,節原裕一,白谷正治,石川健治,近藤博基

    2010年春季 第57回 応用物理学関係連合講演会(東海大学), 18p-ZD-18 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:日本語   会議種別:口頭発表(一般)  

    国名:日本国  

  168. 和周波振動分光によるプラズマ処理表面の解析

    石川健治,竹田圭吾,近藤博基,関根誠,堀勝

    2010年春季 第57回 応用物理学関係連合講演会(東海大学), 19a-R-14 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  169. Dissociation channel of c-C4F8 to CF2 radical in reactive plasma

    The 3rd International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2010), Meijo University, Nagoya Japan 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  170. Quasi-Bragg grating with sub-wavelength particles

    The 3rd International Conference on PLAsma-Nano Technology & Science (IC-PLANTS2010), Meijo University, Nagoya Japan 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  171. Radical Kinetics in N2-H2 Plasma Generated by Novel High Density Radical Source

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  172. Siloxane Polymer Surface Modifications by Exposure of Plasma-Beams: A Vibrational Sum-Frequency Generation Spectroscopy (SFG) Study

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  173. Fabrication of carbon nanomaterials synthesized by plasma enhanced chemical vapor deposition for solar cell applications

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  174. Diagnostics in High Pressure SiH4/H2 Plasma for Deposition of Microcrystalline Si

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  175. Synthesis of Amorphous Carbon Films using Nonequilibrium Atmosperic-Pressure Plasma

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  176. Low-Temperature Treatment Using High-Density Non-Equilibrium Atmospheric of Pressure Plasma

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  177. Effect of Plasma Surface Treatments on Supporting of Platinum Nanoparticles to Graphite Materials in Supercritical Carbon Dioxide

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:日本語   会議種別:ポスター発表  

    国名:日本国  

  178. Effect of Ion Irradiation on Carbon Nanowalls Growth

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  179. Initial Nucleation in Carbon Nnowalls Growth on Si and SiO2 Surface

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  180. Effect of Oxygen Etching on the Morphologies of Carbon Nanowalls

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  181. Measurement of Si Wafer Temperature with Metal Thin Film during Plasma Process Using Low-Coherence Interferometer

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  182. Deep-Level Defect Passivation by High Density Hydrogen Radical Exposure on Ion Irradiated Si

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  183. Analysis of ArF Photoresist Modified by Fluorocarbon Ion Bombardment

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  184. SiO2 Cotact Hole Etch Mechanism Using Environment-Friendly New Gas, C5F7H

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  185. Porous SiOCH Low-k Film Etch Process and its Surface Reactions Employing an Alternative Fluorocarbon Gas C5F10O

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  186. Modeling of Radical Tranformation under `PAPE' Structure and Method of Estimation for Surface Loss Probabilities of Radicals

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  187. Measurement of H Radical Density in H2/Ar Nonequilibrium Atmospheric Pressure Plasma

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  188. Surface Loss Probabilities of H Atom on Various Silicon Thin Films

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  189. A Well-Established Compact Combinatorial Etching Process Employing Inductively Coupled H2/N2 Plasma

    The 2nd International Symposium on Advance Plasma Science and its Application (ISPlasma2010), Meijo University, Nagoya Japan 

     詳細を見る

    開催年月日: 2010年3月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  190. Nanoscale engineering for plasma etching of future device fabrication 国際会議

    The 10th International Workshop of Advanced Plasma Processing and Diagnostics 

     詳細を見る

    開催年月日: 2010年1月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  191. A new framework for performance prediction of advanced MOSFETs with plasma-induced recess structure and latent defect site 国際会議

    2008 IEEE International Electron Devices Meeting (IEDM), (San Francisco, U.S.A., December 15-17, 2008), 18-2, pp. 443-447. 

     詳細を見る

    開催年月日: 2008年12月

    記述言語:英語   会議種別:口頭発表(一般)  

  192. Enhancing Yield and Reliability by Applying Dry Organic Acid Vapor Cleaning to Copper Contact Via-Bottom for 32-nm Nodes and Beyond 国際会議

    The 11th International Interconnect Technology Conference (IITC) 2008, (San Francisco, June 10-12, 2008), pp. 93-96. 

     詳細を見る

    開催年月日: 2008年6月

    記述言語:英語   会議種別:口頭発表(一般)  

  193. Reaction mechanism of low-temperature damageless cleaning of Cu2O by HCOOH 国際会議

    Advanced Metallization Conference (AMC) 2006: 16th Asian Session, (Tokyo, September 25-27, 2006), No. 3-6, pp. 111-116. 

     詳細を見る

    開催年月日: 2006年9月

    記述言語:英語   会議種別:口頭発表(一般)  

  194. Large Reduction in Standby Power Consumption Achieved with Stress-controlled SRAM Cell Layout 国際会議

    Ext. Abst. the 2006 International conference on Solid State Devices and Materials (SSDM), (Yokohama, Japan, September 12-15, 2006), H-2-2, pp. 172-173. 

     詳細を見る

    開催年月日: 2006年9月

    記述言語:英語  

    国名:日本国  

  195. Reduction of Copper Surface with Formic Acid for 32-nm-Node ULSI Metallization: Surface Kinetics Study 国際会議

    The 209th Electrochemical Society Spring Meeting (ECS) (Colorado, U.S.A., May 7-12, 2006), vol. 601, p. 828. 

     詳細を見る

    開催年月日: 2006年5月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  196. Plasma emission irradiation effects on etching surface reactions: Analysis using in-vacuo electron-spin-resonance technique 国際会議

    International conference on reactive plasmas and Symposium on Plasma processing (ICRP 6/SPP 23), (Sendai, Japan, January 24-27, 2006), P-2A-38, p. 467. 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  197. Structural damage of diamond by oxygen ion beam exposure 国際会議

    International conference on reactive plasmas and Symposium on Plasma processing (ICRP 6/SPP 23), (Sendai, Japan, January 24-27, 2006), G-3A-5, p. 91. 

     詳細を見る

    開催年月日: 2006年1月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  198. Vacuum-ultraviolet photon irradiation effects in fluorocarbon plasmas on SiO2 etching surface reactions using In vacuo electron-spin-resonance

    AVS 52nd International Symposium American Vacuum Society (AVS), (Boston, MA, U. S. A., October 31-November 4, 2005), PS-TuA6, p.97. 

     詳細を見る

    開催年月日: 2005年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  199. Low temperature dry cleaning technology using formic acid in Cu/Low-k multilecel interconnects for 45 nm node and beyond 国際会議

    Advanced Metallization Conference (AMC) 2005, (Colorado, U. S. A., September 27-29, 2005), pp. 569-574. 

     詳細を見る

    開催年月日: 2005年9月

    記述言語:英語   会議種別:口頭発表(一般)  

  200. Efficient reduction of standby leakage current in LSIs for use in mobile devices 国際会議

    Ext. Abst. the 2005 International conference on Solid State Devices and Materials (SSDM), (Kobe, September 13-15, 2005), pp. 878-879. 

     詳細を見る

    開催年月日: 2005年9月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  201. Defect creation in diamond by hydrogen plasma treatment at room temperature 国際会議

    23rd International Conference on Defects in Semiconductors (ICDS-23), (Hyogo, Japan, July 24 -29, 2005), Th-P17, p. 290. 

     詳細を見る

    開催年月日: 2005年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  202. Structural change in diamond by hydrogen plasma treatment at room temperature 国際会議

    10th International Conference New Diamond Science and Technology (ICNDST-10), (Tsukuba, Japan, May 11-14, 2005), P5-3, p. 21. 

     詳細を見る

    開催年月日: 2005年5月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  203. Using In-vacuo Electron-spin-resonance and infrared spectroscopy technique in the analysis of surface reactions of Low-k films during/after plasma processes

    AVS 51th International Symposium American Vacuum Society (AVS), (Anaheim, CA, U. S. A., November 14-17, 2004), PS1-MoM6, p. 62. 

     詳細を見る

    開催年月日: 2004年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  204. Dangling bond creation and annihilation during plasma processes studied by in-situ ESR technique 国際会議

    AVS 51st International Symposium American Vacuum Society (AVS), (Anaheim, CA, U. S. A., November 14-17, 2004), PS-ThA4, p. 140. 

     詳細を見る

    開催年月日: 2004年11月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  205. Cleaning of copper surface using vapor-phase organic acids 国際会議

    2nd EU-Japan Joint Symposium on Plasma processing, (February 17-19, 2004), P-06, p. 322. 

     詳細を見る

    開催年月日: 2004年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  206. Incident angular dependence of SiO2 and Si3N4 etching with mass-analyzed CFx+ ion beam irradiation 国際会議

    2nd EU-Japan Joint Symposium on Plasma processing, (February 17-19, 2004), P-03, p. 295. 

     詳細を見る

    開催年月日: 2004年2月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  207. Incident angular dependence of SiO2 and Si3N4 etching with mass-analyzed CFx+ ion beam irradiation 国際会議

    4th International Symposium on Dry Process, (Hongoh, Tokyo, November 14-15, 2003), 7-3, pp. 271-276. 

     詳細を見る

    開催年月日: 2003年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  208. Study of SiO2 plasma etching with off-normal mass-analyzed CFx+ ion beam irradiation 国際会議

    AVS 50th International Symposium American Vacuum Society (AVS), (Baltimore, MD, U. S. A., November 2-7, 2003), PS1-WeA9, p. 171. 

     詳細を見る

    開催年月日: 2003年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  209. Mechanisms of vapor cleaning of copper surface using organic acids 国際会議

    204th Meeting of the Electrochemical Society (ECS), (Orlando, Florida, U. S. A., October 12-17, 2003), G1-613, pp. 259-263. 

     詳細を見る

    開催年月日: 2003年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  210. Study of fluorocarbon plasma etching and film deposition with mass separated CFx+ ion beam irradiation 国際会議

    16th International Symposium on Plasma Chemistry (ISPC16), (Taorumina, Italy, June 22-27, 2003), p. 307. 

     詳細を見る

    開催年月日: 2003年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    国名:日本国  

  211. Cleaning of copper surface using vapor-phase organic acids 国際会議

    203rd Meeting of the Electrochemical Society (ECS), (Paris, France, April 27 – May 2, 2003), F2-425, pp. 320-323. 

     詳細を見る

    開催年月日: 2003年4月

    記述言語:英語   会議種別:口頭発表(一般)  

  212. Vapor treatment of copper surface using organic acids 国際会議

    2003 Spring meeting of the Material Research Society (MRS), (San Francisco, April 21–25, 2003), E3-28, pp. 459-464. 

     詳細を見る

    開催年月日: 2003年4月

    記述言語:英語   会議種別:口頭発表(一般)  

  213. Study of selective etching of SiO2-to-Si3N4 and a-C:F film deposition with mass-analyzed CFx+ ion beam irradiation 国際会議

    4th International Conference on Microelectronics and Interfaces (ICMI'03), (Santa Clara, CA, March 3-6, 2003), pp. . 

     詳細を見る

    開催年月日: 2003年3月

    記述言語:英語   会議種別:口頭発表(一般)  

  214. Measurements of Desorbed Products and Etching Yield by CFx+(x=1,2,3) Ion Irradiation 国際会議

    AVS 49th International Symposium American Vacuum Society (AVS), (Denver Colorado, November 3-8, 2002), PS-FrM2, p.137. 

     詳細を見る

    開催年月日: 2002年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  215. Using Real-time Infrared Spectroscopy and In-vacuo Electron-Spin-Resonance Technique in the Analysis of Surface Reactions during Etching of Organic Low-k Film by a Plasma of N2 and H2 国際会議

    2nd International Symposium on Dry Process, (Hongoh, Tokyo, October 11-12, 2002), I-7, pp. 39-44. 

     詳細を見る

    開催年月日: 2002年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  216. Decomposition Mechanism of c-C4F8 in Plasma Assisted Catalytic Technology (PACT) 国際会議

    2nd International Symposium on Dry Process, (Hongoh, Tokyo, October 11-12, 2002). VI-22, pp. 243-248. 

     詳細を見る

    開催年月日: 2002年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  217. Study of SiO2 plasma etching and fluorocarbon film deposition with mass separated CFx+ ion beam irradiation 国際会議

    2nd International Symposium on Dry Process, (Hongoh, Tokyo, October 11-12, 2002), VII-3, pp. 269-274. 

     詳細を見る

    開催年月日: 2002年10月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  218. Dangling Bond Observation during Plasma Etching Processes Using In-vacuo Electron-Spin-Resonance Technique 国際会議

    16th European Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG) and 5th International Conference on Reactive Plasmas (ICRP), (Grenoble, France, July 15-18, 2002), P1-65, pp.169-170. 

     詳細を見る

    開催年月日: 2002年7月

    記述言語:英語   会議種別:口頭発表(一般)  

  219. Measurements of desorbed products and etching yield by CFx+ (x=1,2,3) ion irradiation on SiO2 国際会議

    16th European Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG) and 5th International Conference on Reactive Plasmas (ICRP), (Grenoble, France, July 15-18, 2002), P1-80, pp. 199-200. 

     詳細を見る

    開催年月日: 2002年7月

    記述言語:英語   会議種別:口頭発表(一般)  

  220. Measurements of SiO2 Etch Yields under F+ and CFx+ Ion Irradiation 国際会議

    3rd International Conference on Microelectronics and Interfaces (ICMI'02), (Santa Clara, CA, February 11-15, 2002), pp. . 

     詳細を見る

    開催年月日: 2002年2月

    記述言語:英語   会議種別:口頭発表(一般)  

  221. Dangling Bond Observation during Fluorocarbon Plasma Etching Processes Using In-vacuo Electron-Spin-Resonance Technique 国際会議

    1st International Symposium on Dry Process, (Waseda, Tokyo, November 20-21, 2001), VII-6, pp. 301-306. 

     詳細を見る

    開催年月日: 2001年11月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  222. In-vacuo Electron-Spin-Resonance Study on Fluorocarbon Films for SiO2 Plasma Etching 国際会議

    AVS 48th International Symposium American Vacuum Society (AVS), (San Francisco, CA, October 28-November 2, 2001), PS1-MoA2, p.64. 

     詳細を見る

    開催年月日: 2001年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  223. Early-stage modification of Silicon dioxide surface during fluorocarbon plasma etching 国際会議

    25th Intern. Conf. on Phenomena in Ionized Gases (ICPIG), (Nagoya, July 17-22, 2001), 18a35, p. 89. 

     詳細を見る

    開催年月日: 2001年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  224. Electron-Spin-Resonance Investigation on Solid Surfaces Irradiated by Fluorocarbon Plasma 国際会議

    25th International Conference on Phenomena in Ionized Gases (ICPIG), (Nagoya, July 17-22, 2001), 18a34, p. 87. 

     詳細を見る

    開催年月日: 2001年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  225. Time-Resolved Planer Laser-Induced Fluorescence of Fluorocarbon Radicals in Oxide Etch Process Plasma 国際会議

    25th International Conference on Phenomena in Ionized Gases (ICPIG), (Nagoya, July 17-22, 2001), 18a36, p. 91. 

     詳細を見る

    開催年月日: 2001年7月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  226. Early-stage modification of silicon oxide surface in fluorocarbon plasma for selective etching over silicon 国際会議

    47th International Symposium American Vacuum Society (AVS), (Boston, MA, October 2-6, 2000), PS-MoM4, p. 6. 

     詳細を見る

    開催年月日: 2000年10月

    記述言語:英語   会議種別:口頭発表(一般)  

  227. An in-situ time-resolved infrared spectroscopic study of silicon dioxide surface during selective etching over silicon using fluorocarbon plasma 国際会議

    Microprocess and Nanotechnology Conference (MNC), (Tokyo, July 11-13, 2000), 13B-9-3, pp. 270-271. 

     詳細を見る

    開催年月日: 2000年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  228. Early Stage of Native Oxide Growth on an Atomically Flat Hydrogen Terminated Si(111) Surface 国際会議

    Proc. 3rd Intern. Symp. Ultra Clean Processing of Silicon Surface (UCPSS 96), edited by M. Heyns, (Acco Leuven/Amersfoort, 1996), pp. 273-278. 

     詳細を見る

    開催年月日: 1996年

    記述言語:英語   会議種別:口頭発表(一般)  

  229. Thickness-Deconvolved Structural Properties of Thermally Grown Silicon Dioxide Film 国際会議

    26th IEEE Semiconductor Interface Specialist Conf. (SISC 95), (Charleston, South Carolina, December 7-9, 1995), P1.2. 

     詳細を見る

    開催年月日: 1995年12月

    記述言語:英語   会議種別:ポスター発表  

  230. Thickness-Deconvolved Structural Properties of Thermally Grown Silicon Dioxide Film 国際会議

    Ext. Abst. of the 1995 Intern. Conf. on Solid State Devices and Mater. (SSDM), (Osaka, August 21-24, 1995), PA-1-8, pp. 500-502. 

     詳細を見る

    開催年月日: 1995年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  231. In-situ Observation of Oxygen Exposed Hydrogen Terminated Silicon Surfaces 国際会議

    Ext. Abst. of the 1995 Intern. Conf. on Solid State Devices and Mater. (SSDM), (Osaka, August 21-24, 1995), S-1-1-2, pp.13-15. 

     詳細を見る

    開催年月日: 1995年8月

    記述言語:英語   会議種別:ポスター発表  

    国名:日本国  

  232. Study on Reaction of Fluorine Radicals with Si(111) Surface Employing an In-situ Combinated of ATR and XPS 国際会議

    8th Intern. Micro Process Conf., (Sendai, July 17-20, 1995), pp. 170-171. 

     詳細を見る

    開催年月日: 1995年7月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  233. Contribution of Si/SiO2 Interface Roughness in the Observation of Chemical Structure 国際会議

    Ext. Abst. of the 1994 Intern. Conf. on Solid State Devices and Mater.(SSDM), (Yokohama, August 23-26, 1994), C-8-5, pp. 850-852. 

     詳細を見る

    開催年月日: 1994年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  234. Effects of Dissolved Oxygen in HF solution on Silicon Surface Morphology 国際会議

    Ext. Abst. of the 1994 Intern. Conf. on Solid State Devices and Mater. (SSDM), (Yokohama, August 23-26, 1994), pp. 437-439. 

     詳細を見る

    開催年月日: 1994年8月

    記述言語:英語   会議種別:口頭発表(一般)  

    国名:日本国  

  235. New Analytical Method of SiO2 Structure by Infrared Reflection Absorption Spectorscopy (IR-RAS) 国際会議

    1993 Fall Meeting of the Material Research Society (MRS), Proc. 318 (Boston, November 28-December 5, 1993), pp. 425-430. 

     詳細を見る

    開催年月日: 1993年11月

    記述言語:英語   会議種別:口頭発表(一般)  

  236. FT-IR-RAS Analysis of Native Oxide Grown on Si(111) 国際会議

    S. Fujimura, H. Ogawa, K. Ishikawa, C. Inomata, and H. Mori

    Ext. Abst. of the 1993 Intern. Conf. on Solid State Devices and Mater. (SSDM), (Makuhari, August 29-September 1, 1993), pp.618-620. 

     詳細を見る

    開催年月日: 1993年8月

    記述言語:英語   会議種別:口頭発表(一般)  

▼全件表示

科研費 8

  1. 低温プラズマ加工の理論-計算-計測の連携環境構築による一原子一分子制御工学の創成

    研究課題/研究課題番号:21H01073  2021年4月 - 2024年3月

    科学研究費助成事業  基盤研究(B)

    関根 誠, 堤 隆嘉, 石川 健治

      詳細を見る

    担当区分:研究分担者 

    次代電子情報ナノシステムの作製において,微細加工・プラズマエッチングの1原子1分子レベルの反応プロセス制御『アトミックスケールエンジニアリング』が要求される.プラズマエッチングの反応過程をⅠ)気相中反応,Ⅱ)活性種輸送,Ⅲ)表面反応の3段階に階層化し,理論-計算-実験を統合した研究基盤を構築するアプローチを探索しながら,プラズマと表面の相互作用の『アトミックスケールエンジニアリング』を学問体系化し,次代イノベーション電子情報デバイスの創出に貢献する基盤技術を開拓する.
    人類及び地球の繁栄のための持続的な開発を推進する上で,電子情報ナノシステムの発展は欠かせない.システムを構成する集積回路・センサ・アクチュエータなどの素子の作製は,微細加工・プラズマエッチングが基盤技術となり,現在1原子1分子レベルの反応プロセス制御『アトミックスケールエンジニアリング』が要求されるにもかかわらず,プラズマエッチング技術の開発には,試行錯誤が繰り返され,理論に基づく予測や原理に則した革新的な技術が創出されているとは言い難い.このような背景から,エッチング反応の原理的な解明が必要である.本研究では,この解明を達成する為,反応過程をⅠ)気相中反応,Ⅱ)活性種輸送,Ⅲ)表面反応の3段階に階層化し,階層的に解析スキームを構築することを目指す.それぞれ,原理的な理論構築から計算科学を活用したシミュレーション予測,反応を素過程に細分化した実証・検証実験,さらに大量生産に対応できるエッチング装置での実験,プラズマと表面の相互作用の進展を動力学解析等で実施する.すなわち,理論-計算-実験を統合した研究基盤を構築するアプローチを探索しながら,プラズマと表面の相互作用の『アトミックスケールエンジニアリング』を学問体系化し,次代イノベーション電子情報デバイスの創出に貢献する基盤技術を開拓する.今年度は,バーチャル実験環境の構築に,高アスペクト比構造の高材料選択比プラズマエッチング加工を取り上げた.そこで,過去においてもエッチング使用の経験のないハイドロフルオロカーボンのガスに着目し,数々の分子について量子化学計算を進め,電子衝突が及ぼす励起解離の予測に取り組んだ.
    気相の解離反応の検証,装置を構築し実験系の準備し,偏光解析法による表面反応の動力学解析とシンクロトロン光による光電子・光イオンの同時(コインシデンス)測定に取り組んだ.反応性プラズマビーム装置を用いて,分子動力学計算予測の精度についても,検証準備を進め,階層的,統合的に解析を進めた結果に基づき,プラズマ加工のアトミックスケールエンジニアリングの学問を体系化しつつある.
    活性種輸送と表面反応との関連する実験データ取得し,並行して進める計算科学アプローチ結果を検証する.シンクロトロン光(1.2~25eV,分子研UVSOR・BL7U/BL7G)の光電子・光イオンの同時(コインシデンス)測定を実施しており,分子動力学計算予測の精度についても検証を本格的に実施を進める.量産対応可能なプラズマチャンバー(TEL・Tactras)を利用したプラズマチャンバー内のイオンの質量分析,負イオン量のレーザー刺激脱離測定,ラジカル種のレーザー誘起蛍光法(購入予定設備を含む)の分析と,反応表面の赤外分光分析と偏光解析法による表面反応の動力学解析,エッチング後のX線光電子分光解析と走査電子顕微鏡観察を行う.

  2. プラズマ生成フリーラジカル非平衡反応場の液相時空間解析

    研究課題/研究課題番号:21H04451  2021年4月 - 2024年3月

    科学研究費助成事業  基盤研究(A)

    石川 健治, 田中 宏昌, 古閑 一憲

      詳細を見る

    担当区分:研究代表者 

    配分額:43030000円 ( 直接経費:33100000円 、 間接経費:9930000円 )

    放電プラズマによる抗腫瘍作用などのプラズマと生体との相互作用に関する新奇現象においては,プラズマが生成するラジカルが起点となり,逐次連鎖反応により次々と別のラジカルが生じ,それらの作用で細胞内代謝に変動が生じている.本研究は「プラズマ生成ラジカルが誘起する逐次連鎖反応はどのように進んでいるか?」に焦点を絞い,プラズマ生成ラジカルを起点とする逐次連鎖反応で生成する複数のラジカルをμs・mmの時空間分解能で検出・解析する.得られた結果を総括し,プラズマが誘起する液相に生じる非平衡反応場内のラジカルの反応と,逐次連鎖的に進行するラジカル誘起反応について体系的にまとめる.
    放電プラズマによる抗腫瘍作用などのプラズマと生体との相互作用に関する新奇現象においては,「プラズマの生体作用機構は何か」が最重要の学術的問いである.プラズマ中では高速電子が分子と衝突してフリーラジカルを生成する.このラジカルが起点となり,逐次連鎖反応により次々と別のラジカルが生じ,それらの作用で細胞内化学反応(代謝)に変動が生じていると考えられる.本研究は「プラズマ生成ラジカルが誘起する逐次連鎖反応はどのように進んでいるか?」に焦点を絞り,プラズマが溶液内に生成したラジカルを起点とする逐次連鎖反応で生じる複数の『プラズマ誘起活性種』の検出・解析することを始めている.液相ラジカルの反応は有機分子の合成・修飾・分解,Ⅰ)官能基の置換,Ⅱ)C3(炭素原子が三つの化合物)からC4, C5への付加,Ⅲ)C2,C1への分解,に大別される.これらの液相ラジカルの反応は,非熱的に連鎖してラジカル発生する特徴を有する.非平衡に進む化学反応が故に,反応その場で定量的に解析される計測科学が重要である.液相ラジカルの反応を,溶液内の物資の拡散や流れを考慮して,時間と空間を区分し,低温大気圧プラズマでは,10の15乗程度の高いプラズマ密度の環境で発生する有機分子について液相ラジカルの反応の理解を通して進められた.
    ラジカルの検出手法を構築するため,電子スピン共鳴法による液相ラジカルの反応を解析する準備を継続して行った.イメージング用のユニットを使って,静的な系でのラジカル空間分布を4mm×4mmの領域で調べ,溶液中の液相のラジカル反応系を二次元ESR手法を活用した解析を行った.
    今後,液相活性種の時空間分析について二次元ESR手法を活用して実施し,液相中ラジカルの挙動を解明していく.液相ラジカルが関与する素反応については,量子化学計算も活用し,分子内水素結合や遷移状態の解析を行う.スパコン利用(名大不老システム)により計算科学アプローチを加速して実施する計画としている.

  3. プラズマ中光捕捉微粒子を用いたシース電場の時空間構造揺らぎ形成機構の解明

    研究課題/研究課題番号:20H00142  2020年4月 - 2024年3月

    科学研究費助成事業  基盤研究(A)

    白谷 正治, 小林 達哉, 布村 正太, 石川 健治, 鎌滝 晋礼, 富田 健太郎

      詳細を見る

    担当区分:研究分担者 

    プラズマプロセスで形成するナノ構造揺らぎの抑制が、3次元集積回路の超高層化における最重要課題である。本研究では、超高層3次元集積回路の実現に最も重要なエッチング形状揺らぎとプラズマ揺らぎの関係に焦点を当てる。高アスペクト比エッチングでは、イオンの指向性揺らぎが問題である。イオンの運動エネルギーの異方性に関係する微弱な電場揺らぎは従来の電場計測法では検知できない。本研究では、光捕捉微粒子を用いた超高感電場計測法を用いて、シース電場構造の時空間揺らぎの形成機構を解明する。プラズマ・基板間のシース電場の時空間構造揺らぎを実測するとともに、その発生原因を同定する。
    シース電場の時空間構造揺らぎ形成機構の解明とこの電場揺らぎとエッチング形状揺らぎの関係解明を目的とする本研究では、4年間の研究期間において次の2項目について明らかにする。1)光捕捉微粒子を用いた低侵襲・超高感度電場計測法によるシース電場の時空間揺らぎ計測・解析(1-3年目)。2)高アスペクト比構造を用いた入射イオンの振る舞い解析(3-4年目)。3年目(2022年度)は、電場計測の定量評価において重要である、帯電量較正を行った。2つの微粒子の衝突現象をプラズマ中に起こし、2体粒子間にかかる力及び相互作用を評価し、微粒子の帯電量をより精度良く導出し評価した。これにより、各微粒子位置における垂直方向と水平方向の電場強度EzとErを評価し、zr平面における電場ベクトルの空間分布をマイクロメートルオーダーの空間分解能を持って計測できることを示した。そして、電場揺動計測において、まずは、微粒子の位置揺動から導出できるかどうか検証した。位置揺動は、水平方向の電場強度Erが大きくなるほど、強くなることから、位置揺動と電場揺動に関連があることを示した。電場揺動のみを評価するために、微粒子トラップ用レーザーの入力パワーによって位置揺動にどのように影響を与えるか等、微粒子にかかる力を検証した。さらに、プラズマ中の2体微粒子に作用する力(シャドー効果による引力とクーロン斥力)を起因とした微粒子のふるまいをより詳細に観察し、それらの力の影響について明らかにした。また、粒子シミュレーションを用いて、電場揺動がイオンの入射角度分布に与える影響も明らかにした。
    今年度予定していた検討事項のうち、予定していた研究項目1)光捕捉微粒子を用いた低侵襲・超高感度電場計測法によるシース電場の時空間揺らぎ計測・解析(1-3年目)について、電場計測および電場揺らぎの2次元分布評価、および3次元計測評価及び、帯電量をより精度良く評価するなど、研究目的を着実にクリアできている、また、研究項目2)高アスペクト比構造を用いた入射イオンの振る舞い解析(3-4年目)に関しても、粒子シミュレーションにおいて電場揺動の影響を評価できていることから、おおむね順調に進展しているとした。
    4年目にあたる2023年度は、高アスペクト比構造を用いた入射イオンの振る舞い解析だけでなく、レーザーによる微粒子トラップ技術を用いた電場揺動評価手法を開発する。さらに、プラズマ中2体微粒子に作用する力(シャドー効果による引力とクーロン斥力)を起因とした微粒子のふるまいについて、実験的計測に関しての研究は少ないため、シャドー効果などを実験的観察によって、評価する。さらに、2つの微粒子を同時にプラズマ中で光捕捉し、2体粒子間の相互作用について検討を開始する。2つの微粒子を任意に移動させることができることから、微粒子に働く力の評価方法を新たに提案できる可能性がある。光捕捉されたプラズマ中微粒子には、レーザー光捕捉力、クーロン力、イオン粘性力、ガス粘性力、シャドー効果による引力が作用している。この新たな手法だと、微粒子間距離に関係するクーロン力、シャドー効果による引力を、より精度よく評価できる可能性がある。さらに、微粒子に働く力の揺らぎに起因して微粒子捕捉位置からの揺動が発生すると考えられるため、実験条件等によって、イオン粘性やガス粘性、電荷の揺らぎの影響を除去し、電場揺動の時空間分布をより精度よく評価できうると考えられる。電場揺動による入射イオンの振る舞いを評価するために、今年度も粒子シミュレーションにおける研究も進める。放電電圧の波形によって電場揺動の形が変わることが過去の研究によって明らかになっている。そこで、その波形によって、イオンの振る舞いがどのようになるかを評価する。さらに電子の振る舞いへの影響も評価することで、これらの研究成果がプラズマプロセスに展開して、その汎用性を検証するとともに、関連する研究開発の加速に貢献する。

  4. プラズマで生成されたフリーラジカルの生物化学作用のメタボロミクスによる解析

    研究課題/研究課題番号:17H02805  2017年4月 - 2020年3月

    基盤研究(B) 

    石川 健治

      詳細を見る

    担当区分:研究代表者 

    配分額:18460000円 ( 直接経費:14200000円 、 間接経費:4260000円 )

    プラズマ活性溶液で培養された細胞内代謝物の変動を観察し,脳腫瘍細胞(U251SP)は1.細胞培養液ではグルコース摂取から異化作用により生体エネルギーとバイオマスの産生,2.プラズマ活性培養液では酸化ストレスにより糖代謝酵素の活性が減弱して生体エネルギーが不足し,3.乳酸含有溶液では細胞内は還元状態を維持しながら乳酸摂取等の同化作用が亢進,4.プラズマ活性乳酸溶液(PAL)ではグルタミン同化や脂肪代謝に,プラズマ活性有機物が阻害要因として働くことを明らかにした.特に,PALではプラズマが活性化した有機物を起因とした細胞内代謝が変動され,プラズマ誘起細胞死に至ることを発見した.
    大気圧下でガス温度の上昇を伴わない非平衡(低温)プラズマの生成が制御されて可能となり,液体や生体をはじめとする様々な対象にプラズマの照射効果が見いだされていた中,本研究は,プラズマ活性溶液中で培養された細胞内のメタボローム解析を世界で初めて実施した,研究開始当初はプラズマが生成するRONSの影響が生体作用の主要な因子であるといった見解から,溶液中の有機物の変性をプラズマが液体に作用した結果に生じており、その溶液中に産生された成分が細胞の恒常的応答を変動させ、代謝産物における明瞭な違いを世界で初めて明らかにした.

  5. アジアの飲用水元素汚染に対する健康リスク評価と浄化材の開発

    研究課題/研究課題番号:15H02588  2015年4月 - 2019年3月

    科学研究費助成事業  基盤研究(A)

    加藤 昌志, 石川 健治, 大神 信孝, 矢嶋 伊知朗

      詳細を見る

    担当区分:研究分担者 

    アジアを中心に飲用井戸水の元素汚染が原因で数千万人以上の多種多様が発生している。本研究では、飲用水元素汚染に関する国際環境問題を迅速に解決することを目的として、以下に示す包括的環境研究を実施した。まず、アフガンニスタン等の情報の乏しい地域も含めて、飲用井戸水元素汚染の現状を把握した。次に、細胞・動物・ヒトの知見を組み合わせた多角的健康リスク評価システムにより、発癌及び感覚器(皮膚・耳)の疾患(黒皮症・難聴等)を誘発する可能性のある有害元素(ヒ素・バリウム・鉄・マンガン等)を選別し、井戸水から浄化すべき元素を特定した。最後に、有害元素を飲用井戸水からの除去できる新規の浄化技術の開発に成功した。
    学術的意義:慢性ヒ素中毒の指標の1つとなるヒ素性黒皮症のモデルマウスを作製することに初めて成功した。さらに、黒皮症の発症にエンドセリンが関与している可能性を細胞生物学実験で示した。また、内耳蝸牛に着目し、ヒ素・マンガン等の元素が、難聴を誘発する機序を一部解明した。
    社会的意義:アフガニスタンのカブールの飲用井戸水がヒ素やウランに汚染されていることを示した。さらに、有害元素(ヒ素・バリウム・鉄・マンガン)の曝露によって誘発されるヒトの難聴または黒皮症に対するリスクが、毛髪・爪・尿等の元素濃度を指標として評価できることを示した。また、ウラン・バリウム・モリブデン等を吸着できる浄化材候補を提案した。

  6. カーボンナノウォールシートエッジエレクトロニクスの創成と単一細胞の分化誘導制御

    研究課題/研究課題番号:15H02032  2015年4月 - 2018年3月

    堀 勝

      詳細を見る

    担当区分:連携研究者 

    カーボンナノウォール(CNW)のシートナノエッジから発現する電子物性と細胞との相互作用の体系化な解明による、細胞の接着、形態と分化誘導制御が可能なバイオデバイスとプラズマバイオエレクトロニクスの開拓を目的に、CNW足場上での電気刺激重畳が骨芽細胞の増殖・分化(骨化)に及ぼす効果を解明した。周波数10 Hzの電気刺激を重畳した場合においてのみ、特異的に増殖促進と骨化の抑制が確認された。更に壁密度に対する依存性や、骨化を伴わない細胞塊の形成も見出された。これらはCNW表面のシートエッジと電気刺激とのシナジーによる細胞増殖と分化の変化を示唆し、多様な再生医療での新しい細胞制御技術の確立が期待される。

  7. プラズマで生成された生体内フリーラジカルの実時間計測とプラズマ滅菌処理の研究

    2014年4月 - 2017年3月

    基盤研究(B)  基盤研究(B)

      詳細を見る

    担当区分:研究代表者 

  8. 実時間その場電子スピン共鳴分析によるプラズマの生体に及ぼす作用に関する研究

    2012年4月 - 2014年3月

    挑戦的萌芽 

      詳細を見る

    担当区分:研究代表者 

▼全件表示

産業財産権 8

  1. シリコン酸化膜の評価方法及び装置並びに半導体装置の製造方法及び装置

     詳細を見る

    出願日:2005年3月

    特許番号/登録番号:3844770  登録日:2006年8月 

    出願国:国内  

  2. 半導体装置の製造方法

     詳細を見る

    出願日:2004年9月

    特許番号/登録番号:4283189  登録日:2009年3月 

    出願国:国内  

  3. シリコン酸化膜の評価方法及び半導体装置の製造方法

     詳細を見る

    出願日:2002年12月

    特許番号/登録番号:3816440  登録日:2006年6月 

    出願国:国内  

  4. 半導体装置の製造方法

     詳細を見る

    出願日:1999年3月

    特許番号/登録番号:3326718  登録日:2002年7月 

    出願国:国内  

  5. 半導体装置の製造方法

     詳細を見る

    出願日:1999年2月

    特許番号/登録番号:3326717  登録日:2002年7月 

    出願国:国内  

  6. シリコン酸化膜の評価方法及び半導体装置の製造方法

     詳細を見る

    出願日:1995年3月

    特許番号/登録番号:3670336  登録日:2005年4月 

    出願国:国内  

  7. Silicon oxide film evaluation method

     詳細を見る

    出願日:1994年3月

    特許番号/登録番号:US 5,595,916  登録日:1997年1月 

    出願国:外国  

  8. シリコン酸化膜の評価方法及び装置、並びに半導体装置の製造方法及び装置

     詳細を見る

    出願日:1994年3月

    特許番号/登録番号:3452629  登録日:2003年7月 

    出願国:国内  

▼全件表示

 

担当経験のある科目 (本学) 8

  1. 誘電体工学

    2023

  2. 電磁気学Ⅰ

    2023

  3. ナノプロセス工学特論

    2020

  4. ナノプロセス工学特論

    2018

  5. ナノプロセス工学特論

    2017

  6. ナノプロセス工学特論

    2016

  7. ナノプロセス工学特論

    2014

  8. ナノプロセス工学特論

    2012

▼全件表示