2023/09/27 更新

写真a

イシハラ トオル
石原 亨
ISHIHARA Tohru
所属
大学院情報学研究科 情報システム学専攻 情報プラットフォーム論 教授
大学院担当
大学院情報学研究科
学部担当
情報学部 コンピュータ科学科
職名
教授
連絡先
メールアドレス
外部リンク

学位 1

  1. 博士(工学) ( 2000年3月   九州大学 ) 

研究キーワード 1

  1. 省エネルギー計算, 低消費電力設計, 光コンピューティング

研究分野 2

  1. 情報通信 / 計算機システム  / 省エネルギー計算

  2. 情報通信 / 計算機システム  / 省エネルギー計算

経歴 9

  1. 名古屋大学   大学院情報学研究科  情報システム学専攻 情報プラットフォーム論   教授

    2018年10月 - 現在

  2. 京都大学   大学院情報学研究科  通信情報システム専攻   准教授

    2011年4月 - 2018年9月

  3. 九州大学   システムLSI研究センター   准教授

    2007年4月 - 2011年3月

  4. 九州大学   システムLSI研究センター   助教授

    2005年8月 - 2007年3月

  5. 米国富士通研究所   研究員

    2003年4月 - 2005年7月

  6. 東京大学   大規模集積システム設計教育研究センター   助手

    2000年4月 - 2003年4月

  7. 日本学術振興会   特別研究員(DC1)

    1997年4月 - 2000年3月

  8. 名古屋大学   大学院情報学研究科   教授

    2018年10月 - 現在

      詳細を見る

    国名:日本国

    researchmap

  9. 京都大学   大学院情報学研究科   准教授

    2011年4月 - 2018年9月

      詳細を見る

    国名:日本国

    researchmap

▼全件表示

学歴 2

  1. 九州大学   大学院システム情報科学研究科   情報システム学専攻

    1995年4月 - 2000年3月

      詳細を見る

    国名: 日本国

  2. 九州大学   工学部   情報工学科

    1991年4月 - 1995年3月

      詳細を見る

    国名: 日本国

所属学協会 4

  1. 電子情報通信学会

    2008年5月 - 現在

  2. 情報処理学会

    1994年 - 現在

  3. IEEE Computer Society

  4. ACM

受賞 17

  1. 科学技術分野の文部科学大臣表彰 若手科学者賞

    2009年4月   文部科学省   マイクロプロセッサの省電力化に関する研究

    石原 亨

     詳細を見る

    受賞国:日本国

  2. 丸文研究奨励賞

    2007年3月   丸文財団   ソフトウェア制御によるシステムLSIの低消費エネルギー化と微細化への対応

    石原 亨

     詳細を見る

    受賞区分:出版社・新聞社・財団等の賞 

  3. 長尾真記念特別賞

    2010年5月   情報処理学会   コンピュータシステムの省エネルギー化に関する研究

    石原 亨

     詳細を見る

    受賞区分:国内学会・会議・シンポジウム等の賞 

  4. 論文賞

    2013年5月   電子情報通信学会   Implementation of Stack Data Placement and Run Time Management Using a Scratch-Pad Memory for Energy Consumption Reduction of Embedded Applications

    Lovic Eric Gauthier, 石原 亨

     詳細を見る

    受賞区分:国内学会・会議・シンポジウム等の賞 

  5. 論文賞

    2021年6月   電子情報通信学会   Methods for Reducing Power and Area of BDD-Based Optical Logic Circuits

    松尾亮祐、塩見準、石原亨、小野寺秀俊、新家昭彦、納富雅也

     詳細を見る

    受賞区分:学会誌・学術雑誌による顕彰  受賞国:日本国

  6. 論文賞

    2018年6月   電子情報通信学会   Analytical Stability Modeling for CMOS Latches in Low Voltage Operation

    鎌苅竜也, 塩見準,石原亨,小野寺秀俊

     詳細を見る

    受賞区分:国内学会・会議・シンポジウム等の賞 

  7. 情報処理学会創立40周年記念論文賞

    2000年3月   情報処理学会   DRAM/ロジック混載LSI向け高性能/低消費電力キャッシュ・アーキテクチャ

    井上弘士,石原亨,甲斐康司,村上和彰

     詳細を見る

    受賞国:日本国

  8. ISLPED 1st Most Cited Paper Award

    2015年9月   IEEE/ACM International Symposium on Low Power Electronics and Design   Voltage scheduling problem for dynamically variable voltage processors

    Tohru Ishihara, Hiroto Yasuura

     詳細を見る

    受賞区分:国際学会・会議・シンポジウム等の賞 

  9. IEEE SOCC Best Paper Award

    2016年9月   IEEE International System-on-Chip Conference   Minimum energy point tracking using combined dynamic voltage scaling and adaptive body biasing

    Shu Hokimoto, Tohru Ishihara, Hidetoshi Onodera

     詳細を見る

    受賞区分:国際学会・会議・シンポジウム等の賞 

  10. Outstanding Paper Award

    2010年10月   Workshop on Synthesis And System Integration of Mixed Information technologies   Placing Static and Stack Data into a Scratch-Pad Memory for Reducing the Energy Consumption of Multi-task Applications

    Lovic Gauthier, Tohru Ishihara, Hideki, Hiroyuki,Hiroaki Takada

     詳細を見る

    受賞区分:国際学会・会議・シンポジウム等の賞 

  11. Outstanding Paper Award

    2021年3月   Workshop on Synthesis And System Integration of Mixed Information technologies   An Accuracy Reconfigurable Multiply-Accumulate Unit Based on Operand-Decomposed Mitchell’s Multiplier

    L. Hou, Y. Masuda, T. Ishihara

     詳細を見る

    受賞区分:国際学会・会議・シンポジウム等の賞 

  12. 情報処理学会システムLSI設計技術研究会優秀論文賞

    2016年9月   情報処置学会システムLSI設計技術研究会  

     詳細を見る

    受賞区分:国内学会・会議・シンポジウム等の賞 

  13. 情報処理学会システムLSI設計技術研究会優秀論文賞

    2013年8月   情報処置学会システムLSI設計技術研究会  

     詳細を見る

    受賞区分:国内学会・会議・シンポジウム等の賞 

  14. 情報処理学会システムLSI設計技術研究会優秀論文賞

    2002年7月   情報処置学会システムLSI設計技術研究会  

  15. LSI IPデザイン・アワード MeP賞

    2008年4月   LSI IPデザイン・アワード運営委員会  

     詳細を見る

    受賞区分:出版社・新聞社・財団等の賞 

  16. LSI IPデザイン・アワード IP優秀賞

    1999年5月   LSI IPデザイン・アワード運営委員会  

     詳細を見る

    受賞区分:出版社・新聞社・財団等の賞 

  17. 情報処理学会九州支部奨励賞

    1998年5月   情報処理学会九州支部  

▼全件表示

 

論文 153

  1. An Accuracy Reconfigurable Vector Accelerator based on Approximate Logarithmic Multipliers for Energy-Efficient Computing 査読有り

    L. Hou, Y. Masuda, and T. Ishihara

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E106-A 巻 ( 3 ) 頁: 532 - 541   2023年3月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  2. DVFS virtualization for energy minimization of mixed-criticality dual-OS platforms 査読有り

    T. Komori, Y. Masuda, and T. Ishihara

    Proc. 28th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA)     頁: 128 - 137   2022年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  3. Power-Aware Pruning for Ultrafast, Energy-Efficient, and Accurate Optical Neural Network Design 査読有り

    N. Hattori, Y. Masuda, T. Ishihara, A. Shinya, and M. Notomi

    Proc. Design Automation Conference (DAC)     2022年7月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.1145/3489517.3530405

  4. Optoelectronic Implementation of Compact and Power-Efficient Recurrent Neural Networks 査読有り

    N. Ichikawa, Y. Masuda, T. Ishihara, A. Shinya, and M. Notomi

    Proc. IEEE Computer Society Annual Symposium on VLSI (ISVLSI)     頁: 390 - 393   2022年6月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  5. Approximate Minimum Energy Point Tracking and Task Scheduling for Energy-Efficient Real-Time Computing 査読有り

    T. Komori, Y. Masuda, J. Shiomi, and T. Ishihara

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E105-A 巻 ( 3 ) 頁: 497 - 508   2022年3月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  6. Identification of redundant flip-flops using fault injection for low-power approximate computing circuits 査読有り

    Jiaxuan Lu, Yutaka Masuda, Tohru Ishihara

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   Vol.E107-A 巻 ( No.3 )   2024年3月

     詳細を見る

    担当区分:最終著者   記述言語:英語  

  7. Virtualizing DVFS for Energy Minimization of Embedded Dual-OS Platform 査読有り

    Takumi Komori, Yutaka Masuda, Tohru Ishihara

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   Vol.E107-A 巻 ( No.1 )   2024年1月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  8. A Standard Cell Memory Based on 2T Gain Cell DRAM for Memory-Centric Accelerator Design 査読有り

    T. -F. Chen, Y. Masuda, T. Ishihara

    Proc. 36th IEEE International System-On-Chip Conference (SOCC)     頁: 34 - 39   2023年9月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  9. Feedback-tuned fuzzing for accelerating quality verification of approximate computing design 査読有り

    Yusei Honda, Yutaka Masuda, Tohru Ishihara

    Proc. 29th International Symposium on On-Line Testing and Robust System Design (IOLTS),     頁: 1 - 3   2023年7月

     詳細を見る

    担当区分:最終著者   記述言語:英語  

  10. An Efficient Fault Injection Algorithm for Identifying Unimportant FFs in Approximate Computing Circuits 査読有り

    Jiaxuan Lu, Yutaka Masuda, Tohru Ishihara

    Proc. IEEE Design, Automation and Test in Europe Conference (DATE)     頁: 1 - 2   2023年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  11. Dynamic Verification Framework of Approximate Computing Circuits using Quality-aware Coverage-based Grey-box Fuzzing 査読有り

    Y. Masuda, Y. Honda, and T. Ishihara

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E106-A 巻 ( 3 ) 頁: 514 - 522   2023年3月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  12. Importance Evaluation Methodology of FFs for Design Optimization of Approximate Computing Circuits 査読有り

    J. Lu, Y. Masuda, T. Ishihara

    Proc. 24th Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI)     頁: 20 - 25   2022年10月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  13. Zero-Aware Fine-Grained Power Gating for Standard-Cell Memories in Voltage-Scaled Circuits 査読有り

    Jun Shiomi, Shogo Terada, Tohru Ishihara, Hidetoshi Onodera

    IEEE 35th International System-on-Chip Conference (SOCC)     頁: 1 - 6   2022年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  14. Low-Power Design Methodology of Voltage Over-Scalable Circuit with Critical Path Isolation and Bit-Width Scaling 査読有り

    Y. Masuda, J. Nagayama, T. Cheng, T. Ishihara, Y. Momiyama, and M. Hashimoto

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E105-A 巻 ( 3 ) 頁: 509 - 517   2022年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  15. Energy efficient OEO conversion and its applications to photonic integrated systems 査読有り 国際誌

    Shinya A., Nozaki K., Kita S., Ishihara T., Matsuo S., Notomi M.

    Proc. Optical Fiber Communications Conference and Exhibition (OFC)     2022年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:Optics InfoBase Conference Papers  

    We describe nanophotonics-based opto-electric devices and their femtofarad integration. The combination of these devices and optical interference units has a potential to provide energy-efficient and ultra-low latency optical information processing.

    Scopus

  16. An Accuracy Reconfigurable Vector Accelerator based on Approximate Logarithmic Multipliers 査読有り

    L. Hou, Y. Masuda, and T. Ishihara

    Proc. Asia and South Pacific Design Automation Conference (ASP-DAC)     頁: 568 - 573   2022年1月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  17. A Synthesis Method Based on Multi-Stage Optimization for Power-Efficient Integrated Optical Logic Circuits 査読有り

    R. Matsuo, J. Shiomi, T. Ishihara, H. Onodera, A. Shinya, and M. Notomi,

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E104-A 巻 ( 11 ) 頁: 1546 - 1554   2021年11月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  18. Neural Network Calculations at the Speed of Light Using Optical Vector-Matrix Multiplication and Optoelectronic Activation 査読有り

    N. Hattori, J. Shiomi, Y. Masuda, T. Ishihara, A. Shinya, and M. Notomi

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E104-A 巻 ( 11 ) 頁: 1477 - 1487   2021年11月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  19. Dynamic Verification of Approximate Computing Circuits using Coverage-based Grey-box Fuzzing 査読有り

    K. Yoshisue, Y. Masuda, and T. Ishihara

    Proc. IEEE 27th International Symposium on On-Line Testing and Robust System Design (IOLTS)     2021年6月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  20. Integration of Minimum Energy Point Tracking and Soft Real-Time Scheduling for Edge Computing 査読有り

    T. Komori, Y. Masuda, J. Shiomi, and T. Ishihara

    IEEE International Symposium on Quality Electronic Design (ISQED)     頁: 300 - 306   2021年4月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  21. An Accuracy Reconfigurable Multiply-Accumulate Unit Based on Operand-Decomposed Mitchell’s Multiplier 査読有り

    Lingxiao Hou,Yutaka Masuda,Tohru Ishihara

    Proc. 23rd Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI)     2021年3月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  22. Optical-electronic implementation of artificial neural network for ultrafast and accurate inference processing 査読有り

    Naoki Hattori,Yutaka Masuda,Tohru Ishihara,Jun Shiomi,Akihiko Shinya,Masaya Notomi

    Proc. AI and Optical Data Sciences II. International Society for Optics and Photonics   11703 巻   頁: 1E1 - 1E17   2021年3月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: https://doi.org/10.1117/12.2577966

    DOI: https://doi.org/10.1117/12.2577966

  23. Critical Path Isolation and Bit-Width Scaling Are Highly Compatible for Voltage Over-Scalable Design 査読有り

    Y. Masuda,J. Nagayama,T. Y. Cheng,T. Ishihara,Y. Momiyama,M. Hashimoto

    Proc. IEEE Design, Automation and Test in Europe Conference (DATE)     2021年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  24. An Optical Accelerator for Deep Neural Network Based on Integrated Nanophotonics. 査読有り

    Jun Shiomi,Tohru Ishihara,Hidetoshi Onodera,Akihiko Shinya,Masaya Notomi

    Proc. International Conference on Rebooting Computing (ICRC)     頁: 95 - 101   2020年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  25. Variation-Tolerant Voltage Over-Scalable Design with Critical Path Isolation and Bit-Width Scaling 査読有り

    Y. Masuda,J. Nagayama,T. Y. Cheng,T. Ishihara,Y. Momiyama,M. Hashimoto

    Proc. International Workshop on Logic and Synthesis (IWLS)     頁: 136 - 142   2020年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  26. A Synthesis Method for Power-Efficient Integrated Optical Logic Circuits Towards Light Speed Processing. 査読有り

    Ryosuke Matsuo,Jun Shiomi,Tohru Ishihara,Hidetoshi Onodera,Akihiko Shinya,Masaya Notomi

    Proc. IEEE Computer Society Annual Symposium on VLSI (ISVLSI)     頁: 488 - 493   2020年7月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  27. Real-Time Minimum Energy Point Tracking Using a Predetermined Optimal Voltage Setting Strategy. 査読有り 国際共著

    Khyati Kiyawat,Yutaka Masuda,Jun Shiomi,Tohru Ishihara

    Proc. IEEE Computer Society Annual Symposium on VLSI (ISVLSI)     頁: 415 - 421   2020年7月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  28. On-Chip Cache Architecture Exploiting Hybrid Memory Structures for Near-Threshold Computing 査読有り

    Hongjie Xu,Jun Shiomi,Tohru Ishihara,Hidetoshi Onodera

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E102-A 巻 ( 12 ) 頁: 1741 - 1750   2019年12月

     詳細を見る

    担当区分:責任著者  

  29. Methods for Reducing Power and Area of BDD-Based Optical Logic Circuits 査読有り

    Ryosuke Matsuo,Jun Shiomi,Tohru Ishihara,Hidetoshi Onodera,Akihiko Shinya,Masaya Notomi

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E102-A 巻 ( 12 ) 頁: 1751 - 1759   2019年12月

     詳細を見る

    担当区分:責任著者  

  30. An Optical Neural Network Architecture based on Highly Parallelized WDM-Multiplier-Accumulator 査読有り 国際誌

    Tohru Ishihara, Jun Shiomi, Naoki Hattori, Yutaka Masuda, Akihiko Shinya, Masaya Notomi

    Photonics-Optics Technology Oriented Networking, Information, and Computing Systems (PHOTONICS) at The International Conference for High Performance Computing, Networking, Storage, and Analysis (SC19)     2019年11月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  31. A Design Method of a Cell-Based Amplifier for Body Bias Generation 査読有り

    Takuya Koyanagi, Jun Shiomi, Tohru Ishihara, Hidetoshi Onodera

    IEICE Transactions on IEICE Transactions on Electronics   E102-C 巻 ( 7 ) 頁: 565 - 572   2019年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1587/transele.2018CTP0014

    researchmap

    その他リンク: https://dblp.uni-trier.de/db/journals/ieicet/ieicet102c.html#KoyanagiSIO19

  32. BDD-based Synthesis of Optical Logic Circuits Exploting Wavelength Division Multiplexing 査読有り 国際誌

    Ryosuke Matsuo, Jun Shiomi, Tohru Ishihara, Hidetoshi Onodera, Akihiko Shinya, Masaya Notomi

    Proc. the 24th Asia and South Pacific Design Automation Conference (ASP-DAC)     頁: 203 - 209   2019年1月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.1145/3287624.3287703

    researchmap

    その他リンク: https://dblp.uni-trier.de/db/conf/aspdac/aspdac2019.html#MatsuoSIOSN19

  33. An Integrated Optical Parallel Multiplier Exploiting Approximate Binary Logarithms towards Light Speed Data Processing 査読有り

    Jun Shiomi, Tohru Ishihara, Hidetoshi Onodera, Akihiko Shinya, Masaya Notomi

    Proc. IEEE International Conference on Rebooting Computing (ICRC)     頁: 62 - 67   2018年11月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.1109/ICRC.2018.8638614

    researchmap

    その他リンク: https://dblp.uni-trier.de/db/conf/icrc/icrc2018.html#ShiomiIOSN18

  34. Multi-Level Optimization for Large Fan-In Optical Logic Circuits using Integrated Nanophotonics 査読有り 国際誌

    Takumi Egawa, Tohru Ishihara, Hidetoshi Onodera, Akihiko Shinya, Shota Kita, Kengo Nozaki, Kenta Takata, Masaya Notomi

    Proc. IEEE International Conference on Rebooting Computing (ICRC)     頁: 43 - 50   2018年11月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.1109/ICRC.2018.8638607

    researchmap

    その他リンク: https://dblp.uni-trier.de/db/conf/icrc/icrc2018.html#EgawaIOSKNTN18

  35. Performance Modeling of VIA-switch FPGA for Device-Circuit-Architecture Co-Optimization 査読有り

    Tatsuhiro Higuchi, Tohru Ishihara, Hidetoshi Onodera

    Proc.the 31st IEEE International System-on-Chip Conference (SOCC)     2018年9月

  36. Independent N-well and P-well Biasing for Minimum Leakage Energy Operation 査読有り

    Yosuke Okamura, Tohru Ishihara, Hidetoshi Onodera

    Proc. the International Symposium on On-Line Testing and Robust System Design (IOLTS)     頁: 177 - 182   2018年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.1109/IOLTS.2018.8474128

    researchmap

    その他リンク: https://dblp.uni-trier.de/db/conf/iolts/iolts2018.html#OkamuraIO18

  37. Maximizing Energy Efficiency of On-Chip Caches Exploiting Hybrid Memory Structure 査読有り 国際誌

    Hongjie Xu, Jun Shiomi, Tohru Ishihara, Hidetoshi Onodera

    Proc. the 28th International Symposium on Power and Timing Modeling, Optimization and Simulation (PATMOS)     頁: 237 - 242   2018年7月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.1109/PATMOS.2018.8464141

    researchmap

    その他リンク: https://dblp.uni-trier.de/db/conf/patmos/patmos2018.html#XuSIO18

  38. Minimum Energy Point Tracking with All-Digital On-Chip Sensors

    塩見準, 保木本修, 石原 亨, 小野寺秀俊

    ASP Journal of Low Power Electronics   14 巻 ( 2 )   2018年6月

     詳細を見る

    担当区分:責任著者   記述言語:英語  

  39. An Integrated Nanophotonic Parallel Adder 査読有り

    石原 亨, 新家昭彦, 井上弘士, 野崎謙悟, 納富雅也

    ACM Journal on Emerging Technologies in Computing (JETC)     2018年6月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語  

  40. An optical parallel multiplier using nanophotonic analog adders and optoelectronic analog-to-digital converters 査読有り

    Yuuki Imai, Tohru Ishihara, Hidetoshi Onodera, Akihiko Shinya, Shota Kita, Kengo Nozaki, Kenta Takata, Masaya Notomi

    Proceedings of Conference on Lasers and Electro-Optics (CLEO)   2018 巻   2018年5月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:OSA - The Optical Society  

    We proposes an architecture of an optical parallel multiplier based on an optical analog addition. With optoelectronic circuit simulation, we show that the optical multiplier is more than three times faster than the CMOS multiplier.

    DOI: 10.1364/CLEO_AT.2018.JW2A.50

    Scopus

    researchmap

  41. A temperature monitor circuit with small voltage sensitivity using a topology-reconfigurable ring oscillator 査読有り

    岸本真, 石原 亨, 小野寺秀俊

    Japanese Journal of Applied Physics   57 巻 ( 4S ) 頁: 04FF09-1 - 04FF09-6   2018年3月

     詳細を見る

    記述言語:英語  

  42. A Hybrid Caching System Using SRAM and Standard-Cell Memory for Energy-Efficient Near-Threshold Circuits 査読有り

    徐 宏傑, 塩見準, 石原 亨, 小野寺秀俊

    Proc. the Workshop on Synthesis And System Integration of Mixed Information Technologies (SASIMI)     頁: 56 - 61   2018年3月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  43. Individual Voltage Scaling in Logic and Memory Circuits towards Runtime Energy Optimization in Processors 査読有り

    Jun Shiomi, Tohru Ishihara, Hidetoshi Onodera

    Proc. International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems (TAU)     頁: 45 - 50   2018年3月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  44. Energy and Delay Optimized Multiplexer-tree Structure for Scaled Voltage Operation 査読有り

    長岡悠太, 石原 亨, 小野寺秀俊

    Proc. the Workshop on Synthesis And System Integration of Mixed Information Technologies (SASIMI)     頁: 290 - 295   2018年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  45. An Integrated Optical Parallel Multiplier based on Nanophotonic Analog Adders and Optoelectronic AD Converters 査読有り

    今井悠貴, 石原 亨, 小野寺秀俊, 新家昭彦, 北 翔太, 野崎謙悟, 高田健太, 納富雅也

    Proc. the Workshop on Synthesis And System Integration of Mixed Information Technologies (SASIMI)     頁: 100 - 105   2018年3月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  46. All-Digital On-Chip Heterogeneous Sensors for Tracking the Minimum Energy Point of Processors 査読有り

    保木本修, 塩見準, 石原 亨, 小野寺秀俊

    Proc. Annual IEEE International Conference on Microelectronic Test Structures (ICMTS)     頁: 128 - 133   2018年3月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  47. A Method of Minimizing Latency in Large Fan-In Optical Logic Circuits with Integrated Nanophotonic Technologies 査読有り

    江川巧, 石原 亨, 小野寺秀俊, 新家昭彦, 北 翔太, 野崎謙悟, 高田健太, 納富雅也

    Proc. the Workshop on Synthesis And System Integration of Mixed Information Technologies (SASIMI)     頁: 320 - 325   2018年3月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  48. On–Chip Reconfigurable Monitor Circuit for Process Variation and Temperature Estimation 査読有り

    岸本真, 石原 亨, 小野寺秀俊

    Proc. Annual IEEE International Conference on Microelectronic Test Structures (ICMTS)     頁: 111 - 116   2018年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  49. A Minimum Energy Point Tracking Algorithm based on Dynamic Voltage Scaling and Adaptive Body Biasing 査読有り

    保木本修, 石原 亨, 小野寺秀俊

    IEICE Transactions on Fundamentals   E100A 巻 ( 12 ) 頁: 2776 - 2784   2017年12月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.1587/transfun.E100.A.2776

    Scopus

    researchmap

    その他リンク: https://dblp.uni-trier.de/db/journals/ieicet/ieicet100a.html#HokimotoIO17

  50. A Necessary and Sufficient Condition of Supply and Threshold Voltages in CMOS Circuits for Minimum Energy Point Operation 査読有り

    塩見準, 石原 亨, 小野寺秀俊

    IEICE Transactions on Fundamentals   E100A 巻 ( 12 ) 頁: 2764 - 2775   2017年12月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.1587/transfun.E100.A.2764

    Scopus

    researchmap

    その他リンク: https://dblp.uni-trier.de/db/journals/ieicet/ieicet100a.html#ShiomiIO17

  51. Area-Efficient Fully Digital Memory Using Minimum Height Standard Cells for Near-Threshold Voltage Computing 査読有り

    塩見準, 石原 亨, 小野寺秀俊

    Elsevier: Integration, the VLSI Journal   ( 有り )   2017年7月

     詳細を見る

    記述言語:英語  

  52. On-Chip Temperature and Process Variation Sensing using a Reconfigurable Ring Oscillator 査読有り

    Tadashi Kishimoto, Tohru Ishihara, Hidetoshi Onodera

    2017 INTERNATIONAL SYMPOSIUM ON VLSI DESIGN, AUTOMATION AND TEST (VLSI-DAT)     頁: 1 - 4   2017年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    This paper proposes a temperature monitoring scheme using a reconfigurable ring oscillator that has been proposed to estimate process variation. New circuit configurations, whose delay characteristics are sensitive to leakage current, are proposed to exploit the exponential dependence of the leakage current to temperature. Based on transistorlevel simulation assuming a 65 nm process technology, the oscillation frequency of the proposed circuit topology shows the temperature sensitivity of 5.0 %/degrees C at 20 degrees C and 2.9 %/ degrees C at 80 degrees C with low voltage sensitivity of 0.28 degrees C/10 mV at 25 degrees C and a supply voltage of 0.9 V. Estimation error of a 65 nm test chip ranges from -0.6 degrees C to 0.4 degrees C after two-point calibration. We also proposed a method to estimate the process variation and the temperature at the same time.

    DOI: 10.1109/VLSI-DAT.2017.7939649

    Web of Science

    researchmap

    その他リンク: https://dblp.uni-trier.de/db/conf/vlsi-dat/vlsi-dat2017.html#KishimotoIO17

  53. Analytical Stability Modeling for CMOS Latches in Low Voltage Operation 査読有り

    Kamakari Tatsuya, Shiomi Jun, Ishihara Tohru, Onodera Hidetoshi

    IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES   E99A 巻 ( 12 ) 頁: 2463 - 2472   2016年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1587/transfun.E99.A.2463

    Web of Science

    researchmap

    その他リンク: https://dblp.uni-trier.de/db/journals/ieicet/ieicet99a.html#KamakariSIO16

  54. A Processor Architecture Integrating Voltage Scalable On-Chip Memories for Individual Tracking of Minimum Energy Points in Logic and Memory 査読有り

    Jun Shiomi, Tohru Ishihara, Hidetoshi Onodera

    Proc. the 20th Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI)     頁: 36 - 41   2016年10月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  55. Comparison of Area-Delay-Energy Characteristics between General Purpose Processors and Dedicated Hardwares for Embedded Applications 査読有り

    Kei Yoshizawa, Tohru Ishihara, Hidetoshi Onodera

    Proc. the 20th Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI)     頁: 329 - 334   2016年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  56. An Integrated Optical Parallel Adder as a First Step Towards Light Speed Data Processing 査読有り 国際誌

    Tohru Ishihara, Akihiko Shinya, Koji Inoue, Kengo Nozaki, Masaya Notomi

    2016 INTERNATIONAL SOC DESIGN CONFERENCE (ISOCC)     頁: 123 - 124   2016年10月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Integrated optical circuits with nanophotonic devices have attracted significant attention due to its low power dissipation and light-speed operation. With light interference and resonance phenomena, the nanophotonic device works as a voltage-controlled optical pass-gate like a pass-transistor. This paper first introduces a concept of the optical pass-gate logic, and then proposes a parallel adder circuit based on the optical pass gate logic. Experimental results obtained with an optoelectronic circuit simulator show advantages of our optical parallel adder circuit over a traditional CMOS-based parallel adder circuit.

    DOI: 10.1109/ISOCC.2016.7799721

    Web of Science

    researchmap

    その他リンク: https://dblp.uni-trier.de/db/conf/isocc/isocc2016.html#IshiharaSINN16

  57. Fully Digital On-Chip Memory Using Minimum Height Standard Cells for Near-Threshold Voltage Computing 査読有り

    Jun Shiomi, Tohru Ishihara, Hidetoshi Onodera

    PROCEEDINGS OF 2016 26TH INTERNATIONAL WORKSHOP ON POWER AND TIMING MODELING, OPTIMIZATION AND SIMULATION (PATMOS)     頁: 44 - 49   2016年9月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    This paper proposes a standard-cell based memory (SCM) as an alternative to a traditional on-chip SRAM for near-threshold voltage computing. It focuses on area-and energy-efficiency using minimum height standard-cells. Unlike conventional SCMs, the proposed SCM has standard-cells with a minimum possible cell height allowed by the logic design rule of the target technology. This paper also presents energy efficient readout and write schemes for reducing dynamic energy consumption. Post layout simulation using 65-nm FDSOI technology shows that the proposed SCM achieves area efficiency of 5.9 mu m(2) per bit (592F(2) per bit), which is less than that of the state of the art SCMs. The results also show that the energy consumption is further improved when the supply voltage scaling and back-gate biasing techniques are applied to our SCM.

    DOI: 10.1109/PATMOS.2016.7833424

    Web of Science

    researchmap

    その他リンク: https://dblp.uni-trier.de/db/conf/patmos/patmos2016.html#ShiomiIO16

  58. Minimum Energy Point Tracking Using Combined Dynamic Voltage Scaling and Adaptive Body Biasing 査読有り

    Shu Hokimoto, Tohru Ishihara, Hidetoshi Onodera

    Proc. IEEE International System-on-Chip Conference (SOCC)     頁: 1 - 6   2016年9月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.1109/SOCC.2016.7905420

    researchmap

    その他リンク: https://dblp.uni-trier.de/db/conf/socc/socc2016.html#HokimotoIO16

  59. Guidelines for effective and simplified dynamic supply and threshold voltage scaling 査読有り

    Toshinori Takeshita, Tohru Ishihara, Hidetoshi Onodera

    Proc. International Symposium on VLSI Design, Automation and Test (VLSI-DAT)     2016年4月

     詳細を見る

    担当区分:責任著者   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    DOI: 10.1109/vlsi-dat.2016.7482546

    researchmap

  60. Variability- and correlation-aware logical effort for near-threshold circuit design 招待有り 査読有り

    Jun Shiomi, Tohru Ishihara, Hidetoshi Onodera

    Proc. the17th International Symposium on Quality Electronic Design (ISQED)     頁: 18 - 23   2016年3月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    DOI: 10.1109/isqed.2016.7479150

    researchmap

  61. A closed-form stability model for cross-coupled inverters operating in sub-threshold voltage region. 査読有り

    Tatsuya Kamakari, Jun Shiomi, Tohru Ishihara, Hidetoshi Onodera

    21st Asia and South Pacific Design Automation Conference (ASP-DAC)     頁: 691 - 696   2016年1月

     詳細を見る

    掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.1109/ASPDAC.2016.7428092

    researchmap

    その他リンク: https://dblp.uni-trier.de/db/conf/aspdac/aspdac2016.html#KamakariSIO16

  62. Wide-Supply-Range All-Digital Leakage Variation Sensor for On-Chip Process and Temperature Monitoring 査読有り

    イスラム マーフズ, 塩見準, 石原 亨, 小野寺秀俊

    IEEE Journal of Solid-State Circuits   50 巻 ( 11 ) 頁: 2475 - 2490   2015年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/JSSC.2015.2461598

    Web of Science

    researchmap

  63. Statistical Timing Modeling Based on a Lognormal Distribution Model for Near-Threshold Circuit Optimization 査読有り

    Shiomi Jun, Ishihara Tohru, Onodera Hidetoshi

    IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES   E98A 巻 ( 7 ) 頁: 1455 - 1466   2015年7月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1587/transfun.E98.A.1455

    Web of Science

    J-GLOBAL

    researchmap

    その他リンク: https://dblp.uni-trier.de/db/journals/ieicet/ieicet98a.html#ShiomiIO15

  64. An impact of process variation on supply voltage dependence of logic path delay variation. 査読有り

    Shinichi Nishizawa, Tohru Ishihara, Hidetoshi Onodera

    Proc. VLSI Design, Automation and Test (VLSI-DAT)     頁: 1 - 4   2015年4月

     詳細を見る

    掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    DOI: 10.1109/VLSI-DAT.2015.7114534

    researchmap

    その他リンク: https://dblp.uni-trier.de/db/conf/vlsi-dat/vlsi-dat2015.html#NishizawaIO15

  65. A Variability-Aware Energy-Efficient On-Chip Memory for Near-Threshold Operation using Cell-Based Structure 査読有り

    Jun Shiomi, Tohru Ishihara, Hidetoshi Onodera

    Proc. the Workshop on Synthesis And System Integration of Mixed Information Technologies (SASIMI)     2015年3月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  66. An energy-efficient on-chip memory structure for variability-aware near-threshold operation. 査読有り

    Jun Shiomi, Tohru Ishihara, Hidetoshi Onodera

    Proc. the 16th International Symposium on Quality Electronic Design (ISQED)     頁: 23 - 28   2015年3月

     詳細を見る

    担当区分:責任著者   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    DOI: 10.1109/ISQED.2015.7085372

    researchmap

    その他リンク: https://dblp.uni-trier.de/db/conf/isqed/isqed2015.html#ShiomiIO15

  67. Energy reduction by built-in body biasing with single supply voltage operation. 査読有り

    Norihiro Kamae, Islam A. K. M. Mahfuzul, Akira Tsuchiya, Tohru Ishihara, Hidetoshi Onodera

    Proc. the 16th International Symposium on Quality Electronic Design (ISQED)     頁: 181 - 185   2015年3月

     詳細を見る

    掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    DOI: 10.1109/ISQED.2015.7085421

    researchmap

    その他リンク: https://dblp.uni-trier.de/db/conf/isqed/isqed2015.html#KamaeMTIO15

  68. Layout Generator with Flexible Grid Assignment for Area Efficient Standard Cell 査読有り

    西澤真一, 石原 亨, 小野寺秀俊

    IPSJ Transactions on System LSI Design Methodology   8 巻   頁: 131 - 135   2015年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.2197/ipsjtsldm.8.131

    Scopus

    J-GLOBAL

    researchmap

  69. Microarchitectural-level statistical timing models for near-threshold circuit design. 査読有り

    Jun Shiomi, Tohru Ishihara, Hidetoshi Onodera

    Proc. the 20th Asia and South Pacific Design Automation Conference (ASP-DAC)     頁: 87 - 93   2015年1月

     詳細を見る

    担当区分:責任著者   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    DOI: 10.1109/ASPDAC.2015.7058986

    researchmap

    その他リンク: https://dblp.uni-trier.de/db/conf/aspdac/aspdac2015.html#ShiomiIO15

  70. An Integrated Framework for Energy Optimization of Embedded Real-Time Applications 査読有り 国際誌

    Takase Hideki, Zeng Gang, Gauthier Lovic, Kawashima Hirotaka, Atsumi Noritoshi, Tatematsu Tomohiro, Kobayashi Yoshitake, Koshiro Takenori, Ishihara Tohru, Tomiyama Hiroyuki, Takada Hiroaki

    IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES   E97A 巻 ( 12 ) 頁: 2477 - 2487   2014年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人 電子情報通信学会  

    This paper presents a framework for reducing the energy consumption of embedded real-time systems. We implemented the presented framework as both an optimization toolchain and an energy-aware real-time operating system. The framework consists of the integration of multiple techniques to optimize the energy consumption. The main idea behind our approach is to utilize trade-offs between the energy consumption and the performance of different processor configurations during task checkpoints, and to maintain memory allocation during task context switches. In our framework, a target application is statically analyzed at both intra-task and inter-task levels. Based on these analyzed results, runtime optimization is performed in response to the behavior of the application. A case study shows that our toolchain and real-time operating systems have achieved energy reduction while satisfying the real-time performance. The toolchain has also been successfully applied to a practical application.

    DOI: 10.1587/transfun.E97.A.2477

    Web of Science

    Scopus

    CiNii Research

    researchmap

    その他リンク: https://dblp.uni-trier.de/db/journals/ieicet/ieicet97a.html#TakaseZGKATKKIT14

  71. Wide-Supply-Range All-Digital Leakage Variation Sensor for On-chip Process and Temperature Monitoring 査読有り 国際誌

    A. K. M. Mahfuzul Islam, Jun Shiomi, Tohru Ishihara, Hidetoshi Onodera

    Proc. IEEE ASIAN SOLID-STATE CIRCUITS CONFERENCE (A-SSCC)     頁: 45 - 48   2014年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Variation in process, voltage and temperature is a major obstacle in achieving energy-efficient operation of LSI. This paper proposes an all-digital on-chip circuit to monitor leakage current variations of both of the nMOSFET and pMOSFET independently. As leakage current is highly sensitive to threshold voltage and temperature, the circuit is suitable for tracking process and temperature. The circuit uses reconfigurable inhomogeneity to obtain statistical properties from a single monitor instance. An estimation method of threshold voltage variation is then developed. Cell-base design approach is taken so that design cost is minimized. Measurement results from a 65-nm test chip show the validity of the proposed circuit. Total area is 4500 mu m(2) and active power consumption is 50 nW at 1.0 V operation. The proposed technique enables area-efficient and low-cost implementation thus can be used in product chips for applications such as testing and post-silicon tuning.

    DOI: 10.1109/ASSCC.2014.7008856

    Web of Science

    researchmap

    その他リンク: https://dblp.uni-trier.de/db/conf/asscc/asscc2014.html#MahfuzulSIO14

  72. Variation-aware Flip-Flop energy optimization for ultra low voltage operation. 査読有り

    Tatsuya Kamakari, Shinichi Nishizawa, Tohru Ishihara, Hidetoshi Onodera

    Proc. the 27th IEEE International System-on-Chip Conference (SOCC)     頁: 17 - 22   2014年10月

     詳細を見る

    掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    DOI: 10.1109/SOCC.2014.6948893

    researchmap

    その他リンク: https://dblp.uni-trier.de/db/conf/socc/socc2014.html#KamakariNIO14

  73. Design methodology of process variation tolerant D-Flip-Flops for low voltage circuit operation. 査読有り

    Shinichi Nishizawa, Tohru Ishihara, Hidetoshi Onodera

    Proc. the 27th IEEE International System-on-Chip Conference (SOCC)     頁: 42 - 47   2014年9月

     詳細を見る

    掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    DOI: 10.1109/SOCC.2014.6948897

    researchmap

    その他リンク: https://dblp.uni-trier.de/db/conf/socc/socc2014.html#NishizawaIO14

  74. Variation Tolerant Design of D-Flip-Flops for Low Voltage Circuit Operation 査読有り

    Shinichi Nishizawa, Tohru Ishihara, Hidetoshi Onodera

    Proc. International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems (TAU)     頁: 28 - 32   2014年3月

     詳細を見る

    記述言語:英語  

    researchmap

  75. DC-DC Converter-Aware Task Scheduling and Dynamic Reconfiguration for Energy Harvesting Embedded Systems 査読有り

    K. Lee, T. Ishihara

    IEICE Transactions on Fundamentals of Electronics Communications and Computer Sciences   96-A 巻 ( 12 ) 頁: 2660-2667   2013年12月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  76. Standard Cell Structure with Flexible P/N Well Boundaries for Near-Threshold Voltage Operation 査読有り

    Shinichi Nishizawa, Tohru Ishihara, Hidetoshi Onodera

    IEICE Transactions on Fundamentals of Electronics Communications and Computer Sciences   96-A 巻 ( 12 ) 頁: 2499-2507   2013年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  77. Energy-efficient Dynamic Voltage and Frequency Scaling by P/N-performance Self-adjustment using Adaptive Body Bias, 査読有り

    A.K.M. Mahfuzul Islam, Norihiro Kamae, Tohru Ishihara, Hidetoshi Onodera

    Proc. the Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI)     2013年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  78. A standard cell optimization method for near-threshold voltage operations 査読有り

    Masahiro Kondo, Shinichi Nishizawa, Tohru Ishihara, Hidetoshi Onodera

    Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)   7606 巻   頁: 32 - 41   2013年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:Springer  

    Near-threshold voltage operation is a well-known solution for drastically improving the energy efficiency of microprocessors fabricated with the latest process technologies. However, it is not well studied how the optimal gate size of standard cells changes when the supply voltage of the microprocessors gets closer to the threshold voltage. This paper first shows an experimental observation that the optimal gate size for nearthreshold voltage which is 0.6V in this work is far from the optimal gate size for the nominal supply voltage which is 1.2V in our target process technology. Based on this fact, the paper next presents our cell optimization flow which finds the optimal gate sizes of individual standard cells operating at the near-threshold voltage. The experimental results show that, when operating at the 0.6V condition, the energy consumptions of several benchmark circuits synthesized with our standard cells optimized for the 0.6V condition can be reduced by 31% at the best case and by 23% on average compared with those of the same circuits synthesized with the cells optimized for the nominal supply voltage. © Springer-Verlag Berlin Heidelberg 2013.

    DOI: 10.1007/978-3-642-36157-9_4

    Scopus

    J-GLOBAL

    researchmap

    その他リンク: https://dblp.uni-trier.de/db/conf/patmos/patmos2012.html#KondoNIO12

  79. DLIC: Decoded Loop Instructions Caching for Energy-Aware Embedded Processors 査読有り 国際共著 国際誌

    Gu Ji, Guo Hui, Ishihara Tohru

    ACM TRANSACTIONS ON EMBEDDED COMPUTING SYSTEMS   13 巻 ( 1 ) 頁: 6 - 26   2013年8月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1145/2512464

    Web of Science

    Scopus

    researchmap

    その他リンク: https://dblp.uni-trier.de/db/journals/tecs/tecs13.html#GuGI13

  80. An Impact of Within-Die Variation on Supply Voltage Dependence of Path Delay 査読有り

    Shinichi Nishizawa, Tohru Ishihara, Hidetoshi Onodera

    Proc. International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems (TAU)     2013年3月

     詳細を見る

    記述言語:英語  

    researchmap

  81. Analysis and comparison of XOR cell structures for low voltage circuit design. 査読有り

    Shinichi Nishizawa, Tohru Ishihara, Hidetoshi Onodera

    Proc. International Symposium on Quality Electronic Design (ISQED)     頁: 703 - 708   2013年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    DOI: 10.1109/ISQED.2013.6523687

    researchmap

    その他リンク: https://dblp.uni-trier.de/db/conf/isqed/isqed2013.html#NishizawaIO13

  82. A flexible structure of standard cell and its optimization method for near-threshold voltage operation 査読有り

    Shinichi Nishizawa, Tohru Ishihara, Hidetoshi Onodera

    Proc. the 30th International IEEE Conference on Computer Design (ICCD)     頁: 235 - 240   2012年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  83. I/O aware task scheduling for energy harvesting embedded systems with PV and capacitor arrays 査読有り

    Kyungsoo Lee, Tohru Ishihara

    Proc. IEEE 10th Symposium on Embedded Systems for Real-time Multimedia (ESTIMedia)     頁: 48 - 55   2012年10月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  84. Loop instruction caching for energy-efficient embedded multitasking processors 査読有り

    Ji Gu, Tohru Ishihara, Kyungsoo Lee

    Proc. IEEE 10th Symposium on Embedded Systems for Real-time Multimedia (ESTIMedia)     頁: 97 - 106   2012年10月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  85. A Case Study of Energy-efficient Loop Instruction Cache Design for Embedded Multitasking Systems 査読有り

    Ji Gu, Tohru Ishihara

    Proc. the 1st International Conference on Smart Grids and Green IT Systems (SMARTGREENS)     頁: 197 - 202   2012年4月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  86. A Dynamic Reconfiguration Technique for PV and Capacitor Arrays to Improve the Efficiency in Energy Harvesting Embedded Systems 査読有り

    Kyungsoo Lee, Tohru Ishihara

    Proc. the 1st International Conference on Smart Grids and Green IT Systems (SMARTGREENS)     頁: 175 - 182   2012年4月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  87. Processor energy characterization for compiler-assisted software energy reduction 査読有り

    L. Gauthier; T. Ishihara

    Journal of Electrical and Computer Engineering   - 英語   2012年1月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:英語  

    DOI: 10.1155/2012/786943

  88. Implementation of Stack Data Placement and Run Time Management Using a Scratch-Pad Memory for Energy Consumption Reduction of Embedded Applications 査読有り

    Lovic Gauthier, Tohru Ishihara

    IEICE Transactions on Fundamentals of Electronics Communications and Computer Sciences   94-A 巻 ( 12 ) 頁: 2597-2608   2011年12月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  89. RTOSのハードウェア化によるソフトウェアベースTCP/IP処理の高速化と低消費電力化 査読有り

    丸山修孝;石原亨;安浦寛人

    電子情報通信学会論文誌 A   J94-A 巻 ( 9 ) 頁: 692 - 701   2011年9月

     詳細を見る

    担当区分:責任著者   記述言語:日本語  

  90. Unified Gated Flip-Flops for Reducing the Clocking Power in Register Circuits 査読有り

    Takumi Okuhira, Tohru Ishihara

    Proc. the 21st International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS)     頁: 237 - 246   2011年9月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  91. An integrated optimization framework for reducing the energy consumption of embedded real-time applications 査読有り

    Hideki Takase, Gang Zeng, Lovic Gauthier, Hirotaka Kawashima, Noritoshi Atsumi, Tomohiro Tatematsu, Yoshitake Kobayashi, Shunitsu Kohara, Takenori Koshiro, Tohru Ishihara, Hiroyuki Tomiyama, Hiroaki Takada

    Proc. International Symposium on Low Power Electronics and Design (ISLPED)     頁: 271 - 276   2011年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  92. Software-Level Instruction-Cache Leakage Reduction Using Value-Dependence of SRAM Leakage in Nanometer Technologies 査読有り

    Maziar Goudarzi, Tohru Ishihara, Hamid Noori

    Transactions on High-Performance Embedded Architectures and Compilers   3 巻   頁: 275-299   2011年3月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: https://doi.org/10.1007/978-3-642-19448-1_15

  93. Developing an integrated verification and debug methodology 査読有り

    Akitoshi Matsuda, Tohru Ishihara

    Proc. Design, Automation and Test in Europe (DATE)     頁: 503 - 504   2011年3月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  94. A Multi-Performance Processor for Reducing the Energy Consumption of Real-Time Embedded Systems 査読有り

    Tohru ISHIHARA

    IEICE Transactions on Fundamentals of Electronics Communications and Computer Sciences   93-A 巻 ( 12 ) 頁: 2533-2541   2010年12月

     詳細を見る

    担当区分:筆頭著者, 最終著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  95. SRAM leakage reduction by row/column redundancy under random within-die delay variation 査読有り

    M. Goudarzi; T. Ishihara

    IEEE Transactions on Very Large Scale Integration (VLSI) Systems   18 巻 ( 12 ) 頁: 1660 - 1671   2010年12月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:英語  

    DOI: 10.1109/TVLSI.2009.2026048

  96. Compiler assisted energy reduction techniques for embedded multimedia processors 招待有り 査読有り

    Lovic Gauthier, Tohru Ishihara

    Proc. the 2nd APSIPA Annual Summit and Conference     頁: 27 - 36   2010年12月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  97. Placing Static and Stack Data into a Scratch-Pad Memory for Reducing the Energy Consumption of Multi-task Applications 査読有り

    Lovic Gauthier, Tohru Ishihara, Hideki Takase, Hiroyuki Tomiyama, Hiroaki Takada

    Proc. the Workshop on Synthesis And System Integration of Mixed Information Technologies (SASIMI)     頁: 7 - 12   2010年10月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  98. Minimizing inter-task interferences in scratch-pad memory usage for reducing the energy consumption of multi-task systems 査読有り

    Lovic Gauthier, Tohru Ishihara, Hideki Takase, Hiroyuki Tomiyama, Hiroaki Takada

    Proc. the 2010 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES)     頁: 157 - 166   2010年10月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  99. Code and data placement for embedded processors with scratchpad and cache memories 査読有り

    Y. Ishitobi; T. Ishihara; H. Yasuura

    Journal of Signal Processing Systems   60 巻 ( 2 ) 頁: 211 - 224   2010年8月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1007/s11265-008-0306-3

  100. An RTOS in hardware for energy efficient software-based TCP/IP processing 査読有り

    Naotaka Maruyama, Tohru Ishihara, Hiroto Yasuura

    Proc. IEEE 8th Symposium on Application Specific Processors (SASP)     頁: 58 - 63   2010年6月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  101. An implementation of energy efficient multi-performance processor for real-time applications 査読有り

    Chengjie Zang, Tohru Ishihara

    Proc. the 2010 International Conference on Green Circuits and Systems     頁: 211 - 216   2010年6月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  102. Optimal stack frame placement and transfer for energy reduction targeting embedded processors with scratch-pad memories 査読有り

    Lovic Gauthier, Tohru Ishihara

    Proc. the 7th IEEE Workshop on Embedded Systems for Real-Time Multimedia (ESTIMedia)     頁: 116 - 125   2009年10月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  103. Single-Cycle-Accessible Two-Level Caches and Compilation Technique for Energy Reducion 査読有り

    Seiichiro Yamaguchi, Yuriko Ishitobi, Tohru Ishihara, Hiroto Yasuura

    IPSJ Transactions on System LSI Design Methodology   2 巻   頁: 189-199   2009年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  104. An Optimization Technique for Low-Energy Embedded Memory Systems 査読有り

    Tadayuki Matsumura, Tohru Ishihara, Hiroto Yasuura

    IPSJ Transactions on System LSI Design Methodology   2 巻   頁: 239-249   2009年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  105. Way-Scaling to Reduce Power of Cache with Delay Variation 査読有り

    Maziar Goudarzi, Tadayuki Matsumura, Tohru Ishihara

    IEICE Transactions on Fundamentals of Electronics Communications and Computer Sciences   91-A 巻 ( 12 ) 頁: 3576-3584   2008年12月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  106. A software technique to improve lifetime of caches containing ultra-leaky SRAM cells caused by within-die Vth variation 査読有り

    M. Goudarzi; T. Ishihara; H. Yasuura

    Microelectronics Journal   39 巻 ( 12 ) 頁: 1797 - 1808   2008年12月

     詳細を見る

    記述言語:英語  

    DOI: 10.1016/j.mejo.2008.02.002

  107. Analysis of Effects of Input Arrival Time Variations on On-Chip Bus Power Consumption 査読有り

    Masanori Muroyama, Tohru Ishihara, Hiroto Yasuura

    Proc. International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS)     頁: 62 - 71   2008年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  108. Row/column redundancy to reduce SRAM leakage in presence of random within-die delay variation 査読有り

    Maziar Goudarzi, Tohru Ishihara

    Proc. International Symposium on Low Power Electronics and Design (ISLPED)     頁: 93 - 98   2008年8月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  109. AMPLE: An Adaptive Multi-Performance Processor for Low-Energy Embedded Applications 査読有り

    Tohru Ishihara, Seiichiro Yamaguchi, Yuriko Ishitobi, Tadayuki Matsumura, Yuji Kunitake, Yuichiro Oyama, Yusuke Kaneda, Masanori Muroyama, Toshinori Sato

    Proc. IEEE Symposium on Application Specific Processors (SASP)     頁: 83 - 88   2008年6月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  110. Simultaneous optimization of memory configuration and code allocation for low power embedded systems 査読有り

    Tadayuki Matsumura, Tohru Ishihara, Hiroto Yasuura

    Proc. the 18th ACM Great Lakes Symposium on VLSI     頁: 403 - 406   2008年5月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  111. Instruction cache leakage reduction by changing register operands and using asymmetric sram cells 査読有り

    Maziar Goudarzi, Tohru Ishihara

    Proc. the 18th ACM Great Lakes Symposium on VLSI     頁: 383 - 386   2008年5月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  112. Reliable Cache Architectures and Task Scheduling for Multiprocessor Systems 査読有り

    Makoto Sugihara, Tohru Ishihara, Kazuaki Murakami

    IEICE Transactions on Electronics   91-C 巻 ( 4 ) 頁: 410-417   2008年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  113. Cache Power Reduction in Presence of Within-Die Delay Variation Using Spare Ways 査読有り

    Maziar Goudarzi, Tadayuki Matsumura, Tohru Ishihara

    Proc. IEEE Computer Society Annual Symposium on VLSI (ISVLSI)     頁: 447 - 450   2008年4月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  114. Value-dependence of SRAM leakage in deca-nanometer technologies 査読有り

    Maziar Goudarzi, Tohru Ishihara

    IEICE Electronic Express   5 巻   頁: 23-28   2008年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  115. Variation-Aware Software Techniques for Cache Leakage Reduction Using Value-Dependence of SRAM Leakage Due to Within-Die Process Variation 査読有り

    Maziar Goudarzi, Tohru Ishihara, Mamid Noori

    Proc. High Performance Embedded Architectures and Compilers (HiPEAC)     頁: 224 - 239   2008年1月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  116. Architectural-Level Soft-Error Modeling for Estimating Reliability of Computer Systems 査読有り

    Makoto Sugihara, Tohru Ishihara, Kazuaki Murakami

    IEICE Transactions on Electronics   90-C 巻 ( 10 ) 頁: 1983-1991   2007年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  117. A Hybrid Memory Architecture for Low Power Embedded System Design 査読有り

    Tadayuki Matsumura, Yuriko Ishitobi, Tohru Ishihara, Maziar Goudarzi, Hiroto Yasuura

    Proc. the Workshop on Synthesis And System Integration of Mixed Information Technologies (SASIMI)     頁: 56 - 62   2007年10月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  118. Code placement for reducing the energy consumption of embedded processors with scratchpad and cache memories 査読有り

    Yuriko Ishitobi, Tohru Ishihara, Hiroto Yasuura

    Proc. IEEE Workshop on Embedded Systems for Real-time Multimedia (ESTIMedia)     頁: 13 - 18   2007年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  119. Task Scheduling for Reliable Cache Architectures of Multiprocessor Systems 査読有り

    Makoto Sugihara, Tohru Ishihara, Kazuaki Murakami

    Proc. Design, Automation and Test in Europe (DATE)     頁: 1490 - 1495   2007年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  120. A software technique to improve yield of processor chips in presence of ultra-leaky SRAM cells caused by process variation 査読有り

    Maziar Goudarzi, Tohru Ishihara, Hiroto Yasuura

    Proc. Asia and South Pacific Design Automation Conference (ASP-DAC)     頁: 878 - 883   2007年1月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  121. An Energy Characterization Framework for Software-Based Embedded Systems 査読有り

    Donghoon Lee, Tohru Ishihara, Masanori Muroyama, Hiroto Yasuura, Farzan Fallah

    Proc. IEEE Workshop on Embedded Systems for Real-time Multimedia (ESTIMedia)     頁: 59 - 64   2006年10月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  122. Exploiting Narrow Bitwidth Operations for Low Power Embedded Software Design 査読有り

    Seiichiro Yamaguchi, Masanori Muroyama, Tohru Ishihara, Hiroto Yasuura

    Proc. the Workshop on Synthesis And System Integration of Mixed Information Technologies (SASIMI)     頁: 51 - 56   2006年4月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  123. A simulation-based soft error estimation methodology for computer systems 査読有り

    Makoto Sugihara, Tohru Ishihara, Koji Hashimoto, Masanori Muroyama

    Proc. IEEE International Symposium on Quality Electronics Design (ISQED)     頁: 196 - 203   2006年3月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  124. A cache-defect-aware code placement algorithm for improving the performance of processors 査読有り 国際共著

    Tohru Ishihara, Farzan Fallah

    Proc. International Conference on Computer Aided Design (ICCAD)     頁: 995 - 1001   2005年11月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  125. A non-uniform cache architecture for low power system design 査読有り 国際共著

    Tohru Ishihara, Farzan Fallah

    Proc. International Symposium on Low Power Electronics and Design (ISLPED)     頁: 363 - 368   2005年8月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  126. A Code Placement Technique for Improving the Performance of Processors with Defective Caches 査読有り

    Tohru Ishihara, Farzan Fallah

    Proc. International Workshop on Logic and Synthesis (IWLS)     頁: 210 - 214   2005年6月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  127. A way memoization technique for reducing power consumption of caches in application specific integrated processors 査読有り

    Tohru Ishihara, Farzan Fallah

    Proc. Design, Automation and Test in Europe (DATE)     頁: 358 - 363   2005年3月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  128. Comparative Study On Verilog-Based And C-Based Hardware Design Education 査読有り

    Tohru Ishihara, Satoshi Komatsu, Makoto Ikeda, Masahiro Fujita, Kunihiro Asada

    Proc. International Conference on Microelectronics Systems Education (MSE)     頁: 41 - 42   2003年6月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  129. オンチップメモリの高速化と低スタンバイリークを実現する閾値電圧の静的スケジューリング手法 査読有り

    石原亨, 浅田邦博

    情報処理学会論文誌   44 巻 ( 5 ) 頁: 1284-1291   2003年5月

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  130. A Voltage Scheduling Technique for Fault-Tolerant Real-Time Microprocessor Systems 査読有り

    Tohru Ishihara

    Proc. the Workshop on Synthesis And System Integration of Mixed Information Technologies (SASIMI)     頁: 137 - 143   2003年4月

     詳細を見る

    担当区分:筆頭著者, 最終著者, 責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  131. An Architectural Level Energy Reduction Technique For Deep-Submicron Cache Memories 査読有り

    Tohru Ishihara, Kunihiro Asada

    Proc. Asia and South Pacific Design Automation Conference (ASP-DAC)     頁: 282 - 287   2002年1月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  132. A power minimization technique for arithmetic circuits by cell selection 査読有り

    Masanori Muroyama, Tohru Ishihara, Akihiko Hyodo, Hiroto Yasuura

    Proc. Asia and South Pacific Design Automation Conference (ASP-DAC)     頁: 268 - 273   2002年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  133. A System Level Optimization Technique for Application Specific Low Power Memories 査読有り

    Tohru ISHIHARA, Kunihiro ASADA

    IEICE Transactions on Fundamentals of Electronics Communications and Computer Sciences   E84-A 巻 ( 11 ) 頁: 2755-2761   2001年11月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  134. 入力信号パターンを考慮した低電力算術演算回路の設計手法 査読有り

    室山真徳,石原亨,兵頭章彦,安浦寛人

    情報処理学会論文誌   42 巻 ( 4 ) 頁: 1007-1015   2001年4月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  135. DRAM/ロジック混載LSI向け高性能/低消費電力キャッシュ・アーキテクチャ 査読有り

    井上弘士, 石原亨,甲斐康司,村上和彰

    情報処理学会論文誌   42 巻 ( 3 ) 頁: 419-431   2001年3月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  136. Software energy reduction techniques for variable-voltage processors 査読有り

    T. Okuma; H. Yasuura; T. Ishihara

    IEEE Design and Test of Computers   18 巻 ( 2 ) 頁: 31 - 41   2001年3月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/54.914613

  137. A system level memory power optimization technique using multiple supply and threshold voltages 査読有り

    Tohru Ishihara, Kunihiro Asada

    Proc. Asia and South Pacific Design Automation Conference (ASP-DAC)     頁: 456 - 461   2001年1月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  138. 可変電源電圧プロセッサに対するリアルタイムタスクスケジューリング手法 査読有り

    大隈孝憲, 石原亨, 安浦寛人

    電子情報通信学会論文誌   J83-C 巻 ( 6 ) 頁: 454-462   2000年6月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)  

  139. Flexible system LSI for embedded systems and its optimization techniques 査読有り

    A. Inoue; T. Ishihara; H. Yasuura

    Design Automation for Embedded Systems   5 巻 ( 2 ) 頁: 179 - 205   2000年6月

     詳細を見る

    記述言語:英語  

    DOI: 10.1023/A:1008974723452

  140. A power reduction technique with object code merging for application specific embedded processors 査読有り

    Tohru Ishihara, Hiroto Yasuura

    Proc. Design, Automation and Test in Europe (DATE)     頁: 617 - 623   2000年3月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  141. System LSI design methods for low power LSIs 招待有り 査読有り

    H. Yasuura; T. Ishihara

    IEICE Transactions on Electronics   E83-C 巻 ( 2 ) 頁: 143 - 152   2000年2月

     詳細を見る

    担当区分:最終著者   記述言語:英語  

  142. A high-performance and low-power cache architecture with speculative way-selection 査読有り

    K. Indue; T. Ishihara; K. Kai; K. Murakami

    IEICE Transactions on Electronics   E83-C 巻 ( 2 ) 頁: 186 - 193   2000年2月

     詳細を見る

    記述言語:英語  

  143. A memory power optimization technique for application specific embedded systems 査読有り

    T. Ishihara; H. Yasuura

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E82-A 巻 ( 11 ) 頁: 2366 - 2374   1999年11月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語  

  144. Real-time task scheduling for a variable voltage processor 査読有り

    Takanori Okuma, Tohru Ishihara, Hiroto Yasuura

    Proc. the 12th International Symposium on System Synthesis (ISSS)     頁: 24 - 29   1999年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  145. Way-predicting set-associative cache for high performance and low energy consumption 査読有り

    Koji Inoue, Tohru Ishihara, Kazuaki Murakami

    Proc. International Symposium on Low Power Electronics and Design (ISLPED)     頁: 273 - 275   1999年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  146. Instruction scheduling to reduce switching activity of off-chip buses for low-power systems with caches 査読有り

    H. Tomiyama; T. Ishihara; A. Inoue; H. Yasuura

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E81-A 巻 ( 12 ) 頁: 2621 - 2629   1998年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

  147. Programmable power management architecture for power reduction 査読有り

    T. Ishihara; H. Yasuura

    IEICE Transactions on Electronics   E81-C 巻 ( 9 ) 頁: 1473 - 1479   1998年9月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  148. Voltage scheduling problem for dynamically variable voltage processors 査読有り

    Tohru Ishihara, Hiroto Yasuura

    Proc. International Symposium on Low Power Electronics and Design (ISLPED)     頁: 197 - 202   1998年8月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  149. Instruction Scheduling for Power Reduction in Processor-Based System Design 査読有り

    Hiroyuki Tomiyama, Tohru Ishihara, Akihiko Inoue, Hiroto Yasuura

    Proc. Design, Automation and Test in Europe (DATE)     頁: 855 - 860   1998年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  150. Power-Pro: Programmable Power Management Architecture 査読有り

    Tohru Ishihara, Hiroto Yasuura

    Proc. Asia and South Pacific Design Automation Conference (ASP-DAC)     頁: 321 - 322   1998年2月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  151. Optimization of Supply Voltage Assignment for Power Reduction on Processor Based Systems 査読有り

    Tohru Ishihara, Hiroto Yasuura

    Proc. the Workshop on Synthesis And System Integration of Mixed Information Technologies (SASIMI)     頁: 170 - 177   1997年12月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

  152. Experimental analysis of power estimation models of CMOS VLSI circuits 査読有り

    Tohru Ishihara, Hiroto Yasuura

    IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences   E80-A 巻 ( 3 ) 頁: 480 - 486   1997年3月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

  153. Basic experimentation on accuracy of power estimation for CMOS VLSI circuits 査読有り

    Tohru Ishihara, Hiroto Yasuura

    Proc. International Symposium on Low Power Electronics and Design (ISLPED)     頁: 117 - 120   1996年8月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

▼全件表示

書籍等出版物 3

  1. Multi-Processor System-on-Chip 1

    Jun Shiomi, Tohru Ishihara( 担当: 共著 ,  範囲: Chapter 10: Minimum Energy Computing via Supply and Threshold Voltage Scaling (pp. 227-254))

    Wiley – ISTE  2021年3月 

     詳細を見る

    記述言語:英語 著書種別:教科書・概説・概論

    researchmap

  2. ウェスト&ハリス CMOS VLSI回路設計 基礎編

    宇佐美公良, 池田誠, 小林和淑, 石原亨ほか( 担当: 共訳 ,  範囲: 5章 消費電力)

    丸善出版  2014年1月 

     詳細を見る

  3. Essential Issues in SOC Design: Designing Complex Systems-on-Chip

    H. Yasuura, T. Ishihara, M. Muroyama( 担当: 分担執筆 ,  範囲: Chapter 6: Energy Management Techniques for SOC Design)

    Springer  2006年10月 

MISC 41

  1. 近似コンピューティング回路の設計最適化に向けた計算重要度評価技術

    陸佳萱, 増田豊, 石原亨  

    情報処理学会研究報告(Web)2021 巻 ( SLDM-195 )   2021年

     詳細を見る

  2. 近似コンピューティング回路の品質検証を高速化するファジングテスト法

    本多佑成, 増田豊, 石原亨  

    情報処理学会研究報告(Web)2021 巻 ( SLDM-195 )   2021年

     詳細を見る

  3. ファジングと高位合成を用いた近似コンピューティング回路のタイミング検証手法

    熊谷僚太, 増田豊, 石原亨  

    情報処理学会研究報告(Web)2021 巻 ( SLDM-195 )   2021年

     詳細を見る

  4. タスクの平均応答時間を保証する近似最小エネルギー点追跡

    小森工, 増田豊, 塩見準, 石原亨  

    回路とシステムワークショップ論文集(CD-ROM)34th 巻   2021年

     詳細を見る

  5. ニューラルネットワークの集積ナノフォトニクス実装に適した回路構造探索—Circuit-Architecture Exploration for Optical Neural Network based on Integrated Nanophotonics—VLSI設計技術

    服部 直樹, 増田 豊, 石原 亨, 塩見 準, 新家 昭彦, 納富 雅也  

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報119 巻 ( 443 ) 頁: 251 - 256   2020年3月

     詳細を見る

    記述言語:日本語   出版者・発行元:電子情報通信学会  

    CiNii Books

    researchmap

    その他リンク: http://id.ndl.go.jp/bib/030359303

  6. 遅延故障に起因する回路寿命分布の確率的高速推定手法—Stochastic fast estimation of timing error induced circuit lifetime distribution—VLSI設計技術

    富山 葉月, 増田 豊, 石原 亨  

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報119 巻 ( 443 ) 頁: 113 - 118   2020年3月

     詳細を見る

    記述言語:日本語   出版者・発行元:電子情報通信学会  

    CiNii Books

    researchmap

    その他リンク: http://id.ndl.go.jp/bib/030363102

  7. 低レイテンシかつ高効率な光電融合アクセラレータの実現に向けて

    北翔太, 北翔太, 野崎謙悟, 野崎謙悟, 小野真証, 小野真証, 高田健太, 高田健太, 新家昭彦, 新家昭彦, CONG G., 山本宗継, 前神有里子, 大野守史, 山田浩治, 川上哲志, 井上弘士, 石原亨, 納富雅也, 納富雅也  

    応用物理学会春季学術講演会講演予稿集(CD-ROM)67th 巻   頁: 1200 - 1200   2020年

     詳細を見る

    記述言語:日本語   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2020.1.0_1200

    J-GLOBAL

    researchmap

  8. 集積ナノフォトニクスに基づく近似並列乗算器を用いた低レイテンシ光ニューラルネットワーク—VLSI設計技術 ; デザインガイア2019 : VLSI設計の新しい大地

    塩見 準, 石原 亨, 小野寺 秀俊, 新家 昭彦, 納富 雅也  

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報119 巻 ( 282 ) 頁: 127 - 132   2019年11月

     詳細を見る

    記述言語:日本語   出版者・発行元:電子情報通信学会  

    CiNii Books

    researchmap

  9. A Process-Scheduler-Based Approach to Minimum Energy Point Tracking

    Shengyu Liu, Jun Shiomi, Tohru Ishihara, Hidetoshi Onodera  

    DA Symposium 2019 (poster)   2019年8月

     詳細を見る

    担当区分:責任著者   記述言語:英語  

    researchmap

  10. 幅広い動作環境にわたってLSIの最大遅延特性を追跡するクリティカルパスレプリカの構成法—A Method of Constructing a Replica Circuit Tracking Maximum Delay Characteristics of an LSI Circuit over a Wide Range of Operating Environments—VLSI設計技術

    福田 展和, 塩見 準, 石原 亨, 小野寺 秀俊  

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報118 巻 ( 29 ) 頁: 25 - 30   2018年5月

     詳細を見る

    記述言語:日本語   出版者・発行元:電子情報通信学会  

    CiNii Books

    researchmap

  11. 光パスゲート論理に基づく超低遅延光回路—特集 集積ナノフォトニクス研究の最前線

    新家 昭彦, 石原 亨, 井上 弘士, 野崎 謙悟, 納富 雅也  

    NTT技術ジャーナル / 日本電信電話株式会社 編30 巻 ( 5 ) 頁: 28 - 31   2018年5月

     詳細を見る

    記述言語:日本語   出版者・発行元:電気通信協会  

    CiNii Books

    researchmap

  12. 光パスゲート論理に基づく光波長多重並列加算器(2)~熱光学スイッチによる動作実証~

    新家昭彦, 新家昭彦, 石原亨, 野崎謙悟, 野崎謙悟, 北翔太, 北翔太, 井上弘士, CONG Guangwei, 山田浩治, 納富雅也, 納富雅也  

    応用物理学会秋季学術講演会講演予稿集(CD-ROM)79th 巻   2018年

     詳細を見る

  13. <高校生のページ>人に寄り添う安全安心なコンピュータの実現を目指して

    石原 亨, 小野寺 秀俊  

    Cue : 京都大学電気関係教室技術情報誌38 巻   頁: 58 - 62   2017年9月

     詳細を見る

    記述言語:日本語   出版者・発行元:京都大学電気関係教室・洛友会  

    DOI: 10.14989/227456

    CiNii Books

    researchmap

  14. IoT向け超省エネルギープロセッサのための完全ディジタル型メモリ

    塩見 準, 石原 亨, 小野寺 秀俊  

    LSIとシステムのワークショップ2017 (poster)   2017年5月

     詳細を見る

    担当区分:責任著者   記述言語:日本語  

    researchmap

  15. ロジック部およびメモリ部の独立電圧制御によるプロセッサの消費エネルギー最小化 (ディペンダブルコンピューティング) -- (組込み技術とネットワークに関するワークショップETNET2017)

    塩見 準, 石原 亨, 小野寺 秀俊  

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報116 巻 ( 511 ) 頁: 87 - 92   2017年3月

     詳細を見る

    記述言語:日本語   出版者・発行元:電子情報通信学会  

    CiNii Books

    researchmap

  16. A Voltage-Scalable Fully Digital On-Chip Memory for Ultra-Low-Power IoT Processors

    Jun Shiomi, Tohru Ishihara, Hidetoshi Onodera  

    University Booth at Design, Automation and Test in Europe (DATE) 2017 (poster)   2017年3月

     詳細を見る

    記述言語:英語  

    researchmap

  17. Low-Power IoT Processor Integrating Voltage-Scalable Fully Digital Memories

    Jun Shiomi, Tohru Ishihara, Hidetoshi Onodera  

    IoT Ten-Cent System-on-Chip Challenge at Design, Automation and Test in Europe (DATE) 2017 (poster)   2017年3月

     詳細を見る

    担当区分:責任著者   記述言語:英語  

    researchmap

  18. 低遅延な線形光学多ビット入力AND回路の検討

    北翔太, 北翔太, 野崎謙悟, 野崎謙悟, 江川巧, 石原亨, 新家昭彦, 新家昭彦, 納富雅也, 納富雅也  

    応用物理学会秋季学術講演会講演予稿集(CD-ROM)78th 巻   2017年

     詳細を見る

  19. 光パスゲート論理に基づく光波長多重並列加算器

    新家昭彦, 新家昭彦, 石原亨, 井上弘士, 野崎謙悟, 北翔太, 納富雅也, 野崎謙悟, 北翔太, 納富雅也  

    応用物理学会春季学術講演会講演予稿集(CD-ROM)64th 巻   頁: 934 - 934   2017年

     詳細を見る

    記述言語:日本語   出版者・発行元:公益社団法人 応用物理学会  

    DOI: 10.11470/jsapmeeting.2018.2.0_934

    J-GLOBAL

    researchmap

  20. 光パスゲート論理に基づく並列加算回路の提案と光電混載回路シミュレータによる動作検証 (回路とシステム)

    石原 亨, 新家 昭彦, 井上 弘士, 野崎 謙悟, 納富 雅也  

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報116 巻 ( 93 ) 頁: 109 - 114   2016年6月

     詳細を見る

    記述言語:日本語   出版者・発行元:電子情報通信学会  

    CiNii Books

    researchmap

  21. CMOS LSIにおけるエネルギー最小点追跡のための電源電圧としきい値電圧の動的調節指針 (ディペンダブルコンピューティング) -- (組込み技術とネットワークに関するワークショップETNET2016)

    竹下 俊宏, 塩見 準, 石原 亨, 小野寺 秀俊  

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報115 巻 ( 519 ) 頁: 187 - 192   2016年3月

     詳細を見る

    記述言語:日本語   出版者・発行元:電子情報通信学会  

    CiNii Books

    researchmap

  22. Guidelines for Effective and Simplified Dynamic Supply and Threshold Voltage Scaling 査読有り

    Toshinori Takeshita, Tohru Ishihara, Hidetoshi Onodera  

    2016 INTERNATIONAL SYMPOSIUM ON VLSI DESIGN, AUTOMATION AND TEST (VLSI-DAT)   頁: 1 - 4   2016年

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究発表ペーパー・要旨(国際会議)   出版者・発行元:IEEE  

    Scaling supply voltage (V-DD) and threshold voltage (V-TH) dynamically has a strong impact on energy efficiency of CMOS LSI circuits. Techniques for optimizing V-DD and V-TH simultaneously under dynamic workloads are thus widely investigated over the past 15 years. This paper for the first time shows the following properties for dynamic voltage scaling; i) if V-DD is sufficiently higher than V-TH, tuning only V-DD with a fixed V-TH maximizes the energy efficiency, ii) if V-DD is nearthreshold, tuning only V-TH with a fixed V-DD maximizes the energy efficiency, and iii) if V-DD is subthreshold, tuning V-DD and/or V-TH for a dynamic workload does not improve energy efficiency. These properties help simplify the procedure of voltage scaling, and reduce the cost for providing different V(DD)s and V(TH)s.

    DOI: 10.1109/VLSI-DAT.2016.7482546

    Web of Science

    researchmap

  23. A Closed-Form Stability Model for Cross-Coupled Inverters Operating in Sub-Threshold Voltage Region 査読有り

    Tatsuya Kamakari, Jun Shiomi, Tohru Ishihara, Hidetoshi Onodera  

    2016 21ST ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC)   頁: 691 - 696   2016年

     詳細を見る

    記述言語:英語   掲載種別:研究発表ペーパー・要旨(国際会議)   出版者・発行元:IEEE  

    A cross-coupled inverter which is an essential element of on-chip memory subsystems plays an important role in synchronous LSI circuits. In this paper, an analytical stability model for a cross-coupled inverter operating in a sub-threshold voltage region is proposed. The proposed model analytically shows that the minimum operating voltage of the cross-coupled inverter distributes normally in a high-sigma region if the distribution of the threshold voltage is Gaussian. The minimum supply voltage at which the yield of the cross-coupled inverter becomes a specific value can be accurately derived by a simple calculation using the model. Monte-Carlo simulation assuming a commercial 28 nm process technology demonstrates the accuracy and the validity of the proposed model. Based on the model, this paper shows strategies for variation tolerant memory design.

    DOI: 10.1109/ASPDAC.2016.7428092

    Web of Science

    researchmap

  24. 光パスゲート論理に基づく光演算回路

    新家昭彦, 新家昭彦, 石原亨, 井上弘士, 野崎謙悟, 野崎謙悟, 納富雅也, 納富雅也  

    応用物理学会春季学術講演会講演予稿集(CD-ROM)63rd 巻   2016年

     詳細を見る

  25. 光パスゲート論理に基づく光演算回路(II)

    新家昭彦, 新家昭彦, 石原亨, 井上弘士, 野崎謙悟, 野崎謙悟, 納富雅也, 納富雅也  

    応用物理学会秋季学術講演会講演予稿集(CD-ROM)77th 巻   2016年

     詳細を見る

  26. Slew- and Variability-Aware Logical Effort for Near-Threshold Circuit Design 査読有り

    塩見 準, 石原 亨, 小野寺 秀俊  

    International Workshop on Variability Modeling and Charactorization   2015年11月

     詳細を見る

    記述言語:英語   掲載種別:研究発表ペーパー・要旨(国際会議)  

    researchmap

    その他リンク: https://dblp.uni-trier.de/db/conf/isqed/isqed2016.html#ShiomiIO16

  27. 電源電圧としきい値電圧の同時最適化が集積回路の消費エネルギーに与える影響の解析 (VLSI設計技術)

    竹下 俊宏, 西澤 真一, Islam A. K. M. Mahfuzul, 石原 亨, 小野寺 秀俊  

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報114 巻 ( 426 ) 頁: 111 - 116   2015年1月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    トランジスタの電源電圧としきい値電圧をアプリケーションやチップの動作状況に応じて適切に設定することにより,集積回路の消費エネルギーを大幅に削減できることが過去の研究で報告されている.しかし,チップのエネルギー効率を維持したまま必要とする電源電圧としきい値電圧の種類を削減するための方策や,より少ない種類の電源電圧としきい値電圧を用いて効果的にチップのエネルギー消費を削減するための指針を示した研究は前例が少ない.本稿では,より少ない種類の電源電圧としきい値電圧を用いてチップのエネルギー消費を効果的に削減する上で鍵となるいくつかの興味深い性質を明かにする.また,上記性質の根拠を解析的に説明するとともに,商用28nmプロセスを使った回路シミュレーションにより検証する.

    CiNii Books

    researchmap

  28. 電源電圧としきい値電圧の同時最適化が集積回路の消費エネルギーに与える影響の解析

    竹下 俊宏, 西澤 真一, IslamA.K.M Mahfuzul, 石原 亨, 小野寺 秀俊  

    情報処理学会研究報告. SLDM, [システムLSI設計技術]2015 巻 ( 20 ) 頁: 1 - 6   2015年1月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人情報処理学会  

    トランジスタの電源電圧としきい値電圧をアプリケーションやチップの動作状況に応じて適切に設定することにより,集積回路の消費エネルギーを大幅に削減できることが過去の研究で報告されている.しかし,チップのエネルギー効率を維持したまま必要とする電源電圧としきい値電圧の種類を削減するための方策や,より少ない種類の電源電圧としきい値電圧を用いて効果的にチップのエネルギー消費を削減するための指針を示した研究は前例が少ない.本稿では,より少ない種類の電源電圧としきい値電圧を用いてチップのエネルギー消費を効果的に削減する上で鍵となるいくつかの興味深い性質を明かにする.また,上記性質の根拠を解析的に説明するとともに,商用 28nm プロセスを使った回路シミュレーションにより検証する.

    CiNii Books

    researchmap

  29. An Energy-Efficient On-Chip Memory Structure for Variability-Aware Near-Threshold Operation 査読有り

    Jun Shiomi, Tohru Ishihara, Hidetoshi Onodera  

    PROCEEDINGS OF THE SIXTEENTH INTERNATIONAL SYMPOSIUM ON QUALITY ELECTRONIC DESIGN (ISQED 2015)   頁: 23 - 28   2015年

     詳細を見る

    記述言語:英語   掲載種別:記事・総説・解説・論説等(国際会議プロシーディングズ)   出版者・発行元:IEEE  

    On-chip memory is one of the most energy consuming components in processors. Aggressive voltage scaling to the sub-/near-threshold region is thus applied even to the memory used for ultra-low power applications. In this paper, an energy-efficient cell-based memory structure which is stably working with a near-threshold operating voltage is proposed. The circuit simulation using a commercial 28-nm technology shows that the energy consumption for the readout operation in our memory proposed here is up to 61% less than the energy dissipated in an existing cell-based memory and a conventional SRAM circuit. The simulation using a foundry provided Monte Carlo package also shows that the 3 sigma worst case read-access time of our cell-based memory is comparable to that of the SRAM circuit.

    DOI: 10.1109/ISQED.2015.7085372

    Web of Science

    researchmap

  30. Energy Reduction by Built-in Body Biasing with Single Supply Voltage Operation 査読有り

    Norihiro Kamae, A. K. M. Mahfuzul Islam, Akira Tsuchiya, Tohru Ishihara, Hidetoshi Onodera  

    PROCEEDINGS OF THE SIXTEENTH INTERNATIONAL SYMPOSIUM ON QUALITY ELECTRONIC DESIGN (ISQED 2015)   頁: 181 - 185   2015年

     詳細を見る

    記述言語:英語   掲載種別:記事・総説・解説・論説等(国際会議プロシーディングズ)   出版者・発行元:IEEE  

    Energy-efficiency has become the driving force of today's LSI industry. In order to achieve minimum energy operation of LSI, we propose a built-in body biasing technique which generates independent body biases for nMOSFET and pMOSFET separately. We design and fabricate an application circuit integrated with our proposed built-in body bias generation (BBG) circuits in a 65-nm process. The application circuit consists of AES cipher and decipher modules. The BBG does not require an external supply and it is compatible with a dynamic voltage scaling scheme for the application circuit. Cell-based design of the BBG circuit has been applied to facilitate automatic place and route. Both of the AES and the BBG circuits have been routed simultaneously to reduce design and area overhead. In post-silicon, supply voltage and body bias voltages are selected to achieve the minimum energy consumption for a target frequency. From the measurement results, more than 20% of energy reduction is achieved compared with adjusting supply voltage alone.

    DOI: 10.1109/ISQED.2015.7085421

    Web of Science

    researchmap

  31. An Impact of Process Variation on Supply Voltage Dependence of Logic Path Delay Variation 査読有り

    Shinichi Nishizawa, Tohru Ishihara, Hidetoshi Onodera  

    2015 International symposium on VLSI Design, Automation and Test (VLSI-DAT)   頁: 1 - 4   2015年

     詳細を見る

    記述言語:英語   掲載種別:記事・総説・解説・論説等(国際会議プロシーディングズ)   出版者・発行元:IEEE  

    Dynamic Voltage and Frequency Scaling ( DVFS) technique requires accurate observation of critical path delay for robust operation under aggressive supply voltage scaling. Logic paths contain several types of logic gates and path delay have voltage dependences because different logic gates have different voltage dependences. However, it is not well investigated that how the voltage dependence of the path delay changes induced by process variation. This paper describes the effect of the process variation on the voltage dependence of path delay. Ring Oscillator circuits fabricated in 65-nm CMOS process are used for the evaluation and analysis of the process variation dependence of the voltage delay curves.

    Web of Science

    researchmap

  32. Design methodology of process variation tolerant D-Flip-Flops for low voltage circuit operation 査読有り

    Shinichi Nishizawa, Tohru Ishihara, Hidetoshi Onodera  

    International System on Chip Conference   頁: 42 - 47   2014年11月

     詳細を見る

    記述言語:英語   掲載種別:記事・総説・解説・論説等(国際会議プロシーディングズ)   出版者・発行元:IEEE Computer Society  

    This paper describes the process variation tolerant design of DFFs for low voltage operation. Within-die random variation have a strong impact on the delay performance of DFF, especially at low supply voltage. Since a large number of DFFs are used in a VLSI chip, operation failure or timing failure of DFFs cause operation failure of a VLSI chip. This paper analyzes operation failures of DFFs using Monte-Carlo analysis and evaluate the effect of within-die variation on the delay performance of DFFs. In order to mitigate the effect of within-die variation, variation tolerant DFF design is proposed. The post layout simulation result shows increasing the sizes of the input clocked inverter and the clock driver reduce the operational failure of DFFs.

    DOI: 10.1109/SOCC.2014.6948897

    Scopus

    researchmap

  33. Variation-aware Flip-Flop energy optimization for ultra low voltage operation 査読有り

    Tatsuya Kamakari, Shinichi Nishizawa, Tohru Ishihara, Hidetoshi Onodera  

    International System on Chip Conference   頁: 17 - 22   2014年11月

     詳細を見る

    記述言語:英語   掲載種別:研究発表ペーパー・要旨(国際会議)   出版者・発行元:IEEE Computer Society  

    This paper presents an energy optimization method for a Flip-Flop (FF) circuit in a presence of manufacturing process variation. The optimal FF circuit can be obtained by simultaneously scaling the supply voltage and the transistor size with achieving a specific high yield of the circuit. Lowering the supply voltage is one of the most effective approaches for decreasing the energy consumption of the circuit. However, the increased variation in nano scale semiconductor devices causes a malfunction of FFs especially for the very low voltage operation. Therefore, it is a challenging goal for the nano scale FFs to achieve the high yield and extremely low energy consumption simultaneously. This paper proposes an approximation method for accurately estimating a minimum possible operating voltage (VDDmin) of FFs with a small number of Monte-Carlo trials. After that, for a given FF, we find a set of optimal supply voltage and the transistor sizes, which minimizes the energy consumption of the FF with achieving the specific high-sigma yield (e.g., 5σ yield). Post layout Monte-Carlo simulation results obtained using a commercial 28 nm process technology model demonstrate that the energy consumption of a FF optimized with our approach can be reduced by 17% at the best case with achieving 5σ yield.

    DOI: 10.1109/SOCC.2014.6948893

    Scopus

    researchmap

  34. A Lognormal Timing Model and Design Guidelines for Near-Threshold Circuits 査読有り

    Jun Shiomi, Tohru Ishihara, Hidetoshi Onodera  

    International Workshop on Variability Modeling and Characterization (VMC)   2014年11月

     詳細を見る

    記述言語:英語  

    researchmap

  35. 排他動作する非均質マルチコアプロセッサとそのリアルタイムOSの実装 (ディペンダブルコンピューティング 組込み技術とネットワークに関するワークショップETNET2014)

    高瀬 英希, 李 景洙, 石原 亨  

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報113 巻 ( 498 ) 頁: 85 - 90   2014年3月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    高性能CPUコアと省電力CPUコアを排他的かつ動的に切り替えて動作するマルチパフォーマンスプロセッサのテストチップを,65nmの商用プロセステクノロジを用いて試作した.各CPUコアはそれぞれの電源電圧に最適化して設計しているため,低電圧動作時のエネルギー効率が従来型のDVFSプロセッサより高くなる.CPUコア間でオンチップメモリを共有することで,面積効率を向上させるとともにCPUコアの切替に掛かるオーバヘッドを抑止させる.さらに,組込みリアルタイムシステムへの応用を想定して,マルチパフオーマンスプロセッサの動作構成を切り替えて電力を管理する機能を提案する.電力管理機能をTOPPERS/ASPカーネル上に実装し,実チップ測定に基づいて評価した結果を報告する.

    CiNii Books

    researchmap

  36. Evaluation of Charge Scheduling on a Multi-Banked Supercapacitor Architecture for Energy Harvesting Embedded Systems (ディペンダブルコンピューティング 組込み技術とネットワークに関するワークショップETNET2014)

    LEE KYUNGSOO, ISHIHARA TOHRU  

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報113 巻 ( 498 ) 頁: 127 - 132   2014年3月

     詳細を見る

    記述言語:英語   出版者・発行元:一般社団法人電子情報通信学会  

    Energy-harvesting devices attract wide interest as replacements for primary batteries in low power embedded systems. This claims new energy efficient management techniques for the energy-harvesting systems dislike the previous management techniques. This paper evaluates a charge scheduling technique on a multi-banked supercapacitor architecture as well as a simple maximum point tracking algorithm (MPPT). We design an energy harvesting board with a multi-banked supercapacitor architecture, and operate it in a real system. The evaluation focuses on the energy loss reduction by the charge scheduling technique in the power supplier of the system.

    CiNii Books

    researchmap

  37. 排他動作する非均質マルチコアプロセッサとそのリアルタイムOSの実装 (コンピュータシステム 組込み技術とネットワークに関するワークショップETNET2014)

    高瀬 英希, 李 景洙, 石原 亨  

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報113 巻 ( 497 ) 頁: 85 - 90   2014年3月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    高性能CPUコアと省電力CPUコアを排他的かつ動的に切り替えて動作するマルチパフォーマンスプロセッサのテストチップを,65nmの商用プロセステクノロジを用いて試作した.各CPUコアはそれぞれの電源電圧に最適化して設計しているため,低電圧動作時のエネルギー効率が従来型のDVFSプロセッサより高くなる.CPUコア間でオンチップメモリを共有することで,面積効率を向上させるとともにCPUコアの切替に掛かるオーバヘッドを抑止させる.さらに,組込みリアルタイムシステムへの応用を想定して,マルチパフオーマンスプロセッサの動作構成を切り替えて電力を管理する機能を提案する.電力管理機能をTOPPERS/ASPカーネル上に実装し,実チップ測定に基づいて評価した結果を報告する.

    CiNii Books

    researchmap

  38. 排他動作する非均質マルチコアプロセッサとそのリアルタイムOSの実装

    高瀬英希, 李景洙, 石原亨  

    研究報告システムLSI設計技術(SLDM)2014 巻 ( 15 ) 頁: 1 - 6   2014年3月

     詳細を見る

    記述言語:日本語  

    高性能 CPU コアと省電力 CPU コアを排他的かつ動的に切り替えて動作するマルチパフォーマンスプロセッサのテストチップを,65 nmの商用プロセステクノロジを用いて試作した.各 CPU コアはそれぞれの電源電圧に最適化して設計しているため,低電圧動作時のエネルギー効率が従来型の DVFS プロセッサより高くなる.CPU コア間でオンチップメモリを共有することで,面積効率を向上させるとともに CPU コアの切替に掛かるオーバヘッドを抑止させる.さらに,組込みリアルタイムシステムへの応用を想定して,マルチパフォーマンスプロセッサの動作構成を切り替えて電力を管理する機能を提案する.電力管理機能を TOPPERS/ASP カーネル上に実装し,実チップ測定に基づいて評価した結果を報告する.

    CiNii Books

    researchmap

  39. Evaluation of Charge Scheduling on a Multi-Banked Supercapacitor Architecture for Energy Harvesting Embedded Systems

    Kyungsoo Lee, Tohru Ishihara  

    研究報告システムLSI設計技術(SLDM)2014 巻 ( 22 ) 頁: 1 - 6   2014年3月

     詳細を見る

    記述言語:英語  

    Energy-harvesting devices attract wide interest as replacements for primary batteries in low power embedded systems. This claims new energy efficient management techniques for the energy-harvesting systems dislike the previous management techniques. This paper evaluates a charge scheduling technique on a multi-banked supercapacitor architecture as well as a simple maximum point tracking algorithm (MPPT). We design an energy harvesting board with a multi-banked supercapacitor architecture, and operate it in a real system. The evaluation focuses on the energy loss reduction by the charge scheduling technique in the power supplier of the system.Energy-harvesting devices attract wide interest as replacements for primary batteries in low power embedded systems. This claims new energy efficient management techniques for the energy-harvesting systems dislike the previous management techniques. This paper evaluates a charge scheduling technique on a multi-banked supercapacitor architecture as well as a simple maximum point tracking algorithm (MPPT). We design an energy harvesting board with a multi-banked supercapacitor architecture, and operate it in a real system. The evaluation focuses on the energy loss reduction by the charge scheduling technique in the power supplier of the system.

    CiNii Books

    researchmap

  40. 動作状況に応じた電源電圧と基板バイアスの同時調節によるLSIのエネルギー効率最大化

    竹下俊宏, 西澤真一, Islam A.K.M. Mahfuzul, 石原 亨, 小野寺秀俊  

    電子情報通信学会 2014年総合大会2014 巻 ( 2 ) 頁: 108   2014年3月

     詳細を見る

    記述言語:日本語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)   出版者・発行元:一般社団法人電子情報通信学会  

    CiNii Books

    researchmap

  41. Analysis and Comparison of XOR Cell Structures for Low Voltage Circuit Design 査読有り

    Shinichi Nishizawa, Tohru Ishihara, Hidetoshi Onodera  

    PROCEEDINGS OF THE FOURTEENTH INTERNATIONAL SYMPOSIUM ON QUALITY ELECTRONIC DESIGN (ISQED 2013)   頁: 703 - 708   2013年

     詳細を見る

    記述言語:英語   出版者・発行元:IEEE  

    The performance of standard cells has a strong impact on the performance of a circuit synthesized with the cells. Although a complementary CMOS logic is usually used in the standard cells, it is known that a pass transistor logic can improve the performance of a circuit with a smaller area in some cases. We evaluate different types of XOR cells in different voltage conditions. Results show that the dual pass transistor XOR has a better performance than the complementary CMOS XOR in 0.6V operation, while the complementary CMOS XOR has a better performance in 1.2 V operation. More specifically, the area and the power consumption of a benchmark circuit composed of the dual pass transistor XOR can be reduced by 24% and 35%, respectively, compared to those of the same circuit composed of the complementary CMOS XOR in 0.6V operation.

    DOI: 10.1109/ISQED.2013.6523687

    Web of Science

    researchmap

▼全件表示

講演・口頭発表等 11

  1. Maximizing Energy-Efficiency of Dual-OS Platforms through DVFS Virtualization 招待有り 国際会議

    Tohru Ishihara

    International Forum on MPSoC for Software-Defined Hardware (MPSoC)  2022年6月23日 

     詳細を見る

    開催年月日: 2022年6月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Les fermes de Marie, Megève, France   国名:フランス共和国  

  2. Near-Threshold Cache Architecture for Ultra-Low Energy Computing 招待有り

    Tohru ISHIHARA

    International Forum on MPSoC for Software-defined Hardware  2019年7月11日 

     詳細を見る

    開催年月日: 2019年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    researchmap

  3. Minimum Energy Point Tracking Exploiting All-Digital On-Chip Sensors 招待有り

    Tohru Ishihara

    International Forum on MPSoC for Software-Defined Hardware  2018年7月30日 

     詳細を見る

    開催年月日: 2018年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  4. Minimum Energy Point Tracking for Self-Powered IoT Processors 招待有り

    Tohru ISHIHARA

    International Forum on MPSoC for Software-defined Hardware (MPSoC)  2017年7月3日 

     詳細を見る

    開催年月日: 2017年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    researchmap

  5. An Integrated Optical Parallel Adder: A First Step towards Light-Speed Data Path Operation 招待有り

    Tohru Ishihara

    International Forum on MPSoC for Software-Defined Hardware  2016年7月14日 

     詳細を見る

    開催年月日: 2016年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  6. Practical Supply and Threshold Voltage Scaling for Energy Efficient Operation of Microprocessors 招待有り

    Tohru Ishihara

    International Forum on MPSoC for Software-Defined Hardware  2015年7月13日 

     詳細を見る

    開催年月日: 2015年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  7. Near-Threshold Computing on Heterogeneous Multicore Architectures 招待有り

    Tohru Ishihara

    International Forum on Embedded MPSoC and Multicore  2014年7月10日 

     詳細を見る

    開催年月日: 2014年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  8. Power Management Techniques for Energy Harvesting Embedded Systems 招待有り

    Tohru Ishihara

    International Forum on Embedded MPSoC and Multicore  2013年7月18日 

     詳細を見る

    開催年月日: 2013年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  9. Loop Instruction Caching for Energy-Efficient Embedded Multitasking Systems 招待有り

    Tohru Ishihara

    International Forum on Embedded MPSoC and Multicore  2012年7月11日 

     詳細を見る

    開催年月日: 2012年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  10. Energy Characterization of Embedded Processors for Software Energy Optimization 招待有り

    Tohru Ishihara

    International Forum on Embedded MPSoC and Multicore  2011年7月5日 

     詳細を見る

    開催年月日: 2011年7月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

  11. Real-Time Dynamic Voltage Hopping on MPSoCs 招待有り 国際会議

    Tohru Ishihara

    International Forum on Embedded MPSoC and Multicore (MPSoC)  2009年8月5日 

     詳細を見る

    開催年月日: 2009年8月

    記述言語:英語   会議種別:口頭発表(招待・特別)  

    開催地:Savannah, Georgia, USA   国名:アメリカ合衆国  

▼全件表示

科研費 7

  1. 光と電子が密に融合する集積回路のアーキテクチャと設計技術

    研究課題/研究課題番号:20H04155  2020年4月 - 2023年3月

    日本学術振興会  科学研究費助成事業 基盤研究(B)  基盤研究(B)

    石原 亨, 納富 雅也, 塩見 準, 増田 豊, 塩見 準, 納富 雅也, 増田 豊

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

    配分額:17550000円 ( 直接経費:13500000円 、 間接経費:4050000円 )

    2000年以降のナノフォトニクス技術の急速な発展を背景に、光デバイスは数ミクロンのサイズまで超小型化され、光回路と電子回路を混載して集積することが可能となった。本課題は、光と電子が密に融合する光集積回路のアーキテクチャと設計技術を構築することにより、光集積回路における遅延-電力-面積のトレードオフ限界を明確にし、光集積回路の最適な構成を明らかにするものである。上記目的のために、下記の3項目に取り組む。1)回路設計段階で光集積回路の遅延、電力、面積を予測するモデルを構築する。2)光集積回路に対する設計最適化技術を構築する。3)光集積回路を非ノイマン型演算器に適用し最適化の効果を評価する。

    researchmap

  2. フォトニクスとエレクトロニクスの融合による光コンピュータの構成法に関する研究

    研究課題/研究課題番号:17K19975  2017年6月 - 2020年3月

    日本学術振興会  科学研究費助成事業 挑戦的研究(萌芽)  挑戦的研究(萌芽)

    石原 亨

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

    配分額:6370000円 ( 直接経費:4900000円 、 間接経費:1470000円 )

    本研究課題は、光を情報担体としてネットワーク内で高速計算を行う光コンピューティング技術の開発を目的とする。この目的のために、光並列加算器、光並列乗算器、光ニューラルネットワークなど、いくつかの光演算ユニットを開発した。単一の光デバイスの遅延は1ピコ秒未満であるため、光デバイスを回路構成要素として使用することにより、算術演算の計算速度をピコ秒のオーダーに抑えることができる。この超低遅延が、光デバイスを光回路合成に使用する根本的な動機である。光回路の消費電力を削減するいくつかの重要な技術の開発も行った。光電子回路シミュレーションにより、回路の光速動作を実証した。

    researchmap

  3. IoT社会の実現を目指した次世代コンピューティング基盤の研究

    研究課題/研究課題番号:17H01712  2017年4月 - 2020年3月

    日本学術振興会  科学研究費助成事業 基盤研究(B)  基盤研究(B)

    石原 亨, 増田 豊, 小野寺 秀俊, 増田 豊, 小野寺 秀俊

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

    配分額:18850000円 ( 直接経費:14500000円 、 間接経費:4350000円 )

    商用の65nm CMOSプロセステクノロジを使用し、動的電圧制御(電源電圧とバックゲートバイアス)を可能とするプロセッサを試作した。プロセッサ設計にはオープンソースコアであるRISC-Vを使用した。平成29年度に試作した各種要素回路の評価結果をフィードバックし、各種センサを備えたプロセッサとして実現した。1.2Vから0.3Vまでのニアスレッショルド電圧(しきい値電圧近傍の電源電圧)およびサブスレッショルド電圧(しきい値電圧以下の電源電圧)での動作を実チップにより実証した。また、プロセッサの動作状況および発電システムの発電状況に応じて、1)最小エネルギー動作、2)最小電力動作、3)最低電圧動作、をソフトウェアから最適に制御するプロセッサの動的電圧制御メカニズムを構築した。事前に作成した線形近似モデルと温度センサおよび電流センサの値からプロセッサの最適な動作点(電源電圧としきい値電圧の組)を実行時に計算する機構を構築した。研究の成果は国内外の関連する会議で発表した。
    過去に試作した環境発電技術を発展させ、太陽光だけでなく風力や体温あるいは振動などから効率良く電力を創りだす環境発電システムのアーキテクチャ開発の検討を開始した。内蔵キャパシタを用いて、環境から取り入れた電力をバッテリや各種機器へ適切にスケジューリングする技術を検討した。さらに、過去に試作した環境発電システムを再設計し、ソフトウェアからの制御を容易にするメカニズムを構築した。これにより、乾電池と環境発電池のミックス電源でプロセッサを10年継続動作させるシステムの構築を狙う。環境発電システムに関する成果は電気化学会キャパシタ技術委員会の招待講演にて発表した。さらには、発電装置、蓄電池、プロセッサシステムおよびアプリケーションプログラムの動作を総合的に考慮し、システム全体をOSから統合的に管理する電力管理技術を検討した。

    researchmap

  4. 自律的に最小エネルギー動作を実現する集積回路設計技術

    研究課題/研究課題番号:16H01713  2016年4月 - 2020年3月

    日本学術振興会  科学研究費助成事業 基盤研究(A)  基盤研究(A)

    小野寺 秀俊, 塩見 準, 石原 亨, 土谷 亮, 塩見 準, 石原 亨, 土谷 亮

      詳細を見る

    担当区分:研究分担者 

    本研究では、集積回路を所定の速度制約の下で消費エネルギー最小の動作点(MEP)で動作させるという新規動作機構を提案し、その一実現手法を世界に先駆けて開発するものである。研究内容は、最小エネルギー動作点を求める問題(MEP探索技術)と、動作環境により変動するMEPにどのように追従するかという問題(MEPT動作機構)に分類できる。MEP探索技術については、制御対象回路のMEPの解析・モデル化と、オンチップモニタによる動作状況情報の取得方法を検討する。MEPT動作機構については、VthとVddの更新アルゴリズムの開発と、Vth制御用基板バイアス生成回路の開発を計画している。
    本年度における研究実勢の概要は以下の通りである。
    MEP探索技術についての実績は、次の2点にまとめられる。第1の成果は、nMOSトランジスタとpMOSトランジスタのしきい値を独立に設定する事により、電源電圧一定の条件において最小エネルギー動作点(MEP)に到達するアルゴリズムを明かにした事である。第2の成果は、静的消費電力と動的消費電力の割合を一定に保つことにより、MEPに準ずる消費エネルギーで回路が動作することを示したことである。
    MEPT動作機構については、基板バイアス生成回路をセルベース設計により実装する設計技術を開発した。実際に、65nmのSOIプロセスにおいて基板バイアス生成回路のテストチップを試作し、詳細な動作特性を評価した。開発回路の特徴は、B級出力段により基板容量の充放電を効率的に行うバッファ回路にある。その設計手順を明確化し、制御対象の回路に応じて基板バイアス生成回路を迅速に設計する方法を明かにした。

    researchmap

  5. ニアスレッショルド電圧動作に適したオンチップメモリの研究

    研究課題/研究課題番号:26540021  2014年4月 - 2017年3月

    日本学術振興会  科学研究費助成事業 挑戦的萌芽研究  挑戦的萌芽研究

    石原 亨

      詳細を見る

    担当区分:研究代表者 

    配分額:3640000円 ( 直接経費:2800000円 、 間接経費:840000円 )

    従来の半分以下の低い電源電圧で安定して動作するオンチップメモリシステムを開発した。このオンチップメモリには0.25V程度の極低電圧で安定動作するための工夫を組み込んだ。従来のオンチップメモリと比べて面積は2倍以上となるが、性能を従来メモリと同等に保ったまま消費エネルギーをおよそ半分に低減することに成功した。考案したオンチップメモリ回路を実プロセッサチップに搭載し有効性を実証した。本研究成果により情報処理学会山下記念研究賞やIEEE SSCS Japan Chapter VDEC Design Awardなど多数の賞を受賞した。

    researchmap

  6. 環境発電技術を用いた社会に溶け込むコンピューティング基盤の研究

    研究課題/研究課題番号:26280013  2014年4月 - 2017年3月

    日本学術振興会  科学研究費助成事業 基盤研究(B)  基盤研究(B)

    石原 亨, 土谷 亮, 小野寺 秀俊, 土谷 亮, 小野寺 秀俊

      詳細を見る

    担当区分:研究代表者 

    配分額:16770000円 ( 直接経費:12900000円 、 間接経費:3870000円 )

    太陽光などの不安定な自然エネルギーのみをエネルギー源として極低消費電力動作するマイクロプロセッサシステムを開発した。このマイクロプロセッサには0.3V程度の極低電圧で安定動作するための工夫を組み込んだ。上述のマイクロプロセッサチップを試作し、μW級の極低電力動作を実証した。さらに、電源電圧としきい値電圧を同時調節することにより性能あたりの消費エネルギーを最小化する電圧制御技術を世界に先駆けて考案した。考案したエネルギー最小化技術を実プロセッサチップに適用し有効性を実証した。本研究成果により情報処理学会山下記念研究賞やIEEE SOCC Best Paper Awardなど多数の賞を受賞した。

    researchmap

  7. 自律的特性補償により閾値付近の低電圧まで安定動作する集積回路設計技術

    研究課題/研究課題番号:25280014  2013年4月 - 2017年3月

    日本学術振興会  科学研究費助成事業 基盤研究(B)  基盤研究(B)

    小野寺 秀俊, 土谷 亮, 石原 亨, 西澤 真一, 土谷 亮, 石原 亨, 西澤 真一,

      詳細を見る

    担当区分:研究分担者 

    電源電圧の低下に伴い、トランジスタ特性のばらつきによる回路特性変動が拡大する。低電圧での安定動作を達成するため、トランジスタ特性のばらつき量を自己診断するモニタ回路と、基板電圧の調節によりばらつき量を補償する基板バイアス生成回路を開発した。ばらつきに脆弱なラッチ回路について動作安定性の解析方法を開発し、閾値付近の低電圧まで安定動作させるための設計指針を求めた。これらの技術により、自律的特性補償により低電圧でも安定に動作する集積回路が実現できる。

    researchmap

▼全件表示

産業財産権 12

  1. 光乗算器および光乗算方法

    新家 昭彦 , 納富 雅也 , 野崎 謙悟 , 北 翔太 , 高田 健太 , 石原 亨 , 小野寺秀俊 , 今井悠貴

     詳細を見る

    出願日:2017年8月

    公開日:2019年3月

    特許番号/登録番号:特許6707752  登録日:2020年5月 

    出願国:国内   取得国:国内

  2. 光論理回路

    新家 昭彦 , 納富 雅也 , 野崎 謙悟 , 北 翔太 , 石原 亨

     詳細を見る

    出願番号:2017-36318  出願日:2017年2月

    公開日:2018年9月

    特許番号/登録番号:特許6699826  登録日:2020年5月 

  3. 光論理回路

    新家 昭彦 , 納富 雅也 , 野崎 謙悟 , 石原 亨

     詳細を見る

    出願日:2016年8月

    公開日:2018年3月

    特許番号/登録番号:特許6742584  登録日:2020年7月 

  4. 光乗算器および光乗算方法

    新家 昭彦, 納富 雅也, 野崎 謙悟, 北 翔太, 高田 健太, 石原 亨, 小野寺秀俊, 今井悠貴

     詳細を見る

    出願人:日本電信電話株式会社, 国立大学法人京都大学

    出願番号:特願2017-159095  出願日:2017年8月

    公開番号:特開2019-40225  公開日:2019年3月

    特許番号/登録番号:特許6707752  登録日:2020年5月  発行日:2020年6月

    researchmap

  5. 光演算器

    新家 昭彦, 納富 雅也, 野崎 謙悟, 北 翔太, 高田 健太, 石原 亨, 小野寺秀俊, 江川巧

     詳細を見る

    出願人:日本電信電話株式会社, 国立大学法人京都大学

    出願番号:特願2017-159096  出願日:2017年8月

    公開番号:特開2019-39941  公開日:2019年3月

    researchmap

  6. 光論理回路

    新家 昭彦, 納富 雅也, 野崎 謙悟, 北 翔太, 石原 亨

     詳細を見る

    出願人:日本電信電話株式会社, 国立大学法人京都大学

    出願番号:特願2017-36318  出願日:2017年2月

    公開番号:特開2018-141892  公開日:2018年9月

    特許番号/登録番号:特許6699826  登録日:2020年5月  発行日:2020年5月

    researchmap

  7. 光論理回路

    新家 昭彦, 納富 雅也, 野崎 謙悟, 石原 亨

     詳細を見る

    出願人:日本電信電話株式会社, 国立大学法人京都大学

    出願番号:特願2016-168953  出願日:2016年8月

    公開番号:特開2018-36455  公開日:2018年3月

    特許番号/登録番号:特許6742584  登録日:2020年7月  発行日:2020年8月

    researchmap

  8. 光演算器

    新家昭彦, 納富雅也, 野崎謙悟, 倉持栄一, 石原亨

     詳細を見る

    出願人:日本電信電話株式会社, 国立大学法人京都大学

    出願番号:特願2016-136033  出願日:2016年7月

    特許番号/登録番号:特許6468607  登録日:2019年1月  発行日:2019年2月

    researchmap

  9. 光論理回路および加算器

    新家 昭彦, 納富 雅也, 野崎 謙悟, 石原 亨, 井上弘士

     詳細を見る

    出願人:日本電信電話株式会社, 国立大学法人京都大学

    出願番号:特願2016-39778  出願日:2016年3月

    公開番号:特開2017-158041  公開日:2017年9月

    特許番号/登録番号:特許6536959  登録日:2019年6月  発行日:2019年7月

    researchmap

  10. 消費電力評価装置、電力係数作成システム、消費電力評価方法及び電力係数作成方法

    神山 剛, 宮沢 祐光, 石原 亨, 久住 憲嗣, 金田 裕介, 奥平 拓見

     詳細を見る

    特許番号/登録番号:特許5429746  発行日:2013年12月

    researchmap

  11. 消費電力分析システムおよびアプリケーション開発ツール

    小西 哲平, 神山 剛, 大久保 信三, 稲村 浩, 石原 亨, 久住 憲嗣, 部谷 修平

     詳細を見る

    特許番号/登録番号:特許5787259  発行日:2015年8月

    researchmap

  12. プロセッサ設計を特徴付けるための方法、装置、論理プログラム及びシステム

    石原 亨, ファルザン ファラー

     詳細を見る

    特許番号/登録番号:特許5298444  発行日:2013年6月

    researchmap

▼全件表示

 

担当経験のある科目 (本学以外) 1

  1. 集積システム設計論

    2020年10月 - 2021年2月 京都大学)

     詳細を見る

    科目区分:大学院専門科目  国名:日本国

 

メディア報道 2

  1. 光ニューラルネットワークに関する解説と研究記事が日経エレクトロニクスに掲載 新聞・雑誌

    日経BP社  日経エレクトロニクス  日経エレクトロニクス2021年3月号 pp.35-38  2021年3月

     詳細を見る

    執筆者:本人以外 

  2. 光の伝搬でAI処理を行う光ニューラルネットワークの解説と研究記事が日経クロステックに掲載 インターネットメディア

    日経BP社  日経クロステック  https://xtech.nikkei.com/atcl/nxt/column/18/01558/00007/  2021年2月

     詳細を見る

    執筆者:本人以外 

学術貢献活動 8

  1. 情報処理学会 論文誌 System LSI Design Methodology 編集委員会 委員長 国際学術貢献

    役割:審査・評価, 査読

    情報処理学会  2023年4月 - 現在

     詳細を見る

    種別:審査・学術的助言 

  2. 国際科学技術協力基盤整備事業「世界のトップ研究者ネットワーク参画のための国際研究協力プログラム」国際科学技術協力推進委員

    役割:審査・評価

    科学技術振興機構(JST)  2022年12月 - 2023年3月

     詳細を見る

    種別:審査・学術的助言 

  3. 科研費 学術変革領域研究(A)の審査意見書の作成

    役割:審査・評価, 査読

    文部科学省  2022年3月

     詳細を見る

    種別:審査・学術的助言 

  4. 電子情報通信学会 『Special Section on VLSI Design and CAD Algorithms』編集委員会 編集委員

    役割:企画立案・運営等, 査読

    2020年4月 - 現在

     詳細を見る

    種別:審査・学術的助言 

  5. IEEE Symposium on Low-Power and High-Speed Chips and Systems組織委員会 副委員長 国際学術貢献

    役割:企画立案・運営等, パネル司会・セッションチェア等

    2020年4月 - 現在

     詳細を見る

    種別:大会・シンポジウム等 

  6. Asia and South Pacific Design Automation Conference, Steering Committee Secretary 国際学術貢献

    役割:企画立案・運営等

    2020年4月 - 現在

     詳細を見る

    種別:大会・シンポジウム等 

  7. 電子情報通信学会 『Special Section on Circuit and Systems』編集委員会 編集委員

    役割:企画立案・運営等, 査読

    2019年7月 - 2020年9月

     詳細を見る

    種別:審査・学術的助言 

  8. 電子情報通信学会回路とシステム研究専門委員会委員

    役割:企画立案・運営等

    2018年4月 - 2021年3月

     詳細を見る

    種別:学会・研究会等 

▼全件表示